diff --git a/.gitattributes b/.gitattributes index 6ac8e9c365bba2e3b98f815e18395577c9879559..57b160a45be348282b4aef6d300318f2ca503197 100644 --- a/.gitattributes +++ b/.gitattributes @@ -47,3 +47,10 @@ LAVT-RIS/refer/data/refcocog/refs(google).p filter=lfs diff=lfs merge=lfs -text LAVT-RIS/refer/data/refcocog/refs(umd).p filter=lfs diff=lfs merge=lfs -text LAVT-RIS/refer/evaluation/tokenizer/stanford-corenlp-3.4.1.jar filter=lfs diff=lfs merge=lfs -text hf_cache/models--zhiqiulin--clip-flant5-xxl/blobs/12acb5074c883dcab3e166d86d20130615ff83b0d26736ee046f4184202ebd3b filter=lfs diff=lfs merge=lfs -text +docs/davis_demo2.gif filter=lfs diff=lfs merge=lfs -text +mbench/gpt_ref-ytvos_numbered.ipynb filter=lfs diff=lfs merge=lfs -text +docs/ytvos_demo2.gif filter=lfs diff=lfs merge=lfs -text +mbench/sampled_frame.json filter=lfs diff=lfs merge=lfs -text +docs/network.png filter=lfs diff=lfs merge=lfs -text +docs/ytvos_demo1.gif filter=lfs diff=lfs merge=lfs -text +docs/davis_demo1.gif filter=lfs diff=lfs merge=lfs -text diff --git a/.history/datasets/__init___20241227174300.py b/.history/datasets/__init___20241227174300.py new file mode 100644 index 0000000000000000000000000000000000000000..862805eeb7fe03f189bbf2c5141385996a68410b --- /dev/null +++ b/.history/datasets/__init___20241227174300.py @@ -0,0 +1,37 @@ +import torch.utils.data +import torchvision + +from .ytvos import build as build_ytvos +from .davis import build as build_davis +from .a2d import build as build_a2d +from .jhmdb import build as build_jhmdb +from .refexp import build as build_refexp +from .concat_dataset import build as build_joint + + +def get_coco_api_from_dataset(dataset): + for _ in range(10): + # if isinstance(dataset, torchvision.datasets.CocoDetection): + # break + if isinstance(dataset, torch.utils.data.Subset): + dataset = dataset.dataset + if isinstance(dataset, torchvision.datasets.CocoDetection): + return dataset.coco + + +def build_dataset(dataset_file: str, image_set: str, args): + if dataset_file == 'ytvos': + return build_ytvos(image_set, args) + if dataset_file == 'davis': + return build_davis(image_set, args) + if dataset_file == 'a2d': + return build_a2d(image_set, args) + if dataset_file == 'jhmdb': + return build_jhmdb(image_set, args) + # for pretraining + if dataset_file == "refcoco" or dataset_file == "refcoco+" or dataset_file == "refcocog": + return build_refexp(dataset_file, image_set, args) + # for joint training of refcoco and ytvos + if dataset_file == 'joint': + return build_joint(image_set, args) + raise ValueError(f'dataset {dataset_file} not supported') diff --git a/.history/datasets/ytvos_ref_20250113130043.py b/.history/datasets/ytvos_ref_20250113130043.py new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/.history/datasets/ytvos_ref_20250116073805.py b/.history/datasets/ytvos_ref_20250116073805.py new file mode 100644 index 0000000000000000000000000000000000000000..2668f1b0a9eedfc1f4ba78a87867421fa033b024 --- /dev/null +++ b/.history/datasets/ytvos_ref_20250116073805.py @@ -0,0 +1,239 @@ +""" +Ref-YoutubeVOS data loader +""" +from pathlib import Path + +import torch +from torch.autograd.grad_mode import F +from torch.utils.data import Dataset +import datasets.transforms_video as T + +import os +from PIL import Image +import json +import numpy as np +import random + +from datasets.categories import ytvos_category_dict as category_dict + + +class YTVOSDataset(Dataset): + """ + A dataset class for the Refer-Youtube-VOS dataset which was first introduced in the paper: + "URVOS: Unified Referring Video Object Segmentation Network with a Large-Scale Benchmark" + (see https://link.springer.com/content/pdf/10.1007/978-3-030-58555-6_13.pdf). + The original release of the dataset contained both 'first-frame' and 'full-video' expressions. However, the first + dataset is not publicly available anymore as now only the harder 'full-video' subset is available to download + through the Youtube-VOS referring video object segmentation competition page at: + https://competitions.codalab.org/competitions/29139 + Furthermore, for the competition the subset's original validation set, which consists of 507 videos, was split into + two competition 'validation' & 'test' subsets, consisting of 202 and 305 videos respectively. Evaluation can + currently only be done on the competition 'validation' subset using the competition's server, as + annotations were publicly released only for the 'train' subset of the competition. + + """ + def __init__(self, img_folder: Path, ann_file: Path, transforms, return_masks: bool, + num_frames: int, max_skip: int): + self.img_folder = img_folder + self.ann_file = ann_file + self._transforms = transforms + self.return_masks = return_masks # not used + self.num_frames = num_frames + self.max_skip = max_skip + # create video meta data + self.prepare_metas() + + print('\n video num: ', len(self.videos), ' clip num: ', len(self.metas)) + print('\n') + + def prepare_metas(self): + # read object information + with open(os.path.join(str(self.img_folder), 'meta.json'), 'r') as f: + subset_metas_by_video = json.load(f)['videos'] + + # read expression data + with open(str(self.ann_file), 'r') as f: + subset_expressions_by_video = json.load(f)['videos'] + self.videos = list(subset_expressions_by_video.keys()) + + self.metas = [] + skip_vid_count = 0 + + for vid in self.videos: + vid_meta = subset_metas_by_video[vid] + vid_data = subset_expressions_by_video[vid] + vid_frames = sorted(vid_data['frames']) + vid_len = len(vid_frames) + + if vid_len < 11: + #print(f"Too short video: {vid} with frame length {vid_len}") + skip_vid_count += 1 + continue + + + # Exclude start_idx (0, 1) and end_idx (vid_len-1, vid_len-2) + start_idx , end_idx = 2, vid_len-2 + bin_size = (end_idx - start_idx) // 4 + + bins = [] + for i in range(4): + bin_start = start_idx + i * bin_size + bin_end = bin_start + bin_size if i < 3 else end_idx + + bins.append((bin_start, bin_end)) + + # Random sample one frame from each bin + sample_indx = [] + for start_idx, end_idx in bins: + sample_indx.append(random.randint(start_idx, end_idx - 1)) + sample_indx.sort() # Ensure indices are in order + + + meta = { + 'video':vid, + 'sample_indx':sample_indx, + 'bins':bins, + 'frames':vid_frames + } + obj_id_cat = {} + for exp_id, exp_dict in vid_data['expressions'].items(): + obj_id = exp_dict['obj_id'] + if obj_id not in obj_id_cat: + obj_id_cat[obj_id] = vid_meta['objects'][obj_id]['category'] + meta['obj_id_cat'] = obj_id_cat + self.metas.append(meta) + + print(f"skipped {skip_vid_count} short videos") + + + @staticmethod + def bounding_box(img): + rows = np.any(img, axis=1) + cols = np.any(img, axis=0) + rmin, rmax = np.where(rows)[0][[0, -1]] + cmin, cmax = np.where(cols)[0][[0, -1]] + return rmin, rmax, cmin, cmax # y1, y2, x1, x2 + + def __len__(self): + return len(self.metas) + + def __getitem__(self, idx): + meta = self.metas[idx] # dict + + video, sample_indx, bins, frames, obj_id_cat = \ + meta['video'], meta['sample_indx'], meta['bins'], meta['frames'], meta['obj_id_cat'] + + # read frames and masks + imgs, labels, boxes, masks, valid = [], [], [], [], [] + for frame_indx in sample_indx: + frame_name = frames[frame_indx] + img_path = os.path.join(str(self.img_folder), 'JPEGImages', video, frame_name + '.jpg') + mask_path = os.path.join(str(self.img_folder), 'Annotations', video, frame_name + '.png') + img = Image.open(img_path).convert('RGB') + imgs.append(img) + + mask = Image.open(mask_path).convert('P') + mask = np.array(mask) + + # create the target + for obj_id in list(obj_id_cat.keys()): + obj_mask = (mask==int(obj_id)).astype(np.float32) # 0,1 binary + if (obj_mask > 0).any(): + y1, y2, x1, x2 = self.bounding_box(mask) + box = torch.tensor([x1, y1, x2, y2]).to(torch.float) + valid.append(1) + else: # some frame didn't contain the instance + box = torch.tensor([0, 0, 0, 0]).to(torch.float) + valid.append(0) + obj_mask = torch.from_numpy(obj_mask) + + # append + masks.append(obj_mask) + boxes.append(box) + + + # transform + w, h = img.size + boxes = torch.stack(boxes, dim=0) + boxes[:, 0::2].clamp_(min=0, max=w) + boxes[:, 1::2].clamp_(min=0, max=h) + masks = torch.stack(masks, dim=0) + target = { + 'frames_idx': sample_indx, # [T,] + 'boxes': boxes, # [T, 4], xyxy + 'masks': masks, # [T, H, W] + 'valid': torch.tensor(valid), # [T,] + 'obj_ids' : list(obj_id_cat.keys()), + 'orig_size': torch.as_tensor([int(h), int(w)]), + 'size': torch.as_tensor([int(h), int(w)]) + } + + # "boxes" normalize to [0, 1] and transform from xyxy to cxcywh in self._transform + if self._transforms: + imgs, target = self._transforms(imgs, target) + imgs = torch.stack(imgs, dim=0) # [T, 3, H, W] + else: + imgs = np.array(imgs) + imgs = torch.tensor(imgs.transpose(0, 3, 1, 2)) + + + # # FIXME: handle "valid", since some box may be removed due to random crop + # if torch.any(target['valid'] == 1): # at leatst one instance + # instance_check = True + # else: + # idx = random.randint(0, self.__len__() - 1) + + return imgs, target + + +def make_coco_transforms(image_set, max_size=640): + normalize = T.Compose([ + T.ToTensor(), + T.Normalize([0.485, 0.456, 0.406], [0.229, 0.224, 0.225]) + ]) + + scales = [288, 320, 352, 392, 416, 448, 480, 512] + + if image_set == 'train': + return T.Compose([ + T.RandomHorizontalFlip(), + T.PhotometricDistort(), + T.RandomSelect( + T.Compose([ + T.RandomResize(scales, max_size=max_size), + T.Check(), + ]), + T.Compose([ + T.RandomResize([400, 500, 600]), + T.RandomSizeCrop(384, 600), + T.RandomResize(scales, max_size=max_size), + T.Check(), + ]) + ), + normalize, + ]) + + # we do not use the 'val' set since the annotations are inaccessible + if image_set == 'val': + return T.Compose([ + T.RandomResize([360], max_size=640), + normalize, + ]) + + raise ValueError(f'unknown {image_set}') + + +def build(image_set, args): + root = Path(args.ytvos_path) + assert root.exists(), f'provided YTVOS path {root} does not exist' + PATHS = { + "train": (root / "train", root / "meta_expressions" / "train" / "meta_expressions.json"), + "val": (root / "valid", root / "meta_expressions" / "valid" / "meta_expressions.json"), # not used actually + } + img_folder, ann_file = PATHS[image_set] + # dataset = YTVOSDataset(img_folder, ann_file, transforms=make_coco_transforms(image_set, max_size=args.max_size), return_masks=args.masks, + # num_frames=args.num_frames, max_skip=args.max_skip) + dataset = YTVOSDataset(img_folder, ann_file, transforms=None, return_masks=args.masks, + num_frames=args.num_frames, max_skip=args.max_skip) + return dataset + diff --git a/.history/mbench/gpt_ref-ytvos-cy_20250121155719.py b/.history/mbench/gpt_ref-ytvos-cy_20250121155719.py new file mode 100644 index 0000000000000000000000000000000000000000..8443e91f638f2dd7c8560d104468ac62e4e6a747 --- /dev/null +++ b/.history/mbench/gpt_ref-ytvos-cy_20250121155719.py @@ -0,0 +1,428 @@ +import sys +from os import path as osp +sys.path.append(osp.abspath(osp.join(osp.dirname(__file__), '..'))) + +from mbench.ytvos_ref import build as build_ytvos_ref +import argparse +import opts + +import sys +from pathlib import Path +import os +from os import path as osp +import skimage +from io import BytesIO + +import numpy as np +import pandas as pd +import regex as re +import json + +import cv2 +from PIL import Image, ImageDraw +import torch +from torchvision.transforms import functional as F + +from skimage import measure # (pip install scikit-image) +from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely) + +import matplotlib.pyplot as plt +import matplotlib.patches as patches +from matplotlib.collections import PatchCollection +from matplotlib.patches import Rectangle + + +import ipywidgets as widgets +from IPython.display import display, clear_output + +from openai import OpenAI +import base64 + +# Function to encode the image +def encode_image(image_path): + with open(image_path, "rb") as image_file: + return base64.b64encode(image_file.read()).decode("utf-8") + +# Captioner +ytvos_category_valid_list = [ + 'airplane', 'ape', 'bear', 'bike', 'bird', 'boat', 'bus', 'camel', 'cat', 'cow', 'crocodile', + 'deer', 'dog', 'dolphin', 'duck', 'eagle', 'earless_seal', 'elephant', 'fish', 'fox', 'frog', + 'giant_panda', 'giraffe', 'hedgehog', 'horse', 'leopard', 'lion', 'lizard', + 'monkey', 'motorbike', 'mouse', 'owl', 'parrot', 'penguin', 'person', + 'rabbit', 'raccoon', 'sedan', 'shark', 'sheep', 'snail', 'snake', + 'squirrel', 'tiger', 'train', 'truck', 'turtle', 'whale', 'zebra' +] +def getCaption(video_id, json_data): + #데이터 가져오기 + video_data = json_data[video_id] + frame_names = video_data['frame_names'] + video_path = video_data['video_path'] + + cat_names = set() + all_captions = dict() + for obj_id in list(video_data['annotations'][0].keys()): + cat_names.add(video_data['annotations'][0][obj_id]['category_name']) + + # cat_names : person, snowboard + # 1. gpt에서 직접 action의 대상이 될 수 있는가 물어보기 + # 2. ref-youtube-vos 에서 제공하는 카테고리 정보에서 우리가 처리하고 싶은 카테고리 이름만 남긴다 + + for cat_name in list(cat_names) : + image_paths = [os.path.join(video_path, frame_name + '.jpg') for frame_name in frame_names] + image_captions = {} + + captioner = OpenAI() + + #0단계: action의 대상이 될 수 있는가? + is_movable = False + if cat_name in ytvos_category_valid_list : + is_movable = True + + # response_check = captioner.chat.completions.create( + # model="gpt-4o", + # messages=[ + # { + # "role": "user", + # "content": f""" + # Can a {cat_name} be a subject of distinct actions or movements? + # For example, if {cat_name} is a person, animal, or vehicle, it is likely an action-capable subject. + # However, if it is an inanimate object like a snowboard, tree, or book, it cannot independently perform actions. + # Respond with YES if {cat_name} can perform distinct actions or movements; otherwise, respond with NONE. + # Answer only YES or NONE. + # """ + # } + # ], + # ) + # response_check_content = response_check.choices[0].message.content.strip().lower() + # print(f"Movable Check for {cat_name}: {response_check_content}") + + # if response_check_content == "yes": is_movable = True + + if not is_movable: + print(f"Skipping {cat_name}: Determined to be non-movable.") + continue + + for i in range(len(image_paths)): + image_path = image_paths[i] + frame_name = frame_names[i] + base64_image = encode_image(image_path) + + #1단계: 필터링 + #print(f"-----------category name: {cat_name}, frame name: {frame_name}") + response1 = captioner.chat.completions.create( + model="chatgpt-4o-latest", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + + "text": f"""Are there multiple {cat_name}s in the image, each performing distinct and recognizable actions? + Focus only on clear and prominent actions, avoiding minor or ambiguous ones. + Each action should be unique and clearly associated with a specific object. + + Respond with YES if: + - The {cat_name}s are people, animals or vehicles, and their actions are distinct and recognizable. + - The {cat_name}s involve clear, distinguishable actions performed independently. + + Respond with NONE if: + - The {cat_name}s are objects (e.g., snowboard, tree, books) and do not involve direct interaction with a person. + - Actions are ambiguous, minor, or not clearly visible. + + If the {cat_name} is 'snowboard' and it is not actively being used or interacted with by a person, output NONE. + If the {cat_name} is 'person' and their actions are distinct and clear, output YES. + + Answer only YES or NONE.""" + + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + response_content = response1.choices[0].message.content + should_caption = True if "yes" in response_content.lower() else False + #print(f"are {cat_name}s distinguished by action: {response_content}") + + #2단계: dense caption 만들기 + if should_caption: + response2 = captioner.chat.completions.create( + model="chatgpt-4o-latest", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + + "text": f""" + Generate a detailed action-centric caption describing the actions of the {cat_name}s in the image. + 1. Focus only on clear, unique, and prominent actions that distinguish each object. + 2. Avoid describing actions that are too minor, ambiguous, or not visible from the image. + 3. Avoid subjective terms such as 'skilled', 'controlled', or 'focused'. Only describe observable actions. + 4. Do not include common-sense or overly general descriptions like 'the elephant walks'. + 5. Use dynamic action verbs (e.g., holding, throwing, jumping, inspecting) to describe interactions, poses, or movements. + 6. Avoid overly detailed or speculative descriptions such as 'slightly moving its mouth' or 'appears to be anticipating'. + 7. Pretend you are observing the scene directly, avoiding phrases like 'it seems' or 'based on the description'. + 8. Include interactions with objects or other entities when they are prominent and observable. + 9. If the image contains multiple {cat_name}s, describe the actions of each individually and ensure the descriptions are non-overlapping and specific. + Output only the caption.""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + + caption = response2.choices[0].message.content + #print(f"{image_path} - {frame_name}: {caption}") + else: + caption = None + + image_captions[frame_name] = caption + all_captions[cat_name] = image_captions + + # final : also prepare valid object ids + valid_obj_ids = [] + valid_cat_names = list(all_captions.keys()) + for obj_id in list(video_data['annotations'][0].keys()): + cat = video_data['annotations'][0][obj_id]['category_name'] + if cat in valid_cat_names : valid_obj_ids.append(obj_id) + + return all_captions, valid_obj_ids + +# Referring expression generator and QA filter +def getRefExp(video_id, frame_name, caption, obj_id, json_data): + + # 이미지에 해당 물체 바운딩 박스 그리기 + video_data = json_data[video_id] + frame_names = video_data['frame_names'] + video_path = video_data['video_path'] + I = skimage.io.imread(osp.join(video_path, frame_name + '.jpg')) + frame_indx = frame_names.index(frame_name) + obj_data = video_data['annotations'][frame_indx][obj_id] + + bbox = obj_data['bbox'] + cat_name = obj_data['category_name'] + valid = obj_data['valid'] + + if valid == 0: + print("Object not in this frame!") + return {} + + + x_min, y_min, x_max, y_max = bbox + x_min, y_min, x_max, y_max = int(x_min), int(y_min), int(x_max), int(y_max) + cv2.rectangle(I, (x_min, y_min), (x_max, y_max), (225, 0, 0), 2) + plt.figure() + plt.imshow(I) + plt.axis('off') + plt.show() + + #cropped object for visibility check + cropped_I = I[y_min:y_max, x_min:x_max] + pil_cropped_I = Image.fromarray(cropped_I) + buff_crop = BytesIO() + pil_cropped_I.save(buff_crop, format='JPEG') + base64_cropped_I = base64.b64encode(buff_crop.getvalue()).decode("utf-8") + + #entire image for referring expression generation + pil_I = Image.fromarray(I) + buff = BytesIO() + pil_I.save(buff, format='JPEG') + base64_I = base64.b64encode(buff.getvalue()).decode("utf-8") + + # 구분 가능 여부 확인 + generator = OpenAI() + response_check = generator.chat.completions.create( + model="chatgpt-4o-latest", + messages=[ + { + "role": "user", + "content": [ + { + + "type": "text", + "text": f"""Can the {cat_name} in the provided cropped image be clearly identified as belonging to the category {cat_name}? + Focus on whether the cropped image provides enough visible features (e.g., ears, head shape, fur texture) to confirm that it is a {cat_name}, even if the full body is not visible. + + Guidelines: + - If the visible features (like ears, fur texture or head shape) are sufficient to identify the {cat_name}, respond with YES. + - If multiple {cat_name}s are entangled or overlapping, making it difficult to distinguish one from another, respond with NONE. + - If the object is clearly visible and identifiable as a {cat_name}, respond with YES. + + Output only either YES or NONE. + """ + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_cropped_I}"}, + } + ] + }, + ] + ) + + response_check_content = response_check.choices[0].message.content.strip().lower() + #print(f"is object {obj_id} visible: {response_check_content}") + + if "yes" not in response_check_content: + print(f"Referring expression not generated: {cat_name} is ambiguous in this frame.") + return {"ref_exp": "NONE", "caption": caption, "cat_name": cat_name, "file_name": frame_name, "isValid" : False} + + # Referring expression 만들기 + # generator = OpenAI() + response = generator.chat.completions.create( + model="chatgpt-4o-latest", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + + "text": f"""Based on the dense caption, create a referring expression for the {cat_name} highlighted with the red box, corresponding to Object ID {obj_id}. + Guidelines for creating the referring expression: + 1. The referring expression should describe the prominent actions or poses of the highlighted {cat_name} (Object ID {obj_id}). + 2. Focus on the behavior or pose described in the caption that is specifically associated with this {cat_name}. Do not include actions or poses of other {cat_name}s. + 3. If multiple {cat_name}s are present, ensure that the referring expression exclusively describes the {cat_name} corresponding to Object ID {obj_id}. + 4. Avoid ambiguous or subjective terms. Use specific and clear action verbs to describe the highlighted {cat_name}. + 5. The referring expression should only describe Object ID {obj_id} and not any other objects or entities. + 6. Use '{cat_name}' as the noun for the referring expressions. + Output only the referring expression for the highlighted {cat_name} (Object ID {obj_id}). + + {caption} + """ + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + # { + # "type": "image_url", + # "image_url": {"url": f"data:image/jpeg;base64,{base64_cropped_I}"}, + # } + ], + } + ], + ) + + ref_exp = response.choices[0].message.content.strip() + + #QA filtering + #QA1: 원하는 물체를 설명하는지 + filter = OpenAI() + response1 = filter.chat.completions.create( + model="chatgpt-4o-latest", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Does the given expression describe the {cat_name} highlighted with the red box? If so, only return YES and if not, NO. + {ref_exp}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + response1_content = response1.choices[0].message.content + describesHighlighted = True if "yes" in response1_content.lower() else False + + #QA2: 원하지 않는 물체를 설명하지 않는지 + response2 = filter.chat.completions.create( + model="chatgpt-4o-latest", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Does the given expression describe the person not highlighted with the red box? If so, only return YES and if not, NO. + {ref_exp}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + response2_content = response2.choices[0].message.content + notDescribesNotHighlighted = False if "yes" in response2_content.lower() else True + + isValid = True if describesHighlighted and notDescribesNotHighlighted else False + + #print(f"describesHighlighted: {describesHighlighted}, notDescribesNotHighlighted: {notDescribesNotHighlighted}") + #print(f"ref exp: {ref_exp}") + #print("") + + return {"ref_exp": ref_exp, "caption": caption, "cat_name": cat_name, "file_name": frame_name, "isValid" : isValid} + + +if __name__ == '__main__': + with open('mbench/sampled_frame3.json', 'r') as file: + data = json.load(file) + + vid_ids = list(data.keys()) + all_ref_exps = {} + + os.environ['OPENAI_API_KEY'] = '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' + + # 전체 데이터셋의 vid_id에 대해 + for i in range(1): + vid_id = vid_ids[i] + + #====캡션 만들기==== + # print("=====================captioner========================") + captions, valid_obj_ids = getCaption(vid_id, data) + cats_in_vid = list(captions.keys()) + # print() + + #====referring expression 만들고 QA filtering==== + # print("=====================referring expression generator & QA filter========================") + ref_expressions = {} + + # 각 카테고리별로 + for cat_name in cats_in_vid: + if cat_name not in ref_expressions: + ref_expressions[cat_name] = {} + # 각 비디오 프레임 별로 + for frame_name in data[vid_id]['frame_names']: + # print(f'--------category: {cat_name}, frame_name: {frame_name}') + + if frame_name not in ref_expressions[cat_name]: + ref_expressions[cat_name][frame_name] = {} # Create frame-level dictionary + caption = captions[cat_name][frame_name] + if not caption : continue + else : + # 각 obj id별로 + for obj_id in valid_obj_ids: + ref_exp = getRefExp(vid_id, frame_name, caption, obj_id, data) + ref_expressions[cat_name][frame_name][obj_id] = ref_exp # Store ref_exp + + all_ref_exps[vid_id] = ref_expressions + + + with open('mbench/result_revised.json', 'w') as file: + json.dump(all_ref_exps, file, indent=4) + + + + + diff --git a/.history/mbench/gpt_ref-ytvos_20250119070039.py b/.history/mbench/gpt_ref-ytvos_20250119070039.py new file mode 100644 index 0000000000000000000000000000000000000000..c65ab23340f25ea884ea98898879ba60407594d4 --- /dev/null +++ b/.history/mbench/gpt_ref-ytvos_20250119070039.py @@ -0,0 +1,277 @@ +from datasets import build_dataset +import argparse +import opts + +import sys +from pathlib import Path +import os +from os import path as osp +import skimage +from io import BytesIO + +import numpy as np +import pandas as pd +import regex as re +import json + +import cv2 +from PIL import Image, ImageDraw +import torch +from torchvision.transforms import functional as F + +from skimage import measure # (pip install scikit-image) +from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely) + +import matplotlib.pyplot as plt +import matplotlib.patches as patches +from matplotlib.collections import PatchCollection +from matplotlib.patches import Rectangle + + +import ipywidgets as widgets +from IPython.display import display, clear_output + +from openai import OpenAI +import base64 + +os.environ['OPENAI_API_KEY'] = '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' + +# Function to encode the image +def encode_image(image_path): + with open(image_path, "rb") as image_file: + return base64.b64encode(image_file.read()).decode("utf-8") + +def getCaption(video_id, json_data): + #데이터 가져오기 + video_data = json_data[video_id] + frame_names = video_data['frame_names'] + video_path = video_data['video_path'] + + cat_names = set() + for obj_id in list(video_data['annotations'][0].keys()): + cat_names.add(video_data['annotations'][0][obj_id]['category_name']) + + if len(cat_names) == 1: + cat_name = next(iter(cat_names)) + else: + print("more than 2 categories") + return -1 + + image_paths = [os.path.join(video_path, frame_name + '.jpg') for frame_name in frame_names] + image_captions = {} + + captioner = OpenAI() + for i in range(len(image_paths)): + image_path = image_paths[i] + frame_name = frame_names[i] + base64_image = encode_image(image_path) + + #1단계: 필터링 + response1 = captioner.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"Are there multiple {cat_name}s that can be distinguished by action? Each action should be prominent and describe the corresponding object only. If so, only output YES. If not, only output None", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + response_content = response1.choices[0].message.content + should_caption = True if "yes" in response_content.lower() else False + + #2단계: dense caption 만들기 + if should_caption: + response2 = captioner.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f""" + Describe the image in detail focusing on the {cat_name}s' actions. + 1. Each action should be prominent, clear and unique, describing the corresponding object only. + 2. Avoid overly detailed or indeterminate details such as ‘in anticipation’. + 3. Avoid subjective descriptions such as ‘soft’, ‘controlled’, ‘attentive’, ‘skilled’, ‘casual atmosphere’ and descriptions of the setting. + 4. Do not include actions that needs to be guessed or suggested.""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + + caption = response2.choices[0].message.content + else: + caption = None + + image_captions[frame_name] = caption + return image_captions + +def getRefExp(video_id, frame_name, caption, obj_id, json_data): + # 이미지에 해당 물체 바운딩 박스 그리기 + video_data = json_data[video_id] + frame_names = video_data['frame_names'] + video_path = video_data['video_path'] + I = skimage.io.imread(osp.join(video_path, frame_name + '.jpg')) + frame_indx = frame_names.index(frame_name) + obj_data = video_data['annotations'][frame_indx][obj_id] + + bbox = obj_data['bbox'] + cat_name = obj_data['category_name'] + valid = obj_data['valid'] + + if valid == 0: + print("Object not in this frame!") + return {} + + + x_min, y_min, x_max, y_max = bbox + x_min, y_min, x_max, y_max = int(x_min), int(y_min), int(x_max), int(y_max) + cv2.rectangle(I, (x_min, y_min), (x_max, y_max), (225, 0, 0), 2) + plt.figure() + plt.imshow(I) + plt.axis('off') + plt.show() + pil_I = Image.fromarray(I) + buff = BytesIO() + pil_I.save(buff, format='JPEG') + base64_I = base64.b64encode(buff.getvalue()).decode("utf-8") + + #ref expression 만들기 + generator = OpenAI() + response = generator.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Based on the dense caption, create a referring expression for the {cat_name} highlighted with the red box. + 1. The referring expression describes the action and does not contain information about appearance or location in the picture. + 2. Focus only on prominent actions and avoid overly detailed or indeterminate details. + 3. Avoid subjective terms describing emotion such as ‘in anticipation’, ‘attentively’ or ‘relaxed’ and professional, difficult words. + 4. The referring expression should only describe the highlighted {cat_name} and not any other. + 5. Use '{cat_name}' as the noun for the referring expressions. + Output only the referring expression. + {caption}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + ref_exp = response.choices[0].message.content + + #QA filtering + #QA1: 원하는 물체를 설명하는지 + filter = OpenAI() + response1 = filter.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Does the given expression describe the {cat_name} highlighted with the red box? If so, only return YES and if not, NO. + {ref_exp}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + response1_content = response1.choices[0].message.content + describesHighlighted = True if "yes" in response1_content.lower() else False + + #QA2: 원하지 않는 물체를 설명하지 않는지 + response2 = filter.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Does the given expression describe the person not highlighted with the red box? If so, only return YES and if not, NO. + {ref_exp}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + response2_content = response2.choices[0].message.content + describesNotHighlighted = True if "yes" in response2_content.lower() else False + + isValid = True if describesHighlighted and not describesNotHighlighted else False + + print(f"describesHighlighted: {describesHighlighted}, describesNotHighlighted: {describesNotHighlighted}") + + return {"ref_exp": ref_exp, "caption": caption, "cat_name": cat_name, "file_name": frame_name, "isValid" : isValid} + +def createRefExp(video_id, json_data): + video_data = json_data[video_id] + obj_ids = list(video_data['annotations'][0].keys()) + frame_names = video_data['frame_names'] + + captions_per_frame = getCaption(video_id, json_data) + + if captions_per_frame == -1: + print("There are more than 2 cateories") + return + + + video_ref_exps = {} + + for frame_name in frame_names: + frame_caption = captions_per_frame[frame_name] + + if frame_caption == None: + video_ref_exps[frame_name] = None + + else: + frame_ref_exps = {} + for obj_id in obj_ids: + exp_per_obj = getRefExp(video_id, frame_name, frame_caption, obj_id, json_data) + frame_ref_exps[obj_id] = exp_per_obj + video_ref_exps[frame_name] = frame_ref_exps + + return video_ref_exps + +if __name__ == '__main__': + with open('mbench/sampled_frame3.json', 'r') as file: + data = json.load(file) + + all_video_refs = {} + for i in range(3): + video_id = list(data.keys())[i] + video_ref = createRefExp(video_id, data) + all_video_refs[video_id] = video_ref \ No newline at end of file diff --git a/.history/mbench/gpt_ref-ytvos_20250119070740.py b/.history/mbench/gpt_ref-ytvos_20250119070740.py new file mode 100644 index 0000000000000000000000000000000000000000..72d1988b36b37ec5906c59fbe990dc0c4036a655 --- /dev/null +++ b/.history/mbench/gpt_ref-ytvos_20250119070740.py @@ -0,0 +1,285 @@ +from datasets import build_dataset +import argparse +import opts + +import sys +from pathlib import Path +import os +from os import path as osp +import skimage +from io import BytesIO + +import numpy as np +import pandas as pd +import regex as re +import json + +import cv2 +from PIL import Image, ImageDraw +import torch +from torchvision.transforms import functional as F + +from skimage import measure # (pip install scikit-image) +from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely) + +import matplotlib.pyplot as plt +import matplotlib.patches as patches +from matplotlib.collections import PatchCollection +from matplotlib.patches import Rectangle + + +import ipywidgets as widgets +from IPython.display import display, clear_output + +from openai import OpenAI +import base64 + +os.environ['OPENAI_API_KEY'] = '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' + +# Function to encode the image +def encode_image(image_path): + with open(image_path, "rb") as image_file: + return base64.b64encode(image_file.read()).decode("utf-8") + +def getCaption(video_id, json_data): + #데이터 가져오기 + video_data = json_data[video_id] + frame_names = video_data['frame_names'] + video_path = video_data['video_path'] + + cat_names = set() + for obj_id in list(video_data['annotations'][0].keys()): + cat_names.add(video_data['annotations'][0][obj_id]['category_name']) + + if len(cat_names) == 1: + cat_name = next(iter(cat_names)) + else: + print("more than 2 categories") + return -1 + + image_paths = [os.path.join(video_path, frame_name + '.jpg') for frame_name in frame_names] + image_captions = {} + + captioner = OpenAI() + for i in range(len(image_paths)): + image_path = image_paths[i] + frame_name = frame_names[i] + base64_image = encode_image(image_path) + + #1단계: 필터링 + response1 = captioner.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"Are there multiple {cat_name}s that can be distinguished by action? Each action should be prominent and describe the corresponding object only. If so, only output YES. If not, only output None", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + response_content = response1.choices[0].message.content + should_caption = True if "yes" in response_content.lower() else False + + #2단계: dense caption 만들기 + if should_caption: + response2 = captioner.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f""" + Describe the image in detail focusing on the {cat_name}s' actions. + 1. Each action should be prominent, clear and unique, describing the corresponding object only. + 2. Avoid overly detailed or indeterminate details such as ‘in anticipation’. + 3. Avoid subjective descriptions such as ‘soft’, ‘controlled’, ‘attentive’, ‘skilled’, ‘casual atmosphere’ and descriptions of the setting. + 4. Do not include actions that needs to be guessed or suggested.""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + + caption = response2.choices[0].message.content + else: + caption = None + + image_captions[frame_name] = caption + return image_captions + +def getRefExp(video_id, frame_name, caption, obj_id, json_data): + # 이미지에 해당 물체 바운딩 박스 그리기 + video_data = json_data[video_id] + frame_names = video_data['frame_names'] + video_path = video_data['video_path'] + I = skimage.io.imread(osp.join(video_path, frame_name + '.jpg')) + frame_indx = frame_names.index(frame_name) + obj_data = video_data['annotations'][frame_indx][obj_id] + + bbox = obj_data['bbox'] + cat_name = obj_data['category_name'] + valid = obj_data['valid'] + + if valid == 0: + print("Object not in this frame!") + return {} + + + x_min, y_min, x_max, y_max = bbox + x_min, y_min, x_max, y_max = int(x_min), int(y_min), int(x_max), int(y_max) + cv2.rectangle(I, (x_min, y_min), (x_max, y_max), (225, 0, 0), 2) + plt.figure() + plt.imshow(I) + plt.axis('off') + plt.show() + pil_I = Image.fromarray(I) + buff = BytesIO() + pil_I.save(buff, format='JPEG') + base64_I = base64.b64encode(buff.getvalue()).decode("utf-8") + + #ref expression 만들기 + generator = OpenAI() + response = generator.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Based on the dense caption, create a referring expression for the {cat_name} highlighted with the red box. + 1. The referring expression describes the action and does not contain information about appearance or location in the picture. + 2. Focus only on prominent actions and avoid overly detailed or indeterminate details. + 3. Avoid subjective terms describing emotion such as ‘in anticipation’, ‘attentively’ or ‘relaxed’ and professional, difficult words. + 4. The referring expression should only describe the highlighted {cat_name} and not any other. + 5. Use '{cat_name}' as the noun for the referring expressions. + Output only the referring expression. + {caption}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + ref_exp = response.choices[0].message.content + + #QA filtering + #QA1: 원하는 물체를 설명하는지 + filter = OpenAI() + response1 = filter.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Does the given expression describe the {cat_name} highlighted with the red box? If so, only return YES and if not, NO. + {ref_exp}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + response1_content = response1.choices[0].message.content + describesHighlighted = True if "yes" in response1_content.lower() else False + + #QA2: 원하지 않는 물체를 설명하지 않는지 + response2 = filter.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Does the given expression describe the person not highlighted with the red box? If so, only return YES and if not, NO. + {ref_exp}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + response2_content = response2.choices[0].message.content + describesNotHighlighted = True if "yes" in response2_content.lower() else False + + isValid = True if describesHighlighted and not describesNotHighlighted else False + + print(f"describesHighlighted: {describesHighlighted}, describesNotHighlighted: {describesNotHighlighted}") + + return {"ref_exp": ref_exp, "caption": caption, "cat_name": cat_name, "file_name": frame_name, "isValid" : isValid} + +def createRefExp(video_id, json_data): + video_data = json_data[video_id] + obj_ids = list(video_data['annotations'][0].keys()) + frame_names = video_data['frame_names'] + + captions_per_frame = getCaption(video_id, json_data) + + if captions_per_frame == -1: + print("There are more than 2 cateories") + return + + + video_ref_exps = {} + + for frame_name in frame_names: + frame_caption = captions_per_frame[frame_name] + + if frame_caption == None: + video_ref_exps[frame_name] = None + + else: + frame_ref_exps = {} + for obj_id in obj_ids: + exp_per_obj = getRefExp(video_id, frame_name, frame_caption, obj_id, json_data) + frame_ref_exps[obj_id] = exp_per_obj + video_ref_exps[frame_name] = frame_ref_exps + + return video_ref_exps + +if __name__ == '__main__': + with open('mbench/sampled_frame3.json', 'r') as file: + data = json.load(file) + + videos = set() + with open('make_ref-ytvos/selected_frames.jsonl', 'r') as file: + manual_select = list(file) + for frame in manual_select: + result = json.loads(frame) + videos.add(result['video']) + + + all_video_refs = {} + for i in range(10): + video_id = list(data.keys())[i] + video_ref = createRefExp(video_id, data) + all_video_refs[video_id] = video_ref \ No newline at end of file diff --git a/.history/mbench/gpt_ref-ytvos_20250119071412.py b/.history/mbench/gpt_ref-ytvos_20250119071412.py new file mode 100644 index 0000000000000000000000000000000000000000..05ab6ff3d143d2ad4efbdca06d3b2e41205b4b66 --- /dev/null +++ b/.history/mbench/gpt_ref-ytvos_20250119071412.py @@ -0,0 +1,292 @@ +import sys +from os import path as osp +sys.path.append(osp.abspath(osp.join(osp.dirname(__file__), '..'))) + +from datasets import build_dataset +import argparse +import opts + +from pathlib import Path +import os +import skimage +from io import BytesIO + +import numpy as np +import pandas as pd +import regex as re +import json + +import cv2 +from PIL import Image, ImageDraw +import torch +from torchvision.transforms import functional as F + +from skimage import measure # (pip install scikit-image) +from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely) + +import matplotlib.pyplot as plt +import matplotlib.patches as patches +from matplotlib.collections import PatchCollection +from matplotlib.patches import Rectangle + + +import ipywidgets as widgets +from IPython.display import display, clear_output + +from openai import OpenAI +import base64 + +os.environ['OPENAI_API_KEY'] = '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' + +# Function to encode the image +def encode_image(image_path): + with open(image_path, "rb") as image_file: + return base64.b64encode(image_file.read()).decode("utf-8") + +def getCaption(video_id, json_data): + #데이터 가져오기 + video_data = json_data[video_id] + frame_names = video_data['frame_names'] + video_path = video_data['video_path'] + + cat_names = set() + for obj_id in list(video_data['annotations'][0].keys()): + cat_names.add(video_data['annotations'][0][obj_id]['category_name']) + + if len(cat_names) == 1: + cat_name = next(iter(cat_names)) + else: + print("more than 2 categories") + return -1 + + image_paths = [os.path.join(video_path, frame_name + '.jpg') for frame_name in frame_names] + image_captions = {} + + captioner = OpenAI() + for i in range(len(image_paths)): + image_path = image_paths[i] + frame_name = frame_names[i] + base64_image = encode_image(image_path) + + #1단계: 필터링 + response1 = captioner.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"Are there multiple {cat_name}s that can be distinguished by action? Each action should be prominent and describe the corresponding object only. If so, only output YES. If not, only output None", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + response_content = response1.choices[0].message.content + should_caption = True if "yes" in response_content.lower() else False + + #2단계: dense caption 만들기 + if should_caption: + response2 = captioner.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f""" + Describe the image in detail focusing on the {cat_name}s' actions. + 1. Each action should be prominent, clear and unique, describing the corresponding object only. + 2. Avoid overly detailed or indeterminate details such as ‘in anticipation’. + 3. Avoid subjective descriptions such as ‘soft’, ‘controlled’, ‘attentive’, ‘skilled’, ‘casual atmosphere’ and descriptions of the setting. + 4. Do not include actions that needs to be guessed or suggested.""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + + caption = response2.choices[0].message.content + else: + caption = None + + image_captions[frame_name] = caption + return image_captions + +def getRefExp(video_id, frame_name, caption, obj_id, json_data): + # 이미지에 해당 물체 바운딩 박스 그리기 + video_data = json_data[video_id] + frame_names = video_data['frame_names'] + video_path = video_data['video_path'] + I = skimage.io.imread(osp.join(video_path, frame_name + '.jpg')) + frame_indx = frame_names.index(frame_name) + obj_data = video_data['annotations'][frame_indx][obj_id] + + bbox = obj_data['bbox'] + cat_name = obj_data['category_name'] + valid = obj_data['valid'] + + if valid == 0: + print("Object not in this frame!") + return {} + + + x_min, y_min, x_max, y_max = bbox + x_min, y_min, x_max, y_max = int(x_min), int(y_min), int(x_max), int(y_max) + cv2.rectangle(I, (x_min, y_min), (x_max, y_max), (225, 0, 0), 2) + plt.figure() + plt.imshow(I) + plt.axis('off') + plt.show() + pil_I = Image.fromarray(I) + buff = BytesIO() + pil_I.save(buff, format='JPEG') + base64_I = base64.b64encode(buff.getvalue()).decode("utf-8") + + #ref expression 만들기 + generator = OpenAI() + response = generator.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Based on the dense caption, create a referring expression for the {cat_name} highlighted with the red box. + 1. The referring expression describes the action and does not contain information about appearance or location in the picture. + 2. Focus only on prominent actions and avoid overly detailed or indeterminate details. + 3. Avoid subjective terms describing emotion such as ‘in anticipation’, ‘attentively’ or ‘relaxed’ and professional, difficult words. + 4. The referring expression should only describe the highlighted {cat_name} and not any other. + 5. Use '{cat_name}' as the noun for the referring expressions. + Output only the referring expression. + {caption}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + ref_exp = response.choices[0].message.content + + #QA filtering + #QA1: 원하는 물체를 설명하는지 + filter = OpenAI() + response1 = filter.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Does the given expression describe the {cat_name} highlighted with the red box? If so, only return YES and if not, NO. + {ref_exp}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + response1_content = response1.choices[0].message.content + describesHighlighted = True if "yes" in response1_content.lower() else False + + #QA2: 원하지 않는 물체를 설명하지 않는지 + response2 = filter.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Does the given expression describe the person not highlighted with the red box? If so, only return YES and if not, NO. + {ref_exp}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + response2_content = response2.choices[0].message.content + describesNotHighlighted = True if "yes" in response2_content.lower() else False + + isValid = True if describesHighlighted and not describesNotHighlighted else False + + print(f"describesHighlighted: {describesHighlighted}, describesNotHighlighted: {describesNotHighlighted}") + + return {"ref_exp": ref_exp, "caption": caption, "cat_name": cat_name, "file_name": frame_name, "isValid" : isValid} + +def createRefExp(video_id, json_data): + video_data = json_data[video_id] + obj_ids = list(video_data['annotations'][0].keys()) + frame_names = video_data['frame_names'] + + captions_per_frame = getCaption(video_id, json_data) + + if captions_per_frame == -1: + print("There are more than 2 cateories") + return + + + video_ref_exps = {} + + for frame_name in frame_names: + frame_caption = captions_per_frame[frame_name] + + if frame_caption == None: + video_ref_exps[frame_name] = None + + else: + frame_ref_exps = {} + for obj_id in obj_ids: + exp_per_obj = getRefExp(video_id, frame_name, frame_caption, obj_id, json_data) + frame_ref_exps[obj_id] = exp_per_obj + video_ref_exps[frame_name] = frame_ref_exps + + return video_ref_exps + +if __name__ == '__main__': + with open('mbench/sampled_frame3.json', 'r') as file: + data = json.load(file) + + videos = set() + with open('make_ref-ytvos/selected_frames.jsonl', 'r') as file: + manual_select = list(file) + for frame in manual_select: + result = json.loads(frame) + videos.add(result['video']) + videos = list(videos) + + + all_video_refs = {} + for i in range(1): + video_id = videos[i] + video_ref = createRefExp(video_id, data) + all_video_refs[video_id] = video_ref + + json_obj = json.dumps(all_video_refs, indent=4) + with open('mbench/result.json', 'w') as file: + file.wirte(json_obj) \ No newline at end of file diff --git a/.history/mbench/gpt_ref-ytvos_20250119072601.py b/.history/mbench/gpt_ref-ytvos_20250119072601.py new file mode 100644 index 0000000000000000000000000000000000000000..996a68c5a9a18c75a99910cb5cc47a8aeffb4a21 --- /dev/null +++ b/.history/mbench/gpt_ref-ytvos_20250119072601.py @@ -0,0 +1,292 @@ +import sys +from os import path as osp +sys.path.append(osp.abspath(osp.join(osp.dirname(__file__), '..'))) + +from datasets import build_dataset +import argparse +import opts + +from pathlib import Path +import os +import skimage +from io import BytesIO + +import numpy as np +import pandas as pd +import regex as re +import json + +import cv2 +from PIL import Image, ImageDraw +import torch +from torchvision.transforms import functional as F + +from skimage import measure # (pip install scikit-image) +from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely) + +import matplotlib.pyplot as plt +import matplotlib.patches as patches +from matplotlib.collections import PatchCollection +from matplotlib.patches import Rectangle + + +import ipywidgets as widgets +from IPython.display import display, clear_output + +from openai import OpenAI +import base64 + +os.environ['OPENAI_API_KEY'] = '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' + +# Function to encode the image +def encode_image(image_path): + with open(image_path, "rb") as image_file: + return base64.b64encode(image_file.read()).decode("utf-8") + +def getCaption(video_id, json_data): + #데이터 가져오기 + video_data = json_data[video_id] + frame_names = video_data['frame_names'] + video_path = video_data['video_path'] + + cat_names = set() + for obj_id in list(video_data['annotations'][0].keys()): + cat_names.add(video_data['annotations'][0][obj_id]['category_name']) + + if len(cat_names) == 1: + cat_name = next(iter(cat_names)) + else: + print("more than 2 categories") + return -1 + + image_paths = [os.path.join(video_path, frame_name + '.jpg') for frame_name in frame_names] + image_captions = {} + + captioner = OpenAI() + for i in range(len(image_paths)): + image_path = image_paths[i] + frame_name = frame_names[i] + base64_image = encode_image(image_path) + + #1단계: 필터링 + response1 = captioner.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"Are there multiple {cat_name}s that can be distinguished by action? Each action should be prominent and describe the corresponding object only. If so, only output YES. If not, only output None", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + response_content = response1.choices[0].message.content + should_caption = True if "yes" in response_content.lower() else False + + #2단계: dense caption 만들기 + if should_caption: + response2 = captioner.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f""" + Describe the image in detail focusing on the {cat_name}s' actions. + 1. Each action should be prominent, clear and unique, describing the corresponding object only. + 2. Avoid overly detailed or indeterminate details such as ‘in anticipation’. + 3. Avoid subjective descriptions such as ‘soft’, ‘controlled’, ‘attentive’, ‘skilled’, ‘casual atmosphere’ and descriptions of the setting. + 4. Do not include actions that needs to be guessed or suggested.""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + + caption = response2.choices[0].message.content + else: + caption = None + + image_captions[frame_name] = caption + return image_captions + +def getRefExp(video_id, frame_name, caption, obj_id, json_data): + # 이미지에 해당 물체 바운딩 박스 그리기 + video_data = json_data[video_id] + frame_names = video_data['frame_names'] + video_path = video_data['video_path'] + I = skimage.io.imread(osp.join(video_path, frame_name + '.jpg')) + frame_indx = frame_names.index(frame_name) + obj_data = video_data['annotations'][frame_indx][obj_id] + + bbox = obj_data['bbox'] + cat_name = obj_data['category_name'] + valid = obj_data['valid'] + + if valid == 0: + print("Object not in this frame!") + return {} + + + x_min, y_min, x_max, y_max = bbox + x_min, y_min, x_max, y_max = int(x_min), int(y_min), int(x_max), int(y_max) + cv2.rectangle(I, (x_min, y_min), (x_max, y_max), (225, 0, 0), 2) + plt.figure() + plt.imshow(I) + plt.axis('off') + plt.show() + pil_I = Image.fromarray(I) + buff = BytesIO() + pil_I.save(buff, format='JPEG') + base64_I = base64.b64encode(buff.getvalue()).decode("utf-8") + + #ref expression 만들기 + generator = OpenAI() + response = generator.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Based on the dense caption, create a referring expression for the {cat_name} highlighted with the red box. + 1. The referring expression describes the action and does not contain information about appearance or location in the picture. + 2. Focus only on prominent actions and avoid overly detailed or indeterminate details. + 3. Avoid subjective terms describing emotion such as ‘in anticipation’, ‘attentively’ or ‘relaxed’ and professional, difficult words. + 4. The referring expression should only describe the highlighted {cat_name} and not any other. + 5. Use '{cat_name}' as the noun for the referring expressions. + Output only the referring expression. + {caption}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + ref_exp = response.choices[0].message.content + + #QA filtering + #QA1: 원하는 물체를 설명하는지 + filter = OpenAI() + response1 = filter.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Does the given expression describe the {cat_name} highlighted with the red box? If so, only return YES and if not, NO. + {ref_exp}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + response1_content = response1.choices[0].message.content + describesHighlighted = True if "yes" in response1_content.lower() else False + + #QA2: 원하지 않는 물체를 설명하지 않는지 + response2 = filter.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Does the given expression describe the person not highlighted with the red box? If so, only return YES and if not, NO. + {ref_exp}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + response2_content = response2.choices[0].message.content + describesNotHighlighted = True if "yes" in response2_content.lower() else False + + isValid = True if describesHighlighted and not describesNotHighlighted else False + + print(f"describesHighlighted: {describesHighlighted}, describesNotHighlighted: {describesNotHighlighted}") + + return {"ref_exp": ref_exp, "caption": caption, "cat_name": cat_name, "file_name": frame_name, "isValid" : isValid} + +def createRefExp(video_id, json_data): + video_data = json_data[video_id] + obj_ids = list(video_data['annotations'][0].keys()) + frame_names = video_data['frame_names'] + + captions_per_frame = getCaption(video_id, json_data) + + if captions_per_frame == -1: + print("There are more than 2 cateories") + return None + + + video_ref_exps = {} + + for frame_name in frame_names: + frame_caption = captions_per_frame[frame_name] + + if frame_caption == None: + video_ref_exps[frame_name] = None + + else: + frame_ref_exps = {} + for obj_id in obj_ids: + exp_per_obj = getRefExp(video_id, frame_name, frame_caption, obj_id, json_data) + frame_ref_exps[obj_id] = exp_per_obj + video_ref_exps[frame_name] = frame_ref_exps + + return video_ref_exps + +if __name__ == '__main__': + with open('mbench/sampled_frame3.json', 'r') as file: + data = json.load(file) + + videos = set() + with open('make_ref-ytvos/selected_frames.jsonl', 'r') as file: + manual_select = list(file) + for frame in manual_select: + result = json.loads(frame) + videos.add(result['video']) + videos = list(videos) + + + all_video_refs = {} + for i in range(1, 2): + video_id = videos[i] + video_ref = createRefExp(video_id, data) + all_video_refs[video_id] = video_ref + + json_obj = json.dumps(all_video_refs, indent=4) + with open('mbench/result.json', 'w') as file: + file.write(json_obj) \ No newline at end of file diff --git a/.history/mbench/gpt_ref-ytvos_20250119073047.py b/.history/mbench/gpt_ref-ytvos_20250119073047.py new file mode 100644 index 0000000000000000000000000000000000000000..f0342a0589199a9e72bd964302213ca7676f71e6 --- /dev/null +++ b/.history/mbench/gpt_ref-ytvos_20250119073047.py @@ -0,0 +1,292 @@ +import sys +from os import path as osp +sys.path.append(osp.abspath(osp.join(osp.dirname(__file__), '..'))) + +from datasets import build_dataset +import argparse +import opts + +from pathlib import Path +import os +import skimage +from io import BytesIO + +import numpy as np +import pandas as pd +import regex as re +import json + +import cv2 +from PIL import Image, ImageDraw +import torch +from torchvision.transforms import functional as F + +from skimage import measure # (pip install scikit-image) +from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely) + +import matplotlib.pyplot as plt +import matplotlib.patches as patches +from matplotlib.collections import PatchCollection +from matplotlib.patches import Rectangle + + +import ipywidgets as widgets +from IPython.display import display, clear_output + +from openai import OpenAI +import base64 + +os.environ['OPENAI_API_KEY'] = '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' + +# Function to encode the image +def encode_image(image_path): + with open(image_path, "rb") as image_file: + return base64.b64encode(image_file.read()).decode("utf-8") + +def getCaption(video_id, json_data): + #데이터 가져오기 + video_data = json_data[video_id] + frame_names = video_data['frame_names'] + video_path = video_data['video_path'] + + cat_names = set() + for obj_id in list(video_data['annotations'][0].keys()): + cat_names.add(video_data['annotations'][0][obj_id]['category_name']) + + if len(cat_names) == 1: + cat_name = next(iter(cat_names)) + else: + print("more than 2 categories") + return -1 + + image_paths = [os.path.join(video_path, frame_name + '.jpg') for frame_name in frame_names] + image_captions = {} + + captioner = OpenAI() + for i in range(len(image_paths)): + image_path = image_paths[i] + frame_name = frame_names[i] + base64_image = encode_image(image_path) + + #1단계: 필터링 + response1 = captioner.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"Are there multiple {cat_name}s that can be distinguished by action? Each action should be prominent and describe the corresponding object only. If so, only output YES. If not, only output None", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + response_content = response1.choices[0].message.content + should_caption = True if "yes" in response_content.lower() else False + + #2단계: dense caption 만들기 + if should_caption: + response2 = captioner.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f""" + Describe the image in detail focusing on the {cat_name}s' actions. + 1. Each action should be prominent, clear and unique, describing the corresponding object only. + 2. Avoid overly detailed or indeterminate details such as ‘in anticipation’. + 3. Avoid subjective descriptions such as ‘soft’, ‘controlled’, ‘attentive’, ‘skilled’, ‘casual atmosphere’ and descriptions of the setting. + 4. Do not include actions that needs to be guessed or suggested.""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + + caption = response2.choices[0].message.content + else: + caption = None + + image_captions[frame_name] = caption + return image_captions + +def getRefExp(video_id, frame_name, caption, obj_id, json_data): + # 이미지에 해당 물체 바운딩 박스 그리기 + video_data = json_data[video_id] + frame_names = video_data['frame_names'] + video_path = video_data['video_path'] + I = skimage.io.imread(osp.join(video_path, frame_name + '.jpg')) + frame_indx = frame_names.index(frame_name) + obj_data = video_data['annotations'][frame_indx][obj_id] + + bbox = obj_data['bbox'] + cat_name = obj_data['category_name'] + valid = obj_data['valid'] + + if valid == 0: + print("Object not in this frame!") + return {} + + + x_min, y_min, x_max, y_max = bbox + x_min, y_min, x_max, y_max = int(x_min), int(y_min), int(x_max), int(y_max) + cv2.rectangle(I, (x_min, y_min), (x_max, y_max), (225, 0, 0), 2) + plt.figure() + plt.imshow(I) + plt.axis('off') + plt.show() + pil_I = Image.fromarray(I) + buff = BytesIO() + pil_I.save(buff, format='JPEG') + base64_I = base64.b64encode(buff.getvalue()).decode("utf-8") + + #ref expression 만들기 + generator = OpenAI() + response = generator.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Based on the dense caption, create a referring expression for the {cat_name} highlighted with the red box. + 1. The referring expression describes the action and does not contain information about appearance or location in the picture. + 2. Focus only on prominent actions and avoid overly detailed or indeterminate details. + 3. Avoid subjective terms describing emotion such as ‘in anticipation’, ‘attentively’ or ‘relaxed’ and professional, difficult words. + 4. The referring expression should only describe the highlighted {cat_name} and not any other. + 5. Use '{cat_name}' as the noun for the referring expressions. + Output only the referring expression. + {caption}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + ref_exp = response.choices[0].message.content + + #QA filtering + #QA1: 원하는 물체를 설명하는지 + filter = OpenAI() + response1 = filter.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Does the given expression describe the {cat_name} highlighted with the red box? If so, only return YES and if not, NO. + {ref_exp}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + response1_content = response1.choices[0].message.content + describesHighlighted = True if "yes" in response1_content.lower() else False + + #QA2: 원하지 않는 물체를 설명하지 않는지 + response2 = filter.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": f"""Does the given expression describe the person not highlighted with the red box? If so, only return YES and if not, NO. + {ref_exp}""", + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_I}"}, + }, + ], + } + ], + ) + + response2_content = response2.choices[0].message.content + describesNotHighlighted = True if "yes" in response2_content.lower() else False + + isValid = True if describesHighlighted and not describesNotHighlighted else False + + print(f"describesHighlighted: {describesHighlighted}, describesNotHighlighted: {describesNotHighlighted}") + + return {"ref_exp": ref_exp, "caption": caption, "cat_name": cat_name, "file_name": frame_name, "isValid" : isValid} + +def createRefExp(video_id, json_data): + video_data = json_data[video_id] + obj_ids = list(video_data['annotations'][0].keys()) + frame_names = video_data['frame_names'] + + captions_per_frame = getCaption(video_id, json_data) + + if captions_per_frame == -1: + print("There are more than 2 cateories") + return None + + + video_ref_exps = {} + + for frame_name in frame_names: + frame_caption = captions_per_frame[frame_name] + + if frame_caption == None: + video_ref_exps[frame_name] = None + + else: + frame_ref_exps = {} + for obj_id in obj_ids: + exp_per_obj = getRefExp(video_id, frame_name, frame_caption, obj_id, json_data) + frame_ref_exps[obj_id] = exp_per_obj + video_ref_exps[frame_name] = frame_ref_exps + + return video_ref_exps + +if __name__ == '__main__': + with open('mbench/sampled_frame3.json', 'r') as file: + data = json.load(file) + + videos = set() + with open('make_ref-ytvos/selected_frames.jsonl', 'r') as file: + manual_select = list(file) + for frame in manual_select: + result = json.loads(frame) + videos.add(result['video']) + videos = list(videos) + + + all_video_refs = {} + for i in range(10): + video_id = videos[i] + video_ref = createRefExp(video_id, data) + all_video_refs[video_id] = video_ref + + json_obj = json.dumps(all_video_refs, indent=4) + with open('mbench/result.json', 'w') as file: + file.write(json_obj) \ No newline at end of file diff --git a/.history/mbench/gpt_ref-ytvos_numbered_cy_20250131124149.py b/.history/mbench/gpt_ref-ytvos_numbered_cy_20250131124149.py new file mode 100644 index 0000000000000000000000000000000000000000..f8b8e97530055339f9856ec8a6caf907054cf4d6 --- /dev/null +++ b/.history/mbench/gpt_ref-ytvos_numbered_cy_20250131124149.py @@ -0,0 +1,427 @@ +import os +import sys +sys.path.append(os.path.abspath(os.path.join(os.path.dirname(__file__), '..'))) + +from os import path as osp +from io import BytesIO + +from mbench.ytvos_ref import build as build_ytvos_ref +import argparse +import opts + +import sys +from pathlib import Path +import os +from os import path as osp +import skimage +from io import BytesIO + +import numpy as np +import pandas as pd +import regex as re +import json + +import cv2 +from PIL import Image, ImageDraw +import torch +from torchvision.transforms import functional as F + +from skimage import measure # (pip install scikit-image) +from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely) + +import matplotlib.pyplot as plt +import matplotlib.patches as patches +from matplotlib.collections import PatchCollection +from matplotlib.patches import Rectangle +import textwrap + + +import ipywidgets as widgets +from IPython.display import display, clear_output + +from openai import OpenAI +import base64 +import json + +def number_objects_and_encode(idx, color_mask=False): + encoded_frames = {} + contoured_frames = {} # New dictionary for original images + vid_cat_cnts = {} + + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + frame_indx = vid_meta['sample_indx'] + cat_names = set(vid_meta['obj_id_cat'].values()) + imgs = vid_data[0] + + for cat in cat_names: + cat_frames = [] + contour_frames = [] + frame_cat_cnts = {} + + for i in range(imgs.size(0)): + frame_name = frame_indx[i] + frame = np.copy(imgs[i].permute(1, 2, 0).numpy()) + frame_for_contour = np.copy(imgs[i].permute(1, 2, 0).numpy()) + + frame_data = vid_data[2][frame_name] + obj_ids = list(frame_data.keys()) + + cat_cnt = 0 + + for j in range(len(obj_ids)): + obj_id = obj_ids[j] + obj_data = frame_data[obj_id] + obj_bbox = obj_data['bbox'] + obj_valid = obj_data['valid'] + obj_mask = obj_data['mask'].numpy().astype(np.uint8) + obj_cat = obj_data['category_name'] + + if obj_cat == cat and obj_valid: + cat_cnt += 1 + + if color_mask == False: + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 3) + for i, contour in enumerate(contours): + # 윤곽선 중심 계산 + moments = cv2.moments(contour) + if moments["m00"] != 0: # 중심 계산 가능 여부 확인 + cx = int(moments["m10"] / moments["m00"]) + cy = int(moments["m01"] / moments["m00"]) + else: + cx, cy = contour[0][0] # 중심 계산 불가시 대체 좌표 사용 + + # 텍스트 배경 (검은색 배경 만들기) + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + text_size = cv2.getTextSize(text, font, 1, 2)[0] + text_w, text_h = text_size + + # 텍스트 배경 그리기 (검은색 배경) + cv2.rectangle(frame, (cx - text_w // 2 - 5, cy - text_h // 2 - 5), + (cx + text_w // 2 + 5, cy + text_h // 2 + 5), (0, 0, 0), -1) + + # 텍스트 그리기 (흰색 텍스트) + cv2.putText(frame, text, (cx - text_w // 2, cy + text_h // 2), + font, 1, (255, 255, 255), 2) + + else: + alpha = 0.08 + + colored_obj_mask = np.zeros_like(frame) + colored_obj_mask[obj_mask == 1] = colors[j] + frame[obj_mask == 1] = ( + (1 - alpha) * frame[obj_mask == 1] + + alpha * colored_obj_mask[obj_mask == 1] + ) + + + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 2) + cv2.drawContours(frame_for_contour, contours, -1, colors[j], 2) + + + + if len(contours) > 0: + largest_contour = max(contours, key=cv2.contourArea) + M = cv2.moments(largest_contour) + if M["m00"] != 0: + center_x = int(M["m10"] / M["m00"]) + center_y = int(M["m01"] / M["m00"]) + else: + center_x, center_y = 0, 0 + + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + + font_scale = 0.9 + text_size = cv2.getTextSize(text, font, font_scale, 2)[0] + text_x = center_x - text_size[0] // 1 # 텍스트의 가로 중심 + text_y = center_y + # text_y = center_y + text_size[1] // 2 # 텍스트의 세로 중심 + + # 텍스트 배경 사각형 좌표 계산 + rect_start = (text_x - 5, text_y - text_size[1] - 5) # 배경 사각형 좌상단 + # rect_end = (text_x + text_size[0] + 5, text_y + 5) + rect_end = (text_x + text_size[0] + 5, text_y) + + cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1) + cv2.putText(frame, text, (text_x, text_y), font, 1, (255, 255, 255), 2) + + # plt.figure(figsize=(12, 8)) + # plt.imshow(frame) + # plt.title(f"frame {frame_name}") + # plt.tight_layout() + # plt.axis('off') + # plt.show() + + buffer = BytesIO() + frame = Image.fromarray(frame) + frame.save(buffer, format='jpeg') + buffer.seek(0) + cat_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + frame_cat_cnts[frame_name] = cat_cnt + + buffer.seek(0) # Reuse buffer instead of creating a new one + buffer.truncate() + frame_for_contour = Image.fromarray(frame_for_contour) + frame_for_contour.save(buffer, format='jpeg') + buffer.seek(0) + contour_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + + encoded_frames[cat] = cat_frames + contoured_frames[cat] = contour_frames + vid_cat_cnts[cat] = frame_cat_cnts + + return encoded_frames, vid_cat_cnts, contoured_frames + + +def getCaption(idx, color_mask=True): + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + print(f"vid id: {vid_id}\n") + + frame_indx = vid_meta['sample_indx'] # e.g. [4, 7, 9, 16] + cat_names = set(vid_meta['obj_id_cat'].values()) # e.g. {"person", "elephant", ...} + all_captions = dict() + + base64_frames, vid_cat_cnts, contoured_frames = number_objects_and_encode(idx, color_mask) + marked = "mask with boundary" if color_mask else "boundary" + + for cat_name in list(cat_names) : + + is_movable = False + if cat_name in ytvos_category_valid_list : + is_movable = True + + if not is_movable: + print(f"Skipping {cat_name}: Determined to be non-movable.", end='\n\n') + + + image_captions = {} + captioner = OpenAI() + cat_base64_frames = base64_frames[cat_name] + cont_base64_frames = contoured_frames[cat_name] + + for i in range(len(cat_base64_frames)): + frame_name = frame_indx[i] + cont_base64_image = cont_base64_frames[i] + base64_image = cat_base64_frames[i] + should_filter = False + frame_cat_cnts = vid_cat_cnts[cat_name][frame_name] + + if frame_cat_cnts >= 2: + should_filter = True + else: + print(f"Skipping {cat_name}: There is single or no object.", end='\n\n') + + if is_movable and should_filter: + #1단계: 필터링 + print(f"-----------category name: {cat_name}, frame name: {frame_name}") + caption_filter_text = f""" + You are a visual assistant analyzing a single frame from a video. + In this frame, I have labeled {frame_cat_cnts} {cat_name}(s), each with a bright numeric ID at its center and a visible marker. + + Are {cat_name}s in the image performing all different and recognizable actions or postures? + Consider differences in body pose (standing, sitting, holding hands up, grabbing object, facing towards, walking...), motion cues (inferred from the momentary stance or position), + facial expressions, and any notable interactions with objects or other {cat_name}s or people. + + Only focus on obvious, prominent actions that can be reliably identified from this single frame. + + - Respond with "YES" if: + 1) Most of {cat_name}s exhibit clearly different, unique actions or poses. + 2) You can see visible significant differences in action and posture, that an observer can identify at a glance. + 3) Each action is unambiguously recognizable and distinct. + + - Respond with "NONE" if: + 1) The actions or pose are not clearly differentiable or too similar. + 2) They show no noticeable action beyond standing or minor movements. + + Answer strictly with either "YES" or "NONE". + """ + + + response1 = captioner.chat.completions.create( + model="chatgpt-4o-latest", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": caption_filter_text, + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + } + ], + } + ], + ) + response_content = response1.choices[0].message.content + should_caption = True if "yes" in response_content.lower() else False + print(f"are {cat_name}s distinguished by action: {response_content}", end='\n\n') + + else: + should_caption = False + + #2단계: dense caption 만들기 + dense_caption_prompt_1 = f"""You are a visual assistant that can analyze a single frame of a video and create referring expressions for each object. + In the given frame, I labeled {frame_cat_cnts} {cat_name}s by marking each with a bright numeric ID at the center and its boundary. + I want to use your expressions to create a action-centric referring expression dataset. + Therefore, your expressions for these {cat_name}s should describe unique action of each object. + + 1. Focus only on clear, unique, and prominent actions that distinguish each object. + 2. Avoid describing actions that are too minor, ambiguous, or not visible from the image. + 3. Avoid subjective terms such as 'skilled', 'controlled', or 'focused'. Only describe observable actions. + 4. Do not include common-sense or overly general descriptions like 'the elephant walks'. + 5. Use dynamic action verbs (e.g., holding, throwing, jumping, inspecting) to describe interactions, poses, or movements. + 6. Avoid overly detailed or speculative descriptions such as 'slightly moving its mouth' or 'appears to be anticipating'. + 7. Pretend you are observing the scene directly, avoiding phrases like 'it seems' or 'based on the description'. + 8. Include interactions with objects or other entities when they are prominent and observable. + 9. If the image contains multiple {cat_name}s, describe the actions of each individually and ensure the descriptions are non-overlapping and specific. + 10. Do not include descriptions of appearance such as clothes, color, size, shape etc. + 11. Do not include relative position between objects such as 'the left elephant' because left/right can be ambiguous. + 12. Do not mention object IDs. + 13. Use '{cat_name}' as the noun for the referring expressions. + + Keep in mind that you should not group the objects, e.g., 2-5. people: xxx, be sure to describe each object separately (one by one). + Output referring expressions for each object id. + """ + + dense_caption_prompt = f""" + You are a visual assistant analyzing a single frame of a video. + In the given frame, I labeled {frame_cat_cnts} {cat_name}s by marking each with a bright numeric ID at the center and its boundary. + I want to use your expressions to create a action-centric referring expression dataset. + Please describe each {cat_name} using **clearly observable** and **specific** actions. + + ## Guidelines: + 1. Focus on visible, prominent actions only (e.g., running, pushing, grasping an object). + 2. Avoid describing minor or ambiguous actions (e.g., slightly moving a paw). + 3. Do not include subjective or speculative descriptions (e.g., “it seems excited” or “it might be preparing to jump”). + 4. Do not use vague expressions like "interacting with something"** or "engaging with another object." + Instead, specify the interaction in detail (e.g., "grabbing a stick," "pressing a button"). + 5. Use dynamic action verbs (holding, throwing, inspecting, leaning, pressing) to highlight body movement or object/animal interaction. + 6. If multiple {cat_name}s appear, ensure each description is detailed enough to differentiate their actions. + 7. Base your description on the following action definitions: + - Facial with object manipulation + - General body movement, body position or pattern + - Movements when interacting with a specific, named object (e.g., "kicking a ball" instead of "interacting with an object"). + - Body movements in person or animal interaction (e.g., "pushing another person" instead of "engaging with someone"). + + ## Output Format: + - For each labeled {cat_name}, output one line in the format: + ID. action-oriented description + + Example: + 1. a bear grasping the edge of a wood with its front paws + 2. the bear pushing another bear, leaning forward + + **Do not include** appearance details (e.g., color, size, shape) or relative positioning (e.g., “on the left/right”). + **Do not mention object IDs** in the text of your sentence—just use them as labels for your output lines. + Keep in mind that you should not group the objects, e.g., 2-5. people: xxx, be sure to describe each object separately (one by one). + For each labeled {cat_name}, output referring expressions for each object id. + """ + if should_caption: + response2 = captioner.chat.completions.create( + model="gpt-4o-mini", + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": dense_caption_prompt, + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + + caption = response2.choices[0].message.content + #print(f"{image_path} - {frame_name}: {caption}") + else: + caption = None + + image_captions[frame_name] = caption + all_captions[cat_name] = image_captions + + # final : also prepare valid object ids + valid_obj_ids = dict() + + for cat in cat_names: + if cat in ytvos_category_valid_list: + obj_id_cat = vid_meta['obj_id_cat'] + valid_cat_ids = [] + for obj_id in list(obj_id_cat.keys()): + if obj_id_cat[obj_id] == cat: + valid_cat_ids.append(obj_id) + valid_obj_ids[cat] = valid_cat_ids + + return vid_id, all_captions, valid_obj_ids + + +if __name__ == '__main__': + parser = argparse.ArgumentParser('ReferFormer training and evaluation script', parents=[opts.get_args_parser()]) + parser.add_argument('--save_caption_path', type=str, default="mbench/numbered_captions.json") + parser.add_argument('--save_valid_obj_ids_path', type=str, default="mbench/numbered_valid_obj_ids.json") + + args = parser.parse_args() + + #==================데이터 불러오기=================== + # 전체 데이터셋 + train_dataset = build_ytvos_ref(image_set = 'train', args = args) + + # 전체 데이터셋 메타데이터 + metas = train_dataset.metas + + # 색상 후보 8개 (RGB 형식) + colors = [ + (255, 0, 0), # Red + (0, 255, 0), # Green + (0, 0, 255), # Blue + (255, 255, 0), # Yellow + (255, 0, 255), # Magenta + (0, 255, 255), # Cyan + (128, 0, 128), # Purple + (255, 165, 0) # Orange + ] + + ytvos_category_valid_list = [ + 'airplane', 'ape', 'bear', 'bird', 'boat', 'bus', 'camel', 'cat', 'cow', 'crocodile', + 'deer', 'dog', 'dolphin', 'duck', 'eagle', 'earless_seal', 'elephant', 'fish', 'fox', 'frog', + 'giant_panda', 'giraffe', 'hedgehog', 'horse', 'leopard', 'lion', 'lizard', + 'monkey', 'motorbike', 'mouse', 'owl', 'parrot', 'penguin', 'person', + 'rabbit', 'raccoon', 'sedan', 'shark', 'sheep', 'snail', 'snake', + 'squirrel', 'tiger', 'train', 'truck', 'turtle', 'whale', 'zebra' + ] + + #==================gpt 돌리기=================== + os.environ['OPENAI_API_KEY'] = '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' + + result_captions = {} + result_valid_obj_ids = {} + + for i in range(370): + vid_id, all_captions, valid_obj_ids = getCaption(i, True) + + if vid_id not in result_captions: + result_captions[vid_id] = all_captions + if vid_id not in result_valid_obj_ids: + result_valid_obj_ids[vid_id] = valid_obj_ids + + print("Finished!", flush=True) + + with open(args.save_caption_path, "w") as file: + json.dump(result_captions, file, indent=4) + + with open(args.save_valid_obj_ids_path, "w") as file: + json.dump(result_valid_obj_ids, file, indent=4) diff --git a/.history/mbench/gpt_ref-ytvos_numbered_cy_20250201141952.py b/.history/mbench/gpt_ref-ytvos_numbered_cy_20250201141952.py new file mode 100644 index 0000000000000000000000000000000000000000..63d406e1649b6010b3785351056bd244a9ef37f2 --- /dev/null +++ b/.history/mbench/gpt_ref-ytvos_numbered_cy_20250201141952.py @@ -0,0 +1,460 @@ +import os +import sys +sys.path.append(os.path.abspath(os.path.join(os.path.dirname(__file__), '..'))) +import time + +from os import path as osp +from io import BytesIO + +from mbench.ytvos_ref import build as build_ytvos_ref +import argparse +import opts + +import sys +from pathlib import Path +import os +from os import path as osp +import skimage +from io import BytesIO + +import numpy as np +import pandas as pd +import regex as re +import json + +import cv2 +from PIL import Image, ImageDraw +import torch +from torchvision.transforms import functional as F + +from skimage import measure # (pip install scikit-image) +from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely) + +import matplotlib.pyplot as plt +import matplotlib.patches as patches +from matplotlib.collections import PatchCollection +from matplotlib.patches import Rectangle +import textwrap + + +import ipywidgets as widgets +from IPython.display import display, clear_output + +from openai import OpenAI +import base64 +import json + +def number_objects_and_encode(idx, color_mask=False): + encoded_frames = {} + contoured_frames = {} # New dictionary for original images + vid_cat_cnts = {} + + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + frame_indx = vid_meta['sample_indx'] + cat_names = set(vid_meta['obj_id_cat'].values()) + imgs = vid_data[0] + + for cat in cat_names: + cat_frames = [] + contour_frames = [] + frame_cat_cnts = {} + + for i in range(imgs.size(0)): + frame_name = frame_indx[i] + frame = np.copy(imgs[i].permute(1, 2, 0).numpy()) + frame_for_contour = np.copy(imgs[i].permute(1, 2, 0).numpy()) + + frame_data = vid_data[2][frame_name] + obj_ids = list(frame_data.keys()) + + cat_cnt = 0 + + for j in range(len(obj_ids)): + obj_id = obj_ids[j] + obj_data = frame_data[obj_id] + obj_bbox = obj_data['bbox'] + obj_valid = obj_data['valid'] + obj_mask = obj_data['mask'].numpy().astype(np.uint8) + obj_cat = obj_data['category_name'] + + if obj_cat == cat and obj_valid: + cat_cnt += 1 + + if color_mask == False: + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 3) + for i, contour in enumerate(contours): + # 윤곽선 중심 계산 + moments = cv2.moments(contour) + if moments["m00"] != 0: # 중심 계산 가능 여부 확인 + cx = int(moments["m10"] / moments["m00"]) + cy = int(moments["m01"] / moments["m00"]) + else: + cx, cy = contour[0][0] # 중심 계산 불가시 대체 좌표 사용 + + # 텍스트 배경 (검은색 배경 만들기) + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + text_size = cv2.getTextSize(text, font, 1, 2)[0] + text_w, text_h = text_size + + # 텍스트 배경 그리기 (검은색 배경) + cv2.rectangle(frame, (cx - text_w // 2 - 5, cy - text_h // 2 - 5), + (cx + text_w // 2 + 5, cy + text_h // 2 + 5), (0, 0, 0), -1) + + # 텍스트 그리기 (흰색 텍스트) + cv2.putText(frame, text, (cx - text_w // 2, cy + text_h // 2), + font, 1, (255, 255, 255), 2) + + else: + alpha = 0.08 + + colored_obj_mask = np.zeros_like(frame) + colored_obj_mask[obj_mask == 1] = colors[j] + frame[obj_mask == 1] = ( + (1 - alpha) * frame[obj_mask == 1] + + alpha * colored_obj_mask[obj_mask == 1] + ) + + + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 2) + cv2.drawContours(frame_for_contour, contours, -1, colors[j], 2) + + + + if len(contours) > 0: + largest_contour = max(contours, key=cv2.contourArea) + M = cv2.moments(largest_contour) + if M["m00"] != 0: + center_x = int(M["m10"] / M["m00"]) + center_y = int(M["m01"] / M["m00"]) + else: + center_x, center_y = 0, 0 + + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + + font_scale = 0.9 + text_size = cv2.getTextSize(text, font, font_scale, 2)[0] + text_x = center_x - text_size[0] // 1 # 텍스트의 가로 중심 + text_y = center_y + # text_y = center_y + text_size[1] // 2 # 텍스트의 세로 중심 + + # 텍스트 배경 사각형 좌표 계산 + rect_start = (text_x - 5, text_y - text_size[1] - 5) # 배경 사각형 좌상단 + # rect_end = (text_x + text_size[0] + 5, text_y + 5) + rect_end = (text_x + text_size[0] + 5, text_y) + + cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1) + cv2.putText(frame, text, (text_x, text_y), font, 1, (255, 255, 255), 2) + + # plt.figure(figsize=(12, 8)) + # plt.imshow(frame) + # plt.title(f"frame {frame_name}") + # plt.tight_layout() + # plt.axis('off') + # plt.show() + + buffer = BytesIO() + frame = Image.fromarray(frame) + frame.save(buffer, format='jpeg') + buffer.seek(0) + cat_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + frame_cat_cnts[frame_name] = cat_cnt + + buffer.seek(0) # Reuse buffer instead of creating a new one + buffer.truncate() + frame_for_contour = Image.fromarray(frame_for_contour) + frame_for_contour.save(buffer, format='jpeg') + buffer.seek(0) + contour_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + + encoded_frames[cat] = cat_frames + contoured_frames[cat] = contour_frames + vid_cat_cnts[cat] = frame_cat_cnts + + return encoded_frames, vid_cat_cnts, contoured_frames + + +def getCaption(idx, model='gpt-4o', color_mask=True): + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + print(f"vid id: {vid_id}\n") + + frame_indx = vid_meta['sample_indx'] # e.g. [4, 7, 9, 16] + cat_names = set(vid_meta['obj_id_cat'].values()) # e.g. {"person", "elephant", ...} + all_captions = dict() + + base64_frames, vid_cat_cnts, contoured_frames = number_objects_and_encode(idx, color_mask) + #marked = "mask with boundary" if color_mask else "boundary" + + for cat_name in list(cat_names) : + + is_movable = False + if cat_name in ytvos_category_valid_list : + is_movable = True + + if not is_movable: + print(f"Skipping {cat_name}: Determined to be non-movable.", end='\n\n') + + + image_captions = {} + captioner = OpenAI() + cat_base64_frames = base64_frames[cat_name] + cont_base64_frames = contoured_frames[cat_name] + + for i in range(len(cat_base64_frames)): + frame_name = frame_indx[i] + cont_base64_image = cont_base64_frames[i] + base64_image = cat_base64_frames[i] + should_filter = False + frame_cat_cnts = vid_cat_cnts[cat_name][frame_name] + + if frame_cat_cnts >= 2: + should_filter = True + else: + print(f"Skipping {cat_name}: There is single or no object.", end='\n\n') + + if is_movable and should_filter: + #1단계: 필터링 + print(f"-----------category name: {cat_name}, frame name: {frame_name}") + caption_filter_text = f""" + You are a visual assistant analyzing a single frame from a video. + In this frame, I have labeled {frame_cat_cnts} {cat_name}(s), each with a bright numeric ID at its center and a visible marker. + + Are {cat_name}s in the image performing all different and recognizable actions or postures? + Consider differences in body pose (standing, sitting, holding hands up, grabbing object, facing the camera, stretching, walking...), motion cues (inferred from the momentary stance or position), + facial expressions, and any notable interactions with objects or other {cat_name}s or people. + + Only focus on obvious, prominent actions that can be reliably identified from this single frame. + + - Respond with "YES" if: + 1) Most of {cat_name}s exhibit clearly different, unique actions or poses. + (e.g. standing, sitting, bending, stretching, showing its back, or turning toward the camera.) + 2) You can see visible significant differences in action and posture, that an observer can identify at a glance. + 3) Interaction Variability: Each {cat_name} is engaged in a different type of action, such as one grasping an object while another is observing. + + - Respond with "NONE" if: + 1) The actions or pose are not clearly differentiable or too similar. + 2) Minimal or Ambiguous Motion: The frame does not provide clear evidence of distinct movement beyond subtle shifts in stance. + 3) Passive or Neutral Poses: If multiple {cat_name}(s) are simply standing or sitting without an obvious difference in orientation or motion + + Answer strictly with either "YES" or "NONE". + """ + + response1 = captioner.chat.completions.create( + model=model, + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": caption_filter_text, + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + } + ], + } + ], + ) + response_content = response1.choices[0].message.content + should_caption = True if "yes" in response_content.lower() else False + print(f"are {cat_name}s distinguished by action: {response_content}", end='\n\n') + + else: + should_caption = False + + #2단계: dense caption 만들기 + dense_caption_prompt_1 = f"""You are a visual assistant that can analyze a single frame of a video and create referring expressions for each object. + In the given frame, I labeled {frame_cat_cnts} {cat_name}s by marking each with a bright numeric ID at the center and its boundary. + I want to use your expressions to create a action-centric referring expression dataset. + Therefore, your expressions for these {cat_name}s should describe unique action of each object. + + 1. Focus only on clear, unique, and prominent actions that distinguish each object. + 2. Avoid describing actions that are too minor, ambiguous, or not visible from the image. + 3. Avoid subjective terms such as 'skilled', 'controlled', or 'focused'. Only describe observable actions. + 4. Do not include common-sense or overly general descriptions like 'the elephant walks'. + 5. Use dynamic action verbs (e.g., holding, throwing, jumping, inspecting) to describe interactions, poses, or movements. + 6. Avoid overly detailed or speculative descriptions such as 'slightly moving its mouth' or 'appears to be anticipating'. + 7. Pretend you are observing the scene directly, avoiding phrases like 'it seems' or 'based on the description'. + 8. Include interactions with objects or other entities when they are prominent and observable. + 9. If the image contains multiple {cat_name}s, describe the actions of each individually and ensure the descriptions are non-overlapping and specific. + 10. Do not include descriptions of appearance such as clothes, color, size, shape etc. + 11. Do not include relative position between objects such as 'the left elephant' because left/right can be ambiguous. + 12. Do not mention object IDs. + 13. Use '{cat_name}' as the noun for the referring expressions. + + Keep in mind that you should not group the objects, e.g., 2-5. people: xxx, be sure to describe each object separately (one by one). + Output referring expressions for each object id. + """ + + dense_caption_prompt = f""" + You are a visual assistant analyzing a single frame of a video. + In the given frame, I labeled {frame_cat_cnts} {cat_name}s by marking each with a bright numeric ID at the center and its boundary. + + I want to use your expressions to create an **action-centric referring expression** dataset. + Please describe each {cat_name} using **clearly observable** and **specific** actions. + + --- + ## Guidelines: + 1. **Focus on visible, prominent actions** only (e.g., running, pushing, grasping an object). + 2. **Avoid describing minor or ambiguous actions** (e.g., "slightly moving a paw", "slightly tilting head"). + 3. **Do not include subjective or speculative descriptions** (e.g., “it seems excited” or “it might be preparing to jump”). + 4. **Avoid vague expressions** like "interacting with something" or "engaging with another object." Instead, specify the action (e.g., "grabbing a stick," "pressing a button"). + 5. **Use dynamic action verbs** (holding, throwing, inspecting, leaning, pressing) to highlight body movement or object/animal interaction. + 6. If multiple {cat_name}s appear, ensure each description **differentiates** their actions. + 7. Base your description on these action definitions: + - Avoid using term 'minimal' or 'slightly'. + - General body movement, body position, or pattern which is prominent. (e.g. "lifting head up", "facing towards", "showing its back") + - details such as motion and intention, facial with object manipulation + - movements with objects or other entities when they are prominent and observable. expression should be specific. + (e.g., "pushing another person" (O), "engaging with someone" (X) "interacting with another person" (X)) + --- + + ## Output Format: + - For each labeled {cat_name}, output **exactly one line**. Your answer should contain details and follow the following format : + object id. using {cat_name} as subject noun, action-oriented description + (e.g. 1. the person is holding ski poles and skiing on a snow mountain, with his two legs bent forward.) + - **Only include the currently labeled category** in each line (e.g., if it’s a person, do not suddenly label it as other object/animal). + + ### Example + If the frame has 2 labeled bears, your output should look like: + 1. the bear reaching his right arm while leaning forward to capture the prey + 2. a bear standing upright facing right, touching the bike aside + + --- + **Do not include** appearance details (e.g., color, size, texture) or relative positioning (e.g., “on the left/right”). + **Do not include object IDs** or reference them (e.g., "Person 1" or "object 2" is not allowed). + **Do not include markdown** in the output. + Keep in mind that you should not group the objects, e.g., 2-5. people: xxx, be sure to describe each object separately (one by one). + For each labeled {cat_name}, output referring expressions for each object id. + """ + MAX_RETRIES = 2 + retry_count = 0 + + if should_caption: + while retry_count < MAX_RETRIES: + + response2 = captioner.chat.completions.create( + model=model, + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": dense_caption_prompt, + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + + # caption = response2.choices[0].message.content + #print(f"{image_path} - {frame_name}: {caption}") + + caption = response2.choices[0].message.content.strip() + caption_lower = caption.lower().lstrip() + + if caption_lower.startswith("1.") and not any( + phrase in caption_lower for phrase in ["i'm sorry", "please", "can't help"] + ): + break + + print(f"Retrying caption generation... ({retry_count + 1}/{MAX_RETRIES})") + retry_count += 1 + time.sleep(2) + + if retry_count == MAX_RETRIES: + caption = None + print("Max retries reached. Caption generation failed.") + + else: + caption = None + + image_captions[frame_name] = caption + all_captions[cat_name] = image_captions + + # final : also prepare valid object ids + valid_obj_ids = dict() + + for cat in cat_names: + if cat in ytvos_category_valid_list: + obj_id_cat = vid_meta['obj_id_cat'] + valid_cat_ids = [] + for obj_id in list(obj_id_cat.keys()): + if obj_id_cat[obj_id] == cat: + valid_cat_ids.append(obj_id) + valid_obj_ids[cat] = valid_cat_ids + + return vid_id, all_captions, valid_obj_ids + + + +if __name__ == '__main__': + parser = argparse.ArgumentParser('ReferFormer training and evaluation script', parents=[opts.get_args_parser()]) + parser.add_argument('--save_caption_path', type=str, default="mbench/numbered_captions.json") + parser.add_argument('--save_valid_obj_ids_path', type=str, default="mbench/numbered_valid_obj_ids.json") + + args = parser.parse_args() + + #==================데이터 불러오기=================== + # 전체 데이터셋 + train_dataset = build_ytvos_ref(image_set = 'train', args = args) + + # 전체 데이터셋 메타데이터 + metas = train_dataset.metas + + # 색상 후보 8개 (RGB 형식) + colors = [ + (255, 0, 0), # Red + (0, 255, 0), # Green + (0, 0, 255), # Blue + (255, 255, 0), # Yellow + (255, 0, 255), # Magenta + (0, 255, 255), # Cyan + (128, 0, 128), # Purple + (255, 165, 0) # Orange + ] + + ytvos_category_valid_list = [ + 'airplane', 'ape', 'bear', 'bird', 'boat', 'bus', 'camel', 'cat', 'cow', 'crocodile', + 'deer', 'dog', 'dolphin', 'duck', 'eagle', 'earless_seal', 'elephant', 'fish', 'fox', 'frog', + 'giant_panda', 'giraffe', 'hedgehog', 'horse', 'leopard', 'lion', 'lizard', + 'monkey', 'motorbike', 'mouse', 'owl', 'parrot', 'penguin', 'person', + 'rabbit', 'raccoon', 'sedan', 'shark', 'sheep', 'snail', 'snake', + 'squirrel', 'tiger', 'train', 'truck', 'turtle', 'whale', 'zebra' + ] + + #==================gpt 돌리기=================== + os.environ['OPENAI_API_KEY'] = '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' + + result_captions = {} + result_valid_obj_ids = {} + + for i in range(370): + vid_id, all_captions, valid_obj_ids = getCaption(i) + + if vid_id not in result_captions: + result_captions[vid_id] = all_captions + if vid_id not in result_valid_obj_ids: + result_valid_obj_ids[vid_id] = valid_obj_ids + + print("Finished!", flush=True) + + with open(args.save_caption_path, "w") as file: + json.dump(result_captions, file, indent=4) + + with open(args.save_valid_obj_ids_path, "w") as file: + json.dump(result_valid_obj_ids, file, indent=4) diff --git a/.history/mbench/gpt_ref-ytvos_numbered_cy_20250202183102.py b/.history/mbench/gpt_ref-ytvos_numbered_cy_20250202183102.py new file mode 100644 index 0000000000000000000000000000000000000000..0b5b5853872cf917be2d1b44523f6736f93004f1 --- /dev/null +++ b/.history/mbench/gpt_ref-ytvos_numbered_cy_20250202183102.py @@ -0,0 +1,460 @@ +import os +import sys +sys.path.append(os.path.abspath(os.path.join(os.path.dirname(__file__), '..'))) +import time + +from os import path as osp +from io import BytesIO + +from mbench.ytvos_ref import build as build_ytvos_ref +import argparse +import opts + +import sys +from pathlib import Path +import os +from os import path as osp +import skimage +from io import BytesIO + +import numpy as np +import pandas as pd +import regex as re +import json + +import cv2 +from PIL import Image, ImageDraw +import torch +from torchvision.transforms import functional as F + +from skimage import measure # (pip install scikit-image) +from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely) + +import matplotlib.pyplot as plt +import matplotlib.patches as patches +from matplotlib.collections import PatchCollection +from matplotlib.patches import Rectangle +import textwrap + + +import ipywidgets as widgets +from IPython.display import display, clear_output + +from openai import OpenAI +import base64 +import json + +def number_objects_and_encode(idx, color_mask=False): + encoded_frames = {} + contoured_frames = {} # New dictionary for original images + vid_cat_cnts = {} + + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + frame_indx = vid_meta['sample_indx'] + cat_names = set(vid_meta['obj_id_cat'].values()) + imgs = vid_data[0] + + for cat in cat_names: + cat_frames = [] + contour_frames = [] + frame_cat_cnts = {} + + for i in range(imgs.size(0)): + frame_name = frame_indx[i] + frame = np.copy(imgs[i].permute(1, 2, 0).numpy()) + frame_for_contour = np.copy(imgs[i].permute(1, 2, 0).numpy()) + + frame_data = vid_data[2][frame_name] + obj_ids = list(frame_data.keys()) + + cat_cnt = 0 + + for j in range(len(obj_ids)): + obj_id = obj_ids[j] + obj_data = frame_data[obj_id] + obj_bbox = obj_data['bbox'] + obj_valid = obj_data['valid'] + obj_mask = obj_data['mask'].numpy().astype(np.uint8) + obj_cat = obj_data['category_name'] + + if obj_cat == cat and obj_valid: + cat_cnt += 1 + + if color_mask == False: + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 3) + for i, contour in enumerate(contours): + # 윤곽선 중심 계산 + moments = cv2.moments(contour) + if moments["m00"] != 0: # 중심 계산 가능 여부 확인 + cx = int(moments["m10"] / moments["m00"]) + cy = int(moments["m01"] / moments["m00"]) + else: + cx, cy = contour[0][0] # 중심 계산 불가시 대체 좌표 사용 + + # 텍스트 배경 (검은색 배경 만들기) + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + text_size = cv2.getTextSize(text, font, 1, 2)[0] + text_w, text_h = text_size + + # 텍스트 배경 그리기 (검은색 배경) + cv2.rectangle(frame, (cx - text_w // 2 - 5, cy - text_h // 2 - 5), + (cx + text_w // 2 + 5, cy + text_h // 2 + 5), (0, 0, 0), -1) + + # 텍스트 그리기 (흰색 텍스트) + cv2.putText(frame, text, (cx - text_w // 2, cy + text_h // 2), + font, 1, (255, 255, 255), 2) + + else: + alpha = 0.08 + + colored_obj_mask = np.zeros_like(frame) + colored_obj_mask[obj_mask == 1] = colors[j] + frame[obj_mask == 1] = ( + (1 - alpha) * frame[obj_mask == 1] + + alpha * colored_obj_mask[obj_mask == 1] + ) + + + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 2) + cv2.drawContours(frame_for_contour, contours, -1, colors[j], 2) + + + + if len(contours) > 0: + largest_contour = max(contours, key=cv2.contourArea) + M = cv2.moments(largest_contour) + if M["m00"] != 0: + center_x = int(M["m10"] / M["m00"]) + center_y = int(M["m01"] / M["m00"]) + else: + center_x, center_y = 0, 0 + + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + + font_scale = 0.9 + text_size = cv2.getTextSize(text, font, font_scale, 2)[0] + text_x = center_x - text_size[0] // 1 # 텍스트의 가로 중심 + text_y = center_y + # text_y = center_y + text_size[1] // 2 # 텍스트의 세로 중심 + + # 텍스트 배경 사각형 좌표 계산 + rect_start = (text_x - 5, text_y - text_size[1] - 5) # 배경 사각형 좌상단 + # rect_end = (text_x + text_size[0] + 5, text_y + 5) + rect_end = (text_x + text_size[0] + 5, text_y) + + cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1) + cv2.putText(frame, text, (text_x, text_y), font, 1, (255, 255, 255), 2) + + # plt.figure(figsize=(12, 8)) + # plt.imshow(frame) + # plt.title(f"frame {frame_name}") + # plt.tight_layout() + # plt.axis('off') + # plt.show() + + buffer = BytesIO() + frame = Image.fromarray(frame) + frame.save(buffer, format='jpeg') + buffer.seek(0) + cat_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + frame_cat_cnts[frame_name] = cat_cnt + + buffer.seek(0) # Reuse buffer instead of creating a new one + buffer.truncate() + frame_for_contour = Image.fromarray(frame_for_contour) + frame_for_contour.save(buffer, format='jpeg') + buffer.seek(0) + contour_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + + encoded_frames[cat] = cat_frames + contoured_frames[cat] = contour_frames + vid_cat_cnts[cat] = frame_cat_cnts + + return encoded_frames, vid_cat_cnts, contoured_frames + + +def getCaption(idx, model='gpt-4o', color_mask=True): + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + print(f"vid id: {vid_id}\n") + + frame_indx = vid_meta['sample_indx'] # e.g. [4, 7, 9, 16] + cat_names = set(vid_meta['obj_id_cat'].values()) # e.g. {"person", "elephant", ...} + all_captions = dict() + + base64_frames, vid_cat_cnts, contoured_frames = number_objects_and_encode(idx, color_mask) + #marked = "mask with boundary" if color_mask else "boundary" + + for cat_name in list(cat_names) : + + is_movable = False + if cat_name in ytvos_category_valid_list : + is_movable = True + + if not is_movable: + print(f"Skipping {cat_name}: Determined to be non-movable.", end='\n\n') + + + image_captions = {} + captioner = OpenAI() + cat_base64_frames = base64_frames[cat_name] + cont_base64_frames = contoured_frames[cat_name] + + for i in range(len(cat_base64_frames)): + frame_name = frame_indx[i] + cont_base64_image = cont_base64_frames[i] + base64_image = cat_base64_frames[i] + should_filter = False + frame_cat_cnts = vid_cat_cnts[cat_name][frame_name] + + if frame_cat_cnts >= 2: + should_filter = True + else: + print(f"Skipping {cat_name}: There is single or no object.", end='\n\n') + + if is_movable and should_filter: + #1단계: 필터링 + print(f"-----------category name: {cat_name}, frame name: {frame_name}") + caption_filter_text = f""" + You are a visual assistant analyzing a single frame from a video. + In this frame, I have labeled {frame_cat_cnts} {cat_name}(s), each with a bright numeric ID at its center and a visible marker. + + Are {cat_name}s in the image performing all different and recognizable actions or postures? + Consider differences in body pose (standing, sitting, holding hands up, grabbing object, facing the camera, stretching, walking...), motion cues (inferred from the momentary stance or position), + facial expressions, and any notable interactions with objects or other {cat_name}s or people. + + Only focus on obvious, prominent actions that can be reliably identified from this single frame. + + - Respond with "YES" if: + 1) Most of {cat_name}s exhibit clearly different, unique actions or poses. + (e.g. standing, sitting, bending, stretching, showing its back, or turning toward the camera.) + 2) You can see visible significant differences in action and posture, that an observer can identify at a glance. + 3) Interaction Variability: Each {cat_name} is engaged in a different type of action, such as one grasping an object while another is observing. + + - Respond with "NONE" if: + 1) The actions or pose are not clearly differentiable or too similar. + 2) Minimal or Ambiguous Motion: The frame does not provide clear evidence of distinct movement beyond subtle shifts in stance. + 3) Passive or Neutral Poses: If multiple {cat_name}(s) are simply standing or sitting without an obvious difference in orientation or motion + + Answer strictly with either "YES" or "NONE". + """ + + response1 = captioner.chat.completions.create( + model=model, + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": caption_filter_text, + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + } + ], + } + ], + ) + response_content = response1.choices[0].message.content + should_caption = True if "yes" in response_content.lower() else False + print(f"are {cat_name}s distinguished by action: {response_content}", end='\n\n') + + else: + should_caption = False + + #2단계: dense caption 만들기 + dense_caption_prompt_1 = f"""You are a visual assistant that can analyze a single frame of a video and create referring expressions for each object. + In the given frame, I labeled {frame_cat_cnts} {cat_name}s by marking each with a bright numeric ID at the center and its boundary. + I want to use your expressions to create a action-centric referring expression dataset. + Therefore, your expressions for these {cat_name}s should describe unique action of each object. + + 1. Focus only on clear, unique, and prominent actions that distinguish each object. + 2. Avoid describing actions that are too minor, ambiguous, or not visible from the image. + 3. Avoid subjective terms such as 'skilled', 'controlled', or 'focused'. Only describe observable actions. + 4. Do not include common-sense or overly general descriptions like 'the elephant walks'. + 5. Use dynamic action verbs (e.g., holding, throwing, jumping, inspecting) to describe interactions, poses, or movements. + 6. Avoid overly detailed or speculative descriptions such as 'slightly moving its mouth' or 'appears to be anticipating'. + 7. Pretend you are observing the scene directly, avoiding phrases like 'it seems' or 'based on the description'. + 8. Include interactions with objects or other entities when they are prominent and observable. + 9. If the image contains multiple {cat_name}s, describe the actions of each individually and ensure the descriptions are non-overlapping and specific. + 10. Do not include descriptions of appearance such as clothes, color, size, shape etc. + 11. Do not include relative position between objects such as 'the left elephant' because left/right can be ambiguous. + 12. Do not mention object IDs. + 13. Use '{cat_name}' as the noun for the referring expressions. + + Keep in mind that you should not group the objects, e.g., 2-5. people: xxx, be sure to describe each object separately (one by one). + Output referring expressions for each object id. + """ + + dense_caption_prompt = f""" + You are a visual assistant analyzing a single frame of a video. + In the given frame, I labeled {frame_cat_cnts} {cat_name}s by marking each with a bright numeric ID at the center and its boundary. + + I want to use your expressions to create an **action-centric referring expression** dataset. + Please describe each {cat_name} using **clearly observable** and **specific** actions. + + --- + ## Guidelines: + 1. **Focus on visible, prominent actions** only (e.g., running, pushing, grasping an object). + 2. **Avoid describing minor or ambiguous actions** (e.g., "slightly moving a paw", "slightly tilting head"). + 3. **Do not include subjective or speculative descriptions** (e.g., “it seems excited” or “it might be preparing to jump”). + 4. **Avoid vague expressions** like "interacting with something" or "engaging with another object." Instead, specify the action (e.g., "grabbing a stick," "pressing a button"). + 5. **Use dynamic action verbs** (holding, throwing, inspecting, leaning, pressing) to highlight body movement or object/animal interaction. + 6. If multiple {cat_name}s appear, ensure each description **differentiates** their actions. + 7. Base your description on these action definitions: + - Avoid using term 'minimal' or 'slightly'. + - General body movement, body position, or pattern which is prominent. (e.g. "lifting head up", "facing towards", "showing its back") + - details such as motion and intention, facial with object manipulation + - movements with objects or other entities when they are prominent and observable. expression should be specific. + (e.g., "pushing another person" (O), "engaging with someone" (X) "interacting with another person" (X)) + --- + + ## Output Format: + - For each labeled {cat_name}, output **exactly one line**. Your answer should contain details and follow the following format : + object id. using {cat_name} as subject noun, action-oriented description + (e.g. 1. the person is holding ski poles and skiing on a snow mountain, with his two legs bent forward.) + - **Only include the currently labeled category** in each line (e.g., if it’s a person, do not suddenly label it as other object/animal). + + ### Example + If the frame has 2 labeled bears, your output should look like: + 1. the bear reaching his right arm while leaning forward to capture the prey + 2. a bear standing upright facing right, touching the bike aside + + --- + **Do not include** appearance details (e.g., color, size, texture) or relative positioning (e.g., “on the left/right”). + **Do not include object IDs** or reference them (e.g., "Person 1" or "object 2" is not allowed). + **Do not include markdown** in the output. + Keep in mind that you should not group the objects, e.g., 2-5. people: xxx, be sure to describe each object separately (one by one). + For each labeled {cat_name}, output referring expressions for each object id. + """ + MAX_RETRIES = 2 + retry_count = 0 + + if should_caption: + while retry_count < MAX_RETRIES: + + response2 = captioner.chat.completions.create( + model=model, + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": dense_caption_prompt, + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + + # caption = response2.choices[0].message.content + #print(f"{image_path} - {frame_name}: {caption}") + + caption = response2.choices[0].message.content.strip() + caption_lower = caption.lower().lstrip() + + if caption_lower.startswith("1.") and not any( + phrase in caption_lower for phrase in ["i'm sorry", "please", "can't help"] + ): + break + + print(f"Retrying caption generation... ({retry_count + 1}/{MAX_RETRIES})") + retry_count += 1 + time.sleep(2) + + if retry_count == MAX_RETRIES: + caption = None + print("Max retries reached. Caption generation failed.") + + else: + caption = None + + image_captions[frame_name] = caption + all_captions[cat_name] = image_captions + + # final : also prepare valid object ids + valid_obj_ids = dict() + + for cat in cat_names: + if cat in ytvos_category_valid_list: + obj_id_cat = vid_meta['obj_id_cat'] + valid_cat_ids = [] + for obj_id in list(obj_id_cat.keys()): + if obj_id_cat[obj_id] == cat: + valid_cat_ids.append(obj_id) + valid_obj_ids[cat] = valid_cat_ids + + return vid_id, all_captions, valid_obj_ids + + + +if __name__ == '__main__': + parser = argparse.ArgumentParser('ReferFormer training and evaluation script', parents=[opts.get_args_parser()]) + parser.add_argument('--save_caption_path', type=str, default="mbench/numbered_captions.json") + parser.add_argument('--save_valid_obj_ids_path', type=str, default="mbench/numbered_valid_obj_ids.json") + + args = parser.parse_args() + + #==================데이터 불러오기=================== + # 전체 데이터셋 + train_dataset = build_ytvos_ref(image_set = 'train', args = args) + + # 전체 데이터셋 메타데이터 + metas = train_dataset.metas + + # 색상 후보 8개 (RGB 형식) + colors = [ + (255, 0, 0), # Red + (0, 255, 0), # Green + (0, 0, 255), # Blue + (255, 255, 0), # Yellow + (255, 0, 255), # Magenta + (0, 255, 255), # Cyan + (128, 0, 128), # Purple + (255, 165, 0) # Orange + ] + + ytvos_category_valid_list = [ + 'airplane', 'ape', 'bear', 'bird', 'boat', 'bus', 'camel', 'cat', 'cow', 'crocodile', + 'deer', 'dog', 'dolphin', 'duck', 'eagle', 'earless_seal', 'elephant', 'fish', 'fox', 'frog', + 'giant_panda', 'giraffe', 'hedgehog', 'horse', 'leopard', 'lion', 'lizard', + 'monkey', 'motorbike', 'mouse', 'owl', 'parrot', 'penguin', 'person', + 'rabbit', 'raccoon', 'sedan', 'shark', 'sheep', 'snail', 'snake', + 'squirrel', 'tiger', 'train', 'truck', 'turtle', 'whale', 'zebra' + ] + + #==================gpt 돌리기=================== + os.environ['OPENAI_API_KEY'] = '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' + + result_captions = {} + result_valid_obj_ids = {} + + for i in range(370): + vid_id, all_captions, valid_obj_ids = getCaption(i, color_mask=False) + + if vid_id not in result_captions: + result_captions[vid_id] = all_captions + if vid_id not in result_valid_obj_ids: + result_valid_obj_ids[vid_id] = valid_obj_ids + + print("Finished!", flush=True) + + with open(args.save_caption_path, "w") as file: + json.dump(result_captions, file, indent=4) + + with open(args.save_valid_obj_ids_path, "w") as file: + json.dump(result_valid_obj_ids, file, indent=4) diff --git a/.history/mbench/gpt_ref-ytvos_numbered_cy_sanity_2_20250207172804.py b/.history/mbench/gpt_ref-ytvos_numbered_cy_sanity_2_20250207172804.py new file mode 100644 index 0000000000000000000000000000000000000000..d0eccb419359ecfcda0d80fe1ce6bcb39badd0f2 --- /dev/null +++ b/.history/mbench/gpt_ref-ytvos_numbered_cy_sanity_2_20250207172804.py @@ -0,0 +1,656 @@ +import os +import sys +sys.path.append(os.path.abspath(os.path.join(os.path.dirname(__file__), '..'))) +import time + +from os import path as osp +from io import BytesIO +import random + +from mbench.ytvos_ref import build as build_ytvos_ref +import argparse +import opts + +import sys +from pathlib import Path +import os +from os import path as osp +import skimage +from io import BytesIO + +import numpy as np +import pandas as pd +import regex as re +import json + +import cv2 +from PIL import Image, ImageDraw +import torch +from torchvision.transforms import functional as F + +from skimage import measure # (pip install scikit-image) +from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely) + +import matplotlib.pyplot as plt +import matplotlib.patches as patches +from matplotlib.collections import PatchCollection +from matplotlib.patches import Rectangle +import textwrap + + +import ipywidgets as widgets +from IPython.display import display, clear_output + +from openai import OpenAI +import base64 +import json +import requests +from openai.error import APIConnectionError, OpenAIError + +def number_objects_and_encode_old(idx, color_mask=False): + encoded_frames = {} + contoured_frames = {} # New dictionary for original images + vid_cat_cnts = {} + + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + frame_indx = vid_meta['sample_indx'] + cat_names = set(vid_meta['obj_id_cat'].values()) + imgs = vid_data[0] + + for cat in cat_names: + cat_frames = [] + contour_frames = [] + frame_cat_cnts = {} + + for i in range(imgs.size(0)): + frame_name = frame_indx[i] + frame = np.copy(imgs[i].permute(1, 2, 0).numpy()) + frame_for_contour = np.copy(imgs[i].permute(1, 2, 0).numpy()) + + frame_data = vid_data[2][frame_name] + obj_ids = list(frame_data.keys()) + + cat_cnt = 0 + + for j in range(len(obj_ids)): + obj_id = obj_ids[j] + obj_data = frame_data[obj_id] + obj_bbox = obj_data['bbox'] + obj_valid = obj_data['valid'] + obj_mask = obj_data['mask'].numpy().astype(np.uint8) + obj_cat = obj_data['category_name'] + + if obj_cat == cat and obj_valid: + cat_cnt += 1 + + if color_mask == False: + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 3) + for i, contour in enumerate(contours): + moments = cv2.moments(contour) + if moments["m00"] != 0: + cx = int(moments["m10"] / moments["m00"]) + cy = int(moments["m01"] / moments["m00"]) + else: + cx, cy = contour[0][0] + + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + text_size = cv2.getTextSize(text, font, 1, 2)[0] + text_w, text_h = text_size + + cv2.rectangle(frame, (cx - text_w // 2 - 5, cy - text_h // 2 - 5), + (cx + text_w // 2 + 5, cy + text_h // 2 + 5), (0, 0, 0), -1) + + cv2.putText(frame, text, (cx - text_w // 2, cy + text_h // 2), + font, 1, (255, 255, 255), 2) + + else: + alpha = 0.08 + + colored_obj_mask = np.zeros_like(frame) + colored_obj_mask[obj_mask == 1] = colors[j] + frame[obj_mask == 1] = ( + (1 - alpha) * frame[obj_mask == 1] + + alpha * colored_obj_mask[obj_mask == 1] + ) + + + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 2) + cv2.drawContours(frame_for_contour, contours, -1, colors[j], 2) + + if len(contours) > 0: + largest_contour = max(contours, key=cv2.contourArea) + M = cv2.moments(largest_contour) + if M["m00"] != 0: + center_x = int(M["m10"] / M["m00"]) + center_y = int(M["m01"] / M["m00"]) + else: + center_x, center_y = 0, 0 + + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + + font_scale = 0.9 + text_size = cv2.getTextSize(text, font, font_scale, 2)[0] + text_x = center_x - text_size[0] // 1 + text_y = center_y + + rect_start = (text_x - 5, text_y - text_size[1] - 5) + rect_end = (text_x + text_size[0] + 5, text_y) + + cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1) + cv2.putText(frame, text, (text_x, text_y), font, 1, (255, 255, 255), 2) + + # plt.figure(figsize=(12, 8)) + # plt.imshow(frame) + # plt.title(f"frame {frame_name}") + # plt.tight_layout() + # plt.axis('off') + # plt.show() + + buffer = BytesIO() + frame = Image.fromarray(frame) + frame.save(buffer, format='jpeg') + buffer.seek(0) + cat_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + frame_cat_cnts[frame_name] = cat_cnt + + buffer.seek(0) # Reuse buffer instead of creating a new one + buffer.truncate() + frame_for_contour = Image.fromarray(frame_for_contour) + frame_for_contour.save(buffer, format='jpeg') + buffer.seek(0) + contour_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + + encoded_frames[cat] = cat_frames + contoured_frames[cat] = contour_frames + vid_cat_cnts[cat] = frame_cat_cnts + + return encoded_frames, contoured_frames, vid_cat_cnts + + +def number_objects_and_encode(idx, color_mask=False): + encoded_frames = {} + contoured_frames = {} # New dictionary for original images + vid_cat_cnts = {} + + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + frame_indx = vid_meta['sample_indx'] + cat_names = set(vid_meta['obj_id_cat'].values()) + imgs = vid_data[0] + + for cat in cat_names: + cat_frames = [] + contour_frames = [] + frame_cat_cnts = {} + + for i in range(imgs.size(0)): + frame_name = frame_indx[i] + frame = np.copy(imgs[i].permute(1, 2, 0).numpy()) + frame_for_contour = np.copy(imgs[i].permute(1, 2, 0).numpy()) + + frame_data = vid_data[2][frame_name] + obj_ids = list(frame_data.keys()) + + cat_cnt = 0 + + for j in range(len(obj_ids)): + obj_id = obj_ids[j] + obj_data = frame_data[obj_id] + obj_bbox = obj_data['bbox'] + obj_valid = obj_data['valid'] + obj_mask = obj_data['mask'].numpy().astype(np.uint8) + obj_cat = obj_data['category_name'] + + if obj_cat == cat and obj_valid: + cat_cnt += 1 + + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 3) + cv2.drawContours(frame_for_contour, contours, -1, colors[j], 2) + + if len(contours) > 0: + largest_contour = max(contours, key=cv2.contourArea) + M = cv2.moments(largest_contour) + if M["m00"] != 0: + center_x = int(M["m10"] / M["m00"]) + center_y = int(M["m01"] / M["m00"]) + else: + center_x, center_y = 0, 0 + + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + font_scale = 1.2 + text_size = cv2.getTextSize(text, font, font_scale, 2)[0] + text_x = center_x - text_size[0] // 1 + text_y = center_y + + rect_start = (text_x - 5, text_y - text_size[1] - 5) + rect_end = (text_x + text_size[0] + 5, text_y + 3) + + contour_thickness = 1 + rect_start_contour = (rect_start[0] - contour_thickness, rect_start[1] - contour_thickness) + rect_end_contour = (rect_end[0] + contour_thickness, rect_end[1] + contour_thickness) + + cv2.rectangle(frame, rect_start_contour, rect_end_contour, colors[j], contour_thickness) + cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1) + cv2.putText(frame, text, (text_x, text_y), font, 1, (255, 255, 255), 2) + + + if color_mask: + alpha = 0.08 + colored_obj_mask = np.zeros_like(frame) + colored_obj_mask[obj_mask == 1] = colors[j] + frame[obj_mask == 1] = ( + (1 - alpha) * frame[obj_mask == 1] + + alpha * colored_obj_mask[obj_mask == 1] + ) + + # plt.figure(figsize=(12, 8)) + # plt.imshow(frame) + # plt.title(f"frame {frame_name}") + # plt.tight_layout() + # plt.axis('off') + # plt.show() + + buffer = BytesIO() + frame = Image.fromarray(frame) + frame.save(buffer, format='jpeg') + buffer.seek(0) + cat_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + frame_cat_cnts[frame_name] = cat_cnt + + buffer.seek(0) # Reuse buffer instead of creating a new one + buffer.truncate() + frame_for_contour = Image.fromarray(frame_for_contour) + frame_for_contour.save(buffer, format='jpeg') + buffer.seek(0) + contour_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + + encoded_frames[cat] = cat_frames + contoured_frames[cat] = contour_frames + vid_cat_cnts[cat] = frame_cat_cnts + + return encoded_frames, contoured_frames, vid_cat_cnts + + + +def getCaption(idx, model='gpt-4o'): + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + print(f"vid id: {vid_id}\n") + + frame_indx = vid_meta['sample_indx'] # e.g. [4, 7, 9, 16] + cat_names = set(vid_meta['obj_id_cat'].values()) # e.g. {"person", "elephant", ...} + all_captions = dict() + + # color_mask = random.choice([True, False]) + color_mask = random.choices([False, True], weights=[60, 40])[0] + + base64_frames, _ , vid_cat_cnts = number_objects_and_encode(idx, color_mask) + #marked = "mask with boundary" if color_mask else "boundary" + + for cat_name in list(cat_names) : + + is_movable = False + if cat_name in ytvos_category_valid_list : + is_movable = True + + if not is_movable: + print(f"Skipping {cat_name}: Determined to be non-movable.", end='\n\n') + + + image_captions = {} + captioner = OpenAI() + cat_base64_frames = base64_frames[cat_name] + # cont_base64_frames = contoured_frames[cat_name] + + for i in range(len(cat_base64_frames)): + frame_name = frame_indx[i] + # cont_base64_image = cont_base64_frames[i] + base64_image = cat_base64_frames[i] + should_filter = False + frame_cat_cnts = vid_cat_cnts[cat_name][frame_name] + + if frame_cat_cnts >= 2: + should_filter = True + else: + print(f"Skipping {cat_name}: There is single or no object.", end='\n\n') + + + if is_movable and should_filter: + #1단계: 필터링 + print(f"-----------category name: {cat_name}, frame name: {frame_name}") + caption_filter_text = f""" + You are a visual assistant analyzing a single frame from a video. + In this frame, I have labeled {frame_cat_cnts} {cat_name}(s), each with a bright numeric ID at its center and a visible marker. + + Are {cat_name}s in the image performing all different and recognizable actions or postures? + Consider differences in body pose (standing, sitting, holding hands up, grabbing object, facing the camera, stretching, walking...), motion cues (inferred from the momentary stance or position), + facial expressions, and any notable interactions with objects or other {cat_name}s or people. + + Only focus on obvious, prominent actions that can be reliably identified from this single frame. + + - Respond with "YES" if: + 1) Most of {cat_name}s exhibit clearly different, unique actions or poses. + (e.g. standing, sitting, bending, stretching, showing its back, or turning toward the camera.) + 2) You can see visible significant differences in action and posture, that an observer can identify at a glance. + 3) Interaction Variability: Each {cat_name} is engaged in a different type of action, such as one grasping an object while another is observing. + + - Respond with "NONE" if: + 1) The actions or pose are not clearly differentiable or too similar. + 2) Minimal or Ambiguous Motion: The frame does not provide clear evidence of distinct movement beyond subtle shifts in stance. + 3) Passive or Neutral Poses: If multiple {cat_name}(s) are simply standing or sitting without an obvious difference in orientation or motion + + Answer strictly with either "YES" or "NONE". + """ + + response1 = captioner.chat.completions.create( + model=model, + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": caption_filter_text, + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + } + ], + } + ], + ) + response_content = response1.choices[0].message.content + should_caption = True if "yes" in response_content.lower() else False + print(f"are {cat_name}s distinguished by action: {response_content}", end='\n\n') + + else: + should_caption = False + + #2단계: dense caption 만들기 + dense_caption_prompt_1 = f""" + In the given frame, I labeled {frame_cat_cnts} {cat_name}s by marking each with a bright numeric ID at the center and its boundary. The category name of these objects are : {cat_name}. + + Please describe the image focusing on labeled {cat_name}s in detail, focusing on their actions and interactions. + + 1. Focus only on clear, unique, and prominent actions that distinguish each object. + 2. Avoid describing actions that are too minor, ambiguous, or not visible from the image. + 3. Avoid subjective terms such as 'skilled', 'controlled', or 'focused'. Only describe observable actions. + 4. Do not include common-sense or overly general descriptions like 'the elephant walks'. + 5. Use dynamic action verbs (e.g., holding, throwing, jumping, inspecting) to describe interactions, poses, or movements. + 6. **Avoid overly detailed or speculative descriptions** such as 'slightly moving its mouth' or 'appears to be anticipating'. + - expressions like 'seems to be', 'appears to be' are BANNED! + 7. Pretend you are observing the scene directly, avoiding phrases like 'it seems' or 'based on the description'. + 8. Include interactions with objects or other entities when they are prominent and observable. + 9. **Do not include descriptions of appearance** such as clothes, color, size, shape etc. + 10. **Do not include relative position** between objects such as 'the left elephant' because left/right can be ambiguous. + 11. Do not mention object IDs. + 12. Use '{cat_name}' as the noun for the referring expressions. + + Note that I want to use your description to create a grounding dataset, therefore, your descriptions for different objects should be unique, i.e., If the image contains multiple {cat_name}s, describe the actions of each individually and ensure the descriptions are non-overlapping and specific. + + - Your answer should contain details, and follow the following format: + object id. action-oriented description + (e.g. 1. the person is holding bananas on two hands and opening his mouth, turning the head right. + 2. a person bending over and touching his boots to tie the shoelace.) + - for action-oriented description, use {cat_name} as subject noun + + **Only include the currently labeled category** in each line (e.g., if it’s a person, do not suddenly label it as other object/animal). + Please pay attention to the categories of these objects and don’t change them. + Keep in mind that you should not group the objects, e.g., 2-5. people: xxx, be sure to describe each object separately (one by one). + Output referring expressions for each object id. Please start your answer:""" + + + dense_caption_prompt_2 = f""" + You are an advanced visual language model analyzing a video frame. + In this frame, {frame_cat_cnts} objects belonging to the category **{cat_name}** have been distinctly labeled with bright numerical IDs at their center and boundary. + + Your task is to generate **action-oriented descriptions** for each labeled {cat_name}. + Your descriptions should capture their **observable actions and interactions**, making sure to highlight movement, gestures, and dynamic behaviors. + + --- + ## Key Guidelines: + 1. **Describe only clear and visible actions** that uniquely define what the {cat_name} is doing. + - Example: "grabbing a branch and pulling it down" (**(O) Specific**) + - Avoid: "moving slightly to the side" (**(X) Too vague**) + + 2. **Do not describe appearance, color, or position**—focus purely on the action. + - (X) "A large brown bear standing on the left" + - (O) "The bear is lifting its front paws and swiping forward." + + 3. **Use dynamic, action-specific verbs** rather than passive descriptions. + - (O) "The giraffe is tilting its head and sniffing the ground." + - (X) "The giraffe is near a tree and looking around." + + 4. **Avoid assumptions, emotions, or speculative phrasing.** + - (X) "The person seems excited" / "The person might be preparing to jump." + - (O) "The person is pushing its front legs against the rock and leaping forward." + + 5. **Avoid overly detailed or speculative descriptions** such as 'slightly moving its mouth' or 'appears to be anticipating'. + - expressions like 'seems to be', 'appears to be' are BANNED! + 6. Pretend you are observing the scene directly, avoiding phrases like 'it seems' or 'based on the description'. + + 7. If multiple {cat_name}s are present, make sure their descriptions are **distinct and non-overlapping**. + - **Each object should have a unique, descriptive action.** + - (X) "Two dogs are running." + - (O) "1. One dog is chasing another, its legs stretched mid-air. + 2. The other dog is looking back while speeding up." + + --- + ## Output Format: + - Each labeled **{cat_name}** should have exactly **one line of description**. + - Format: `ID. {cat_name} + action-based description` + - (O) Example: + ``` + 1. The person is leaning forward while opening a bag with both hands. + 2. The person is holding onto a rope and pulling themselves up. + ``` + - **Ensure that each object is described individually.** + - **Do not group objects into a single sentence** (e.g., "2-5. people: xxx" is NOT allowed). + + --- + ## Additional Instructions: + - **Do NOT** use expressions like "it appears that..." or "it seems like...". + - **Do NOT** mention object IDs in the description (only use the provided format). + - **DO NOT** include markdown formatting (no bullet points, no asterisks). + - **Only describe actions of the labeled {cat_name} objects**—do not introduce unrelated categories. + + Please generate the action-oriented descriptions for each labeled {cat_name} and start your answer: + """ + + + dense_caption_prompt = f""" + You are a visual assistant analyzing a single frame of a video. + In this frame, {frame_cat_cnts} objects belonging to the category **{cat_name}** have been labeled with bright numeric IDs at their center and boundary. + + I am building an **action-centric referring expression** dataset. + Your task is to describe each labeled {cat_name} based on **clearly observable and specific actions**. + + --- + ## Guidelines: + 1. **Focus only on visible and prominent actions** (e.g., running, pushing, grasping an object). + 2. **Avoid describing minor or ambiguous movements** (e.g., "slightly moving a paw," "tilting head a bit"). + 3. **Do not include subjective or speculative descriptions** (e.g., "it seems excited" or "it might be preparing to jump"). + 4. **Avoid vague expressions** like "engaging with something." Instead, specify the action (e.g., "grabbing a stick," "pressing a button"). + 5. **Use dynamic action verbs** (e.g., holding, throwing, inspecting, leaning, pressing) to highlight motion and interaction. + 6. If multiple {cat_name}s appear, ensure each description is **distinct and non-overlapping**. + 7. Base your descriptions on these principles: + - **Avoid words like 'minimal' or 'slightly'.** + - Emphasize **body movement, posture, and motion patterns** (e.g., "lifting its head," "facing forward," "showing its back"). + - Describe **facial expressions and interactions with objects** (e.g., "opening its mouth wide," "smiling while holding an item"). + - **Specify actions with other objects or entities** only when they are clear and observable. + - (O) "pushing another person" + - (X) "interacting with another object" + + --- + ## Output Format: + - Each labeled **{cat_name}** must have **exactly one line**. + - Format: `ID. {cat_name} + action-based description` + - (O) Example: + ``` + 1. The person is holding ski poles and skiing down a snowy mountain with bent knees. + 2. The person is pulling a baby carriage while smiling. + ``` + - **Ensure each object is described individually.** + - **Do not group multiple objects into a single sentence** (e.g., "2-5. people: xxx" is NOT allowed). + + --- + ## Example: + If the frame has two labeled **bears**, your output should be: + ``` + 1. The bear is reaching out its right paw while leaning forward to catch prey. + 2. A bear is standing upright, facing right, and touching the bike beside it. + ``` + + --- + ## Additional Instructions: + - **Do NOT** describe appearance (e.g., color, size, texture) or relative positioning (e.g., "on the left/right"). + - **Do NOT** reference object IDs explicitly (e.g., "Person 1" or "Object 2" is NOT allowed). + - **Do NOT** include markdown formatting (no bullet points, asterisks, or extra symbols). + - **Only describe actions of the labeled {cat_name} objects**—do not introduce unrelated categories. + + Please generate the action-oriented descriptions for each labeled {cat_name} and start your answer:""" + + + MAX_RETRIES = 3 + retry_count = 0 + + if should_caption: + while retry_count < MAX_RETRIES: + selected_prompt = random.choice([dense_caption_prompt, dense_caption_prompt_2]) + + response2 = captioner.chat.completions.create( + model=model, + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": selected_prompt, + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + + # caption = response2.choices[0].message.content + #print(f"{image_path} - {frame_name}: {caption}") + + caption = response2.choices[0].message.content.strip() + caption_lower = caption.lower().lstrip() + + if caption_lower.startswith("1.") and not any( + phrase in caption_lower for phrase in ["i'm sorry", "please", "can't help"] + ): + break + + print(f"Retrying caption generation... ({retry_count + 1}/{MAX_RETRIES})") + retry_count += 1 + time.sleep(2) + + if retry_count == MAX_RETRIES: + caption = None + print("Max retries reached. Caption generation failed.") + + else: + caption = None + + image_captions[frame_name] = caption + all_captions[cat_name] = image_captions + + # final : also prepare valid object ids + valid_obj_ids = dict() + + for cat in cat_names: + if cat in ytvos_category_valid_list: + obj_id_cat = vid_meta['obj_id_cat'] + valid_cat_ids = [] + for obj_id in list(obj_id_cat.keys()): + if obj_id_cat[obj_id] == cat: + valid_cat_ids.append(obj_id) + valid_obj_ids[cat] = valid_cat_ids + + return vid_id, all_captions, valid_obj_ids + + +if __name__ == '__main__': + parser = argparse.ArgumentParser('ReferFormer training and evaluation script', parents=[opts.get_args_parser()]) + parser.add_argument('--save_caption_path', type=str, default="mbench/numbered_captions_gpt-4o_randcap.json") + parser.add_argument('--save_valid_obj_ids_path', type=str, default="mbench/numbered_valid_obj_ids_gpt-4o_randcap.json") + + args = parser.parse_args() + + #==================데이터 불러오기=================== + # 전체 데이터셋 + train_dataset = build_ytvos_ref(image_set = 'train', args = args) + + # 전체 데이터셋 메타데이터 + metas = train_dataset.metas + + # 색상 후보 8개 (RGB 형식) + colors = [ + (255, 0, 0), # Red + (0, 255, 0), # Green + (0, 0, 255), # Blue + (255, 255, 0), # Yellow + (255, 0, 255), # Magenta + (0, 255, 255), # Cyan + (128, 0, 128), # Purple + (255, 165, 0) # Orange + ] + + ytvos_category_valid_list = [ + 'airplane', 'ape', 'bear', 'bird', 'boat', 'bus', 'camel', 'cat', 'cow', 'crocodile', + 'deer', 'dog', 'dolphin', 'duck', 'eagle', 'earless_seal', 'elephant', 'fish', 'fox', 'frog', + 'giant_panda', 'giraffe', 'hedgehog', 'horse', 'leopard', 'lion', 'lizard', + 'monkey', 'motorbike', 'mouse', 'owl', 'parrot', 'penguin', 'person', + 'rabbit', 'raccoon', 'sedan', 'shark', 'sheep', 'snail', 'snake', + 'squirrel', 'tiger', 'train', 'truck', 'turtle', 'whale', 'zebra' + ] + + #==================gpt 돌리기=================== + os.environ['OPENAI_API_KEY'] = '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' + + result_captions = {} + result_valid_obj_ids = {} + + for i in range(len(metas)): + try: + vid_id, all_captions, valid_obj_ids = getCaption(i) + + if vid_id not in result_captions: + result_captions[vid_id] = all_captions + if vid_id not in result_valid_obj_ids: + result_valid_obj_ids[vid_id] = valid_obj_ids + + except (requests.exceptions.ConnectionError, APIConnectionError) as e: + print(f"created caption until {i-1}", flush=True) + + with open(args.save_caption_path, "w") as file: + json.dump(result_captions, file, indent=4) + + with open(args.save_valid_obj_ids_path, "w") as file: + json.dump(result_valid_obj_ids, file, indent=4) + + print("Finished!", flush=True) + + with open(args.save_caption_path, "w") as file: + json.dump(result_captions, file, indent=4) + + with open(args.save_valid_obj_ids_path, "w") as file: + json.dump(result_valid_obj_ids, file, indent=4) diff --git a/.history/mbench/gpt_ref-ytvos_numbered_cy_sanity_2_20250207173210.py b/.history/mbench/gpt_ref-ytvos_numbered_cy_sanity_2_20250207173210.py new file mode 100644 index 0000000000000000000000000000000000000000..89b2fa695b3ce2acad3bfbbfe309ebe329bce8f6 --- /dev/null +++ b/.history/mbench/gpt_ref-ytvos_numbered_cy_sanity_2_20250207173210.py @@ -0,0 +1,656 @@ +import os +import sys +sys.path.append(os.path.abspath(os.path.join(os.path.dirname(__file__), '..'))) +import time + +from os import path as osp +from io import BytesIO +import random + +from mbench.ytvos_ref import build as build_ytvos_ref +import argparse +import opts + +import sys +from pathlib import Path +import os +from os import path as osp +import skimage +from io import BytesIO + +import numpy as np +import pandas as pd +import regex as re +import json + +import cv2 +from PIL import Image, ImageDraw +import torch +from torchvision.transforms import functional as F + +from skimage import measure # (pip install scikit-image) +from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely) + +import matplotlib.pyplot as plt +import matplotlib.patches as patches +from matplotlib.collections import PatchCollection +from matplotlib.patches import Rectangle +import textwrap + + +import ipywidgets as widgets +from IPython.display import display, clear_output + +from openai import OpenAI +import base64 +import json +import requests +from openai.error import APIConnectionError, OpenAIError + +def number_objects_and_encode_old(idx, color_mask=False): + encoded_frames = {} + contoured_frames = {} # New dictionary for original images + vid_cat_cnts = {} + + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + frame_indx = vid_meta['sample_indx'] + cat_names = set(vid_meta['obj_id_cat'].values()) + imgs = vid_data[0] + + for cat in cat_names: + cat_frames = [] + contour_frames = [] + frame_cat_cnts = {} + + for i in range(imgs.size(0)): + frame_name = frame_indx[i] + frame = np.copy(imgs[i].permute(1, 2, 0).numpy()) + frame_for_contour = np.copy(imgs[i].permute(1, 2, 0).numpy()) + + frame_data = vid_data[2][frame_name] + obj_ids = list(frame_data.keys()) + + cat_cnt = 0 + + for j in range(len(obj_ids)): + obj_id = obj_ids[j] + obj_data = frame_data[obj_id] + obj_bbox = obj_data['bbox'] + obj_valid = obj_data['valid'] + obj_mask = obj_data['mask'].numpy().astype(np.uint8) + obj_cat = obj_data['category_name'] + + if obj_cat == cat and obj_valid: + cat_cnt += 1 + + if color_mask == False: + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 3) + for i, contour in enumerate(contours): + moments = cv2.moments(contour) + if moments["m00"] != 0: + cx = int(moments["m10"] / moments["m00"]) + cy = int(moments["m01"] / moments["m00"]) + else: + cx, cy = contour[0][0] + + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + text_size = cv2.getTextSize(text, font, 1, 2)[0] + text_w, text_h = text_size + + cv2.rectangle(frame, (cx - text_w // 2 - 5, cy - text_h // 2 - 5), + (cx + text_w // 2 + 5, cy + text_h // 2 + 5), (0, 0, 0), -1) + + cv2.putText(frame, text, (cx - text_w // 2, cy + text_h // 2), + font, 1, (255, 255, 255), 2) + + else: + alpha = 0.08 + + colored_obj_mask = np.zeros_like(frame) + colored_obj_mask[obj_mask == 1] = colors[j] + frame[obj_mask == 1] = ( + (1 - alpha) * frame[obj_mask == 1] + + alpha * colored_obj_mask[obj_mask == 1] + ) + + + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 2) + cv2.drawContours(frame_for_contour, contours, -1, colors[j], 2) + + if len(contours) > 0: + largest_contour = max(contours, key=cv2.contourArea) + M = cv2.moments(largest_contour) + if M["m00"] != 0: + center_x = int(M["m10"] / M["m00"]) + center_y = int(M["m01"] / M["m00"]) + else: + center_x, center_y = 0, 0 + + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + + font_scale = 0.9 + text_size = cv2.getTextSize(text, font, font_scale, 2)[0] + text_x = center_x - text_size[0] // 1 + text_y = center_y + + rect_start = (text_x - 5, text_y - text_size[1] - 5) + rect_end = (text_x + text_size[0] + 5, text_y) + + cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1) + cv2.putText(frame, text, (text_x, text_y), font, 1, (255, 255, 255), 2) + + # plt.figure(figsize=(12, 8)) + # plt.imshow(frame) + # plt.title(f"frame {frame_name}") + # plt.tight_layout() + # plt.axis('off') + # plt.show() + + buffer = BytesIO() + frame = Image.fromarray(frame) + frame.save(buffer, format='jpeg') + buffer.seek(0) + cat_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + frame_cat_cnts[frame_name] = cat_cnt + + buffer.seek(0) # Reuse buffer instead of creating a new one + buffer.truncate() + frame_for_contour = Image.fromarray(frame_for_contour) + frame_for_contour.save(buffer, format='jpeg') + buffer.seek(0) + contour_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + + encoded_frames[cat] = cat_frames + contoured_frames[cat] = contour_frames + vid_cat_cnts[cat] = frame_cat_cnts + + return encoded_frames, contoured_frames, vid_cat_cnts + + +def number_objects_and_encode(idx, color_mask=False): + encoded_frames = {} + contoured_frames = {} # New dictionary for original images + vid_cat_cnts = {} + + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + frame_indx = vid_meta['sample_indx'] + cat_names = set(vid_meta['obj_id_cat'].values()) + imgs = vid_data[0] + + for cat in cat_names: + cat_frames = [] + contour_frames = [] + frame_cat_cnts = {} + + for i in range(imgs.size(0)): + frame_name = frame_indx[i] + frame = np.copy(imgs[i].permute(1, 2, 0).numpy()) + frame_for_contour = np.copy(imgs[i].permute(1, 2, 0).numpy()) + + frame_data = vid_data[2][frame_name] + obj_ids = list(frame_data.keys()) + + cat_cnt = 0 + + for j in range(len(obj_ids)): + obj_id = obj_ids[j] + obj_data = frame_data[obj_id] + obj_bbox = obj_data['bbox'] + obj_valid = obj_data['valid'] + obj_mask = obj_data['mask'].numpy().astype(np.uint8) + obj_cat = obj_data['category_name'] + + if obj_cat == cat and obj_valid: + cat_cnt += 1 + + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 3) + cv2.drawContours(frame_for_contour, contours, -1, colors[j], 2) + + if len(contours) > 0: + largest_contour = max(contours, key=cv2.contourArea) + M = cv2.moments(largest_contour) + if M["m00"] != 0: + center_x = int(M["m10"] / M["m00"]) + center_y = int(M["m01"] / M["m00"]) + else: + center_x, center_y = 0, 0 + + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + font_scale = 1.2 + text_size = cv2.getTextSize(text, font, font_scale, 2)[0] + text_x = center_x - text_size[0] // 1 + text_y = center_y + + rect_start = (text_x - 5, text_y - text_size[1] - 5) + rect_end = (text_x + text_size[0] + 5, text_y + 3) + + contour_thickness = 1 + rect_start_contour = (rect_start[0] - contour_thickness, rect_start[1] - contour_thickness) + rect_end_contour = (rect_end[0] + contour_thickness, rect_end[1] + contour_thickness) + + cv2.rectangle(frame, rect_start_contour, rect_end_contour, colors[j], contour_thickness) + cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1) + cv2.putText(frame, text, (text_x, text_y), font, 1, (255, 255, 255), 2) + + + if color_mask: + alpha = 0.08 + colored_obj_mask = np.zeros_like(frame) + colored_obj_mask[obj_mask == 1] = colors[j] + frame[obj_mask == 1] = ( + (1 - alpha) * frame[obj_mask == 1] + + alpha * colored_obj_mask[obj_mask == 1] + ) + + # plt.figure(figsize=(12, 8)) + # plt.imshow(frame) + # plt.title(f"frame {frame_name}") + # plt.tight_layout() + # plt.axis('off') + # plt.show() + + buffer = BytesIO() + frame = Image.fromarray(frame) + frame.save(buffer, format='jpeg') + buffer.seek(0) + cat_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + frame_cat_cnts[frame_name] = cat_cnt + + buffer.seek(0) # Reuse buffer instead of creating a new one + buffer.truncate() + frame_for_contour = Image.fromarray(frame_for_contour) + frame_for_contour.save(buffer, format='jpeg') + buffer.seek(0) + contour_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + + encoded_frames[cat] = cat_frames + contoured_frames[cat] = contour_frames + vid_cat_cnts[cat] = frame_cat_cnts + + return encoded_frames, contoured_frames, vid_cat_cnts + + + +def getCaption(idx, model='gpt-4o'): + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + print(f"vid id: {vid_id}\n") + + frame_indx = vid_meta['sample_indx'] # e.g. [4, 7, 9, 16] + cat_names = set(vid_meta['obj_id_cat'].values()) # e.g. {"person", "elephant", ...} + all_captions = dict() + + # color_mask = random.choice([True, False]) + color_mask = random.choices([False, True], weights=[60, 40])[0] + + base64_frames, _ , vid_cat_cnts = number_objects_and_encode(idx, color_mask) + #marked = "mask with boundary" if color_mask else "boundary" + + for cat_name in list(cat_names) : + + is_movable = False + if cat_name in ytvos_category_valid_list : + is_movable = True + + if not is_movable: + print(f"Skipping {cat_name}: Determined to be non-movable.", end='\n\n') + + + image_captions = {} + captioner = OpenAI() + cat_base64_frames = base64_frames[cat_name] + # cont_base64_frames = contoured_frames[cat_name] + + for i in range(len(cat_base64_frames)): + frame_name = frame_indx[i] + # cont_base64_image = cont_base64_frames[i] + base64_image = cat_base64_frames[i] + should_filter = False + frame_cat_cnts = vid_cat_cnts[cat_name][frame_name] + + if frame_cat_cnts >= 2: + should_filter = True + else: + print(f"Skipping {cat_name}: There is single or no object.", end='\n\n') + + + if is_movable and should_filter: + #1단계: 필터링 + print(f"-----------category name: {cat_name}, frame name: {frame_name}") + caption_filter_text = f""" + You are a visual assistant analyzing a single frame from a video. + In this frame, I have labeled {frame_cat_cnts} {cat_name}(s), each with a bright numeric ID at its center and a visible marker. + + Are {cat_name}s in the image performing all different and recognizable actions or postures? + Consider differences in body pose (standing, sitting, holding hands up, grabbing object, facing the camera, stretching, walking...), motion cues (inferred from the momentary stance or position), + facial expressions, and any notable interactions with objects or other {cat_name}s or people. + + Only focus on obvious, prominent actions that can be reliably identified from this single frame. + + - Respond with "YES" if: + 1) Most of {cat_name}s exhibit clearly different, unique actions or poses. + (e.g. standing, sitting, bending, stretching, showing its back, or turning toward the camera.) + 2) You can see visible significant differences in action and posture, that an observer can identify at a glance. + 3) Interaction Variability: Each {cat_name} is engaged in a different type of action, such as one grasping an object while another is observing. + + - Respond with "NONE" if: + 1) The actions or pose are not clearly differentiable or too similar. + 2) Minimal or Ambiguous Motion: The frame does not provide clear evidence of distinct movement beyond subtle shifts in stance. + 3) Passive or Neutral Poses: If multiple {cat_name}(s) are simply standing or sitting without an obvious difference in orientation or motion + + Answer strictly with either "YES" or "NONE". + """ + + response1 = captioner.chat.completions.create( + model=model, + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": caption_filter_text, + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + } + ], + } + ], + ) + response_content = response1.choices[0].message.content + should_caption = True if "yes" in response_content.lower() else False + print(f"are {cat_name}s distinguished by action: {response_content}", end='\n\n') + + else: + should_caption = False + + #2단계: dense caption 만들기 + dense_caption_prompt_1 = f""" + In the given frame, I labeled {frame_cat_cnts} {cat_name}s by marking each with a bright numeric ID at the center and its boundary. The category name of these objects are : {cat_name}. + + Please describe the image focusing on labeled {cat_name}s in detail, focusing on their actions and interactions. + + 1. Focus only on clear, unique, and prominent actions that distinguish each object. + 2. Avoid describing actions that are too minor, ambiguous, or not visible from the image. + 3. Avoid subjective terms such as 'skilled', 'controlled', or 'focused'. Only describe observable actions. + 4. Do not include common-sense or overly general descriptions like 'the elephant walks'. + 5. Use dynamic action verbs (e.g., holding, throwing, jumping, inspecting) to describe interactions, poses, or movements. + 6. **Avoid overly detailed or speculative descriptions** such as 'slightly moving its mouth' or 'appears to be anticipating'. + - expressions like 'seems to be', 'appears to be' are BANNED! + 7. Pretend you are observing the scene directly, avoiding phrases like 'it seems' or 'based on the description'. + 8. Include interactions with objects or other entities when they are prominent and observable. + 9. **Do not include descriptions of appearance** such as clothes, color, size, shape etc. + 10. **Do not include relative position** between objects such as 'the left elephant' because left/right can be ambiguous. + 11. Do not mention object IDs. + 12. Use '{cat_name}' as the noun for the referring expressions. + + Note that I want to use your description to create a grounding dataset, therefore, your descriptions for different objects should be unique, i.e., If the image contains multiple {cat_name}s, describe the actions of each individually and ensure the descriptions are non-overlapping and specific. + + - Your answer should contain details, and follow the following format: + object id. action-oriented description + (e.g. 1. the person is holding bananas on two hands and opening his mouth, turning the head right. + 2. a person bending over and touching his boots to tie the shoelace.) + - for action-oriented description, use {cat_name} as subject noun + + **Only include the currently labeled category** in each line (e.g., if it’s a person, do not suddenly label it as other object/animal). + Please pay attention to the categories of these objects and don’t change them. + Keep in mind that you should not group the objects, e.g., 2-5. people: xxx, be sure to describe each object separately (one by one). + Output referring expressions for each object id. Please start your answer:""" + + + dense_caption_prompt_2 = f""" + You are an advanced visual language model analyzing a video frame. + In this frame, {frame_cat_cnts} objects belonging to the category **{cat_name}** have been distinctly labeled with bright numerical IDs at their center and boundary. + + Your task is to generate **action-oriented descriptions** for each labeled {cat_name}. + Your descriptions should capture their **observable actions and interactions**, making sure to highlight movement, gestures, and dynamic behaviors. + + --- + ## Key Guidelines: + 1. **Describe only clear and visible actions** that uniquely define what the {cat_name} is doing. + - Example: "grabbing a branch and pulling it down" (**(O) Specific**) + - Avoid: "moving slightly to the side" (**(X) Too vague**) + + 2. **Do not describe appearance, color, or position**—focus purely on the action. + - (X) "A large brown bear standing on the left" + - (O) "The bear is lifting its front paws and swiping forward." + + 3. **Use dynamic, action-specific verbs** rather than passive descriptions. + - (O) "The giraffe is tilting its head and sniffing the ground." + - (X) "The giraffe is near a tree and looking around." + + 4. **Avoid assumptions, emotions, or speculative phrasing.** + - (X) "The person seems excited" / "The person might be preparing to jump." + - (O) "The person is pushing its front legs against the rock and leaping forward." + + 5. **Avoid overly detailed or speculative descriptions** such as 'slightly moving its mouth' or 'appears to be anticipating'. + - expressions like 'seems to be', 'appears to be' are BANNED! + 6. Pretend you are observing the scene directly, avoiding phrases like 'it seems' or 'based on the description'. + + 7. If multiple {cat_name}s are present, make sure their descriptions are **distinct and non-overlapping**. + - **Each object should have a unique, descriptive action.** + - (X) "Two dogs are running." + - (O) "1. One dog is chasing another, its legs stretched mid-air. + 2. The other dog is looking back while speeding up." + + --- + ## Output Format: + - Each labeled **{cat_name}** should have exactly **one line of description**. + - Format: `ID. {cat_name} + action-based description` + - (O) Example: + ``` + 1. The person is leaning forward while opening a bag with both hands. + 2. The person is holding onto a rope and pulling themselves up. + ``` + - **Ensure that each object is described individually.** + - **Do not group objects into a single sentence** (e.g., "2-5. people: xxx" is NOT allowed). + + --- + ## Additional Instructions: + - **Do NOT** use expressions like "it appears that..." or "it seems like...". + - **Do NOT** mention object IDs in the description (only use the provided format). + - **DO NOT** include markdown formatting (no bullet points, no asterisks). + - **Only describe actions of the labeled {cat_name} objects**—do not introduce unrelated categories. + + Please generate the action-oriented descriptions for each labeled {cat_name} and start your answer: + """ + + + dense_caption_prompt = f""" + You are a visual assistant analyzing a single frame of a video. + In this frame, {frame_cat_cnts} objects belonging to the category **{cat_name}** have been labeled with bright numeric IDs at their center and boundary. + + I am building an **action-centric referring expression** dataset. + Your task is to describe each labeled {cat_name} based on **clearly observable and specific actions**. + + --- + ## Guidelines: + 1. **Focus only on visible and prominent actions** (e.g., running, pushing, grasping an object). + 2. **Avoid describing minor or ambiguous movements** (e.g., "slightly moving a paw," "tilting head a bit"). + 3. **Do not include subjective or speculative descriptions** (e.g., "it seems excited" or "it might be preparing to jump"). + 4. **Avoid vague expressions** like "engaging with something." Instead, specify the action (e.g., "grabbing a stick," "pressing a button"). + 5. **Use dynamic action verbs** (e.g., holding, throwing, inspecting, leaning, pressing) to highlight motion and interaction. + 6. If multiple {cat_name}s appear, ensure each description is **distinct and non-overlapping**. + 7. Base your descriptions on these principles: + - **Avoid words like 'minimal' or 'slightly'.** + - Emphasize **body movement, posture, and motion patterns** (e.g., "lifting its head," "facing forward," "showing its back"). + - Describe **facial expressions and interactions with objects** (e.g., "opening its mouth wide," "smiling while holding an item"). + - **Specify actions with other objects or entities** only when they are clear and observable. + - (O) "pushing another person" + - (X) "interacting with another object" + + --- + ## Output Format: + - Each labeled **{cat_name}** must have **exactly one line**. + - Format: `ID. {cat_name} + action-based description` + - (O) Example: + ``` + 1. The person is holding ski poles and skiing down a snowy mountain with bent knees. + 2. The person is pulling a baby carriage while smiling. + ``` + - **Ensure each object is described individually.** + - **Do not group multiple objects into a single sentence** (e.g., "2-5. people: xxx" is NOT allowed). + + --- + ## Example: + If the frame has two labeled **bears**, your output should be: + ``` + 1. The bear is reaching out its right paw while leaning forward to catch prey. + 2. A bear is standing upright, facing right, and touching the bike beside it. + ``` + + --- + ## Additional Instructions: + - **Do NOT** describe appearance (e.g., color, size, texture) or relative positioning (e.g., "on the left/right"). + - **Do NOT** reference object IDs explicitly (e.g., "Person 1" or "Object 2" is NOT allowed). + - **Do NOT** include markdown formatting (no bullet points, asterisks, or extra symbols). + - **Only describe actions of the labeled {cat_name} objects**—do not introduce unrelated categories. + + Please generate the action-oriented descriptions for each labeled {cat_name} and start your answer:""" + + + MAX_RETRIES = 3 + retry_count = 0 + + if should_caption: + while retry_count < MAX_RETRIES: + selected_prompt = random.choice([dense_caption_prompt, dense_caption_prompt_2]) + + response2 = captioner.chat.completions.create( + model=model, + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": selected_prompt, + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + + # caption = response2.choices[0].message.content + #print(f"{image_path} - {frame_name}: {caption}") + + caption = response2.choices[0].message.content.strip() + caption_lower = caption.lower().lstrip() + + if caption_lower.startswith("1.") and not any( + phrase in caption_lower for phrase in ["i'm sorry", "please", "can't help"] + ): + break + + print(f"Retrying caption generation... ({retry_count + 1}/{MAX_RETRIES})") + retry_count += 1 + time.sleep(2) + + if retry_count == MAX_RETRIES: + caption = None + print("Max retries reached. Caption generation failed.") + + else: + caption = None + + image_captions[frame_name] = caption + all_captions[cat_name] = image_captions + + # final : also prepare valid object ids + valid_obj_ids = dict() + + for cat in cat_names: + if cat in ytvos_category_valid_list: + obj_id_cat = vid_meta['obj_id_cat'] + valid_cat_ids = [] + for obj_id in list(obj_id_cat.keys()): + if obj_id_cat[obj_id] == cat: + valid_cat_ids.append(obj_id) + valid_obj_ids[cat] = valid_cat_ids + + return vid_id, all_captions, valid_obj_ids + + +if __name__ == '__main__': + parser = argparse.ArgumentParser('ReferFormer training and evaluation script', parents=[opts.get_args_parser()]) + parser.add_argument('--save_caption_path', type=str, default="mbench/numbered_captions_gpt-4o_randcap.json") + parser.add_argument('--save_valid_obj_ids_path', type=str, default="mbench/numbered_valid_obj_ids_gpt-4o_randcap.json") + + args = parser.parse_args() + + #==================데이터 불러오기=================== + # 전체 데이터셋 + train_dataset = build_ytvos_ref(image_set = 'train', args = args) + + # 전체 데이터셋 메타데이터 + metas = train_dataset.metas + + # 색상 후보 8개 (RGB 형식) + colors = [ + (255, 0, 0), # Red + (0, 255, 0), # Green + (0, 0, 255), # Blue + (255, 255, 0), # Yellow + (255, 0, 255), # Magenta + (0, 255, 255), # Cyan + (128, 0, 128), # Purple + (255, 165, 0) # Orange + ] + + ytvos_category_valid_list = [ + 'airplane', 'ape', 'bear', 'bird', 'boat', 'bus', 'camel', 'cat', 'cow', 'crocodile', + 'deer', 'dog', 'dolphin', 'duck', 'eagle', 'earless_seal', 'elephant', 'fish', 'fox', 'frog', + 'giant_panda', 'giraffe', 'hedgehog', 'horse', 'leopard', 'lion', 'lizard', + 'monkey', 'motorbike', 'mouse', 'owl', 'parrot', 'penguin', 'person', + 'rabbit', 'raccoon', 'sedan', 'shark', 'sheep', 'snail', 'snake', + 'squirrel', 'tiger', 'train', 'truck', 'turtle', 'whale', 'zebra' + ] + + #==================gpt 돌리기=================== + os.environ['OPENAI_API_KEY'] = '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' + + result_captions = {} + result_valid_obj_ids = {} + + for i in range(len(metas)): + try: + vid_id, all_captions, valid_obj_ids = getCaption(i) + + if vid_id not in result_captions: + result_captions[vid_id] = all_captions + if vid_id not in result_valid_obj_ids: + result_valid_obj_ids[vid_id] = valid_obj_ids + + except (requests.exceptions.ConnectionError, APIConnectionError, OpenAIError) as e: + print(f"created caption until {i-1}", flush=True) + + with open(args.save_caption_path, "w") as file: + json.dump(result_captions, file, indent=4) + + with open(args.save_valid_obj_ids_path, "w") as file: + json.dump(result_valid_obj_ids, file, indent=4) + + print("Finished!", flush=True) + + with open(args.save_caption_path, "w") as file: + json.dump(result_captions, file, indent=4) + + with open(args.save_valid_obj_ids_path, "w") as file: + json.dump(result_valid_obj_ids, file, indent=4) diff --git a/.history/mbench/gpt_ref-ytvos_numbered_cy_sanity_2_20250207173355.py b/.history/mbench/gpt_ref-ytvos_numbered_cy_sanity_2_20250207173355.py new file mode 100644 index 0000000000000000000000000000000000000000..076362525ef614c1c7b0073a18ca66039a942958 --- /dev/null +++ b/.history/mbench/gpt_ref-ytvos_numbered_cy_sanity_2_20250207173355.py @@ -0,0 +1,677 @@ +import os +import sys +sys.path.append(os.path.abspath(os.path.join(os.path.dirname(__file__), '..'))) +import time + +from os import path as osp +from io import BytesIO +import random + +from mbench.ytvos_ref import build as build_ytvos_ref +import argparse +import opts + +import sys +from pathlib import Path +import os +from os import path as osp +import skimage +from io import BytesIO + +import numpy as np +import pandas as pd +import regex as re +import json + +import cv2 +from PIL import Image, ImageDraw +import torch +from torchvision.transforms import functional as F + +from skimage import measure # (pip install scikit-image) +from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely) + +import matplotlib.pyplot as plt +import matplotlib.patches as patches +from matplotlib.collections import PatchCollection +from matplotlib.patches import Rectangle +import textwrap + + +import ipywidgets as widgets +from IPython.display import display, clear_output + +from openai import OpenAI +import base64 +import json +import requests +from openai.error import APIConnectionError, OpenAIError + +def number_objects_and_encode_old(idx, color_mask=False): + encoded_frames = {} + contoured_frames = {} # New dictionary for original images + vid_cat_cnts = {} + + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + frame_indx = vid_meta['sample_indx'] + cat_names = set(vid_meta['obj_id_cat'].values()) + imgs = vid_data[0] + + for cat in cat_names: + cat_frames = [] + contour_frames = [] + frame_cat_cnts = {} + + for i in range(imgs.size(0)): + frame_name = frame_indx[i] + frame = np.copy(imgs[i].permute(1, 2, 0).numpy()) + frame_for_contour = np.copy(imgs[i].permute(1, 2, 0).numpy()) + + frame_data = vid_data[2][frame_name] + obj_ids = list(frame_data.keys()) + + cat_cnt = 0 + + for j in range(len(obj_ids)): + obj_id = obj_ids[j] + obj_data = frame_data[obj_id] + obj_bbox = obj_data['bbox'] + obj_valid = obj_data['valid'] + obj_mask = obj_data['mask'].numpy().astype(np.uint8) + obj_cat = obj_data['category_name'] + + if obj_cat == cat and obj_valid: + cat_cnt += 1 + + if color_mask == False: + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 3) + for i, contour in enumerate(contours): + moments = cv2.moments(contour) + if moments["m00"] != 0: + cx = int(moments["m10"] / moments["m00"]) + cy = int(moments["m01"] / moments["m00"]) + else: + cx, cy = contour[0][0] + + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + text_size = cv2.getTextSize(text, font, 1, 2)[0] + text_w, text_h = text_size + + cv2.rectangle(frame, (cx - text_w // 2 - 5, cy - text_h // 2 - 5), + (cx + text_w // 2 + 5, cy + text_h // 2 + 5), (0, 0, 0), -1) + + cv2.putText(frame, text, (cx - text_w // 2, cy + text_h // 2), + font, 1, (255, 255, 255), 2) + + else: + alpha = 0.08 + + colored_obj_mask = np.zeros_like(frame) + colored_obj_mask[obj_mask == 1] = colors[j] + frame[obj_mask == 1] = ( + (1 - alpha) * frame[obj_mask == 1] + + alpha * colored_obj_mask[obj_mask == 1] + ) + + + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 2) + cv2.drawContours(frame_for_contour, contours, -1, colors[j], 2) + + if len(contours) > 0: + largest_contour = max(contours, key=cv2.contourArea) + M = cv2.moments(largest_contour) + if M["m00"] != 0: + center_x = int(M["m10"] / M["m00"]) + center_y = int(M["m01"] / M["m00"]) + else: + center_x, center_y = 0, 0 + + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + + font_scale = 0.9 + text_size = cv2.getTextSize(text, font, font_scale, 2)[0] + text_x = center_x - text_size[0] // 1 + text_y = center_y + + rect_start = (text_x - 5, text_y - text_size[1] - 5) + rect_end = (text_x + text_size[0] + 5, text_y) + + cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1) + cv2.putText(frame, text, (text_x, text_y), font, 1, (255, 255, 255), 2) + + # plt.figure(figsize=(12, 8)) + # plt.imshow(frame) + # plt.title(f"frame {frame_name}") + # plt.tight_layout() + # plt.axis('off') + # plt.show() + + buffer = BytesIO() + frame = Image.fromarray(frame) + frame.save(buffer, format='jpeg') + buffer.seek(0) + cat_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + frame_cat_cnts[frame_name] = cat_cnt + + buffer.seek(0) # Reuse buffer instead of creating a new one + buffer.truncate() + frame_for_contour = Image.fromarray(frame_for_contour) + frame_for_contour.save(buffer, format='jpeg') + buffer.seek(0) + contour_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + + encoded_frames[cat] = cat_frames + contoured_frames[cat] = contour_frames + vid_cat_cnts[cat] = frame_cat_cnts + + return encoded_frames, contoured_frames, vid_cat_cnts + + +def number_objects_and_encode(idx, color_mask=False): + encoded_frames = {} + contoured_frames = {} # New dictionary for original images + vid_cat_cnts = {} + + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + frame_indx = vid_meta['sample_indx'] + cat_names = set(vid_meta['obj_id_cat'].values()) + imgs = vid_data[0] + + for cat in cat_names: + cat_frames = [] + contour_frames = [] + frame_cat_cnts = {} + + for i in range(imgs.size(0)): + frame_name = frame_indx[i] + frame = np.copy(imgs[i].permute(1, 2, 0).numpy()) + frame_for_contour = np.copy(imgs[i].permute(1, 2, 0).numpy()) + + frame_data = vid_data[2][frame_name] + obj_ids = list(frame_data.keys()) + + cat_cnt = 0 + + for j in range(len(obj_ids)): + obj_id = obj_ids[j] + obj_data = frame_data[obj_id] + obj_bbox = obj_data['bbox'] + obj_valid = obj_data['valid'] + obj_mask = obj_data['mask'].numpy().astype(np.uint8) + obj_cat = obj_data['category_name'] + + if obj_cat == cat and obj_valid: + cat_cnt += 1 + + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 3) + cv2.drawContours(frame_for_contour, contours, -1, colors[j], 2) + + if len(contours) > 0: + largest_contour = max(contours, key=cv2.contourArea) + M = cv2.moments(largest_contour) + if M["m00"] != 0: + center_x = int(M["m10"] / M["m00"]) + center_y = int(M["m01"] / M["m00"]) + else: + center_x, center_y = 0, 0 + + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + font_scale = 1.2 + text_size = cv2.getTextSize(text, font, font_scale, 2)[0] + text_x = center_x - text_size[0] // 1 + text_y = center_y + + rect_start = (text_x - 5, text_y - text_size[1] - 5) + rect_end = (text_x + text_size[0] + 5, text_y + 3) + + contour_thickness = 1 + rect_start_contour = (rect_start[0] - contour_thickness, rect_start[1] - contour_thickness) + rect_end_contour = (rect_end[0] + contour_thickness, rect_end[1] + contour_thickness) + + cv2.rectangle(frame, rect_start_contour, rect_end_contour, colors[j], contour_thickness) + cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1) + cv2.putText(frame, text, (text_x, text_y), font, 1, (255, 255, 255), 2) + + + if color_mask: + alpha = 0.08 + colored_obj_mask = np.zeros_like(frame) + colored_obj_mask[obj_mask == 1] = colors[j] + frame[obj_mask == 1] = ( + (1 - alpha) * frame[obj_mask == 1] + + alpha * colored_obj_mask[obj_mask == 1] + ) + + # plt.figure(figsize=(12, 8)) + # plt.imshow(frame) + # plt.title(f"frame {frame_name}") + # plt.tight_layout() + # plt.axis('off') + # plt.show() + + buffer = BytesIO() + frame = Image.fromarray(frame) + frame.save(buffer, format='jpeg') + buffer.seek(0) + cat_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + frame_cat_cnts[frame_name] = cat_cnt + + buffer.seek(0) # Reuse buffer instead of creating a new one + buffer.truncate() + frame_for_contour = Image.fromarray(frame_for_contour) + frame_for_contour.save(buffer, format='jpeg') + buffer.seek(0) + contour_frames.append(base64.b64encode(buffer.read()).decode("utf-8")) + + encoded_frames[cat] = cat_frames + contoured_frames[cat] = contour_frames + vid_cat_cnts[cat] = frame_cat_cnts + + return encoded_frames, contoured_frames, vid_cat_cnts + + + +def getCaption(idx, model='gpt-4o'): + vid_meta = metas[idx] + vid_data = train_dataset[idx] + vid_id = vid_meta['video'] + print(f"vid id: {vid_id}\n") + + frame_indx = vid_meta['sample_indx'] # e.g. [4, 7, 9, 16] + cat_names = set(vid_meta['obj_id_cat'].values()) # e.g. {"person", "elephant", ...} + all_captions = dict() + + # color_mask = random.choice([True, False]) + color_mask = random.choices([False, True], weights=[60, 40])[0] + + base64_frames, _ , vid_cat_cnts = number_objects_and_encode(idx, color_mask) + #marked = "mask with boundary" if color_mask else "boundary" + + for cat_name in list(cat_names) : + + is_movable = False + if cat_name in ytvos_category_valid_list : + is_movable = True + + if not is_movable: + print(f"Skipping {cat_name}: Determined to be non-movable.", end='\n\n') + + + image_captions = {} + captioner = OpenAI() + cat_base64_frames = base64_frames[cat_name] + # cont_base64_frames = contoured_frames[cat_name] + + for i in range(len(cat_base64_frames)): + frame_name = frame_indx[i] + # cont_base64_image = cont_base64_frames[i] + base64_image = cat_base64_frames[i] + should_filter = False + frame_cat_cnts = vid_cat_cnts[cat_name][frame_name] + + if frame_cat_cnts >= 2: + should_filter = True + else: + print(f"Skipping {cat_name}: There is single or no object.", end='\n\n') + + + if is_movable and should_filter: + #1단계: 필터링 + print(f"-----------category name: {cat_name}, frame name: {frame_name}") + caption_filter_text = f""" + You are a visual assistant analyzing a single frame from a video. + In this frame, I have labeled {frame_cat_cnts} {cat_name}(s), each with a bright numeric ID at its center and a visible marker. + + Are {cat_name}s in the image performing all different and recognizable actions or postures? + Consider differences in body pose (standing, sitting, holding hands up, grabbing object, facing the camera, stretching, walking...), motion cues (inferred from the momentary stance or position), + facial expressions, and any notable interactions with objects or other {cat_name}s or people. + + Only focus on obvious, prominent actions that can be reliably identified from this single frame. + + - Respond with "YES" if: + 1) Most of {cat_name}s exhibit clearly different, unique actions or poses. + (e.g. standing, sitting, bending, stretching, showing its back, or turning toward the camera.) + 2) You can see visible significant differences in action and posture, that an observer can identify at a glance. + 3) Interaction Variability: Each {cat_name} is engaged in a different type of action, such as one grasping an object while another is observing. + + - Respond with "NONE" if: + 1) The actions or pose are not clearly differentiable or too similar. + 2) Minimal or Ambiguous Motion: The frame does not provide clear evidence of distinct movement beyond subtle shifts in stance. + 3) Passive or Neutral Poses: If multiple {cat_name}(s) are simply standing or sitting without an obvious difference in orientation or motion + + Answer strictly with either "YES" or "NONE". + """ + + response1 = captioner.chat.completions.create( + model=model, + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": caption_filter_text, + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + } + ], + } + ], + ) + response_content = response1.choices[0].message.content + should_caption = True if "yes" in response_content.lower() else False + print(f"are {cat_name}s distinguished by action: {response_content}", end='\n\n') + + else: + should_caption = False + + #2단계: dense caption 만들기 + dense_caption_prompt_1 = f""" + In the given frame, I labeled {frame_cat_cnts} {cat_name}s by marking each with a bright numeric ID at the center and its boundary. The category name of these objects are : {cat_name}. + + Please describe the image focusing on labeled {cat_name}s in detail, focusing on their actions and interactions. + + 1. Focus only on clear, unique, and prominent actions that distinguish each object. + 2. Avoid describing actions that are too minor, ambiguous, or not visible from the image. + 3. Avoid subjective terms such as 'skilled', 'controlled', or 'focused'. Only describe observable actions. + 4. Do not include common-sense or overly general descriptions like 'the elephant walks'. + 5. Use dynamic action verbs (e.g., holding, throwing, jumping, inspecting) to describe interactions, poses, or movements. + 6. **Avoid overly detailed or speculative descriptions** such as 'slightly moving its mouth' or 'appears to be anticipating'. + - expressions like 'seems to be', 'appears to be' are BANNED! + 7. Pretend you are observing the scene directly, avoiding phrases like 'it seems' or 'based on the description'. + 8. Include interactions with objects or other entities when they are prominent and observable. + 9. **Do not include descriptions of appearance** such as clothes, color, size, shape etc. + 10. **Do not include relative position** between objects such as 'the left elephant' because left/right can be ambiguous. + 11. Do not mention object IDs. + 12. Use '{cat_name}' as the noun for the referring expressions. + + Note that I want to use your description to create a grounding dataset, therefore, your descriptions for different objects should be unique, i.e., If the image contains multiple {cat_name}s, describe the actions of each individually and ensure the descriptions are non-overlapping and specific. + + - Your answer should contain details, and follow the following format: + object id. action-oriented description + (e.g. 1. the person is holding bananas on two hands and opening his mouth, turning the head right. + 2. a person bending over and touching his boots to tie the shoelace.) + - for action-oriented description, use {cat_name} as subject noun + + **Only include the currently labeled category** in each line (e.g., if it’s a person, do not suddenly label it as other object/animal). + Please pay attention to the categories of these objects and don’t change them. + Keep in mind that you should not group the objects, e.g., 2-5. people: xxx, be sure to describe each object separately (one by one). + Output referring expressions for each object id. Please start your answer:""" + + + dense_caption_prompt_2 = f""" + You are an advanced visual language model analyzing a video frame. + In this frame, {frame_cat_cnts} objects belonging to the category **{cat_name}** have been distinctly labeled with bright numerical IDs at their center and boundary. + + Your task is to generate **action-oriented descriptions** for each labeled {cat_name}. + Your descriptions should capture their **observable actions and interactions**, making sure to highlight movement, gestures, and dynamic behaviors. + + --- + ## Key Guidelines: + 1. **Describe only clear and visible actions** that uniquely define what the {cat_name} is doing. + - Example: "grabbing a branch and pulling it down" (**(O) Specific**) + - Avoid: "moving slightly to the side" (**(X) Too vague**) + + 2. **Do not describe appearance, color, or position**—focus purely on the action. + - (X) "A large brown bear standing on the left" + - (O) "The bear is lifting its front paws and swiping forward." + + 3. **Use dynamic, action-specific verbs** rather than passive descriptions. + - (O) "The giraffe is tilting its head and sniffing the ground." + - (X) "The giraffe is near a tree and looking around." + + 4. **Avoid assumptions, emotions, or speculative phrasing.** + - (X) "The person seems excited" / "The person might be preparing to jump." + - (O) "The person is pushing its front legs against the rock and leaping forward." + + 5. **Avoid overly detailed or speculative descriptions** such as 'slightly moving its mouth' or 'appears to be anticipating'. + - expressions like 'seems to be', 'appears to be' are BANNED! + 6. Pretend you are observing the scene directly, avoiding phrases like 'it seems' or 'based on the description'. + + 7. If multiple {cat_name}s are present, make sure their descriptions are **distinct and non-overlapping**. + - **Each object should have a unique, descriptive action.** + - (X) "Two dogs are running." + - (O) "1. One dog is chasing another, its legs stretched mid-air. + 2. The other dog is looking back while speeding up." + + --- + ## Output Format: + - Each labeled **{cat_name}** should have exactly **one line of description**. + - Format: `ID. {cat_name} + action-based description` + - (O) Example: + ``` + 1. The person is leaning forward while opening a bag with both hands. + 2. The person is holding onto a rope and pulling themselves up. + ``` + - **Ensure that each object is described individually.** + - **Do not group objects into a single sentence** (e.g., "2-5. people: xxx" is NOT allowed). + + --- + ## Additional Instructions: + - **Do NOT** use expressions like "it appears that..." or "it seems like...". + - **Do NOT** mention object IDs in the description (only use the provided format). + - **DO NOT** include markdown formatting (no bullet points, no asterisks). + - **Only describe actions of the labeled {cat_name} objects**—do not introduce unrelated categories. + + Please generate the action-oriented descriptions for each labeled {cat_name} and start your answer: + """ + + + dense_caption_prompt = f""" + You are a visual assistant analyzing a single frame of a video. + In this frame, {frame_cat_cnts} objects belonging to the category **{cat_name}** have been labeled with bright numeric IDs at their center and boundary. + + I am building an **action-centric referring expression** dataset. + Your task is to describe each labeled {cat_name} based on **clearly observable and specific actions**. + + --- + ## Guidelines: + 1. **Focus only on visible and prominent actions** (e.g., running, pushing, grasping an object). + 2. **Avoid describing minor or ambiguous movements** (e.g., "slightly moving a paw," "tilting head a bit"). + 3. **Do not include subjective or speculative descriptions** (e.g., "it seems excited" or "it might be preparing to jump"). + 4. **Avoid vague expressions** like "engaging with something." Instead, specify the action (e.g., "grabbing a stick," "pressing a button"). + 5. **Use dynamic action verbs** (e.g., holding, throwing, inspecting, leaning, pressing) to highlight motion and interaction. + 6. If multiple {cat_name}s appear, ensure each description is **distinct and non-overlapping**. + 7. Base your descriptions on these principles: + - **Avoid words like 'minimal' or 'slightly'.** + - Emphasize **body movement, posture, and motion patterns** (e.g., "lifting its head," "facing forward," "showing its back"). + - Describe **facial expressions and interactions with objects** (e.g., "opening its mouth wide," "smiling while holding an item"). + - **Specify actions with other objects or entities** only when they are clear and observable. + - (O) "pushing another person" + - (X) "interacting with another object" + + --- + ## Output Format: + - Each labeled **{cat_name}** must have **exactly one line**. + - Format: `ID. {cat_name} + action-based description` + - (O) Example: + ``` + 1. The person is holding ski poles and skiing down a snowy mountain with bent knees. + 2. The person is pulling a baby carriage while smiling. + ``` + - **Ensure each object is described individually.** + - **Do not group multiple objects into a single sentence** (e.g., "2-5. people: xxx" is NOT allowed). + + --- + ## Example: + If the frame has two labeled **bears**, your output should be: + ``` + 1. The bear is reaching out its right paw while leaning forward to catch prey. + 2. A bear is standing upright, facing right, and touching the bike beside it. + ``` + + --- + ## Additional Instructions: + - **Do NOT** describe appearance (e.g., color, size, texture) or relative positioning (e.g., "on the left/right"). + - **Do NOT** reference object IDs explicitly (e.g., "Person 1" or "Object 2" is NOT allowed). + - **Do NOT** include markdown formatting (no bullet points, asterisks, or extra symbols). + - **Only describe actions of the labeled {cat_name} objects**—do not introduce unrelated categories. + + Please generate the action-oriented descriptions for each labeled {cat_name} and start your answer:""" + + + MAX_RETRIES = 3 + retry_count = 0 + + if should_caption: + while retry_count < MAX_RETRIES: + selected_prompt = random.choice([dense_caption_prompt, dense_caption_prompt_2]) + + response2 = captioner.chat.completions.create( + model=model, + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": selected_prompt, + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + + # caption = response2.choices[0].message.content + #print(f"{image_path} - {frame_name}: {caption}") + + caption = response2.choices[0].message.content.strip() + caption_lower = caption.lower().lstrip() + + if caption_lower.startswith("1.") and not any( + phrase in caption_lower for phrase in ["i'm sorry", "please", "can't help"] + ): + break + + print(f"Retrying caption generation... ({retry_count + 1}/{MAX_RETRIES})") + retry_count += 1 + time.sleep(2) + + if retry_count == MAX_RETRIES: + caption = None + print("Max retries reached. Caption generation failed.") + + else: + caption = None + + image_captions[frame_name] = caption + all_captions[cat_name] = image_captions + + # final : also prepare valid object ids + valid_obj_ids = dict() + + for cat in cat_names: + if cat in ytvos_category_valid_list: + obj_id_cat = vid_meta['obj_id_cat'] + valid_cat_ids = [] + for obj_id in list(obj_id_cat.keys()): + if obj_id_cat[obj_id] == cat: + valid_cat_ids.append(obj_id) + valid_obj_ids[cat] = valid_cat_ids + + return vid_id, all_captions, valid_obj_ids + + +if __name__ == '__main__': + parser = argparse.ArgumentParser('ReferFormer training and evaluation script', parents=[opts.get_args_parser()]) + parser.add_argument('--save_caption_path', type=str, default="mbench/numbered_captions_gpt-4o_randcap.json") + parser.add_argument('--save_valid_obj_ids_path', type=str, default="mbench/numbered_valid_obj_ids_gpt-4o_randcap.json") + + args = parser.parse_args() + + #==================데이터 불러오기=================== + # 전체 데이터셋 + train_dataset = build_ytvos_ref(image_set = 'train', args = args) + + # 전체 데이터셋 메타데이터 + metas = train_dataset.metas + + # 색상 후보 8개 (RGB 형식) + colors = [ + (255, 0, 0), # Red + (0, 255, 0), # Green + (0, 0, 255), # Blue + (255, 255, 0), # Yellow + (255, 0, 255), # Magenta + (0, 255, 255), # Cyan + (128, 0, 128), # Purple + (255, 165, 0) # Orange + ] + + ytvos_category_valid_list = [ + 'airplane', 'ape', 'bear', 'bird', 'boat', 'bus', 'camel', 'cat', 'cow', 'crocodile', + 'deer', 'dog', 'dolphin', 'duck', 'eagle', 'earless_seal', 'elephant', 'fish', 'fox', 'frog', + 'giant_panda', 'giraffe', 'hedgehog', 'horse', 'leopard', 'lion', 'lizard', + 'monkey', 'motorbike', 'mouse', 'owl', 'parrot', 'penguin', 'person', + 'rabbit', 'raccoon', 'sedan', 'shark', 'sheep', 'snail', 'snake', + 'squirrel', 'tiger', 'train', 'truck', 'turtle', 'whale', 'zebra' + ] + + #==================gpt 돌리기=================== + os.environ['OPENAI_API_KEY'] = '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' + + result_captions = {} + result_valid_obj_ids = {} + + for i in range(len(metas)): + try: + vid_id, all_captions, valid_obj_ids = getCaption(i) + + if vid_id not in result_captions: + result_captions[vid_id] = all_captions + if vid_id not in result_valid_obj_ids: + result_valid_obj_ids[vid_id] = valid_obj_ids + + except (requests.exceptions.ConnectionError, APIConnectionError) as e: + print(f"created caption until {i-1}", flush=True) + print("인터넷 연결 문제로 요청을 처리할 수 없습니다:", e, flush=True) + + with open(args.save_caption_path, "w") as file: + json.dump(result_captions, file, indent=4) + + with open(args.save_valid_obj_ids_path, "w") as file: + json.dump(result_valid_obj_ids, file, indent=4) + + except OpenAIError as e: + print(f"created caption until {i-1}", flush=True) + print("OpenAI API 관련 오류가 발생했습니다:", e, flush=True) + + with open(args.save_caption_path, "w") as file: + json.dump(result_captions, file, indent=4) + + with open(args.save_valid_obj_ids_path, "w") as file: + json.dump(result_valid_obj_ids, file, indent=4) + + except Exception as e: + print(f"created caption until {i-1}", flush=True) + print("알 수 없는 오류 발생:", e, flush=True) + + with open(args.save_caption_path, "w") as file: + json.dump(result_captions, file, indent=4) + + with open(args.save_valid_obj_ids_path, "w") as file: + json.dump(result_valid_obj_ids, file, indent=4) + + print("Finished!", flush=True) + + with open(args.save_caption_path, "w") as file: + json.dump(result_captions, file, indent=4) + + with open(args.save_valid_obj_ids_path, "w") as file: + json.dump(result_valid_obj_ids, file, indent=4) diff --git a/.history/mbench/make_ref-ytvos_json_20250117032501.py b/.history/mbench/make_ref-ytvos_json_20250117032501.py new file mode 100644 index 0000000000000000000000000000000000000000..91cb1bcbea0da0755a4299f1a8236892bd3c8b9d --- /dev/null +++ b/.history/mbench/make_ref-ytvos_json_20250117032501.py @@ -0,0 +1,104 @@ +import sys +import os +from os import path as osp +sys.path.append(osp.abspath(osp.join(osp.dirname(__file__), '..'))) + +from datasets import build_dataset +import argparse +import opts + + +from pathlib import Path +import io + +import numpy as np +import pandas as pd +import regex as re +import json + +import cv2 +from PIL import Image, ImageDraw +import torch +from torchvision.transforms import functional as F + +from skimage import measure # (pip install scikit-image) +from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely) + +import matplotlib.pyplot as plt +import matplotlib.patches as patches +from matplotlib.collections import PatchCollection +from matplotlib.patches import Rectangle + + +import ipywidgets as widgets +from IPython.display import display, clear_output + +#==================json 만들기=================== +def createJson(train_dataset, metas): + entire_json = {} + + #초기화 + vid_idx = 0 + + while vid_idx < len(train_dataset): + + #하나의 비디오에 대해 + video_data = {} + video_train_frames, video_train_info = train_dataset[vid_idx] + video_meta = metas[vid_idx] + + video_id = video_meta['video'] + video_data['bins'] = video_meta['bins'] + bin_nums = len(video_meta['bins']) + obj_nums = len(list(video_meta['obj_id_cat'].keys())) + + annotation_data = [] + frame_names = [] + + for i in range(bin_nums): + bin_data = {} + for j in range(obj_nums): + obj_id = str(j+1) + obj_data = { + "category_name":video_meta['obj_id_cat'][obj_id], + "bbox":video_train_info['boxes'][i*obj_nums+j, :] + } + bin_data[obj_id] = obj_data + annotation_data.append(bin_data) + + video_data['annotations'] = annotation_data + + + sample_indx = metas[vid_idx]['sample_indx'] + frames = metas[vid_idx]['frames'] + for i in sample_indx: + frame_name = frames[i] + frame_names.append(frame_name) + + video_data['frame_names'] = frame_names + video_data['video_path'] = os.path.join(str(train_dataset.img_folder), 'JPEGImages', video_id) + entire_json[video_id] = video_data + + vid_idx += 1 + + return entire_json + + +if __name__ == '__main__': + parser = argparse.ArgumentParser('ReferFormer training and evaluation script', parents=[opts.get_args_parser()]) + args = parser.parse_args() + + #==================데이터 불러오기=================== + # 전체 데이터셋 + train_dataset = build_dataset('ytvos_ref', image_set = 'train', args = args) + + # 전체 데이터셋 메타데이터 + metas = train_dataset.metas + + #==================json 만들기=================== + entire_json_dict = createJson(train_dataset, metas) + print(type(entire_json_dict)) + entire_json = json.dumps(entire_json_dict, indent=4) + + with open('mbench/sampled_frame2.json', mode='w') as file: + file.write(entire_json) diff --git a/.history/mbench/make_ref-ytvos_json_20250117072314.py b/.history/mbench/make_ref-ytvos_json_20250117072314.py new file mode 100644 index 0000000000000000000000000000000000000000..7bba90e840697de84cdd72ce4ff89108e9846a48 --- /dev/null +++ b/.history/mbench/make_ref-ytvos_json_20250117072314.py @@ -0,0 +1,107 @@ +import sys +import os +from os import path as osp +sys.path.append(osp.abspath(osp.join(osp.dirname(__file__), '..'))) + +from datasets import build_dataset +import argparse +import opts + + +from pathlib import Path +import io + +import numpy as np +import pandas as pd +import regex as re +import json + +import cv2 +from PIL import Image, ImageDraw +import torch +from torchvision.transforms import functional as F + +from skimage import measure # (pip install scikit-image) +from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely) + +import matplotlib.pyplot as plt +import matplotlib.patches as patches +from matplotlib.collections import PatchCollection +from matplotlib.patches import Rectangle + + +import ipywidgets as widgets +from IPython.display import display, clear_output + +#==================json 만들기=================== +def createJson(train_dataset, metas): + entire_json = {} + + #초기화 + vid_idx = 0 + + while vid_idx < len(train_dataset): + + #하나의 비디오에 대해 + video_data = {} + video_train_frames, video_train_info = train_dataset[vid_idx] + video_meta = metas[vid_idx] + + video_id = video_meta['video'] + video_data['bins'] = video_meta['bins'] + bin_nums = len(video_meta['bins']) + obj_nums = len(list(video_meta['obj_id_cat'].keys())) + + annotation_data = [] + frame_names = [] + + for i in range(bin_nums): + bin_data = {} + for j in range(obj_nums): + try: + obj_id = str(j+1) + obj_data = { + "category_name":video_meta['obj_id_cat'][obj_id], + "bbox":video_train_info['boxes'][i*obj_nums+j, :] + } + bin_data[obj_id] = obj_data + except: + continue + annotation_data.append(bin_data) + + video_data['annotations'] = annotation_data + + + sample_indx = metas[vid_idx]['sample_indx'] + frames = metas[vid_idx]['frames'] + for i in sample_indx: + frame_name = frames[i] + frame_names.append(frame_name) + + video_data['frame_names'] = frame_names + video_data['video_path'] = os.path.join(str(train_dataset.img_folder), 'JPEGImages', video_id) + entire_json[video_id] = video_data + + vid_idx += 1 + + return entire_json + + +if __name__ == '__main__': + parser = argparse.ArgumentParser('ReferFormer training and evaluation script', parents=[opts.get_args_parser()]) + args = parser.parse_args() + + #==================데이터 불러오기=================== + # 전체 데이터셋 + train_dataset = build_dataset('ytvos_ref', image_set = 'train', args = args) + + # 전체 데이터셋 메타데이터 + metas = train_dataset.metas + + #==================json 만들기=================== + entire_json_dict = createJson(train_dataset, metas) + print(type(entire_json_dict)) + entire_json = json.dumps(entire_json_dict, indent=4) + + with open('mbench/sampled_frame2.json', mode='w') as file: + file.write(entire_json) diff --git a/.history/mbench_a2d/gpt_a2d_numbered_20250206114207.py b/.history/mbench_a2d/gpt_a2d_numbered_20250206114207.py new file mode 100644 index 0000000000000000000000000000000000000000..e4ebdc6c984c0fda2f6c81d0df16ec3d7297f01d --- /dev/null +++ b/.history/mbench_a2d/gpt_a2d_numbered_20250206114207.py @@ -0,0 +1,205 @@ +import os +import sys +sys.path.append(os.path.abspath(os.path.join(os.path.dirname(__file__), '..'))) + +from datasets import build_dataset +import argparse +import opts +import time + +import numpy as np +import matplotlib.pyplot as plt +import cv2 +from io import BytesIO +import base64 +from PIL import Image +import json + +from openai import OpenAI + +def mark_object_and_encode(frame, mask, instance_id, text_query, color_mask=False, label_number=False): + #마스크 색칠할지 + if color_mask == True: + alpha = 0.1 + + colored_mask = np.zeros_like(frame) + colored_mask[mask == 1] = [255, 0, 0] + frame[mask == 1] = ( + (1 - alpha) * frame[mask == 1] + + alpha * colored_mask[mask == 1] + ) + + #마스크 아웃라인 그리기 + contours, _ = cv2.findContours(mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, [255, 0, 0], 2) + + #instance_id 적을지 + if label_number == True: + if len(contours) > 0: + largest_contour = max(contours, key=cv2.contourArea) + M = cv2.moments(largest_contour) + if M["m00"] != 0: + center_x = int(M["m10"] / M["m00"]) + center_y = int(M["m01"] / M["m00"]) + else: + center_x, center_y = 0, 0 + + font = cv2.FONT_HERSHEY_SIMPLEX + text = str(instance_id) + font_scale = 0.6 + text_size = cv2.getTextSize(text, font, font_scale, 2)[0] + text_x = center_x - text_size[0] // 1 # 텍스트의 가로 중심 + text_y = center_y + # text_y = center_y + text_size[1] // 2 # 텍스트의 세로 중심 + + # 텍스트 배경 사각형 좌표 계산 + rect_start = (text_x - 5, text_y - text_size[1] - 5) # 배경 사각형 좌상단 + # rect_end = (text_x + text_size[0] + 5, text_y + 5) + rect_end = (text_x + text_size[0] + 5, text_y) + + cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1) + cv2.putText(frame, text, (text_x, text_y), font, font_scale, (255, 255, 255), 2) + + # plt.figure(figsize=(6, 10)) + # plt.imshow(frame) + # plt.title(text_query) + # plt.tight_layout() + # plt.axis('off') + # plt.show() + + buffer = BytesIO() + frame = Image.fromarray(frame) + frame.save(buffer, format='jpeg') + buffer.seek(0) + encoded_frame = base64.b64encode(buffer.read()).decode("utf-8") + + return encoded_frame + +def getCaption(frame, mask, instance_id, text_query, model='gpt-4o', color_mask=False, label_number=True): + + base64_image = mark_object_and_encode(frame, mask, instance_id, text_query, color_mask, label_number) + + captioner = OpenAI() + + #필터링하지 않고 바로 ref exp 만들기 + dense_caption_prompt = f""" + You are a visual assistant analyzing a single frame of a video. + In the given frame, I labeled 1 object by marking each with a bright numeric ID at the center and its boundary. + I also give you a text query describing the marked object. + I want to use your expression to create an **action-centric referring expression** dataset. + Based on the frame and text query, please describe the marked object using **clearly observable** and **specific** actions + --- + ## Guidelines: + 1. **Focus on visible, prominent actions** only (e.g., running, pushing, grasping an object). + 2. **Avoid describing minor or ambiguous actions** (e.g., "slightly moving a paw", "slightly tilting head"). + 3. **Do not include subjective or speculative descriptions** (e.g., “it seems excited” or “it might be preparing to jump”). + 4. **Avoid vague expressions** like "interacting with something" or "engaging with another object." Instead, specify the action (e.g., "grabbing a stick," "pressing a button"). + 5. **Use dynamic action verbs** (holding, throwing, inspecting, leaning, pressing) to highlight body movement or object/animal interaction. + 6. If there are multiple objects, ensure the description for the marked object **differentiates** its action. + 7. Base your description on these action definitions: + - Avoid using term 'minimal' or 'slightly'. + - General body movement, body position, or pattern which is prominent. (e.g. "lifting head up", "facing towards", "showing its back") + - details such as motion and intention, facial with object manipulation + - movements with object or other entities when they are prominent and observable. expression should be specific. + (e.g., "pushing another person" (O), "engaging with someone" (X) "interacting with another person" (X)) + -- + ## Output Format: + - For each labeled object, output **exactly one line**. Your answer should contain details and follow the following format : + object id. action-oriented description + (e.g. 1. the person is holding ski poles and skiing on a snow mountain, with his two legs bent forward.) + ### Example + If the frame has 1 labeled bear, your output should look like: + 1. the bear reaching his right arm while leaning forward to capture the prey + --- + **Do not include** appearance details (e.g., color, size, texture) or relative positioning (e.g., “on the left/right”). + **Do not include object IDs** or reference them (e.g., "Person 1" or "object 2" is not allowed). + **Do not include markdown** in the output. + Keep in mind that you should not group the object, e.g., 2-5. people: xxx, be sure to describe each object separately (one by one). + For each labeled object, output referring expressions for each object id. + """ + prompt_with_text_query = f"prompt: {dense_caption_prompt}\n text query: {text_query}" + + MAX_RETRIES = 2 + retry_count = 0 + + while retry_count < MAX_RETRIES: + response = captioner.chat.completions.create( + model=model, + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": prompt_with_text_query, + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + + + caption = response.choices[0].message.content.strip() + caption_lower = caption.lower().lstrip() + if caption_lower.startswith("1.") and not any( + phrase in caption_lower for phrase in ["i'm sorry", "please", "can't help"] + ): + break + print(f"Retrying caption generation... ({retry_count + 1}/{MAX_RETRIES})") + retry_count += 1 + time.sleep(2) + + if retry_count == MAX_RETRIES: + caption = None + print("Max retries reached. Caption generation failed.") + + else: + caption = None + + return caption + +if __name__ == "__main__": + parser = argparse.ArgumentParser('ReferFormer training and evaluation script', parents=[opts.get_args_parser()]) + parser.add_argument('--save_caption_path', type=str, default='mbench_a2d/numbered_captions.json') + args = parser.parse_args() + + train_dataset = build_dataset('a2d', image_set = 'train', args = args) + text_annotations = train_dataset.text_annotations + + all_captions = {} + + #os.environ['OPENAI_API_KEY'] = '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' + os.environ['OPENAI_API_KEY'] = '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' + + first_text_query = "" + for idx in range(100): + imgs, target = train_dataset[idx] + frames_idx = target['frames_idx'].tolist() + text_query, vid_id, frame_id, instance_id = text_annotations[idx] + + if text_query == first_text_query: + continue + + print(f"------------vid id: {vid_id}, frame id: {frame_id}", flush=True) + + frame_id = frame_id - 1 + frame_order = frames_idx.index(frame_id) + + frame = imgs[frame_order, :, :, :].permute(1, 2, 0).numpy() + mask = target['masks'].numpy().astype(np.uint8).squeeze() + + caption = getCaption(frame, mask, instance_id, text_query, model='gpt-4o-mini') + if vid_id not in all_captions: + all_captions[vid_id] = {frame_id : caption} + else: + all_captions[vid_id][frame_id] = caption + + print("Finished!", flush=True) + + with open(args.save_caption_path, 'w') as file: + json.dump(all_captions, file, indent=4) + diff --git a/__pycache__/opts.cpython-310.pyc b/__pycache__/opts.cpython-310.pyc new file mode 100644 index 0000000000000000000000000000000000000000..3b197c13496654b169b93044234400e5b9bba165 Binary files /dev/null and b/__pycache__/opts.cpython-310.pyc differ diff --git a/__pycache__/opts.cpython-39.pyc b/__pycache__/opts.cpython-39.pyc new file mode 100644 index 0000000000000000000000000000000000000000..b4651fc9d242e46077cc6dc84f6fdb8bb54b794f Binary files /dev/null and b/__pycache__/opts.cpython-39.pyc differ diff --git a/__pycache__/refer.cpython-39.pyc b/__pycache__/refer.cpython-39.pyc new file mode 100644 index 0000000000000000000000000000000000000000..2f4b4f937cf78aa94248d44b4edb1789a9de8119 Binary files /dev/null and b/__pycache__/refer.cpython-39.pyc differ diff --git a/davis2017/davis.py b/davis2017/davis.py new file mode 100644 index 0000000000000000000000000000000000000000..d831be61d5ce240374c099405cbbc62fb1249f3d --- /dev/null +++ b/davis2017/davis.py @@ -0,0 +1,122 @@ +import os +from glob import glob +from collections import defaultdict +import numpy as np +from PIL import Image + + +class DAVIS(object): + SUBSET_OPTIONS = ['train', 'val', 'test-dev', 'test-challenge'] + TASKS = ['semi-supervised', 'unsupervised'] + DATASET_WEB = 'https://davischallenge.org/davis2017/code.html' + VOID_LABEL = 255 + + def __init__(self, root, task='unsupervised', subset='val', sequences='all', resolution='480p', codalab=False): + """ + Class to read the DAVIS dataset + :param root: Path to the DAVIS folder that contains JPEGImages, Annotations, etc. folders. + :param task: Task to load the annotations, choose between semi-supervised or unsupervised. + :param subset: Set to load the annotations + :param sequences: Sequences to consider, 'all' to use all the sequences in a set. + :param resolution: Specify the resolution to use the dataset, choose between '480' and 'Full-Resolution' + """ + if subset not in self.SUBSET_OPTIONS: + raise ValueError(f'Subset should be in {self.SUBSET_OPTIONS}') + if task not in self.TASKS: + raise ValueError(f'The only tasks that are supported are {self.TASKS}') + + self.task = task + self.subset = subset + self.root = root + self.img_path = os.path.join(self.root, 'JPEGImages', resolution) + annotations_folder = 'Annotations' if task == 'semi-supervised' else 'Annotations_unsupervised' + self.mask_path = os.path.join(self.root, annotations_folder, resolution) + year = '2019' if task == 'unsupervised' and (subset == 'test-dev' or subset == 'test-challenge') else '2017' + self.imagesets_path = os.path.join(self.root, 'ImageSets', year) + + self._check_directories() + + if sequences == 'all': + with open(os.path.join(self.imagesets_path, f'{self.subset}.txt'), 'r') as f: + tmp = f.readlines() + sequences_names = [x.strip() for x in tmp] + else: + sequences_names = sequences if isinstance(sequences, list) else [sequences] + self.sequences = defaultdict(dict) + + for seq in sequences_names: + images = np.sort(glob(os.path.join(self.img_path, seq, '*.jpg'))).tolist() + if len(images) == 0 and not codalab: + raise FileNotFoundError(f'Images for sequence {seq} not found.') + self.sequences[seq]['images'] = images + masks = np.sort(glob(os.path.join(self.mask_path, seq, '*.png'))).tolist() + masks.extend([-1] * (len(images) - len(masks))) + self.sequences[seq]['masks'] = masks + + def _check_directories(self): + if not os.path.exists(self.root): + raise FileNotFoundError(f'DAVIS not found in the specified directory, download it from {self.DATASET_WEB}') + if not os.path.exists(os.path.join(self.imagesets_path, f'{self.subset}.txt')): + raise FileNotFoundError(f'Subset sequences list for {self.subset} not found, download the missing subset ' + f'for the {self.task} task from {self.DATASET_WEB}') + if self.subset in ['train', 'val'] and not os.path.exists(self.mask_path): + raise FileNotFoundError(f'Annotations folder for the {self.task} task not found, download it from {self.DATASET_WEB}') + + def get_frames(self, sequence): + for img, msk in zip(self.sequences[sequence]['images'], self.sequences[sequence]['masks']): + image = np.array(Image.open(img)) + mask = None if msk is None else np.array(Image.open(msk)) + yield image, mask + + def _get_all_elements(self, sequence, obj_type): + obj = np.array(Image.open(self.sequences[sequence][obj_type][0])) + all_objs = np.zeros((len(self.sequences[sequence][obj_type]), *obj.shape)) + obj_id = [] + for i, obj in enumerate(self.sequences[sequence][obj_type]): + all_objs[i, ...] = np.array(Image.open(obj)) + obj_id.append(''.join(obj.split('/')[-1].split('.')[:-1])) + return all_objs, obj_id + + def get_all_images(self, sequence): + return self._get_all_elements(sequence, 'images') + + def get_all_masks(self, sequence, separate_objects_masks=False): + masks, masks_id = self._get_all_elements(sequence, 'masks') + masks_void = np.zeros_like(masks) + + # Separate void and object masks + for i in range(masks.shape[0]): + masks_void[i, ...] = masks[i, ...] == 255 + masks[i, masks[i, ...] == 255] = 0 + + if separate_objects_masks: + num_objects = int(np.max(masks[0, ...])) + tmp = np.ones((num_objects, *masks.shape)) + tmp = tmp * np.arange(1, num_objects + 1)[:, None, None, None] + masks = (tmp == masks[None, ...]) + masks = masks > 0 + return masks, masks_void, masks_id + + def get_sequences(self): + for seq in self.sequences: + yield seq + + +if __name__ == '__main__': + from matplotlib import pyplot as plt + + only_first_frame = True + subsets = ['train', 'val'] + + for s in subsets: + dataset = DAVIS(root='/home/csergi/scratch2/Databases/DAVIS2017_private', subset=s) + for seq in dataset.get_sequences(): + g = dataset.get_frames(seq) + img, mask = next(g) + plt.subplot(2, 1, 1) + plt.title(seq) + plt.imshow(img) + plt.subplot(2, 1, 2) + plt.imshow(mask) + plt.show(block=True) + diff --git a/docs/davis_demo1.gif b/docs/davis_demo1.gif new file mode 100644 index 0000000000000000000000000000000000000000..3bddeaa03a4fca08c21d127e618ea7b69e95a934 --- /dev/null +++ b/docs/davis_demo1.gif @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:e3203f7df580fb3903bf46f23a95d1efdf23ed57497691fe673ed51c05a790df +size 15321186 diff --git a/docs/davis_demo2.gif b/docs/davis_demo2.gif new file mode 100644 index 0000000000000000000000000000000000000000..e5ee1acbb5bdc74caa450d13377d56debf01c2de --- /dev/null +++ b/docs/davis_demo2.gif @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:b9301ea2739bd30f44acfd98f99e68ceb4d9deef0ac7458a5de72b7efd2e7445 +size 12680682 diff --git a/docs/install.md b/docs/install.md new file mode 100644 index 0000000000000000000000000000000000000000..d3357f8c7cb736eae6af0c02e2c0ee4f268f8591 --- /dev/null +++ b/docs/install.md @@ -0,0 +1,42 @@ +# Installation + +We provide the instructions to install the dependency packages. + +## Requirements + +We test the code in the following environments, other versions may also be compatible: + +- CUDA 11.1 +- Python 3.7 +- Pytorch 1.8.1 + + + +## Setup + +First, clone the repository locally. + +``` +git clone https://github.com/wjn922/ReferFormer.git +``` + +Then, install Pytorch 1.8.1 using the conda environment. +``` +conda install pytorch==1.8.1 torchvision==0.9.1 torchaudio==0.8.1 -c pytorch +``` + +Install the necessary packages and pycocotools. + +``` +pip install -r requirements.txt +pip install 'git+https://github.com/facebookresearch/fvcore' +pip install -U 'git+https://github.com/cocodataset/cocoapi.git#subdirectory=PythonAPI' +``` + +Finally, compile CUDA operators. + +``` +cd models/ops +python setup.py build install +cd ../.. +``` \ No newline at end of file diff --git a/docs/network.png b/docs/network.png new file mode 100644 index 0000000000000000000000000000000000000000..93fe5efc6a81765f1bb70ad689bef38a2dddde10 --- /dev/null +++ b/docs/network.png @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:9b52dc182c58c0ce59086750d1c2657dcdbeb9c9771add8cca93ad8a55feba0e +size 3483170 diff --git a/docs/ytvos_demo1.gif b/docs/ytvos_demo1.gif new file mode 100644 index 0000000000000000000000000000000000000000..1375a419ab6d717dbaace184129919c90a738aef --- /dev/null +++ b/docs/ytvos_demo1.gif @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:073a90379317b9ddcaae5459a7f7a66ba4d722fa34600af44c3ebc0a3b6fe402 +size 9671414 diff --git a/docs/ytvos_demo2.gif b/docs/ytvos_demo2.gif new file mode 100644 index 0000000000000000000000000000000000000000..4ad4bf41b74943e838b1e5c3e2a5face3b5a5d6b --- /dev/null +++ b/docs/ytvos_demo2.gif @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:97fe7b1d10968a32bc5dac0c0f543e334c6e59c65de78029d1eed0e3f10b0486 +size 14824540 diff --git a/hf_cache/.locks/models--zhiqiulin--clip-flant5-xxl/e14a3254bf04f32056759bdc60c64736e7638f31b43957586ff2442ff393890a.lock b/hf_cache/.locks/models--zhiqiulin--clip-flant5-xxl/e14a3254bf04f32056759bdc60c64736e7638f31b43957586ff2442ff393890a.lock new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/hf_cache/models--zhiqiulin--clip-flant5-xxl/snapshots/89bad6fffe1126b24d4360c1e1f69145eb6103aa/pytorch_model-00002-of-00003.bin b/hf_cache/models--zhiqiulin--clip-flant5-xxl/snapshots/89bad6fffe1126b24d4360c1e1f69145eb6103aa/pytorch_model-00002-of-00003.bin new file mode 100644 index 0000000000000000000000000000000000000000..96cf756627594683e4d906d9b3ebd56ed7d7bc5c --- /dev/null +++ b/hf_cache/models--zhiqiulin--clip-flant5-xxl/snapshots/89bad6fffe1126b24d4360c1e1f69145eb6103aa/pytorch_model-00002-of-00003.bin @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:12acb5074c883dcab3e166d86d20130615ff83b0d26736ee046f4184202ebd3b +size 9999791010 diff --git a/make_ref-ytvos/manual_selection.ipynb b/make_ref-ytvos/manual_selection.ipynb new file mode 100644 index 0000000000000000000000000000000000000000..7bf119f39911c35fd39c96e91da596ac088c6d57 --- /dev/null +++ b/make_ref-ytvos/manual_selection.ipynb @@ -0,0 +1,381 @@ +{ + "cells": [ + { + "cell_type": "code", + "execution_count": 1, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "/data/projects/yejin/VerbCentric_RIS/ReferFormer\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/yejin/.conda/envs/VerbCentric_RIS/lib/python3.9/site-packages/IPython/core/magics/osm.py:417: UserWarning: using dhist requires you to install the `pickleshare` library.\n", + " self.shell.db['dhist'] = compress_dhist(dhist)[-100:]\n" + ] + } + ], + "source": [ + "%cd /home/yejin/data/projects/yejin/VerbCentric_RIS/ReferFormer" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## 1. manual 필터링 반영" + ] + }, + { + "cell_type": "code", + "execution_count": 18, + "metadata": {}, + "outputs": [], + "source": [ + "import pandas as pd\n", + "import re\n", + "import json" + ] + }, + { + "cell_type": "code", + "execution_count": 31, + "metadata": {}, + "outputs": [], + "source": [ + "selected_frames_df = pd.read_json(\"/home/yejin/data/dataset/VRIS/mbench/ytvos/selected_instances.jsonl\", lines = True)\n", + "manual_selected = pd.read_json(\"manual_selected_frames.jsonl\", lines = True)" + ] + }, + { + "cell_type": "code", + "execution_count": 32, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
segmentationbboxareafile_nameheightwidthlabelcategory_namesentences
0[[1081.0, 719.5, 1051.0, 719.5, 1050.5, 716.0,...[708.5, 156.5, 420.0, 563.0]131357.2500917dcfc4_00000.png720128064zebra{'tokens': ['a', 'zebra', 'on', 'the', 'right'...
\n", + "
" + ], + "text/plain": [ + " segmentation \\\n", + "0 [[1081.0, 719.5, 1051.0, 719.5, 1050.5, 716.0,... \n", + "\n", + " bbox area file_name height \\\n", + "0 [708.5, 156.5, 420.0, 563.0] 131357.25 00917dcfc4_00000.png 720 \n", + "\n", + " width label category_name \\\n", + "0 1280 64 zebra \n", + "\n", + " sentences \n", + "0 {'tokens': ['a', 'zebra', 'on', 'the', 'right'... " + ] + }, + "execution_count": 32, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "selected_frames_df" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "for i in range(len(manual_selected)):\n", + " idx = manual_selected.loc[i, \"index\"]\n", + " new_sent = manual_selected.loc[i, 'new_sent']\n", + "\n", + " if new_sent != \"\":\n", + " new_sent_dict = {\n", + " \"tokens\" : new_sent.split(' '),\n", + " \"raw\" : new_sent,\n", + " \"sent\" : re.sub('[^A-Za-z0-9\\s]+', '', new_sent.lower())\n", + " }\n", + " selected_frames_df.at[idx, 'sentences'] = new_sent_dict" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "manual_selected_frames = selected_frames_df.loc[manual_selected['index'].values]" + ] + }, + { + "cell_type": "code", + "execution_count": 31, + "metadata": {}, + "outputs": [], + "source": [ + "manual_selected_frames.to_json(\"revised_frames.jsonl\", orient='records', lines=True)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## 2. lmdb로 변환하기 위해 마스크 저장하기" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "metadata": {}, + "outputs": [], + "source": [ + "import argparse\n", + "import os\n", + "import os.path as osp\n", + "import lmdb\n", + "import pyarrow as pa\n", + "import json\n", + "from tqdm import tqdm\n", + "import matplotlib.pyplot as plt\n", + "from skimage import io\n", + "import numpy as np\n", + "from shapely.geometry import Polygon, MultiPolygon\n", + "from matplotlib.collections import PatchCollection\n", + "from pycocotools import mask\n", + "import warnings\n", + "warnings.filterwarnings(\"ignore\")" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "metadata": {}, + "outputs": [], + "source": [ + "#jsonl 파일을 {index: json_obj, ... }형식으로\n", + "\n", + "json_data = []\n", + "\n", + "with open('revised_frames.jsonl', 'rb') as f:\n", + " for line in f:\n", + " json_data.append(json.loads(line)) " + ] + }, + { + "cell_type": "code", + "execution_count": 45, + "metadata": {}, + "outputs": [], + "source": [ + "def getMask(ann):\n", + " # return mask, area and mask-center\n", + " if type(ann['segmentation'][0]) == list: # polygon\n", + " rle = mask.frPyObjects(ann['segmentation'], ann['height'],\n", + " ann['width'])\n", + " else:\n", + " rle = ann['segmentation']\n", + " # for i in range(len(rle['counts'])):\n", + " # print(rle)\n", + " m = mask.decode(rle)\n", + " m = np.sum(\n", + " m, axis=2\n", + " ) # sometimes there are multiple binary map (corresponding to multiple segs)\n", + " m = m.astype(np.uint8) # convert to np.uint8\n", + " # compute area\n", + " area = sum(mask.area(rle)) # should be close to ann['area']\n", + " return {'mask': m, 'area': area}\n", + " # # position\n", + " # position_x = np.mean(np.where(m==1)[1]) # [1] means columns (matlab style) -> x (c style)\n", + " # position_y = np.mean(np.where(m==1)[0]) # [0] means rows (matlab style) -> y (c style)\n", + " # # mass position (if there were multiple regions, we use the largest one.)\n", + " # label_m = label(m, connectivity=m.ndim)\n", + " # regions = regionprops(label_m)\n", + " # if len(regions) > 0:\n", + " # \tlargest_id = np.argmax(np.array([props.filled_area for props in regions]))\n", + " # \tlargest_props = regions[largest_id]\n", + " # \tmass_y, mass_x = largest_props.centroid\n", + " # else:\n", + " # \tmass_x, mass_y = position_x, position_y\n", + " # # if centroid is not in mask, we find the closest point to it from mask\n", + " # if m[mass_y, mass_x] != 1:\n", + " # \tprint 'Finding closes mask point ...'\n", + " # \tkernel = np.ones((10, 10),np.uint8)\n", + " # \tme = cv2.erode(m, kernel, iterations = 1)\n", + " # \tpoints = zip(np.where(me == 1)[0].tolist(), np.where(me == 1)[1].tolist()) # row, col style\n", + " # \tpoints = np.array(points)\n", + " # \tdist = np.sum((points - (mass_y, mass_x))**2, axis=1)\n", + " # \tid = np.argsort(dist)[0]\n", + " # \tmass_y, mass_x = points[id]\n", + " # \t# return\n", + " # return {'mask': m, 'area': area, 'position_x': position_x, 'position_y': position_y, 'mass_x': mass_x, 'mass_y': mass_y}\n", + " # # show image and mask\n", + " # I = io.imread(osp.join(self.IMAGE_DIR, image['file_name']))\n", + " # plt.figure()\n", + " # plt.imshow(I)\n", + " # ax = plt.gca()\n", + " # img = np.ones( (m.shape[0], m.shape[1], 3) )\n", + " # color_mask = np.array([2.0,166.0,101.0])/255\n", + " # for i in range(3):\n", + " # img[:,:,i] = color_mask[i]\n", + " # ax.imshow(np.dstack( (img, m*0.5) ))\n", + " # plt.show()\n", + "\n", + "def showMask(ann, image_dir, mask_dir):\n", + " \n", + " fig, ax = plt.subplots()\n", + " I = io.imread(osp.join(image_dir, ann['file_name']))\n", + " ax.imshow(I)\n", + "\n", + " M = getMask(ann)\n", + " msk = M['mask']\n", + " #msk = io.imread(osp.join(mask_dir, ann['file_name']))\n", + " \n", + " ax.imshow(msk, alpha = 0.5)\n", + " ax.set_title(ann['sentences']['sent'])\n", + " plt.show()\n", + "\n", + "\n", + "\n", + "def saveMask(ann, mask_dir, seg_id):\n", + " M = getMask(ann)\n", + " msk = M['mask']\n", + " height, width = msk.shape\n", + " \n", + " fig, ax = plt.subplots(figsize=(width / 100, height / 100), dpi=100)\n", + " ax.imshow(msk, cmap='gray', vmin=0, vmax=1)\n", + "\n", + " save_path = f'{mask_dir}/{seg_id}'\n", + " plt.axis('off')\n", + " plt.subplots_adjust(left=0, right=1, top=1, bottom=0) # Remove padding\n", + "\n", + " fig.savefig(save_path, dpi=100, bbox_inches='tight', pad_inches=0)\n", + " \n", + " plt.close(fig)" + ] + }, + { + "cell_type": "code", + "execution_count": 46, + "metadata": {}, + "outputs": [], + "source": [ + "for i in range(len(json_data)):\n", + " #showMask(json_data[i], image_dir = '/home/yejin/data/dataset/VRIS/mbench/ytvos/selected_frames', mask_dir = '/home/yejin/data/dataset/VRIS/mbench/ytvos/filtered_masks')\n", + " saveMask(json_data[i], '/home/yejin/data/dataset/VRIS/mbench/ytvos/filtered_masks_segid', i)" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "##############안 쓰는 함수!###################\n", + "# 마스크 저장\n", + "# annotation dictionary as input\n", + "def saveMask(annotation, mask_dir, seg_box='seg'):\n", + " image_width = annotation['width']\n", + " image_height = annotation['height']\n", + "\n", + " fig, ax = plt.subplots(figsize=(image_width / 100, image_height / 100), facecolor='black') # figsize 단위는 인치, DPI 고려\n", + " ax.set_facecolor('black')\n", + " \n", + " \n", + " if seg_box == 'seg':\n", + " polygons = []\n", + " color = (1, 1, 1)\n", + " \n", + " if type(annotation['segmentation'][0]) == list:\n", + " # polygon used for refcoco*\n", + " for seg in annotation['segmentation']:\n", + " poly = np.array(seg).reshape((int(len(seg) / 2), 2))\n", + " polygons.append(Polygon(poly))\n", + "\n", + " p = PatchCollection(polygons,\n", + " facecolors=(1, 1, 1),\n", + " linewidths=0)\n", + " ax.add_collection(p)\n", + "\n", + " # 축 범위를 이미지 크기에 맞게 설정\n", + " ax.set_xlim(0, image_width)\n", + " ax.set_ylim(0, image_height)\n", + " \n", + " # y축 방향 뒤집기 (이미지 좌표계와 일치)\n", + " ax.invert_yaxis()\n", + " \n", + " # 플롯 표시\n", + " #plt.axis('equal') # 축 비율을 동일하게 설정\n", + " #plt.show()\n", + "\n", + " #플롯 저장\n", + " plt.axis('off') # 축 숨김 (선택 사항)\n", + " save_path = f'{mask_dir}/{annotation[\"file_name\"]}'\n", + " plt.savefig(save_path, bbox_inches='tight', pad_inches=0, facecolor='black')\n", + "\n", + "for annotation in json_data:\n", + " saveMask(annotation, mask_dir='/home/yejin/data/dataset/VRIS/mbench/ytvos/filtered_masks')\n", + " " + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "VerbCentric_RIS", + "language": "python", + "name": "verbcentric_ris" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/make_refcoco/refcocog_google/multi_object_data_gref_google.json b/make_refcoco/refcocog_google/multi_object_data_gref_google.json new file mode 100644 index 0000000000000000000000000000000000000000..f39c714b8a7823ba417c739dc2e32cc54b0cc5b0 --- /dev/null +++ b/make_refcoco/refcocog_google/multi_object_data_gref_google.json @@ -0,0 +1,20489 @@ +{ + "529": { + "2294": { + "48483": "a motocross professional sitting on their bike with a woman who is strattling him", + "48484": "a man with a helmet on the head sitting on the motor cycle" + } + }, + "625": { + "745": { + "16189": "the chubby woman who is playing frisbee with her friends", + "16190": "a fat woman playing frizz bee" + } + }, + "656": { + "826": { + "17844": "the silver car in the background reflecting off of the window", + "17845": "a reflection of a suv" + }, + "907": { + "19479": "a car parked behind a motorcycle", + "19480": "car" + } + }, + "821": { + "119": { + "2081": "a man in a striped shirt holding an object in each hand", + "2082": "a guy with his arms crossed" + } + }, + "1311": { + "3047": { + "63777": "a delta connection filght is load the people to take off", + "63778": "the delta connection airplane" + } + }, + "1762": { + "4598": { + "95662": "a orange bus on street with number plate x - j96 826", + "95663": "a small bus 1951" + } + }, + "1822": { + "310": { + "6224": "a chair to the far right of the couch with gold trim", + "6225": "this is a golden edged chair that faces the television" + } + }, + "1958": { + "4414": { + "92092": "a boy hold a wii controller" + } + }, + "2693": { + "743": { + "16076": "they guy wearing white sitting on the couch watching his friends play video games", + "16077": "man sitting on the couch and looking on the tv" + } + }, + "3224": { + "2037": { + "43160": "the tallest giraffe", + "43161": "the giraffe reaching up to feed on the tree" + } + }, + "3234": { + "3886": { + "81012": "out of focus broccoli closest to the viewer", + "81013": "a clump of broccoli closet to you in the picture" + } + }, + "3478": { + "3102": { + "64910": "a woman in a green coat", + "64911": "the back of a woman with a green coat and black purse" + }, + "3161": { + "66228": "a person wearing a blue jacket , jeans and brown shoes", + "66229": "the person under the completely solid aqua blue umbrella" + } + }, + "3518": { + "4996": { + "104460": "a long banana", + "104461": "the larger banana is above the small red heart" + } + }, + "3967": { + "4555": { + "94900": "a grey slingbag", + "94901": "a luxury over the shoulder messenger bag / purse" + } + }, + "4360": { + "3911": { + "81482": "a picture of a motorcycle with what appears to be green carpet on it", + "81483": "green bike" + } + }, + "4377": { + "1136": { + "24605": "a lady in a red shirt and blue jeans with blonde hair", + "24606": "a blond woman" + } + }, + "4477": { + "781": { + "16917": "the elephant behind the trunk", + "16918": "the elephant behind the front one" + } + }, + "4578": { + "4689": { + "97592": "empty white table under window" + } + }, + "4844": { + "3444": { + "72348": "a little girl has her right thumb in her mouth and a donut in her left hand", + "72349": "a little girl sucking her thumb holding food" + } + }, + "4904": { + "3388": { + "70899": "an island in the middle of the kitchen with foil pan on top", + "70900": "a table in the middle of the room" + } + }, + "5288": { + "1890": { + "39947": "the right arm of the sleeping man" + } + }, + "5424": { + "1607": { + "34281": "a man reading a book to the small child he ' s holding", + "34282": "a smiling man with a small infant wearing a beige t - shirt and gray jeans" + } + }, + "5434": { + "425": { + "9329": "a gray stone planter holding a spindly tree", + "9330": "this is a planter behind a dog" + } + }, + "5587": { + "1819": { + "38503": "top plate holding sandwiches", + "38504": "the topmost plate of pastries on the pastry rack" + } + }, + "5641": { + "3614": { + "75583": "a man in a black cap explaining something on a white tablet", + "75584": "a man with a blue cap and striped shirt is holding a tablet device showing another man something" + } + }, + "5947": { + "1465": { + "31261": "a red and white plane on the right of some others", + "31262": "a plane in formation with others in the upper rightest corner" + } + }, + "6031": { + "4126": { + "86073": "a man wearing coat tie and shirt reading a book", + "86074": "a man in a suite looking at a book" + } + }, + "6225": { + "1279": { + "27221": "a man playing drums", + "27222": "a man wearing a grey vest and playing the drums" + } + }, + "6338": { + "729": { + "15741": "brown horse with a woman in a striped swimsuit riding it", + "15742": "a horse walking on the beach" + } + }, + "6406": { + "1799": { + "38045": "the jar in the back left filled with yellow", + "38046": "jar of yellow substance in the background" + } + }, + "6730": { + "1654": { + "35272": "a suitcase made from wicker rests on the rug", + "35273": "straw basket on a rug next to a black and white cat" + } + }, + "7145": { + "813": { + "17622": "the right slice of pizza in the 3 / 4 on a clock position in the right hand picture", + "17623": "a pizza" + } + }, + "7224": { + "4734": { + "98494": "a cute young bear climbing on tree looking downwards", + "98495": "bear in the tree" + } + }, + "7247": { + "1148": { + "24960": "an orange and white cat laying its head on another cat", + "24961": "brownish - orange cat sleeping" + } + }, + "7367": { + "1897": { + "40061": "the front banana in the right hand picture", + "40062": "yellow banana without sticker" + } + }, + "7504": { + "1709": { + "36214": "a black , brown , gold , and white clock that says it ' s 7 : 30 in ha noi", + "36215": "a clock on a pink wall with the characters ' ha noi ' printed beneath it" + } + }, + "7710": { + "2128": { + "45256": "horse with shirt on", + "45257": "brown horse with a black mane , blanket and green halter" + } + }, + "8063": { + "3009": { + "63045": "black jacket on the bag", + "63046": "a piece of luggage with a piece of black clothing folded on top of it" + } + }, + "8339": { + "2823": { + "59381": "motorcycle furthest left in picture", + "59382": "the motorcycle furthest to the left" + } + }, + "8396": { + "1608": { + "34301": "a person taking something out of the oven", + "34302": "a blurry image of a man taking out food" + } + }, + "8587": { + "107": { + "1861": "a man in a arm striped sweater", + "1862": "a man in a dark , long - sleeved shirt with beige pants" + } + }, + "8734": { + "2093": { + "44363": "a magazine photo ad for a bay scallops sandwich", + "44364": "picture of bay scallops" + } + }, + "8936": { + "2252": { + "47670": "giraffe in between two other giraffes", + "47671": "the giraffe with its mouth on the square metal ring" + } + }, + "9127": { + "1765": { + "37383": "a small blue and white vase standing next to two larger vases", + "37384": "the smallest , blue vase" + } + }, + "9218": { + "4013": { + "83465": "elephant in deep shade", + "83466": "an elephant in the shade helping another elephant roll a log" + } + }, + "9488": { + "2044": { + "43306": "the man in tan shirt in the back", + "43307": "a man wearing brown clothing sitting in the sun on a green bench" + }, + "4565": { + "95101": "man with red shirt sitting in a chair drinking from a sports bottle", + "95102": "this is a man wearing a red shirt and sitting down" + } + }, + "9640": { + "1371": { + "29054": "a goose on green grass bending down and pecking something out of the grass", + "29055": "a duck feeding on the grass" + } + }, + "9735": { + "79": { + "1419": "a postcard with picture of face of cute girl", + "1420": "a multi coloured envelop on the table" + } + }, + "9968": { + "1817": { + "38452": "a man in a red shirt and black tie", + "38453": "a young man wearing a red shirt and black tie" + } + }, + "10094": { + "597": { + "12965": "a blurry man with a white t - shirt and blue jeans on wearing a red baseball cap", + "12966": "a member of the audience standing up wearing a light tan shirt and blue jeans" + } + }, + "10130": { + "3290": { + "68915": "the woman without glasses in the picture on the bottom", + "68916": "young dark skinned girl with long dark hair leaning over the table tentively" + } + }, + "10407": { + "2888": { + "60577": "carrot slice wedged in butter slab leaning cozily on a bit of broccoli", + "60578": "carrot pice" + } + }, + "10702": { + "4064": { + "84562": "zebra facing away from camera", + "84563": "the zebra whose butt you can see" + } + }, + "10710": { + "118": { + "2043": "a man in a green jersey standing in the batter ' s box , and the front of the catcher", + "2044": "the batter in green shirt" + } + }, + "10817": { + "1798": { + "38033": "a baseball player about to hit a ball", + "38034": "a baseball player hit a ball in a game" + } + }, + "10948": { + "4155": { + "86813": "a man in a brown shirt holds a pink wii control", + "86814": "a man is playing wii" + } + }, + "11029": { + "624": { + "13453": "dark haired woman wearing a blue jacket next to a teddy bear", + "13454": "a woman wearing a dark blue coat and blue scarf is holding a large teddy bear wearing pajamas" + } + }, + "11328": { + "1787": { + "37842": "a woman in a grey and white striped shirt and a woman in a black shirt playing a video game", + "37843": "a woman in a grey and white striped shirt playing wii" + } + }, + "11707": { + "3748": { + "78650": "a large silver plane that says ' paa ' on it" + } + }, + "12377": { + "1856": { + "39037": "a top bunk with a blanket neatly folded under a pillow", + "39038": "the top bunk with pillow and blanket" + } + }, + "12501": { + "4110": { + "85640": "a man in a green shirt", + "85641": "a bald man wearing green t - shirt playing a game in a ground along with three other persons" + } + }, + "12673": { + "3220": { + "67466": "a black suitcase that is sitting upright with the handle partly pulled out", + "67467": "a black , unopened suitcase" + } + }, + "12735": { + "4269": { + "89206": "pizza in the background behind the crushed red pepper", + "89207": "the pizza in the back with tomatos , mozzarella , and bell peppers" + } + }, + "12784": { + "1312": { + "27913": "a laptop beind held by a person", + "27914": "a black laptop with the screen open and a white paper on the keys" + } + }, + "13506": { + "1934": { + "41014": "a baseball player holding a bat", + "41015": "the baseball batter getting ready to hit" + } + }, + "13722": { + "2533": { + "53359": "a sheep doll next to a yellow doll", + "53360": "the stuffed animal with blue and pink" + } + }, + "13901": { + "4869": { + "101371": "the rail car on the other track", + "101372": "the brown side of a train car to the left of a green train car" + } + }, + "13969": { + "1064": { + "23013": "a kid in a gray shirt", + "23014": "asian woman in green shirt" + } + }, + "14014": { + "2490": { + "52409": "stack of 4 pieces of bread in a plate with another stack of two", + "52410": "a sandwich with four pieces of bread on a white plate" + } + }, + "14238": { + "4176": { + "87261": "a green and white small laptop", + "87262": "the device the man is using" + } + }, + "14359": { + "892": { + "19116": "the man in the middle of the three skiers", + "19117": "three people on skis posing together for a picture" + } + }, + "14402": { + "4917": { + "102373": "a small girl with a pink clip on her head", + "102374": "a little girl in light blue shirt eating cake" + } + }, + "14453": { + "1966": { + "41685": "a cat looking at its reflection", + "41686": "a cat in the table , seeing its face in the mirror near by" + } + }, + "14468": { + "2755": { + "58172": "a boy wearing a striped shirt", + "58173": "a man wearing blue jeans" + } + }, + "14487": { + "114": { + "1983": "a hand holding a corn dog", + "1984": "a man holding a hotdog in his left hand" + } + }, + "14864": { + "841": { + "18113": "the player on the right", + "18114": "the woman on the right" + } + }, + "14985": { + "906": { + "19477": "a woman wearing a hat and sunglasses holding a surfboard", + "19478": "a woman in a hat holding a surfboard" + } + }, + "15071": { + "4857": { + "101077": "a little girl picking her ear lying in bed next a small boy", + "101078": "a girl laying down" + } + }, + "15089": { + "4787": { + "99755": "the woman who is standing and wearing a pink shirt", + "99756": "person who is staning" + } + }, + "15195": { + "622": { + "13427": "an older man wearing a blue shirt with a handbag", + "13428": "a scowling man in a navy polo wearing a cross - body bag" + } + }, + "15219": { + "1491": { + "31854": "woman on the left in the black sleeveless top", + "31855": "a woman with a black top and a french pedicure" + }, + "3875": { + "80908": "the woman in gray", + "80909": "a person wearing a grey shirt on the right side of the table" + } + }, + "15273": { + "1895": { + "40031": "an air force jet in a hangar", + "40032": "a plane with the air force logo" + } + }, + "15485": { + "2014": { + "42610": "a brown chair with a red cushion", + "42611": "a wooden chair with red seat cushion" + } + }, + "15617": { + "872": { + "18759": "the jar on the right in the right hand picture", + "18760": "hot spices in a glass jar" + } + }, + "15885": { + "432": { + "9556": "a police officer in a blue shirt next to another officer wearing a dark jacket", + "9557": "a police man on a brown horse" + } + }, + "16037": { + "394": { + "8430": "the woman at the table", + "8431": "lady wearing red turtleneck and black jacket" + } + }, + "16038": { + "3849": { + "80402": "the man standing at the metal railing", + "80403": "the man speaking with lady" + } + }, + "16359": { + "1967": { + "41712": "dark skinned man by coca cola cup" + } + }, + "16412": { + "1083": { + "23578": "a yellow car driving on the right side of a black car", + "23579": "yellow car on road" + } + }, + "16547": { + "1218": { + "26096": "a baseball catcher leaning to catch a ball", + "26097": "a catcher attempting to catch a base - ball" + } + }, + "16796": { + "2885": { + "60537": "the left half od the sandwich", + "60538": "half a sandwich that has not been bitten" + } + }, + "16825": { + "4668": { + "97178": "the zebra on the bottom", + "97179": "a zebra being kissed by another zebra" + } + }, + "16870": { + "4930": { + "102722": "the taller white vase", + "102723": "a white vase in placed next to a shorter white vase for a photo" + } + }, + "17004": { + "1344": { + "28473": "far left of the couch the dog is laying on", + "28474": "white cushion behind and to the left of dog" + } + }, + "17181": { + "719": { + "15493": "a person watching tennis", + "15494": "a man in a black hat and black clothing bent over with his hands on his knees" + } + }, + "17236": { + "2207": { + "46723": "two people hugging", + "46724": "guy in white shirt" + } + }, + "17451": { + "359": { + "7493": "an old parking meter", + "7494": "a two meter standing" + } + }, + "17582": { + "421": { + "9174": "a man in a dark suit", + "9175": "a man in a black suit wearing safety glasses and a green tie" + }, + "3229": { + "67590": "a man in a white shirt and khakis", + "67591": "a machine operator explains something to the supervisors" + } + }, + "17587": { + "680": { + "14751": "a woman with a white headband", + "14752": "women was taking soop" + } + }, + "17938": { + "2067": { + "43882": "a man in a yellow shirt riding a skateboard", + "43883": "man in button - up shirt skateboarding with two others" + } + }, + "18040": { + "946": { + "20315": "a tall giraffe by a tree next to two smaller giraffes and zebras", + "20316": "the giraffe in the shade , with its neck up" + } + }, + "18127": { + "199": { + "3843": "a baseball player sliding into a base", + "3844": "player young on ground" + } + }, + "18256": { + "4262": { + "89090": "a sectional couch", + "89091": "the brown couch" + } + }, + "18683": { + "1412": { + "29952": "a girl wearing a pink gown plays with a boy", + "29953": "a little girl in a pink shirt pulling on a little boy ' s eyelids" + } + }, + "18942": { + "4884": { + "101662": "a man in a green sweater playing wii", + "101663": "a man wearing a green shirt playing wii" + } + }, + "19123": { + "2240": { + "47398": "the man that is kneeling and holding a beer", + "47399": "a man sitting on the floor holding a beer" + } + }, + "19472": { + "4161": { + "86989": "umpire black shirt", + "86990": "the umpire , in a black shirt , who is behind the catcher" + } + }, + "19552": { + "2012": { + "42524": "tennis player in white shots , standing on his toes and waving his racquet", + "42525": "the tennis player on the clay court" + } + }, + "19797": { + "4574": { + "95260": "a white surfboard carried by the person in the middle", + "95261": "a surfboard being carried by a person in between two other people carrying surfboards" + } + }, + "19874": { + "1884": { + "39733": "a gold mid - sized vehicle", + "39734": "a light green car" + } + }, + "19901": { + "3983": { + "82898": "a giraffe looking right behind another giraffe", + "82899": "a giraffe standing behind an almost identical giraffe" + } + }, + "19926": { + "2236": { + "47275": "woman sitting in the middle with both knees pulled up", + "47276": "a woman wearing jeans sitting with her knees up between two people" + } + }, + "19967": { + "1686": { + "35849": "the legs of a person in the background", + "35850": "person in background blue jeans" + } + }, + "20818": { + "2287": { + "48330": "the fully visible donut", + "48331": "donuts" + } + }, + "20857": { + "2643": { + "55852": "the banana which is in back of the other", + "55853": "banana behind another banana" + } + }, + "21126": { + "2481": { + "52140": "lady touch her hair in hand", + "52141": "a woman with dark hair , holding her hair back" + } + }, + "21451": { + "2210": { + "46751": "cheese bread on a napkin" + } + }, + "21531": { + "3547": { + "74169": "a mans hand that is over a pizza", + "74170": "the hand in the center of the photo" + } + }, + "21717": { + "3994": { + "83102": "the man with the birthday hat", + "83103": "a man wearing a dark shirt , a striped apron , a lei , and a birthday cake hat" + } + }, + "21772": { + "1744": { + "36905": "goat in front of other 2 goats", + "36906": "the long haired sheep in front" + } + }, + "21931": { + "4938": { + "102885": "a small black dog looking at itself in a mirror", + "102886": "black pomeranian dog" + }, + "4993": { + "104378": "image of black puppy in mirror", + "104379": "the reflection of the small black dog in a mirror" + } + }, + "22086": { + "1277": { + "27173": "a little girl in a pink and green sweater", + "27174": "child on left" + } + }, + "22102": { + "4274": { + "89340": "a cheese pizza siting next to beers and other pizzas , along with the people eating them", + "89341": "pizza pie closest to guy in green shirt" + } + }, + "22275": { + "1669": { + "35608": "orange placemat under lady ' s elbow", + "35609": "the edge of the table closest to the woman in the black sweater" + } + }, + "22360": { + "180": { + "3399": "the person on the right standing in the shadows with something in her hand", + "3400": "the rightmost woman" + } + }, + "22729": { + "1255": { + "26760": "horse running to beat the first one", + "26761": "brown horse on left behind another horse" + } + }, + "22740": { + "2306": { + "48739": "a man in glasses posing with others while holding an orange octopus kite", + "48740": "the father wearing a white polo shirt" + } + }, + "22863": { + "2302": { + "48699": "the guy with camera and grey sweater in the right hand picture", + "48700": "a man sitting holding a camera about to take a picture" + } + }, + "22953": { + "4378": { + "91398": "the man standing with the grey shirt", + "91399": "the man in the shorts had finished eating and is standing beside the table" + } + }, + "23004": { + "2790": { + "58788": "a jockey in red and white riding a horse", + "58789": "the man on the horse with the four" + } + }, + "23194": { + "357": { + "7404": "a horse behind two other horses that are standing in line with it , being partially obscured by the middle horse", + "7405": "a brown horse to the far left of two others" + } + }, + "23280": { + "4027": { + "83812": "a women with a child", + "83813": "the woman holding a child" + } + }, + "23315": { + "2316": { + "48889": "table with white decorated cushion", + "48890": "tablecloth on the table with birthday balloons" + } + }, + "23355": { + "4346": { + "90811": "first pizza in left hand" + } + }, + "23479": { + "2556": { + "53996": "blue antique car in a building", + "53997": "a light blue automobile" + } + }, + "23639": { + "1097": { + "23867": "the man looking the bowling by another man with chest number46", + "23868": "a mans face that is slightly out of focus with a big nose" + } + }, + "23914": { + "1224": { + "26250": "an empty seat on a sofa" + } + }, + "24030": { + "4617": { + "96082": "a boy in a striped shirt", + "96083": "a little boy in a striped shirt leaning on a sofa" + } + }, + "24563": { + "1434": { + "30484": "women was smileing", + "30485": "the woman with glasses in pink" + } + }, + "24571": { + "342": { + "7061": "a catcher in a blue jersey", + "7062": "a man in a blue jersey playing baseball" + } + }, + "24706": { + "2047": { + "43361": "the lady on the right , in the right side cell , hopping up to hit a ball" + } + }, + "24847": { + "2451": { + "51445": "the giraffe on the right in the right hand picture" + } + }, + "25148": { + "3638": { + "76067": "a small brown dog enthusiatically humping another dog", + "76068": "a brown dog" + } + }, + "25353": { + "625": { + "13456": "a man wearing a black snowsuit standing in the snow", + "13457": "man standing in black with his mouth open" + } + }, + "25566": { + "4496": { + "93839": "plane only seen backside" + } + }, + "26310": { + "4516": { + "94095": "the surfer holding up the lady", + "94096": "a man in a black wet suit riding a surfboard , holding a woman above his head" + } + }, + "26504": { + "1498": { + "32033": "boy holding blue bird", + "32034": "the man on the left is holding a dead bird" + } + }, + "26625": { + "2699": { + "57076": "a person in a blue top and blue shorts reaching for something", + "57077": "man reaching in blue" + } + }, + "26720": { + "1076": { + "23347": "a woman ' s profile in sunlight", + "23348": "a woman with brown hair sitting at a table" + } + }, + "26801": { + "2029": { + "42960": "the cup to the left of the fork", + "42961": "the cup in the front left corner" + } + }, + "26985": { + "42": { + "662": "a boy on a snow scooter with a dark knit cap", + "663": "a boy going down a snow pile on a snowboard" + } + }, + "27006": { + "3725": { + "78092": "a bearded man wearing a dark suit and striped tie", + "78093": "a young man in a dark suit" + } + }, + "27015": { + "2970": { + "62481": "a large brown horse is close to the clown", + "62482": "the horse on the left who is closest to the clown" + } + }, + "27074": { + "1294": { + "27514": "a man holding very large pair of scissors", + "27515": "a man holding some big pair of scissors standing next to a woman an a man in spectacles" + } + }, + "27149": { + "2432": { + "51139": "man in brown shirt and gray pants playing wii", + "51140": "the man playing wii" + } + }, + "27439": { + "486": { + "10655": "a dark colored bear", + "10656": "the darker colored of two bears" + } + }, + "27679": { + "1595": { + "34072": "the bottom portion of the oven door", + "34073": "a lower front panel of a prince oven" + } + }, + "27829": { + "1067": { + "23101": "smaller giraffe on right of larger giraffe", + "23102": "the giraffe with the limp tail" + } + }, + "28038": { + "2934": { + "61508": "a person with blue eyes and glasses looking to the left", + "61509": "woman with glasses looking up" + } + }, + "28085": { + "4919": { + "102418": "the pink donut in the front left corner of the box", + "102419": "the pink donut in the left side of the package that is on top" + } + }, + "28480": { + "3055": { + "64016": "a white motorcycle with a group of men standing around it", + "64017": "a motorcycle with a groud of men gathered around it" + } + }, + "28690": { + "4174": { + "87245": "large zebra standing on the right side of holding area", + "87246": "the zebra in the background that ' s barely visible" + }, + "4535": { + "94432": "the zebra on the left", + "94433": "the rear flank of a zebra standing near other zebras" + } + }, + "28764": { + "1384": { + "29282": "there is a clock on the bottom of the picture" + } + }, + "28824": { + "2319": { + "48928": "a girl using a macbook", + "48929": "a person working on a laptop" + } + }, + "28976": { + "1261": { + "26865": "giraffe eating green leaves", + "26866": "the giraffe with its face near a nest" + } + }, + "28988": { + "2509": { + "52775": "a cow standing next to a tub", + "52776": "a brown cow on the right next to the building" + } + }, + "29014": { + "3239": { + "67799": "the third man from the front in sky blue jacket", + "67800": "a person in a light blue coat" + } + }, + "29161": { + "3720": { + "78050": "a man wearing a hooded sweatshirt and baseball cap and sitting in a chair", + "78051": "a man in a black hoodie" + } + }, + "29268": { + "2751": { + "58087": "a pink paper drink umbrella next to a green paper umbrella", + "58088": "the paper umbrella on the left" + } + }, + "29456": { + "1677": { + "35725": "a bus waiting to be boarded with a similar bus in front of it", + "35726": "a passenger bus with the number 136 painted on it" + } + }, + "29473": { + "3175": { + "66618": "a bowl filled with fried greens", + "66619": "cooked zucchini" + } + }, + "29582": { + "4060": { + "84441": "a woman on a horse looking behind her" + }, + "4112": { + "85688": "the person in the orange leading the group", + "85689": "a woman in an orange windbreaker leading a group of horses and two other women" + } + }, + "29687": { + "3601": { + "75397": "plant with pot in garden", + "75398": "a pot of plant next to stone fence" + } + }, + "29799": { + "4408": { + "92013": "the woman is holding a vegetable on the right of the picture", + "92014": "last female of a group of friends holding fresh vegetables ( broccoli )." + } + }, + "29909": { + "249": { + "4909": "toothbrush located left of three other toothbrushes", + "4910": "a purple and white toothbrush to the left of three others" + } + }, + "30238": { + "58": { + "987": "blonde boy near plant pedestal", + "988": "a blonde haired boy om a blue shirt and a blonde haired girl in purple waiting for a frisbee to be thrown" + } + }, + "30274": { + "795": { + "17221": "kid holding on a skateboard", + "17222": "a boy is sitting on the waiting bench" + } + }, + "30631": { + "1877": { + "39562": "the zebra in the middle", + "39563": "zebra standing in the middle in between two zebras" + } + }, + "30838": { + "1515": { + "32340": "a child wearing skis and a pink snow outfit", + "32341": "a small child in a pink suit" + } + }, + "30973": { + "3703": { + "77643": "a girl wearing glasses and a gray shirt", + "77644": "a woman with eyeglasses" + } + }, + "30997": { + "4294": { + "89689": "a black and grey cat", + "89690": "a grey cat sitting in front of an orange cat" + } + }, + "31334": { + "2872": { + "60185": "a duck in front of another duck", + "60186": "duckling looking forward" + } + }, + "31922": { + "528": { + "11566": "a green and white striped shirt", + "11567": "a person wearing a green shirt with white stripes" + }, + "3400": { + "71217": "guy in a mint shirt holding a cup standing behind a woman with a banana on the bill of her baseball cap", + "71218": "a man in a mint green shirt standing behind the woman" + } + }, + "31943": { + "4362": { + "91077": "man in yellow shirt", + "91078": "a person wearing a yellow shirt and a white hat" + } + }, + "32832": { + "790": { + "17128": "a green coloured bus is standing near another bus", + "17129": "a green bus with 22 on top" + } + }, + "32937": { + "110": { + "1925": "the slice of piezza that the fork and knife are touching", + "1926": "a cheese pizza on a white plate" + } + }, + "32954": { + "3626": { + "75884": "woman with black shirt", + "75885": "a woman wearing black holding a wii remote" + } + }, + "33057": { + "2981": { + "62659": "a lady with a striped pillow in her lap", + "62660": "a women sitting the shoppa" + } + }, + "33127": { + "4342": { + "90729": "a horse with a man on it closest to the camera" + } + }, + "33297": { + "3646": { + "76290": "a fridge next to the cabinet area", + "76291": "a grey fridge in the kitchen" + } + }, + "33634": { + "2051": { + "43539": "a horse that ' s leaning to the right", + "43540": "the left hand horse of a pair of horses pulling a wagon reacting to a gunshot" + }, + "4692": { + "97664": "the horse that is behaving", + "97665": "the horse on the left" + } + }, + "33733": { + "4722": { + "98311": "a vase full of flowers on a table", + "98312": "a vase of white flowers" + } + }, + "33764": { + "2413": { + "50703": "girl playing ultimate frisbee", + "50704": "woman running in a black outfit" + } + }, + "33793": { + "1284": { + "27332": "persons hands in the background , wearing blue shirt", + "27333": "hand wearing something red around the wrist" + } + }, + "33838": { + "3354": { + "70289": "a young man in a plaid hooded sweatshirt warms his hands by a fire", + "70290": "a seated person in plaid and denim warming extended hands near fire" + } + }, + "33841": { + "4482": { + "93415": "a flat bed trailer hitched to a white pickup truck" + } + }, + "33896": { + "1056": { + "22882": "the girl on the left", + "22883": "a girl leaning over a table to the left of another girl" + } + }, + "33959": { + "1393": { + "29464": "a cow with a completely white face and white front leg is eating grass", + "29465": "a white headed cow eating grass" + } + }, + "33991": { + "4839": { + "100854": "a woman in a green hat holding a child in her arms", + "100855": "a lady holding his son in front of elephant at zoo" + } + }, + "34143": { + "4014": { + "83469": "a sheep crossing a wood with its head down touching the legs" + } + }, + "34223": { + "2967": { + "62388": "a man wearing glasses in a white t shirt , blue jeans , mocassins standing with 3 other men", + "62389": "a man with glasses wearing a polo and jeans at a robotics factory" + } + }, + "34356": { + "3391": { + "71000": "dog close to the tall table", + "71001": "a brown and white dog sitting" + } + }, + "34491": { + "1693": { + "36018": "the laptop on the right", + "36019": "an open silver laptop displaying tables of useful - looking data" + } + }, + "34616": { + "4766": { + "99292": "a white truck trailer", + "99293": "there is one white color container standing on the road" + } + }, + "34920": { + "3602": { + "75417": "the shortest giraffe", + "75418": "a giraffe who is above a zebra but below another giraffe" + } + }, + "35094": { + "1477": { + "31523": "computer monitor closest to the mouse", + "31524": "a computer monitor to the right of two other monitors" + } + }, + "35217": { + "46": { + "743": "the back end of a zebra standing to the side of a small tree", + "744": "zebra that is only showing behind no head" + } + }, + "35361": { + "4276": { + "89344": "mother holding baby in yellow towel", + "89345": "a woman holding a baby" + } + }, + "35478": { + "3184": { + "66800": "a white cow behind a fence near two other cows", + "66801": "a white cow in a pasture with brown cows" + } + }, + "35532": { + "4248": { + "88810": "a white soldier" + } + }, + "35571": { + "1167": { + "25263": "the donut on the left side", + "25264": "glazed donut just to the left of phone" + } + }, + "35796": { + "3180": { + "66743": "a tree in front of a brown wall", + "66744": "bushy green plant growing in a square cement planter" + } + }, + "35817": { + "472": { + "10322": "a wooden chair with grey padding , on the right side of a table , with a woman wearing a green dress behind it" + } + }, + "35964": { + "3375": { + "70727": "woman in center", + "70728": "a woman in a black and pink jacket reaching for an item on a table" + }, + "4977": { + "103845": "the lady is putting chili on the meat ball sandwich", + "103846": "a girl was cooking the food and serving" + } + }, + "35995": { + "4768": { + "99334": "front corner of a white bus with man in front of it", + "99335": "leftmost truck" + } + }, + "36046": { + "3330": { + "69690": "the two vacant chairs infront of the person talking on the cellphone", + "69691": "two empty seats on an airplane" + } + }, + "36439": { + "2598": { + "54974": "the man with black pants sitting on the brown couch" + }, + "2668": { + "56400": "a boy wearing a black shirt and black jeans kneeling on the carpet", + "56401": "a kid kneeling down in front of a dog" + } + }, + "36755": { + "2300": { + "48618": "the giraffe on the right side of the photo , this giraffe has its snout halfway down the cylindrical feeding trough", + "48619": "giraffe short and on the right" + }, + "4298": { + "89737": "the taller giraffe", + "89738": "the tallest giraffe in the picture" + } + }, + "37063": { + "4144": { + "86550": "right hand of a person holding a spoon", + "86551": "fingers in the hand holding the spoon handle" + } + }, + "37174": { + "2348": { + "49452": "a man wearing black color t - shirt standing behind the table", + "49453": "a young man standing behind a surfboard in a shop with a black t - shirt and camo shorts" + } + }, + "37177": { + "2715": { + "57305": "a bike up against a fence next to the sidewalk" + } + }, + "37230": { + "4906": { + "101975": "a brown and white cow , with two gold ear tags standing in a field", + "101976": "a cow" + } + }, + "37246": { + "2309": { + "48824": "the back half of the sandwich" + } + }, + "37698": { + "1139": { + "24624": "the right arm of the man in brown", + "24625": "man in the left side with white color speaker" + } + }, + "37748": { + "4166": { + "87054": "a brown sheep laying against a tree to the left of a white sheep", + "87055": "a sheep sleeping back of other sheep" + } + }, + "38282": { + "4647": { + "96613": "the biggest black dog", + "96614": "larger black dog on the mat" + } + }, + "38808": { + "362": { + "7530": "a man in a black coat riding a motorcycle", + "7531": "a man on a motorcycle with a darker jacket" + } + }, + "38922": { + "726": { + "15696": "the girl with a blue surfboard", + "15697": "the woman carrying the blue mat" + } + }, + "38986": { + "762": { + "16476": "the edge of a stack a skateboarder sits on" + } + }, + "39016": { + "4327": { + "90338": "woman and her dog on a bench", + "90339": "a woman leaning back on a blue bench while looking at a dog" + } + }, + "39288": { + "1102": { + "23939": "the bananas in the back" + }, + "1210": { + "25970": "a whole banana with small blemishes", + "25971": "a banana with a triangle shaped bruise" + } + }, + "39360": { + "2508": { + "52760": "a woman ' s arm with a green shirt sleeve , holding a hotdog that is falling out of the bun", + "52761": "hand of person holding hotdog in a bun for a small child to eat" + } + }, + "39395": { + "2884": { + "60529": "the zebra in the back with its mouth on the ground", + "60530": "zebra in back" + } + }, + "39527": { + "2644": { + "55887": "a plush pink bear", + "55888": "pink toy" + } + }, + "39629": { + "1435": { + "30521": "a woman holding a tennis racquet", + "30522": "a woman posing with a tennis racket" + }, + "2273": { + "48118": "a man holding a tennis racket", + "48119": "man in white holding a tennis racket" + } + }, + "39764": { + "4759": { + "99101": "a child in pink who is looking up", + "99102": "a child holding a blue racket on the floor" + } + }, + "39777": { + "3492": { + "73222": "a baseball player holding a ball talking to the catcher", + "73223": "a boston baseball player" + } + }, + "39812": { + "2555": { + "53978": "a blonde woman", + "53979": "blonde woman" + } + }, + "39987": { + "1063": { + "22990": "the second unpeeled carrot from the left", + "22991": "the second carrot from the left" + }, + "3179": { + "66739": "the carrot to the left of all the other carrots", + "66740": "carrot to left of other carrots" + } + }, + "40286": { + "2270": { + "48078": "man on motorcycle wearing a helmet", + "48079": "a man with a plaid button up and a backpack riding a motorcycle" + } + }, + "40338": { + "2135": { + "45370": "a man in camouflage with a tattoo", + "45371": "a man in a military uniform with an arm tattoo" + } + }, + "40830": { + "1989": { + "42138": "woman wearing yellow and blue dress", + "42139": "elderly lady in flower dress" + }, + "4238": { + "88547": "a young woman in a yellow shirt with a brown vest holding a pan and getting ready to open an oven", + "88548": "a woman smaling" + } + }, + "40893": { + "4666": { + "97099": "the part of the food in the top right", + "97100": "the potatoes and cheese in the right hand picture" + } + }, + "41082": { + "885": { + "19020": "a big elephant standing beside the small elephant", + "19021": "the very large elephant behind the elephant calf" + } + }, + "41351": { + "567": { + "12324": "a black laptop on a kids lap", + "12325": "a black laptop using by a boy" + }, + "4396": { + "91777": "a boy in a black coat with a surprised look on his face", + "91778": "a boy , whose right hand on a lap top , has a piece of paper with snacks" + } + }, + "41730": { + "205": { + "3911": "a container of various foods sitting on a table next to other foods", + "3912": "the plate of food that contains broccoli and others" + } + }, + "41785": { + "4211": { + "88036": "a giraffe standing behind another giraffe", + "88037": "a tall giraffe standing behind a shorter giraffe" + } + }, + "41895": { + "2279": { + "48156": "a white horse with some black markings", + "48157": "a white horse" + } + }, + "41938": { + "4383": { + "91565": "a brown bear in the water with his head back interacting with another brown bear", + "91566": "bear with tongue not visible" + }, + "4465": { + "92997": "a bear trying to bite another bear", + "92998": "a bear with its mouth open" + } + }, + "42103": { + "140": { + "2407": "a woman in a red dress holding a peanut butter sandwich", + "2408": "the woman in the red holding the sandwich" + } + }, + "42109": { + "633": { + "13653": "a foaming drink in a glass sits beside a plate of desserts", + "13654": "a glass full of a frothy drink next to a white plate with cake on it" + } + }, + "42320": { + "998": { + "21570": "a persons hand with a red shirt is scooping something", + "21571": "an arm of a person cooking" + } + }, + "42422": { + "2783": { + "58596": "a blue suitcase beneath a brown suitcase and a red suitcase", + "58597": "a light blue suitcase with a brown handle" + } + }, + "42493": { + "2903": { + "60865": "a mountain bike being straddled by a man wearing green", + "60866": "a white bicycle that the man in blue shorts is riding" + } + }, + "42585": { + "303": { + "6149": "the bowl of food to the left of all the other bowls", + "6150": "the food on the far left" + } + }, + "42741": { + "4662": { + "97048": "left half sofa of picture" + } + }, + "42751": { + "3456": { + "72519": "the book to the left of the clock", + "72520": "far left book" + } + }, + "42785": { + "3512": { + "73522": "closest pizza", + "73523": "an artisan pizza with green vegetables on toop" + } + }, + "42972": { + "2409": { + "50641": "the striped couch", + "50642": "a dark blue and light blue couch with a pillow with a grey pillow case on it" + }, + "2479": { + "52133": "a white sofa with a fish plushie on it", + "52134": "white couch with a stuffed fish" + } + }, + "43191": { + "568": { + "12340": "the amtrak train mostly obscured by the book" + } + }, + "43203": { + "2197": { + "46580": "tall giraffe walking to the right", + "46581": "the taller giraffe to the right of the tree" + } + }, + "43560": { + "1362": { + "28836": "a person in pants near a table", + "28837": "legs of blue panted man" + } + }, + "43655": { + "1741": { + "36873": "cat with one paw outside his bed", + "36874": "a cat on the left side sitting next to another cat" + } + }, + "43966": { + "3498": { + "73263": "half of a pizza with various toppings on a white plate", + "73264": "half of a pizza" + } + }, + "44083": { + "4370": { + "91231": "a cupcake on the left" + } + }, + "44286": { + "2327": { + "49040": "a computer screen sitting on top of a speaker", + "49041": "the monitor on top of the speaker" + }, + "2441": { + "51257": "the screen of a computer monitor with some thumbnails visible", + "51258": "moniter fully in frame with image thumbnails showing" + } + }, + "44298": { + "1805": { + "38153": "a women in a gold and black sequined dress standing behind a women with a huge green bow on her dress", + "38154": "a girl in a gold dress with brown hair" + } + }, + "44544": { + "966": { + "20840": "baby elephant", + "20841": "a baby elephant" + } + }, + "44603": { + "345": { + "7108": "the bird has a yellow beak", + "7109": "the black bird with the yellow long beak" + } + }, + "44776": { + "4368": { + "91186": "the bed in which the right side dog is sleeping", + "91187": "the blanket that is most visible" + } + }, + "44815": { + "2975": { + "62570": "green and yellow banana in the table" + } + }, + "44830": { + "2170": { + "46103": "piece of pizza with big chunks of ham", + "46104": "a piece of pizza with bacon on it , next to a slice of plain cheese pizza" + } + }, + "45131": { + "2651": { + "56024": "the bed closest to the wood door", + "56025": "the bed furthest from the light source" + } + }, + "45464": { + "3483": { + "73115": "the man wearing the glasses", + "73116": "boy wearing black with glasses holding skis" + } + }, + "45490": { + "4961": { + "103387": "a goose to the right of other geese standing in front of some water", + "103388": "a black and white goose standing to the right of another goose" + } + }, + "45599": { + "3082": { + "64521": "giraffe on the right", + "64522": "the giraffe on the right" + } + }, + "45680": { + "2995": { + "62792": "the pink dorsal fin part of the kite that looks like a fish", + "62793": "the pink back stripe of a flying fish windsock" + } + }, + "46009": { + "994": { + "21420": "very light zebra", + "21421": "the zebra in the top right" + } + }, + "46349": { + "3848": { + "80393": "a blurry brown bird standing near the top of the picture", + "80394": "a bird , in the background of the image , which is blurry" + } + }, + "46353": { + "1120": { + "24242": "flatbread in the background , out of focus", + "24243": "a blurry pizza behind another pizza" + } + }, + "46728": { + "313": { + "6358": "chair closest to curtains", + "6359": "the armchair closest to the window" + } + }, + "46813": { + "3827": { + "80086": "the white van", + "80087": "truck full of green fruit" + } + }, + "47020": { + "281": { + "5677": "black bicycle seat and rack in front of bike with light grey seat" + } + }, + "47213": { + "2079": { + "44132": "a man holding his hand up trying to be a bartender", + "44133": "a man in a striped shirt and gray pants wearing a watch standing behind a wine bottle" + } + }, + "47388": { + "4178": { + "87299": "red color apple in the white bowl with another light color apple and orange", + "87300": "a very red apple in a bowl of fruit" + } + }, + "47391": { + "438": { + "9643": "a boy in striped pajamas pointing a remote at the camera", + "9644": "a smiling child holding a device" + }, + "4790": { + "99771": "an arm resting on a couch back", + "99772": "the arm on the back of the couch" + } + }, + "47451": { + "3825": { + "80063": "a dark green car is parked on road side", + "80064": "the car on the right" + } + }, + "47492": { + "1069": { + "23191": "black luggage laying down with blue ribbons on the zipper" + } + }, + "47502": { + "596": { + "12951": "a woman holding a baby in front of a laptop", + "12952": "the woman in green" + } + }, + "47652": { + "958": { + "20633": "the pizza slice 3 slices to the left away from the knife" + } + }, + "47832": { + "580": { + "12588": "a batter in blue shirt and blue helmet", + "12589": "baseball player holding bat" + } + }, + "47954": { + "4179": { + "87301": "a man that is not wearing glasses", + "87302": "man on left with banana" + } + }, + "48126": { + "1762": { + "37254": "a cushion with flower patterns on it", + "37255": "a flower print cushion with a dog and a man lying on it" + } + }, + "48162": { + "2587": { + "54791": "a tall giraffe standing behind a smaller giraffe", + "54792": "a giraffe facing the the left who is taller than the other" + }, + "4729": { + "98428": "a smaller giraffe standing in a field in front of a taller giraffe", + "98429": "a small giraffe looking right" + } + }, + "48707": { + "3194": { + "66975": "a tea cup with blue pattern on saucer next to a bowl full of edibles", + "66976": "blurred white coffee cup" + } + }, + "49073": { + "3692": { + "77326": "baby giraffe and the head of its mama", + "77327": "a baby giraffe" + } + }, + "49128": { + "1276": { + "27167": "a woman in a white shirt getting coffee", + "27168": "woman in white top getting some coffee" + } + }, + "49135": { + "4941": { + "102978": "the reflection a man at the top of a railing", + "102979": "reflection of the left most boy wearing white shirt" + } + }, + "49321": { + "2623": { + "55481": "older man with light brown glasses and gray sweater sitting down looking at his phone", + "55482": "man with yellow glasses" + } + }, + "49904": { + "777": { + "16727": "little girl in a pink skii outfit learning to skii with her parent", + "16728": "little girl in pink" + }, + "3754": { + "78738": "woman skiing with red coat on", + "78739": "a man was standing" + } + }, + "50105": { + "4723": { + "98314": "the second train from the right on the bottom row" + } + }, + "50161": { + "1164": { + "25234": "the man walking with the blue pants", + "25235": "man in medium blue pants carrying a bag" + }, + "3611": { + "75564": "half of the body of a man walking in a long blue / gray coat ahead of the two men", + "75565": "a man wearing a grey jacket , with only half of him shown" + } + }, + "50379": { + "1257": { + "26784": "red car", + "26785": "the back end of a red car" + } + }, + "50414": { + "4626": { + "96245": "a blue blanket on top of a bed with a girl lying on it" + } + }, + "50597": { + "3956": { + "82342": "the child eating banana is wearing a blue colored frock", + "82343": "girl in the blue dress" + } + }, + "50601": { + "1029": { + "22234": "water tub in zoo", + "22235": "the black animal on the bottom left of the photo" + } + }, + "50945": { + "4037": { + "83994": "a slice of food that is closer to the fork than any other slice", + "83995": "out of focus pizza slice" + } + }, + "51210": { + "2574": { + "54507": "the zebra in the middle", + "54508": "a zebra with its back under the head of another zebra" + }, + "4405": { + "91913": "an young african american male standing next to a zebra museum display", + "91914": "a black child rests his hand on the window behind 3 zebras" + } + }, + "51302": { + "293": { + "5939": "a lady in black", + "5940": "a laughing woman with dark hair and a dark shirt" + } + }, + "51563": { + "1752": { + "37022": "a front horse is walking on the road", + "37023": "first brown horse in the line ridden by a cop" + } + }, + "51777": { + "1652": { + "35265": "a corner of a bench with the snow cleared off" + } + }, + "51799": { + "3027": { + "63421": "this is the larger screen of a computer . also a smaller one there", + "63422": "monitor of the desktop compuuter" + } + }, + "52219": { + "4793": { + "99824": "the girl with the pink shirt", + "99825": "a woman wearing a pink shirt sitting at a table while eating" + } + }, + "52461": { + "126": { + "2240": "meter on right", + "2241": "a parking meter situated on the pole to the right of another meter that has some sort of cloth on it" + } + }, + "52847": { + "1333": { + "28225": "a woman in a pink and orange flannel shirt carrying a baby", + "28226": "a lady holding her kid" + } + }, + "52849": { + "1624": { + "34751": "the second food truck from the left", + "34752": "the silver food truck" + } + }, + "52951": { + "3383": { + "70788": "girarafe on right", + "70789": "the giraffe sitting down to the right" + } + }, + "53221": { + "962": { + "20704": "the brown and white cow in the middle", + "20705": "the cow in the middle" + } + }, + "53601": { + "2438": { + "51229": "a boy without t - shirt", + "51230": "the boy on the left" + }, + "4982": { + "103966": "boy in black shirt eats hot dog", + "103967": "a young boy eating a hot dog" + } + }, + "53778": { + "1611": { + "34425": "a cow with really long horns" + } + }, + "53864": { + "137": { + "2361": "there is a laptop under the cat", + "2362": "laptop under cat" + } + }, + "54244": { + "4659": { + "96976": "a man in a white v - neck tshirt", + "96977": "bearded man sitting at a table" + } + }, + "54318": { + "3418": { + "71580": "the bed on the right in the right hand picture" + } + }, + "54804": { + "4955": { + "103269": "orange school bus", + "103270": "an orange school bus" + } + }, + "54805": { + "1873": { + "39457": "a plush bear with a pink ucb hat", + "39458": "a bear wearing a pink hat" + } + }, + "55317": { + "4494": { + "93729": "man in white t - shirt and black shorts jumping to catch frisbee", + "93730": "a man in a white shirt jumping" + } + }, + "55398": { + "1332": { + "28209": "a man in a blue dress shirt standing next to a woman", + "28210": "a man in a blue shirt standing in a kitchen" + } + }, + "55418": { + "4242": { + "88693": "a train car witha red stripe", + "88694": "train with red stripe" + } + }, + "55873": { + "3414": { + "71523": "the green granite table top", + "71524": "the portion of granite counter under the cutting board" + }, + "3469": { + "72893": "plate with fruit", + "72894": "a white and blue bowl full of fruit" + } + }, + "56005": { + "3977": { + "82736": "a green irish themed food truck", + "82737": "a green food truck that has get your irish ... emblazoned across the bottom" + } + }, + "56023": { + "3954": { + "82306": "a skier wearing a blue coat and black pants", + "82307": "person with blue sweater standing in the middle arranging the gloves" + } + }, + "56266": { + "3258": { + "68243": "the food on the plate that is the most near", + "68244": "a pizza with spinach" + } + }, + "56313": { + "2978": { + "62632": "a baseball player named gonzalez", + "62633": "a man in a white baseball uniform walking with a bat" + } + }, + "56416": { + "347": { + "7149": "a lady with pink dress holding a joystick and sitting on a red sofa", + "7150": "a woman wearing pink" + } + }, + "56594": { + "3472": { + "72928": "the purple umbrella", + "72929": "the purple closed umbrella" + } + }, + "56699": { + "1266": { + "26912": "front of the big red tram in the other lane on the highway", + "26913": "a red bus with the licence number j322bsh on it" + } + }, + "57088": { + "4198": { + "87697": "bus on the left next to the red car", + "87698": "a red double - decker bus with a man standing in the doorway" + } + }, + "57147": { + "2370": { + "50028": "a baby giraffe in a zoo leaning over a pile of rocks to eat something with an adult giraffe looking over its shoulder", + "50029": "the baby giraffe" + } + }, + "57339": { + "4505": { + "93955": "tallest elephant", + "93956": "an big gray elephant beside a smaller gray elephant" + } + }, + "57663": { + "2223": { + "47051": "a man sitting a chair holding a protest sign", + "47052": "a man with a shirt reading not one more day not one more dollar not one more death" + } + }, + "57699": { + "4273": { + "89294": "the stack of clothes to the right of the stack of clothes with a white shirt on top", + "89295": "black folded shirt" + } + }, + "57772": { + "4865": { + "101219": "a small baby in the hands of parents", + "101220": "a young child wearing a yellow shirt snuggled between his parents" + } + }, + "57794": { + "751": { + "16247": "a girl gray dress and painting brush", + "16248": "a kid with a hat on painting a hydrant" + }, + "3226": { + "67540": "a woman with dark hair in a white shirt wearing sunglasses standing to the left of a firehydrant", + "67541": "a woman in a white shirt painting a fire hydrant with her two friends" + } + }, + "57849": { + "3441": { + "72260": "the blurry baseball player background wearing the green jacket", + "72261": "man in background left side" + }, + "3540": { + "74039": "a man in a blue baseball shirt and white pants swinging a bat", + "74040": "a base ball player playing" + } + }, + "58431": { + "4461": { + "92944": "darker elephant", + "92945": "elephant on the left" + } + }, + "58497": { + "862": { + "18630": "a man wearing black or dark gray trousers and jacket with a green hat on skis holding two ski poles in his right hand", + "18631": "a man in a black skii outfit holding on to a long orage object with a woman" + } + }, + "58580": { + "4529": { + "94312": "a boy in a red jacket playing wii", + "94313": "a young blond boy in a green and red sweatshirt playing with a wii controller" + } + }, + "58609": { + "84": { + "1499": "the wine glass in the middle right", + "1500": "wine glass directly infront of white cheese with blue streak" + }, + "1872": { + "39451": "left most glass", + "39452": "a glass of red wine sitting on the counter of a table with another glass of water behind it" + } + }, + "58677": { + "2421": { + "50926": "a glass bottle with a reddish orange sauce and a red and yellow label", + "50927": "a tall bottle of hot sauce with a pepper on the wrapper" + } + }, + "58861": { + "3141": { + "65871": "blurred out faucet in the background" + } + }, + "59034": { + "3191": { + "66946": "the bench the woman is sitting on", + "66947": "a side of the bench that a woman is sitting on" + } + }, + "59036": { + "2154": { + "45713": "a roast beef sandwich cut into two pieces with lettuce", + "45714": "half of an open faced sandwich , with a spoon resting behind it" + }, + "2703": { + "57135": "one half of a sandwich with lettuce and other condiments on it", + "57136": "a half sandwich to the left of the soup" + } + }, + "59138": { + "3172": { + "66455": "a man in a leather jacket and white tennis shoes and a hat leaning on the door", + "66456": "a man with a cap on standing next to the doors in a subway car holding an umbrella" + } + }, + "59194": { + "3931": { + "81828": "chair in the front", + "81829": "chair in a hall" + } + }, + "59367": { + "2131": { + "45308": "large man with hat and green foam sitting on his lap", + "45309": "a man sitting with pool noodles on his lap" + }, + "4433": { + "92486": "man wearing tan pants sitting on couch", + "92487": "man in khaki pants" + } + }, + "59542": { + "4471": { + "93125": "a boy in orange t - shirt and black shorts playing football with other players", + "93126": "football player wearing luminous orange shirt" + } + }, + "59582": { + "2777": { + "58491": "a green tumbler", + "58492": "a green mug" + } + }, + "59584": { + "1306": { + "27841": "a cow eating grass", + "27842": "the cow that has it ' s head in the grass" + } + }, + "59593": { + "3508": { + "73469": "a girl in a brown outfit holding a game controller", + "73470": "a little girl dressed in brown playing a video game" + } + }, + "59816": { + "2402": { + "50488": "a white couch with a black and white pillow", + "50489": "a white couch sitting next to a yellow couch" + } + }, + "59947": { + "1492": { + "31862": "the bike behind the red bike", + "31863": "the last bike on the right" + } + }, + "59960": { + "4165": { + "87036": "a child in a green shirt holding feathers", + "87037": "a small boy with green t - shirt on him" + } + }, + "60155": { + "3018": { + "63210": "a person sat behind and holding the food", + "63211": "the tops of the person holding the food" + } + }, + "60182": { + "3034": { + "63551": "a boy riding a motorcycle in a man ' s lap", + "63552": "a little boy in an olive green sweater sitting in the lap of a man on a motorcycle" + } + }, + "60190": { + "4504": { + "93946": "a person holding a beer bottle in his right hand", + "93947": "man standing before a dishwasher holding a bottle of bear in the kitchen" + } + }, + "60325": { + "2350": { + "49472": "a mostly full glass of water", + "49473": "glass of water" + } + }, + "60350": { + "2890": { + "60626": "a woman wearing blue tshirt playing with her boy friends", + "60627": "woman in blue shirt between two men" + } + }, + "60874": { + "2613": { + "55231": "a chair to the right of a television is empty", + "55232": "chair to right of tv" + }, + "3847": { + "80383": "chair in corner under two white shelves with nothing on them", + "80384": "a decorative victorian style arm chair in the corner of a room" + } + }, + "60881": { + "2955": { + "62064": "a baby brushing her teeth", + "62065": "a man holding the baby" + } + }, + "60892": { + "1775": { + "37568": "the bed that has the most visible", + "37569": "a full picture of a bed in a hotel room" + } + }, + "61159": { + "388": { + "8339": "a man wearing glasses , a red and black jacket and jeans ; holding up an umbrella in the rain for a man who is fixing a tire", + "8340": "a man in a red jacket holding an umbrella" + } + }, + "61209": { + "673": { + "14679": "an elephant with 2 white tusks", + "14680": "the elephant on the right side of the picture that seems to be looking into the camera" + } + }, + "61524": { + "3195": { + "66987": "the parked minivan", + "66988": "a car that you can see the headrests in" + } + }, + "61585": { + "990": { + "21345": "a plain white tent canopy with dog - eared awning", + "21346": "a white canopy above a road" + } + }, + "61832": { + "4847": { + "100956": "the person in the back wearing a white shirt and light brown pants", + "100957": "a man wearing a white shirt and tan pants" + } + }, + "61867": { + "1260": { + "26861": "zebra behind three other zebras", + "26862": "the zebra that ' s standing behind all the other ' s" + }, + "1296": { + "27581": "a zebra colt that is following its mother", + "27582": "a baby zebra" + }, + "2055": { + "43681": "the zebra in the middle", + "43682": "the zebra walking to the left that is closest to the viewer" + } + }, + "62233": { + "3020": { + "63268": "man with beanie" + } + }, + "62279": { + "1728": { + "36571": "side dish in the small white bowl to the right of the plate with the watermelon on it", + "36572": "the smallest bowl with blue trim around the edge" + } + }, + "62376": { + "3644": { + "76278": "a black desk chair with a black vest on the back", + "76279": "a black jacket on the back of a blak chair" + } + }, + "62446": { + "2203": { + "46656": "wine glass that is farther away", + "46657": "leftmost glass" + } + }, + "62547": { + "1337": { + "28309": "a man with glasses in a gray hooded jacket that is leaning back in his chair", + "28310": "the man on the left" + }, + "4473": { + "93155": "a bored looking man wearing a blanket , holding a cat , and browsing the internet", + "93156": "man wrapped in blanket holding cat" + } + }, + "62664": { + "2376": { + "50083": "a bridled horse with a light blonde mane lilting to its right", + "50084": "the horse on the left" + } + }, + "62763": { + "498": { + "10979": "the girl in the pink shirt that is holding a drink", + "10980": "a young girl in a pink shirt eating ice cream" + } + }, + "62872": { + "4521": { + "94214": "a short haired man who is watching the other man catch the frisbee", + "94215": "the frisbee player on the right side of the image , wearing the brown shoes , who watches the man making the catch" + } + }, + "63043": { + "2288": { + "48354": "a dark brown cow being pulled by a man with a leash" + } + }, + "63209": { + "41": { + "658": "a woman in front of a man in glasses", + "659": "a woman wearing a coat with red trim holding her cell phone" + } + }, + "63370": { + "4193": { + "87594": "a giraffe looks to the left while standing behind another giraffe", + "87595": "a giraffe behind another giraffe" + } + }, + "63418": { + "2767": { + "58324": "the woman on the left" + } + }, + "63587": { + "4988": { + "104200": "the tallest giraffe", + "104201": "the giraffe to the far right of the group" + } + }, + "63782": { + "1861": { + "39184": "the motorcycle in the forefront in the right hand picture" + } + }, + "63820": { + "409": { + "8771": "express plane in front of us", + "8772": "an air canada express jet" + } + }, + "63860": { + "2514": { + "52915": "catcher behind plate making high catch", + "52916": "catcher in blue shirt reaching out to catch a ball" + } + }, + "63866": { + "2347": { + "49418": "the seat the man with the tie is wearing" + } + }, + "63867": { + "4758": { + "99074": "this is a woman wearing black shoes on the left", + "99075": "a woman who is not holding an umbrella" + } + }, + "64249": { + "797": { + "17269": "giraffe standing next to pole", + "17270": "a single giraffe stands next to some wooden poles" + } + }, + "64263": { + "3204": { + "67114": "a boy in a blue and brown shirt playing a video game", + "67115": "a little boy holding a remote control" + } + }, + "64481": { + "423": { + "9292": "the red patterned bag", + "9293": "the multicolored backpack of the lady walking on the left" + } + }, + "64492": { + "1071": { + "23242": "the back of the chair that is behind the chair the woman is sitting on", + "23243": "empty chair behind seated woman" + } + }, + "64804": { + "2610": { + "55162": "duckling in middle", + "55163": "a brown and yellow duck in between two other ducks" + } + }, + "64816": { + "774": { + "16665": "a man standing and holding the horse", + "16666": "a man with dark clothing on , standing next to a horse" + } + }, + "65163": { + "4511": { + "94020": "brown bar table next to the window" + } + }, + "65198": { + "3287": { + "68865": "a bird with its beak open", + "68866": "a bird with its beak open" + }, + "4136": { + "86357": "a bird being fed", + "86358": "the bird with its back facing the camera" + } + }, + "65329": { + "68": { + "1241": "laptop perched on a man ' s lap", + "1242": "the laptop in a lap" + }, + "272": { + "5495": "laptop on desk next to the clock", + "5496": "laptop on desk" + } + }, + "65336": { + "4430": { + "92447": "a black wooden chair far away from the door" + } + }, + "65407": { + "4124": { + "85969": "a red chair that rick walton is sitting on", + "85970": "rick walton sitting in a red chair with legs crossed" + } + }, + "65588": { + "1078": { + "23448": "white cloth behind a man eating a sandwich", + "23449": "white pillows on a blue blanket" + } + }, + "65842": { + "4344": { + "90770": "orange sitting in boiling wate with a crack on the side and a white spot on top", + "90771": "a single lemon in a boiling pot with five lemons surrounding" + } + }, + "65894": { + "2916": { + "61179": "boat with 3 passengers", + "61180": "the long boat moving to the right" + } + }, + "65900": { + "4479": { + "93360": "the person holding the yellow board", + "93361": "there is a man wearing red and white shorts" + }, + "4545": { + "94575": "a man in an orange shirt doing a trick on a surfboard midair", + "94576": "man in orange shirt on skateboard leaping up on the wave" + } + }, + "66041": { + "1314": { + "27997": "a sage green stuffed armchair placed in a corner", + "27998": "a green arm chair" + } + }, + "66085": { + "3687": { + "77250": "the legs of an adult reaching down to open a backpack", + "77251": "a person leans over to pick up a knapsack off the ground while someone lies nearby with crossed legs" + } + }, + "66156": { + "234": { + "4646": "the motorcycle nearest the front end of the truck" + } + }, + "66266": { + "4581": { + "95357": "a soccer player in white", + "95358": "a man wearing white playing soccer" + } + }, + "66345": { + "4354": { + "90978": "a man that looks very much like george w . bush swings wildly at a tennis ball and misses", + "90979": "a tennis player swinging his racket" + } + }, + "66398": { + "554": { + "12111": "a dark brown table" + }, + "2671": { + "56416": "a large circular decorative platter with sushi items on it", + "56417": "plate with sushi on a table with a variety of other dishes" + } + }, + "66420": { + "2486": { + "52272": "a man relaxing on a couch watching his kid play", + "52273": "person on tan couch on left" + } + }, + "66503": { + "2341": { + "49263": "a banana with the stem pointing downward", + "49264": "a banana with the top of it pointing downwards" + } + }, + "66507": { + "2225": { + "47073": "the woman in the middle wearing yellow glasses frames", + "47074": "the women with the yellow glasses" + } + }, + "66514": { + "1397": { + "29601": "a boy was sitting on westen sink", + "29602": "a child on a full sized toilet" + } + }, + "66566": { + "2906": { + "60942": "a container with star shaped cheese and grilled meat", + "60943": "broccoli and chicken in a container" + } + }, + "66586": { + "4622": { + "96193": "top pair of hands", + "96194": "the hands in the background" + } + }, + "66943": { + "3749": { + "78671": "a giraffe that is larger than the other", + "78672": "mother giraffe with her kid girafee on the back side walking" + } + }, + "66987": { + "510": { + "11182": "a adult giraffe walking with a baby giraffe", + "11183": "taller giraffe" + } + }, + "67115": { + "2527": { + "53272": "the giraffe directly above the bird", + "53273": "giraffe in middle of group of giraffes" + }, + "2931": { + "61478": "tallest giraffe in the middle of the group", + "61479": "the back giraffe in the right hand picture" + } + }, + "67560": { + "482": { + "10601": "a man wearing a light blue shirt and khaki shorts", + "10602": "the man in a light blue shirt" + }, + "555": { + "12117": "a man wearing a dark blue shirt and jeans", + "12118": "a man with a black tshirt on sitting on the left of the woman beside him" + } + }, + "67577": { + "2189": { + "46448": "carrots", + "46449": "the carrots the cat is sniffing" + } + }, + "67615": { + "1564": { + "33459": "umpire", + "33460": "an umpire adorning the number 71 on his dark jersey hovering over the catcher" + } + }, + "67655": { + "654": { + "14305": "the brown couch beneath a man in a suit", + "14306": "the couch with two people sitting on it" + } + }, + "67936": { + "2459": { + "51684": "man with patterned shirt", + "51685": "a person in a red and black shirt" + }, + "3897": { + "81149": "standing man in a pink shirt and jeans holding a skillet", + "81150": "man in red shirt holding pot with one leg up" + } + }, + "68048": { + "2924": { + "61317": "a cat is sitting before the switched on laptop while the other cat looking outside", + "61318": "grey and white cat laying in front of laptop" + } + }, + "68688": { + "2092": { + "44334": "a woman with glasses and purple blazer in a photo", + "44335": "elderly woman in picture" + } + }, + "69048": { + "4257": { + "88927": "young girl at a breakfast bar in a areopastale sweatshirt smiling while sister poses with a birthday cake", + "88928": "the girl sitting down on the chair in the right side of the photo wearing an aeropostale sweater" + } + }, + "69231": { + "3015": { + "63168": "a cat is lying on a white cover on a bed", + "63169": "stripped cat with white tummy laying on white blanket" + } + }, + "69405": { + "3366": { + "70538": "a portion of cake left on a plate with some remaining blue icing , next to a fork and decorative monkey face", + "70539": "a piece of cake on a plate" + }, + "3423": { + "71866": "it is a monkey face", + "71867": "a confectionary decoration designed to resemble a monkey ' s head" + } + }, + "69432": { + "4428": { + "92412": "a man in a car", + "92413": "a man wearing sunglasses" + } + }, + "69458": { + "185": { + "3582": "kid in middle", + "3583": "a short person wearing red holding a tennis racket in between two taller people" + } + }, + "69661": { + "4552": { + "94866": "woman sitting at a table and talking on her cell phone", + "94867": "a girl speaking the cellphone with sitting the chair" + } + }, + "69700": { + "237": { + "4729": "the balding man in the suit", + "4730": "a man in a grey suit shaking another mans hadn" + } + }, + "70094": { + "3127": { + "65531": "a paper coca cola cup on a table beside a hot dog", + "65532": "a coca cola beverage container" + } + }, + "70257": { + "2185": { + "46292": "a man playing the tennis in front position of girl playing the tennies", + "46293": "tennis player in rear left" + } + }, + "70339": { + "4467": { + "93028": "the planter closest to the wheelchair" + } + }, + "70861": { + "2024": { + "42824": "a large passenger bus with an advertisement for oxford heathrow gatwick on the side", + "42825": "blue single level bus" + } + }, + "71038": { + "3910": { + "81426": "the man in a white shirt", + "81427": "man in white t - shirt bent over" + } + }, + "71244": { + "4150": { + "86694": "man and woman on left", + "86695": "a man sitting with his back turned looking over his right" + } + }, + "71399": { + "2873": { + "60211": "a clear glass tray of cut vegetables with herbs on top", + "60212": "a container of carrots" + } + }, + "71646": { + "2211": { + "46772": "giraffe", + "46773": "the giraffe that is running / chasing the zebras" + }, + "4101": { + "85369": "zebra that can be seen underneath the giraffe", + "85370": "the zebra in between the two closest giraffes" + } + }, + "71716": { + "4735": { + "98544": "the girl in the long sleeved shirt", + "98545": "person holding a plate" + } + }, + "71870": { + "385": { + "8259": "the blue toothbrush", + "8260": "the blue handled toothbrush still in its ' package" + } + }, + "72429": { + "1163": { + "25220": "a women in blue shirt", + "25221": "a woman in a blue top and khaki shorts" + } + }, + "72453": { + "2733": { + "57652": "a lady wearing a skirt that is sitting next to a man on a wooden bench", + "57653": "the lady sitting right next to a man , on his left" + } + }, + "72701": { + "3949": { + "82222": "a sandwich with the cut half facing away from the camera" + } + }, + "72769": { + "1874": { + "39488": "a purple and blue clothed table with no children at it", + "39489": "two adjacent tables with no one sitting at them" + } + }, + "73066": { + "594": { + "12927": "a dirty , silver colored pair of scissors hangs from a hook", + "12928": "stainless scissors" + } + }, + "73162": { + "1493": { + "31880": "a man dressed in yellow playing the wii", + "31881": "man wearing yellow shirt holding game controller" + } + }, + "73226": { + "3640": { + "76246": "a laptop to the left of another laptop", + "76247": "a laptop turned on on the left" + } + }, + "73262": { + "1449": { + "30899": "a guy riding a small bike", + "30900": "the boy in a red shirt on a light green back" + } + }, + "73680": { + "1971": { + "41822": "the dirty toilet on the right", + "41823": "toilet in right stall" + } + }, + "73823": { + "1038": { + "22382": "the left side of a two - space parking meter , with snow on top", + "22383": "a parking meter covered in snow to the left of another similar parking meter" + } + }, + "73889": { + "3868": { + "80760": "a runner about to slide into home in a baseball game", + "80761": "player in white uniform running to home plate" + } + }, + "73922": { + "2456": { + "51613": "a bird not standing near a dried up berry", + "51614": "the bird without a dried berry in its grasp" + } + }, + "73951": { + "129": { + "2281": "a man in a red shirt", + "2282": "a man in a red shirt holding a red frisbee" + }, + "3245": { + "68031": "man reaching in chain to get blue frisbee", + "68032": "a man in a white shirt" + } + }, + "74078": { + "1942": { + "41113": "a brown and green striped couch to the left of the fireplace", + "41114": "a plaid couch on the left side of living room that is brown and green" + } + }, + "74127": { + "1366": { + "28964": "a woman with a ponytail and multicolored apron not holding a child" + } + }, + "74215": { + "2090": { + "44318": "a man in black", + "44319": "a man on the skating board with helmet" + }, + "2502": { + "52629": "a woman with orange mirrored goggles holding skies", + "52630": "a woman in ski googles holding her skis in her hands" + } + }, + "74534": { + "3332": { + "69769": "yellow rice with carrots sitting next to a mixed beans salad", + "69770": "rice and fried vegetable" + } + }, + "74574": { + "734": { + "15838": "the head of a horse behind two other horses in a race", + "15839": "horse with white bridle" + }, + "807": { + "17517": "a racehorse with an orange apron with number 7 on it", + "17518": "a brown race horse wears an orange cloth with the number 7 while racing" + } + }, + "74861": { + "208": { + "4037": "the middle of three trains", + "4038": "a metro train painted in blue body and yellow face" + } + }, + "74925": { + "3904": { + "81298": "the girl facing opposite side", + "81299": "the young lady is waiting for her food" + } + }, + "74942": { + "2649": { + "55995": "roast beef sandwich side closest to the glass with straw in it", + "55996": "half of a sandwich that has more meat showing than the other half it is sitting next to" + } + }, + "75120": { + "3240": { + "67830": "a lady in a lime green shirt and shorts leaning on a wall", + "67831": "woman in yellow shirt" + } + }, + "75697": { + "1145": { + "24878": "wood chair", + "24879": "the arm of a rocking chair" + } + }, + "75726": { + "1496": { + "32006": "a woman in a blue top and wearing eyeglasses sitting at a table in front of a cake with candles", + "32007": "a woman smaling" + } + }, + "76266": { + "4431": { + "92454": "the hot dog covered with chili", + "92455": "a hot dog with chili sauce" + } + }, + "76885": { + "3593": { + "75245": "a white man with a gray mustache and a white shirt", + "75246": "the man in white looking at the monitor" + } + }, + "77297": { + "1924": { + "40625": "a man serving donuts to a child in red", + "40626": "the arms of a food handler wearing a red shirt and tan pants picking up a donut" + } + }, + "77417": { + "3730": { + "78191": "the right - hand dell computer screen", + "78192": "monitor nearest mouse" + } + }, + "77481": { + "1484": { + "31672": "a boy sits to the furthest left and holds a laptop in his lap", + "31673": "boy on a laptop with bare feet and black jeans" + } + }, + "77752": { + "692": { + "14962": "the table that the lady is sitting next to", + "14963": "the small portion of picnic table next to the girl" + } + }, + "77806": { + "4836": { + "100807": "a parked black car by the curb", + "100808": "the black car parked near the people" + } + }, + "77841": { + "3178": { + "66723": "a blank - faced woman in white stands holding a nintendo wii controller beside a fellow in a green - and - white striped shirt", + "66724": "a woman in glasses and a white shirt holding a wii remote controller" + } + }, + "77873": { + "2153": { + "45705": "the white vehicle parked on the far side of the street", + "45706": "white vehicle on street" + } + }, + "77874": { + "4814": { + "100274": "the dark brown horse", + "100275": "a dark horse between three lighter horses" + }, + "4874": { + "101467": "brown horse with a blanket on its back on the right tied next to other horses at a hitching post", + "101468": "a brown and white horse with heavy brown blanket on white sand tethered" + } + }, + "78274": { + "1627": { + "34823": "the ottoman on front of the couch", + "34824": "a rectangular ottoman in front of the tv" + } + }, + "78359": { + "4813": { + "100240": "a blue handled object next to a brown file", + "100241": "pair of bright blue handled scissors" + } + }, + "78425": { + "4315": { + "90109": "rider with white motorcycle and white suit", + "90110": "the white motorcycle in the road" + } + }, + "78457": { + "2531": { + "53353": "the clock on the left", + "53354": "the clock on the left" + } + }, + "78482": { + "170": { + "3073": "a man in navy attire pulling something off a large cake", + "3074": "man in sailor uniform cutting large cake and woman in uniform looking over his shoulder" + } + }, + "78642": { + "1330": { + "28187": "lady in purple shirt", + "28188": "a woman cooking with two small girls" + } + }, + "78663": { + "3574": { + "74804": "a single bed by the window", + "74805": "the bed nearest the window" + } + }, + "78985": { + "2706": { + "57171": "a men sitting on bench", + "57172": "an older gentleman sitting on a bench smoking a cigarette" + } + }, + "79021": { + "782": { + "16931": "a small white dog on a field", + "16932": "a small mostly white dog with brown fur on head" + } + }, + "79111": { + "3584": { + "74978": "the bottom most wooden spoon closest to the apples" + } + }, + "79277": { + "1431": { + "30397": "a man in a grey t shirt eating", + "30398": "man wearing a gray shirt and jeans" + } + }, + "79286": { + "1937": { + "41052": "an umpire", + "41053": "an umpire in a black shirt" + } + }, + "79642": { + "4335": { + "90564": "a peacock that is behind the rest of the peacocks and is closest to the viewer", + "90565": "the peacock with the lighest feathers and is standing the furthest up" + } + }, + "79887": { + "2499": { + "52544": "white kitchenaid mixer", + "52545": "the stainless steel mixing bowl of the white mixer" + } + }, + "80246": { + "2542": { + "53569": "officials during meeting", + "53570": "old man with red tie and grey hair" + }, + "4681": { + "97436": "the man with the suit jacket on and glasses sitting on his nose", + "97437": "a man with glasses is wearing a suit with a red tie" + } + }, + "80275": { + "1440": { + "30608": "the biggest elephant on the photo", + "30609": "largest elephant in picture" + } + }, + "80332": { + "3335": { + "69872": "a tennis player wearing red and white clothes holding a tennis racket", + "69873": "a woman playing tennis" + } + }, + "80520": { + "1350": { + "28546": "an adult and a baby giraffe standing by a pile of rocks", + "28547": "the mama giraffe in the right hand picture" + }, + "3676": { + "76975": "a baby giraffe", + "76976": "baby giraffe" + } + }, + "80535": { + "3363": { + "70464": "a giraffe with its head bent down between a tree with two trunks", + "70465": "a giraffe bending its neck down" + } + }, + "80543": { + "50": { + "826": "a woman was in the watar", + "827": "a person in a wet suit stands in water" + } + }, + "80590": { + "4302": { + "89825": "the glass infront of the 2007 bottle", + "89826": "wine glass in front of chardonnay bottle" + }, + "4826": { + "100576": "an empty wine glass between two other empty wine glasses", + "100577": "a bottle with the number 2008 and green label" + } + }, + "80634": { + "3236": { + "67747": "two pizza slices on left side", + "67748": "the section of pizza above the sauce" + } + }, + "80691": { + "3138": { + "65797": "a sandwich next to some money", + "65798": "sandwich closest to the change" + } + }, + "80826": { + "2426": { + "50985": "the first table of the picture on which pizzas are there", + "50986": "a pizza topped with ham and corn" + } + }, + "81476": { + "60": { + "1055": "a baby polar bear between it ' s mother ' s legs", + "1056": "a white polar cub looking at the mother licking the other baby cub" + }, + "2517": { + "52951": "a polar bear cub is cleaned by its mother", + "52952": "baby polar bear , one on the left being licked by momma" + } + }, + "81721": { + "846": { + "18241": "a martini glass with olives being held by a man", + "18242": "the glass that the man with the wristband is holding" + } + }, + "81743": { + "1933": { + "40891": "a man in a grey suit holding a microphone and smiling", + "40892": "a man in a suit holding a fox news microphone , smiling" + } + }, + "81768": { + "2515": { + "52932": "the red and blue 189 bus stopping for pedestrians", + "52933": "the back of the red bus that is driving away from the camera" + } + }, + "81810": { + "1711": { + "36283": "a tan armchair with brown wooden legs that has a red cushion on it", + "36284": "a cream color sofa with a red accent pillow place in the living room" + } + }, + "81906": { + "2816": { + "59288": "a bowl of salad", + "59289": "a green caesar salad in a red bowl" + } + }, + "82041": { + "4916": { + "102342": "left most carrot in the image", + "102343": "the first two of the carrots , excluding the green tops , in this wall hanging" + } + }, + "82083": { + "1938": { + "41058": "chocolate donut in the dish", + "41059": "brown glazed donut sitting on the bottom left of the box" + } + }, + "82135": { + "2536": { + "53457": "the elephant in the center directly behind the girl", + "53458": "the middle elephant with one elephant on each side of it" + } + }, + "82770": { + "3515": { + "73553": "silver truck", + "73554": "the suv next to the white car" + } + }, + "83014": { + "2986": { + "62731": "the ice cream in the bowl that the spoon handle is not visible", + "62732": "dish of ice cream to left of other dish" + } + }, + "83148": { + "2342": { + "49298": "a zebra", + "49299": "a male zebra with his privates out" + } + }, + "83167": { + "1503": { + "32171": "a man was standing", + "32172": "a man looking at plants" + } + }, + "83568": { + "3548": { + "74209": "large elephant", + "74210": "it is a mother elephant of others" + } + }, + "84034": { + "2500": { + "52560": "a pair of red scissors that is to the left of the other pair of red scissors", + "52561": "this is the third scissor in the row" + } + }, + "84114": { + "1175": { + "25383": "the boy holding his glove with both hands", + "25384": "a young baseball player wearing a turtleneck underlayer and holding his baseball glove in his hands" + } + }, + "84174": { + "3231": { + "67620": "this is the umpire for the baseball game", + "67621": "umpire" + } + }, + "84230": { + "3454": { + "72482": "a statue imitating a jockey", + "72483": "fake figure of a jockey" + } + }, + "84243": { + "3480": { + "73030": "a rich brown colored cow with an ear tag standing near some very small trees", + "73031": "a cow looking into the camera" + } + }, + "84273": { + "2661": { + "56134": "fork on small plate", + "56135": "a fork on plate for eating food" + } + }, + "84449": { + "1475": { + "31503": "elephants", + "31504": "an elephant with its head raised next to another elephant" + } + }, + "84610": { + "2577": { + "54584": "a man in a checked shirt behind a silly little boy", + "54585": "two orange life vests strapped to people" + } + }, + "84712": { + "264": { + "5371": "a woman skiing in the snow", + "5372": "a woman wearing a stocking hat with a heart pattern" + } + }, + "84815": { + "3578": { + "74847": "a chair that is for the first table", + "74848": "the chair in the right side" + } + }, + "85604": { + "3431": { + "72075": "reflection of the man", + "72076": "the reflection of a man" + } + }, + "85646": { + "932": { + "20088": "black train", + "20089": "the large black train" + } + }, + "85777": { + "621": { + "13389": "hot dog right side", + "13390": "hot dog in front of other hot dog" + } + }, + "85836": { + "4696": { + "97723": "couch in living room", + "97724": "a sofa set was on the room" + } + }, + "85872": { + "1008": { + "21797": "a baseball player with the number 5" + }, + "1367": { + "28969": "a baseball player wearing a burgundy number 14 jersey", + "28970": "the baseball player wearing the maroon uniform" + } + }, + "85939": { + "611": { + "13232": "the brown and black vase behind and to the left of the all black vase", + "13233": "a brown and black vase with a design of diamonds and lines" + } + }, + "85960": { + "1643": { + "35126": "a model boat with a scalloped design on the side", + "35127": "a wooden boat with green panels inside" + } + }, + "86017": { + "2630": { + "55618": "man who is not complete visible", + "55619": "a blue button - up shirt" + } + }, + "86072": { + "1062": { + "22986": "blue bowl with green salad in it", + "22987": "a blue plate for green lettuce" + } + }, + "86216": { + "4533": { + "94394": "front horse in the right hand picture", + "94395": "dark brown horse being rode by a black officer" + } + }, + "86217": { + "3001": { + "62903": "red bus right side", + "62904": "the rear end of a red bus" + } + }, + "86560": { + "2999": { + "62856": "a women sitting right most side of the sofa", + "62857": "a woman with dark hair and a polka - dot swim top sitting on a couch" + } + }, + "86708": { + "3190": { + "66932": "full face of a man", + "66933": "a man wearing a black suit and red tie" + }, + "4572": { + "95246": "the top of a man ' s head popping behind another man" + } + }, + "87018": { + "3669": { + "76783": "the orange whose green stem is visible", + "76784": "an orange with a very green stem" + } + }, + "87214": { + "87": { + "1509": "man with black helmet and blue jacket", + "1510": "the man facing away in the helmet" + } + }, + "87518": { + "4605": { + "95870": "orange cat sitting in front of television on a stand", + "95871": "a cat sitting in front of the television" + } + }, + "87522": { + "3973": { + "82675": "a person ' s hand positioning bananas on another person ' s head", + "82676": "right hand of person with banana hat on" + } + }, + "87569": { + "324": { + "6638": "a woman in a pink sweater cutting a cake", + "6639": "a woman wearing a long sleeve sweater cutting into a desert" + } + }, + "87671": { + "3838": { + "80221": "a blue motorcycle with a man in a green plaided shirt leaning on it", + "80222": "a blue motocycle is being refilled with fuel at a gas station" + } + }, + "87792": { + "1413": { + "29980": "glazed cake donut on upper right", + "29981": "light color glazed donut in the upper right" + } + }, + "88034": { + "2811": { + "59170": "a young woman stands by a table of pizza", + "59171": "a young woman with a blue shirt and a white skirt on in front of seven pizzas in boxes that are sitting on the table" + } + }, + "88514": { + "3254": { + "68119": "the tooth brushes in the woman ' s left hand", + "68120": "pink toothbrush" + }, + "3494": { + "73239": "a blue and yellow product", + "73240": "a blue hello kitty toothbrush" + } + }, + "88773": { + "4031": { + "83883": "a piece of ham on a plate next to some bread crust and a half sandwich", + "83884": "the ham on the plate" + }, + "4086": { + "85112": "the sandwich on the plate next to french fries", + "85113": "grilled cheese sandwich" + } + }, + "89044": { + "243": { + "4820": "an adult zebra facing the camera with a baby zebra behind it", + "4821": "the large zebra" + } + }, + "89112": { + "1455": { + "30981": "an uncovered top part of a yellow table", + "30982": "the clean part of the table" + } + }, + "89227": { + "4986": { + "104091": "person in a black top", + "104092": "a person in the background with a dark colored shirt on" + } + }, + "89425": { + "3815": { + "79922": "a yellow dirtbike with the numbr 24 on it" + } + }, + "89631": { + "2976": { + "62589": "the cat on the top", + "62590": "cat on the tallest part" + }, + "4750": { + "98891": "a plant potted in the smaller white pot", + "98892": "the furthest right potted plant" + } + }, + "89734": { + "204": { + "3890": "brown adult horse with long tail", + "3891": "a brown donkey with long black tail standing showind its back in grass" + } + }, + "89835": { + "1490": { + "31815": "chair which has an oval shaped white label on the back of its seat", + "31816": "a pink and black chair between two other chairs at the table" + } + }, + "89921": { + "3675": { + "76946": "a man in camo shorts and a blue shirt", + "76947": "a man in a black shirt" + } + }, + "90251": { + "1606": { + "34273": "a lady with a lavender colored shirt on", + "34274": "a woman in a striped blue and white shirt pointing at a cellphone" + } + }, + "90573": { + "4694": { + "97703": "a young boy in a blue uniform is kicking a soccer ball", + "97704": "a boy in blue kicking a soccer ball" + } + }, + "90801": { + "1869": { + "39314": "a sofa chair" + } + }, + "91056": { + "1554": { + "33270": "a person in a black shirt standing behind an empty wine glass that is sitting on a table", + "33271": "the parson in black shirt" + } + }, + "91155": { + "4331": { + "90501": "blue jeans and brown boots", + "90502": "next to the baby eating is a person wearing pants and boots" + } + }, + "91301": { + "2869": { + "60166": "a strange bike with a very comfortable looking seat sits in front of a large old tree", + "60167": "some type of bicycle next to a large tree" + } + }, + "91487": { + "2450": { + "51441": "the full body of a zebra", + "51442": "a zebra in the wild looking towards the camera" + } + }, + "91566": { + "1154": { + "25090": "pastry on the bottom in the back", + "25091": "pastry on the bottom in the back" + } + }, + "91808": { + "937": { + "20197": "a lady with his husband", + "20198": "the girl in the red dress" + }, + "4334": { + "90554": "man in a blue shirt", + "90555": "the man holding a beer" + } + }, + "91875": { + "4469": { + "93073": "the zebra whose back end is facing the other zebra ' s face", + "93074": "a zebra that is standing on the front of the other zebra" + } + }, + "92835": { + "1521": { + "32466": "a person with a silver watch on their wrist", + "32467": "the arm of a person wearing a watch" + } + }, + "93014": { + "3708": { + "77760": "a zebra eating grass behind another zebra", + "77761": "black white and gray zebra eating grass" + } + }, + "93083": { + "837": { + "18033": "a young man", + "18034": "a man wearing white in glasses" + } + }, + "93090": { + "96": { + "1682": "a black man at a tennis game", + "1683": "a ball boy wearing a red shirt" + } + }, + "93133": { + "3307": { + "69190": "a man in a red sweater", + "69191": "a man in a red shirt eating pizza" + } + }, + "93171": { + "1427": { + "30326": "a dark brown color sheep sitting , with a cloth tied on its neck", + "30327": "a black ghot" + }, + "1488": { + "31769": "white sheep", + "31770": "the white sheep is on top of the black sheep" + } + }, + "93191": { + "336": { + "6855": "a black and white dog laying on a wooden deck", + "6856": "a black and white dog lying on the floor" + } + }, + "93487": { + "1900": { + "40141": "donuts sitting in a white plate", + "40142": "the closest roll" + } + }, + "93667": { + "2731": { + "57617": "a red plane in the sky", + "57618": "the red airplane" + } + }, + "93842": { + "3577": { + "74822": "a white and green kite", + "74823": "a small kite next to two colorful kites" + } + }, + "94156": { + "2419": { + "50864": "a white trailer reading garda", + "50865": "a small container being hauled by a white , yellow , and blue truck" + } + }, + "94444": { + "2082": { + "44171": "the cat on the left", + "44172": "cat on left" + } + }, + "94459": { + "453": { + "9887": "a stack of green measuring cups", + "9888": "two gray cups stacked inside of one another" + } + }, + "94569": { + "3589": { + "75177": "horse pulling the person in red" + } + }, + "94618": { + "4054": { + "84392": "a head of a giraffe behind a zookeeper" + } + }, + "94825": { + "4592": { + "95558": "zebra facing the camera", + "95559": "two zebras standing close together" + } + }, + "94877": { + "1041": { + "22474": "the horse that the person in the green jacket is sitting on" + } + }, + "94885": { + "2043": { + "43285": "the pink bowl left of the dish", + "43286": "a soup in the purple bowl" + } + }, + "95019": { + "4492": { + "93693": "a person in white hoodie watches a snowboarder", + "93694": "image of shoulder of person in white shirt" + } + }, + "95105": { + "934": { + "20115": "a person in a mirror taking a picture of his doughnut", + "20116": "the reflection in the mirror of the person taking a picture of a doughnut" + } + }, + "95210": { + "1913": { + "40432": "the back half of a green and white city bus", + "40433": "a white and green bus" + } + }, + "95611": { + "2428": { + "51055": "the horse nearest the tractors that is pulling the plow", + "51056": "a horse standing between a taller horse and some tractors in the distance" + } + }, + "95674": { + "248": { + "4906": "bottom slice of a pizza with lettuce on top", + "4907": "a slice of pizza is under some salad" + } + }, + "95711": { + "4730": { + "98438": "baseball catcher trying to tag out a base runner at home plate", + "98439": "an umpire in a black and orange uniform tagging a runner" + } + }, + "95832": { + "3344": { + "70109": "a man in blue with a black helmet", + "70110": "a man in blue and white riding a bicycle next to another bicycle rider" + } + }, + "96044": { + "691": { + "14960": "the cow farthest from the water", + "14961": "a cow with white marks on the shoulders" + } + }, + "96082": { + "1033": { + "22301": "a chair with a book in its seat", + "22302": "an armchair with a book on it" + } + }, + "96526": { + "1275": { + "27158": "a pile of papers with a geico advertisment in it", + "27159": "a stack of papers with a geico advertisement" + } + }, + "96737": { + "3967": { + "82624": "blue kite right side", + "82625": "a kite on a right section of pictures" + } + }, + "96748": { + "4454": { + "92877": "a woman with frizzy brown hair facing the front of the bus", + "92878": "the back of a brunette hair colored lady ' s head" + } + }, + "96859": { + "3428": { + "71990": "a man with glasses and a very blurry hand", + "71991": "a man wearing eyeglass cut the pizza with his friend" + } + }, + "97021": { + "3557": { + "74550": "a white bike on top of a blue bike", + "74551": "two bicycles leaning against a brick building" + } + }, + "97210": { + "2902": { + "60855": "a blue national guard cake", + "60856": "cake in background blue and white icing" + } + }, + "97330": { + "688": { + "14923": "woman on most left of the couch", + "14924": "the woman in the grey hoodie" + } + }, + "97411": { + "2723": { + "57455": "two ices piza", + "57456": "right upside pizza slice" + } + }, + "97849": { + "3760": { + "78787": "man in plaid shirt", + "78788": "boy in a plaid shirt holding a cell phone" + } + }, + "98413": { + "3003": { + "62936": "a woman near the child", + "62937": "an asian lady with glasses , sitting next to a baby" + } + }, + "98437": { + "3338": { + "69904": "zebra is to left of another one", + "69905": "the zebra in the right pen whose mane is fully visible" + } + }, + "98447": { + "2722": { + "57449": "the chair of the guy wearing brown tennis shoes", + "57450": "blue chair under man in white socks" + } + }, + "98956": { + "2296": { + "48496": "a young girl in a bright magenta jumper", + "48497": "a little girl wearing pink pants and holding a teddy bear" + }, + "3648": { + "76331": "a man is standing wearing a yellow coat", + "76332": "a man stand with yellow jacket" + } + }, + "99040": { + "2113": { + "44802": "the large giraffe", + "44803": "tallest giraffe" + } + }, + "99159": { + "2737": { + "57723": "a gum bottle of sky blue color kept on the table", + "57724": "a bottle of light blue acrylic paint" + } + }, + "99785": { + "2136": { + "45392": "a boy with blue face paint", + "45393": "a child with blue face paint laying on a bed" + } + }, + "99893": { + "4837": { + "100846": "a man wearing sunglasses and jeans has his mouth open", + "100847": "a man wearing sunglasses" + } + }, + "99966": { + "1341": { + "28418": "man in black apron with three cloths pins on apron", + "28419": "the man putting the food into the dish" + } + }, + "100034": { + "4111": { + "85680": "the closest girl", + "85681": "girl in green shirt" + } + }, + "100095": { + "721": { + "15520": "a player running and trying to catch the rugby ball", + "15521": "a woman about to hit the ball with her head" + } + }, + "100142": { + "4338": { + "90618": "a little boy being carried", + "90619": "the boy in the yellow shirt" + } + }, + "100182": { + "4731": { + "98448": "the boat furthest to the right", + "98449": "a boat in the shore with a blue cloth on it" + } + }, + "100253": { + "3888": { + "81050": "the third elephant in a group of four with nothing in its trunk" + } + }, + "100318": { + "1880": { + "39628": "the zebra eating the grass on the ground", + "39629": "the zebra is grazing" + } + }, + "100485": { + "2077": { + "44116": "the brown part of the dogs face", + "44117": "a dog is trying to chew on an empty water bottle" + } + }, + "100579": { + "3131": { + "65668": "a white woman wearing a white shirt", + "65669": "a lady lying on her back on the bed with the blue bag" + } + }, + "100627": { + "3394": { + "71028": "cut piece of pizza not yet seperated" + } + }, + "101243": { + "665": { + "14489": "a black car next to a baseball field", + "14490": "the black sedan by the park" + } + }, + "101467": { + "2687": { + "56786": "a woman playing tennis", + "56787": "tennis player" + } + }, + "101503": { + "4275": { + "89342": "a basketball player dribbling the ball in a black uniform", + "89343": "a woman holding a basketball , wearing black shorts and a black shirt" + } + }, + "101522": { + "430": { + "9534": "the piece of broccoli that is right in the center" + } + }, + "101738": { + "3929": { + "81807": "the sailboat directly behind the sailboat in front focus", + "81808": "a blurry sailboat behind the lead" + } + }, + "101842": { + "1594": { + "34065": "the tennis player hitting a ball", + "34066": "a girl with pink dress playing tennis" + } + }, + "101891": { + "545": { + "11939": "the blue bike behind the red car" + }, + "4287": { + "89568": "the blue low rider bicycle", + "89569": "the shorter blue bike on the right" + } + }, + "101989": { + "1526": { + "32539": "the legs of a person in tight black pants standing next to a black chair", + "32540": "legs with dark colored pants on standing in a doorway" + } + }, + "102090": { + "2554": { + "53903": "the table between the man in red and the man in white" + }, + "2795": { + "58894": "the table on which the plates and glasses are kept", + "58895": "a brown table on the right" + } + }, + "102288": { + "4747": { + "98853": "one man standing in the snow with red jacket , black pants , white shoes , black sunglasses holding a set of red and white skies", + "98854": "the man is wearing a red jacket , sunglasses , and black pants" + } + }, + "102290": { + "757": { + "16393": "a man squats behind a breakdancer", + "16394": "a man in the back ground squatting over blue floor with wristband on" + } + }, + "102734": { + "1389": { + "29407": "the large stuffed bear", + "29408": "a large tan teddy bear with a gray bear on top of him" + } + }, + "103280": { + "4887": { + "101694": "slices of pizza kept within a plastic container", + "101695": "a plastic container containing slices of pizza" + } + }, + "103296": { + "1636": { + "34944": "a blt sandwich with a toothpick in it", + "34945": "a half sandwich that is on the right side of the plate" + } + }, + "103437": { + "791": { + "17136": "a white tablecloth on the table with a plate of food on it" + } + }, + "104174": { + "2299": { + "48612": "a woman in a white shirt and black shorts playing in the sand", + "48613": "girl in white top" + }, + "4502": { + "93938": "the woman in pink", + "93939": "woman wearing a pink and white shirt" + } + }, + "104248": { + "1687": { + "35864": "a man in a yellow shirt and glasses holding two controllers", + "35865": "the guy in the yellow shirt" + } + }, + "104410": { + "2633": { + "55633": "a toilet on the right side of the picture that ' s labeled his", + "55634": "a toilet with a his sign" + } + }, + "104594": { + "1485": { + "31676": "fried patty on top closest to the red and white towel" + } + }, + "104752": { + "2177": { + "46184": "a man in glasses", + "46185": "man with glasses looking at an oven" + } + }, + "104879": { + "4075": { + "84887": "the sandwich half with only one bread score", + "84888": "a small piece of a veg burger in the white plate" + } + }, + "105063": { + "1690": { + "35927": "a girl with a yellow jacket", + "35928": "a woman in an yellow cardigan playing wii" + } + }, + "105184": { + "2246": { + "47557": "the girl farthest right", + "47558": "lady with a blue hat on running without a frisbee in a field" + } + }, + "105219": { + "4931": { + "102751": "man wearing a plaid shirt", + "102752": "the man to the left of the man holding the baby" + } + }, + "105515": { + "2107": { + "44694": "a man with glasses about to catch a frisbee", + "44695": "a boy wearing eyeglasses waiting to catch a frisbee" + } + }, + "105714": { + "2460": { + "51698": "the person in black", + "51699": "the man in the black gym clothes who is playing frisbee has long black hair" + } + }, + "105718": { + "3750": { + "78697": "red bus in road", + "78698": "red color bus on the road" + } + }, + "106010": { + "4956": { + "103271": "a black and brown ski next to the man in black pants", + "103272": "the skis in front of the man" + } + }, + "106148": { + "631": { + "13632": "a couch by the window" + } + }, + "106226": { + "3953": { + "82282": "three giraffes next to each other", + "82283": "a giraffe in between two other giraffes leaning slightly forward and not sticking out its tongue" + } + }, + "106438": { + "2292": { + "48425": "a black phone with a red case", + "48426": "a red phone showing a text screen" + } + }, + "106499": { + "1642": { + "35085": "a woman wearing a black shirt", + "35086": "a woman wearing a black shirt" + }, + "2328": { + "49058": "a man in a striped polo shirt looking towards the ground", + "49059": "a gentleman dressed in blue in front of a suitcase on wheels" + } + }, + "106557": { + "4636": { + "96355": "a jar of veggies", + "96356": "the vessel with a yellow duck like thing on it" + } + }, + "106585": { + "4967": { + "103608": "a bear lying to the right of another bear", + "103609": "a black bear laying on the grass with their back against the camera" + } + }, + "106714": { + "4293": { + "89685": "a hot dog with picks and jalepenos on it", + "89686": "a hot dog with tomato , pickles , and peppers on top" + } + }, + "106750": { + "3542": { + "74078": "a light - colored cat", + "74079": "a brown and white cat looking out the window" + } + }, + "106755": { + "1887": { + "39850": "a boat full of fruit", + "39851": "the food boat directly in front of the camera" + } + }, + "107009": { + "2407": { + "50603": "a man in a tan trench coat picking up a doughnut", + "50604": "a man grabbing a donought from the table" + } + }, + "107052": { + "1104": { + "23982": "a sportsman named shealy wearing his uniform which is numbered as 39", + "23983": "a baseball player wearing a blue and white uniform on the field" + } + }, + "107672": { + "3767": { + "78929": "a man in a striped shirt and green hat taking a cell phone photo of a woman with dogs climbing on her", + "78930": "a man kneeling on the floor taking a picture of a woman and her pets" + } + }, + "107735": { + "4720": { + "98294": "a blue mattress on a dirty floor", + "98295": "a blue futon" + } + }, + "107846": { + "1138": { + "24621": "a man sitting on a couch holding a game controller", + "24622": "a man wearing a black shirt and blue jeans sits on a couch" + } + }, + "107970": { + "668": { + "14584": "a toddler sitting in the lap of a man", + "14585": "the girl sitting on the man ' s lap" + } + }, + "108223": { + "1842": { + "38906": "light brown cow whose rear end and tail can be seen in the photo", + "38907": "the bull beside the small dog with his eyes showing" + } + }, + "108514": { + "2071": { + "44051": "man walking wearing blue jeans", + "44052": "person wearing blue jeans standing near fire hydrant" + } + }, + "108557": { + "1537": { + "32734": "a couch with only one red pillow visible on it ; the other couch is fully visible", + "32735": "a red couch with a red pillow on it , underneath a painting" + } + }, + "108677": { + "4812": { + "100222": "a motorcycle with a shark ' s face sticker on the side", + "100223": "blue and black motorcycle , on the right" + } + }, + "109010": { + "356": { + "7342": "a man in a grey suit", + "7343": "a man in a blue suit with a blue tie" + } + }, + "109117": { + "4871": { + "101394": "a long table with a red and white checkered tablecloth and no one sitting at it", + "101395": "an empty table covered with red and white checkered cloth and pitchers" + } + }, + "109553": { + "1527": { + "32573": "an uncooked pizza with no pepperoni", + "32574": "the left most pizza" + } + }, + "109778": { + "4440": { + "92619": "the piece of pizza closest to the fingers" + } + }, + "109848": { + "4437": { + "92557": "vehicle on the right that is only half in the photo", + "92558": "rear of bus on right" + } + }, + "109971": { + "2447": { + "51366": "the man with the red jersey with 43 on it", + "51367": "a guy in a baseball uniform with the number 43 on his back" + } + }, + "110030": { + "717": { + "15455": "the left half of a sandwich", + "15456": "sandwich half closest to mug" + } + }, + "110230": { + "350": { + "7213": "an all brown dog sleeps on a leather couch", + "7214": "a tan colored dog sitting on a leather sofa" + } + }, + "110252": { + "1486": { + "31705": "a man with blue shirt", + "31706": "a man in the banana market" + } + }, + "110371": { + "4080": { + "84943": "a silver vehicle in front of two police motorcycles", + "84944": "rear of silver car with yellow license plate that have the letters ry" + } + }, + "110447": { + "662": { + "14447": "brown stuffed bear", + "14448": "a teddy bear with a brown color sitting on a grass" + } + }, + "110794": { + "116": { + "2024": "a short ski leaning up against the table", + "2025": "shortest skis of the group" + } + }, + "111131": { + "3006": { + "62984": "white and brown long haired dog not wearing a harness with face in bowl" + } + }, + "111142": { + "646": { + "14053": "the woman looking at the food", + "14054": "woman wearing a ring on her index finger looking down at the pizza" + } + }, + "111189": { + "4751": { + "98900": "a person with a black shirt holding a tennis racket", + "98901": "the back of a man ' s head wearing a black shirt and white headband with long hair" + } + }, + "111224": { + "3478": { + "73019": "a soccer player in a green uniform sliding on the grass", + "73020": "the sports player in the green uniform , that has dirt spraying behind him from sliding" + } + }, + "112124": { + "657": { + "14331": "a rider on a horse with a number 8 on her right arm", + "14332": "person riding horse" + } + }, + "112480": { + "3019": { + "63260": "a woman in an orange sweater", + "63261": "a woman in wearing an orange hoodie sitting next to a man in a yellow jacket" + } + }, + "112574": { + "614": { + "13267": "the man in the blue and yellow shirt with the baseball hat on", + "13268": "baseball player in yellow shirt" + } + }, + "112688": { + "4351": { + "90902": "the man looking up and holding a fork", + "90903": "man wearing a white shirt" + }, + "4426": { + "92370": "eating a cake liked by her", + "92371": "person on right" + } + }, + "113123": { + "3874": { + "80895": "the grey box sitting on the red carpet" + } + }, + "113244": { + "921": { + "19813": "an ice cream cake that is partially eaten and melted , below and to the left of the others", + "19814": "the bottom left picture of birthday cake and candles" + } + }, + "113436": { + "1770": { + "37502": "man sitting wearing the green plaid shirt", + "37503": "man sitting on the wooden board in the left side of the image" + } + }, + "113700": { + "2146": { + "45609": "a table with two oval / rectangular shaped pizzas", + "45610": "a table with large pizzas surrounding a man" + }, + "4441": { + "92622": "pizza on left of table" + } + }, + "113721": { + "1708": { + "36200": "a kid watching something in a chair next to a man who is clicking a camera", + "36201": "little kid wearing green shirt" + } + }, + "113880": { + "2009": { + "42482": "black colour car was on the road", + "42483": "a blue suv parked next to 2 dogs" + } + }, + "114142": { + "2907": { + "60978": "the crystal candle", + "60979": "a candle in a glass holder" + } + }, + "114652": { + "1757": { + "37180": "bowl of a cut of vegetables", + "37181": "a bowl of mushrooms , greens , and tomatoes sitting next to chili with sour cream" + }, + "3585": { + "75001": "a laptop sits next to food and remote controls", + "75002": "an apple laptop" + }, + "4434": { + "92492": "a black bowl", + "92493": "a bowl of what looks like soup or stew" + } + }, + "114786": { + "4055": { + "84395": "a man in white shirt cutting cake with women", + "84396": "an arm in a white sleeve" + } + }, + "115080": { + "4613": { + "95969": "zebras mating", + "95970": "a male zebra mounting a female zebra" + } + }, + "115226": { + "47": { + "760": "back of the person in blue pants walking away", + "761": "the last person on the path from the front" + } + }, + "115296": { + "3137": { + "65777": "the man standing behind the racquet", + "65778": "man standing in the tennis cort" + } + }, + "115378": { + "870": { + "18738": "a brown / black / white cat", + "18739": "the calico cat laying down" + } + }, + "115506": { + "73": { + "1355": "a brown bowl with something green in it", + "1356": "a big pan where green leafs are there" + } + }, + "115511": { + "157": { + "2776": "the empty clear glass", + "2777": "an empty glass" + } + }, + "115518": { + "4169": { + "87083": "a little girl in a pink shirt and blue jeans", + "87084": "a girl in a pink top" + } + }, + "115645": { + "2618": { + "55373": "woman in background of the man eating hotdog" + } + }, + "116579": { + "585": { + "12690": "the entire bowl of vegitables", + "12691": "a white bowel with pesto covered vegetables" + } + }, + "116737": { + "1835": { + "38817": "a cow behind a fence", + "38818": "the smaller of the two cows" + } + }, + "116824": { + "3486": { + "73138": "sheep with tag on its ear", + "73139": "a sheep that is standing in front of two others" + } + }, + "116854": { + "120": { + "2097": "blur image of the boy in the far end of the ground", + "2098": "boy wearing a dark helmet" + } + }, + "117117": { + "820": { + "17774": "a zebra behind another zebra", + "17775": "a zebra that can be partially seen behind another zebra" + } + }, + "117121": { + "4006": { + "83356": "man in white shirt with blue long sleeve shirt under it", + "83357": "guy 37 in the right hand picture" + } + }, + "117182": { + "879": { + "18874": "a tan sofa with a grey throw pillow", + "18875": "chair next to the window" + } + }, + "117413": { + "991": { + "21375": "a new york city taxi with a brave advertisement", + "21376": "a yellow taxi cab" + } + }, + "117447": { + "3087": { + "64617": "the smaller computer", + "64618": "the smaller laptop on the left" + } + }, + "117494": { + "3269": { + "68569": "cow in the middle", + "68570": "a toy cow in the middle of other cows" + } + }, + "117578": { + "1727": { + "36540": "a slice of combination pizza next in between two others" + } + }, + "117601": { + "4966": { + "103588": "hand in middle picture", + "103589": "a man hand with striped sleeve holding a full uneaten hotdog" + } + }, + "117958": { + "3304": { + "69149": "zebras", + "69150": "the zebra in the back" + } + }, + "118110": { + "4143": { + "86510": "baby in image", + "86511": "cute baby" + } + }, + "118356": { + "2974": { + "62560": "the little girl to the left with the yellow shirt", + "62561": "a young girl in a yellow top and blue skirt sitting on a bed" + } + }, + "118422": { + "4749": { + "98882": "the all red apples in the second basket going back", + "98883": "red apples" + } + }, + "118572": { + "3320": { + "69439": "a pizza on the tabule", + "69440": "triangle of pizza on left side of plate" + } + }, + "118682": { + "4522": { + "94218": "person arm on left", + "94219": "the elbow of the person in the left hand side of the photo wearing a blue short sleeved shirt" + } + }, + "118895": { + "501": { + "11006": "white van", + "11007": "a van in the ground" + }, + "576": { + "12496": "bus in which ' sai ' is written on it", + "12497": "the back of the bus with ' sai ' on it" + } + }, + "118994": { + "4253": { + "88866": "the head of the person with no hat", + "88867": "a male standing beside the man with the owl" + }, + "4319": { + "90175": "a man holding an owl", + "90176": "a man in black polo and gray hat" + } + }, + "119006": { + "3089": { + "64636": "the part of a sea plane that will keep it afloat once it lands on the water", + "64637": "the bottom part of a water plane" + } + }, + "119267": { + "3095": { + "64824": "strapped together broccoli like green vegetables", + "64825": "a bunch of green celery still tied togethor around the stem" + } + }, + "119561": { + "3074": { + "64433": "a lady holding a baby and a giant slice of pizza", + "64434": "the lady with a stripped red shirt is holding a large piece of pizza" + } + }, + "119760": { + "954": { + "20501": "dark leather chair", + "20502": "a brown leather chair to the right of the couch" + } + }, + "120369": { + "2746": { + "57927": "an orange on the right of another orange under bananas", + "57928": "orange object to the right of the banana with the sticker" + } + }, + "120971": { + "4783": { + "99654": "woman in long sleeved outfit with head covered in white scarf holding a young boy on her lap", + "99655": "muslim woman siting with child" + } + }, + "121052": { + "3909": { + "81411": "a woman", + "81412": "a woman wearing glasses carrying a small child and an umbrella" + } + }, + "121110": { + "1581": { + "33810": "the table closest to the trash can" + }, + "4232": { + "88440": "a table with a checkerboard on it in the foreground" + } + }, + "121226": { + "44": { + "718": "the woman", + "719": "woman with longish black hair" + } + }, + "121237": { + "1625": { + "34817": "reflection of a man taking a picture , camera flash obscuring his face", + "34818": "man with a camera" + } + }, + "121356": { + "4090": { + "85157": "the elephant on the right facing the left", + "85158": "an elephant with its trunk digging into the sand" + } + }, + "121683": { + "2141": { + "45529": "the back of an open laptop in front of a woman in a pink sweater", + "45530": "a black laptop being used by a person in pink shirt" + } + }, + "121952": { + "4411": { + "92074": "brown hair laying on a table", + "92075": "brown hair spread on the table" + } + }, + "121965": { + "933": { + "20099": "light colored cat on middle shelf", + "20100": "orange cat on shelf" + } + }, + "122259": { + "2581": { + "54678": "a blurry mans face wearing a diamond patterned sweater", + "54679": "a guy with blue on his sweater" + } + }, + "122356": { + "1940": { + "41081": "a ship in the water", + "41082": "a large black ship in the background" + } + }, + "122459": { + "4658": { + "96931": "black faced cow behind a barbed wire fence", + "96932": "the black cow in the right hand picture" + } + }, + "122811": { + "863": { + "18643": "one of the train cars on the track is red", + "18644": "the red train" + } + }, + "123008": { + "4081": { + "84949": "a griaffe which facing the camera", + "84950": "giraffe looking straight ahead" + } + }, + "123055": { + "3111": { + "65086": "the bird in the sand that is in focus", + "65087": "the bird has a long bill" + } + }, + "123457": { + "2968": { + "62406": "man wearing gray hoodie and blue shorts", + "62407": "a man in blue shorts and a hoodie" + } + }, + "123514": { + "4229": { + "88416": "person to the right", + "88417": "a young man standing on a snowboard , to the right another young man" + } + }, + "124055": { + "3794": { + "79467": "a white sheep is propped on the ground next to a sheep lying down" + } + }, + "124331": { + "1681": { + "35768": "an adult elephant with a young elephant standing by its side", + "35769": "a momma elephant" + } + }, + "124349": { + "1680": { + "35760": "the person looking in the fridge", + "35761": "man bent over looking in refridgerator" + } + }, + "124364": { + "4314": { + "90098": "the chair the kid closest to the camere is in", + "90099": "the chiar that the kid with the gray sweater is sitting on" + } + }, + "124578": { + "1386": { + "29322": "a person in a red shirt and black shorts", + "29323": "person in red shirt at park with others playing frisbee" + } + }, + "124615": { + "374": { + "7923": "an older woman wearing a blue top and black pants and sitting on a couch next to a boy", + "7924": "an old lady sitting on a couch biting her finger" + } + }, + "124835": { + "76": { + "1371": "a muffin next to some larger food items", + "1372": "the muffin to the right of the coffee cup" + } + }, + "125063": { + "492": { + "10779": "a baby zebra standing in front of it ' s mother", + "10780": "zebra on the left side" + } + }, + "125115": { + "3005": { + "62972": "people playing wii", + "62973": "a man and woman playing wii" + } + }, + "125120": { + "3590": { + "75209": "a man with gray hair seated with his arms crossed", + "75210": "a man with glasses and graying hair , behind a pitcher of orange juice" + } + }, + "125247": { + "3390": { + "70929": "the couch with the cup holders on the right ,", + "70930": "a brown leather couche sitting parallel to a coffee table and across from a tan wall" + } + }, + "125321": { + "2298": { + "48582": "a woman in a dress", + "48583": "a woman in the 1970s eating dinner at a family style meal" + } + }, + "125598": { + "755": { + "16369": "taco on far left of row of tacos", + "16370": "fajita to the front" + } + }, + "125908": { + "2155": { + "45750": "couch in which two people are sitting", + "45751": "a couch that people are sitting on" + } + }, + "125998": { + "2310": { + "48829": "bare legs of person on left end of couch", + "48830": "two legs of a white man" + }, + "2395": { + "50335": "the legs of the person with long pants and no socks", + "50336": "a pair of legs wearing black pajamas" + } + }, + "126047": { + "379": { + "8059": "man sitting in wheelchair", + "8060": "boy in wheelchair" + } + }, + "126432": { + "1428": { + "30337": "two cows staring at the green pastures", + "30338": "cow with mostly white front legs" + } + }, + "126737": { + "573": { + "12434": "the piece of food on the left - hand side of the plate" + } + }, + "126748": { + "3324": { + "69501": "a woman in a black shirt and sunglasses" + } + }, + "126798": { + "1549": { + "33179": "a numbered 2 player looking a baseball hitter out of the ground" + } + }, + "126832": { + "1853": { + "39025": "the white cate", + "39026": "a white cat looking out a window" + } + }, + "127084": { + "495": { + "10910": "a plaid sofa and wooden table next to a dark red chair", + "10911": "green plaid couch" + } + }, + "127278": { + "1262": { + "26869": "man in a dark blue longsleeve shirt and long black pants playing frisbee", + "26870": "the man who is not jumping" + } + }, + "127559": { + "3200": { + "67079": "the slice of pizza on the right in front" + } + }, + "127729": { + "2231": { + "47190": "an elephant with a man in dark clothing on top", + "47191": "elephant which has no one holding it ' s tusks" + } + }, + "127841": { + "3634": { + "76010": "the baby standing up", + "76011": "the boy with the shirt with a tie drawn on it" + } + }, + "128282": { + "1086": { + "23692": "left picture man on left jumping", + "23693": "the man on the left side of the picture" + } + }, + "128775": { + "1123": { + "24362": "inside wall of the white bathtub" + } + }, + "129347": { + "4129": { + "86117": "the head of a brown horse", + "86118": "brown horse in foreground" + } + }, + "129359": { + "1670": { + "35620": "small white and green dish served in a small white bowl", + "35621": "a bowl with sprouts in it" + } + }, + "129951": { + "4944": { + "103077": "black computer keyboard", + "103078": "a desktop keyboard to the right of the laptops" + } + }, + "130366": { + "3166": { + "66331": "the handlebars closest to the camera", + "66332": "the bicycle with the basket in front" + } + }, + "130411": { + "1575": { + "33720": "empty space immediately to the right of a person ' s right leg" + } + }, + "130563": { + "3025": { + "63381": "a white bowl with pasta and broccoli in it", + "63382": "a bowl of noodles with some broccoli" + } + }, + "130681": { + "2466": { + "51884": "a giraffe that isn ' t in the sun with its head crooked down a little bit", + "51885": "the giraffe in the front" + } + }, + "130759": { + "696": { + "15075": "a dog laying his head on a blanket", + "15076": "a dog laying down with his head on a blanket" + } + }, + "130974": { + "3715": { + "77980": "serving of broccoli", + "77981": "slice of broccoli in plate" + } + }, + "131007": { + "24": { + "428": "a child", + "429": "a boy wearing a striped shirt sitting in a chair" + } + }, + "131330": { + "414": { + "8985": "the stick with the readable words on it", + "8986": "apollo hybrid broccoli" + } + }, + "131595": { + "1578": { + "33774": "the right clock in the right hand picture", + "33775": "the biggest clock is on the right" + } + }, + "131763": { + "1508": { + "32230": "the person holding the baby", + "32231": "the adult lying with the baby" + } + }, + "132057": { + "7": { + "117": "the vase on the right", + "118": "the vase being painted by a man in red" + } + }, + "132183": { + "3045": { + "63751": "the sheep to the right" + } + }, + "132412": { + "2506": { + "52729": "a yellow train", + "52730": "a yellow train with its doors closed" + } + }, + "132591": { + "1179": { + "25414": "the blonde boy carrying a surfboard", + "25415": "a child holding a surfboard next to three people" + } + }, + "132607": { + "2655": { + "56062": "wooden deck chair with a woman sitting in it", + "56063": "the back of the wood bench" + } + }, + "133295": { + "4805": { + "100124": "a black color dog is lying between two other dogs in the bed", + "100125": "black dog laying down behind brown dogs" + } + }, + "133609": { + "3972": { + "82673": "the tank on the back of a white toilet , which has red flowers growing in it", + "82674": "the water tank of a toilet , outdoors and filled with potted plants" + } + }, + "133654": { + "1370": { + "28993": "a boy wearing white pants", + "28994": "man on the right wearing long pants" + } + }, + "133940": { + "1363": { + "28879": "a young man in a blue hooded sweatshirt looks over the shoulder of a bespectacled boy blowing out candles atop a cake", + "28880": "a boy in a blue hooded sweatshirt in between someone blowing out candles and an older man in red" + } + }, + "134297": { + "2834": { + "59548": "women with grey umbrella and black boots", + "59549": "a girl in light shorts holding an umbrella" + } + }, + "134914": { + "2982": { + "62693": "a man who seems to be the pilot is helping his passenger get out of the airline", + "62694": "the man in the black beret" + } + }, + "134958": { + "3864": { + "80708": "the farther away horse" + } + }, + "135539": { + "3053": { + "63905": "a man with facial hair wearing glasses eating a piece of bread", + "63906": "a man with glasses eating" + } + }, + "135554": { + "2748": { + "57950": "the third donut from the bootom", + "57951": "donut second from the top" + } + }, + "135577": { + "1758": { + "37182": "a white cat lays on a couch and looks off into the distance", + "37183": "the white cat that is closest to the edge of the couch" + } + }, + "135589": { + "340": { + "7052": "the man with a beard wearing a red tie", + "7053": "brunette man with beard and moustache wearing a maroon tie" + }, + "404": { + "8614": "a man with a dark blue blazer holding onto a silver pole", + "8615": "a man dressed in a suit is waiting on a subway" + } + }, + "135822": { + "2487": { + "52311": "a maroon sofa in the living room area", + "52312": "a purple couch by the kitchen table" + } + }, + "136142": { + "1318": { + "28033": "empty chair closer to silverware and bottles", + "28034": "chair on left" + } + }, + "136200": { + "257": { + "5074": "a picture of an older woman with a black shirt on and some kind of hat standing next to another woman", + "5075": "a woman with black dress" + }, + "3125": { + "65466": "a woman dresses up as mildred for halloween", + "65467": "a cook wearing a white apron" + } + }, + "136232": { + "1193": { + "25574": "the foremost piece of bread with bacon and a white cheese on it", + "25575": "food with bacon in it closes to bottom of pic" + } + }, + "136310": { + "3167": { + "66347": "the woman with the floral blouse to the left of the man", + "66348": "a woman with grey hair holding a wine glass" + } + }, + "136541": { + "746": { + "16203": "a woman in a grey shirt sitting next to the man", + "16204": "lady in the front , with grey t - shirt" + }, + "3903": { + "81296": "the lady sitting back side with stripes", + "81297": "a lady wearing a gray and white striped shirt" + } + }, + "136663": { + "3700": { + "77555": "an old yellow and white truck", + "77556": "a yellow and white retro truck sitting next to another retro truck" + } + }, + "136949": { + "270": { + "5485": "a woman with a blue apron who is holding her head to the side", + "5486": "a female cook" + } + }, + "136953": { + "4291": { + "89637": "woman in middle", + "89638": "the woman wearing a polka dot top and holding a can of red bull" + } + }, + "136962": { + "1398": { + "29634": "hot dog on left end", + "29635": "the one on the left side of the plate with one tomato" + } + }, + "137105": { + "685": { + "14878": "a silver train with 2 levels" + } + }, + "137273": { + "2269": { + "48069": "red apple with green organic sticker on it", + "48070": "a red apple with a sticker that says organic look for the 9" + } + }, + "137690": { + "1101": { + "23887": "a man standing with wii controllers ans a gray jacket", + "23888": "guy in a grey jacket playing wii" + } + }, + "137704": { + "2027": { + "42929": "the white cup that has the soup in it", + "42930": "a bowl with soup" + }, + "2698": { + "57074": "a bagel in a bowl", + "57075": "a brown bagel in a round dish" + } + }, + "137806": { + "1776": { + "37576": "a black cow standing a a grassy pasture between two other black cows", + "37577": "the cow in the middle" + } + }, + "138248": { + "4148": { + "86631": "a woman eating dinner", + "86632": "an arm of a woman" + } + }, + "138265": { + "3106": { + "64956": "the whole tennis player in the right image , not the left image" + } + }, + "138482": { + "3963": { + "82489": "a man in a red shirt shaking hands with an opponent", + "82490": "a tennis player in a red shirt" + } + }, + "138618": { + "2222": { + "47047": "the second to the left sheep", + "47048": "a sheep second from the left in a group of sheep" + } + }, + "139144": { + "1807": { + "38205": "elephant facing front with tusk", + "38206": "the head of a large elephant" + } + }, + "139152": { + "3619": { + "75707": "the white blurry van in the right hand picture", + "75708": "silver car in the right background" + } + }, + "139165": { + "4085": { + "85107": "a blue car driving past a zebra", + "85108": "the blue bmw" + } + }, + "139359": { + "2366": { + "49857": "a baby suckling on the end of a woman ' s finger", + "49858": "a baby with mommy ' s finger in his mouth" + } + }, + "139729": { + "4748": { + "98861": "a parking meter that says fail", + "98862": "parking meter with a closed dial on the right of another parking meter" + } + }, + "139811": { + "3783": { + "79281": "saddle of the horse in front of the horse on the left", + "79282": "the horse in the front and on the left" + } + }, + "139865": { + "378": { + "7998": "clear bottle with brown liquid in middle of dinner table", + "7999": "the red drink , half empty" + } + }, + "139915": { + "4677": { + "97372": "a blue arm chair sitting in the corner beside a window", + "97373": "the grey chair in the right hand picture" + } + }, + "139932": { + "3657": { + "76475": "a woman on the right side next to her horse", + "76476": "women with zipper jacket" + } + }, + "140053": { + "1027": { + "22223": "the smallest elephant in the image", + "22224": "baby elephant between two adult elephants" + } + }, + "140152": { + "392": { + "8363": "a skier looking at the camera", + "8364": "the sketcher near the camera" + } + }, + "140291": { + "3091": { + "64654": "a man holding a frisbee in each hand", + "64655": "a man in a pale yellow shirt and blue shorts holding two frisbees" + } + }, + "140320": { + "446": { + "9814": "the couch on the bottom left corner", + "9815": "that is a comfortable chair in a living room with other furniture" + } + }, + "140738": { + "3691": { + "77322": "a middle aged man sitting at the window seat and listening to music", + "77323": "the man is listening music" + } + }, + "141063": { + "2727": { + "57520": "a white bird stands at the precipice of a body of water , next to a larger , grey bird", + "57521": "a white bird near a gray bird" + } + }, + "141114": { + "4539": { + "94510": "a man with a white shirt and black pants holding bananas", + "94511": "a man in a button down shirt and black pants holding bananas" + } + }, + "141263": { + "2708": { + "57186": "a black laptop keyboard with an apple sticker and a large red sticker", + "57187": "the black keyboard is part of a laptop on a shelf above the desk" + } + }, + "142431": { + "2819": { + "59325": "an xbox video game controller", + "59326": "the game controller in the middle" + } + }, + "142622": { + "3419": { + "71748": "a zebra in the very back", + "71749": "zebra at back of row" + }, + "3528": { + "73700": "second zebra from the left" + } + }, + "142767": { + "3487": { + "73154": "the man with the glasses on", + "73155": "man with beard" + } + }, + "142771": { + "4336": { + "90574": "boy holding baseball bat", + "90575": "man holding a bat preparing to hit the ball in a baseball game" + } + }, + "142977": { + "1129": { + "24443": "boy holding a surfboard", + "24444": "person in back" + } + }, + "142981": { + "341": { + "7054": "player trying to kick the ball", + "7055": "boy in red shirt with sunglasses playing soccar" + } + }, + "143323": { + "1227": { + "26267": "the yellow box having noodles in it", + "26268": "a container that has some noodles shrimp , and red and green peppers in it" + } + }, + "143439": { + "3325": { + "69544": "a royal air force fighter jet in front of a second fighter jet", + "69545": "the plane on the right" + } + }, + "143482": { + "4493": { + "93703": "the left leg of the man with the red shoe", + "93704": "the leg of a man wearing red shoes with green laces" + } + }, + "143521": { + "2593": { + "54867": "elephant on the right", + "54868": "the third elephant in the row from the front" + } + }, + "143783": { + "4853": { + "101013": "the edge of a chair cut off by the left edge of the photo" + } + }, + "144007": { + "2272": { + "48110": "dough that is in the middle of the other items" + } + }, + "144495": { + "1901": { + "40170": "half bannana top of plate" + } + }, + "144519": { + "138": { + "2392": "sheep going last and the head is covered behind another sheep", + "2393": "a sheep who ' s head is not visible , standing next to three other sheep" + } + }, + "145180": { + "2880": { + "60481": "lady in a black frock in the left side of the image", + "60482": "a woman wearing a black dress and holding a champagne flute" + } + }, + "145337": { + "2147": { + "45611": "plate full of food items", + "45612": "the part of the plate that in the foreground and not covered by food" + } + }, + "145462": { + "1065": { + "23043": "the man on the right", + "23044": "a man in glasses playing frisbee" + } + }, + "145621": { + "2201": { + "46640": "a woman with dark hair with her arm around a child", + "46641": "the person holding a baby" + } + }, + "145685": { + "1651": { + "35262": "a guy half cut off the picture" + } + }, + "145741": { + "177": { + "3375": "the black keyboard next to the laptop", + "3376": "a black keyboard with white lettering" + }, + "2538": { + "53515": "the desktop computer monitor", + "53516": "the computer monitor to the left of the sound mixer and laptops" + } + }, + "145809": { + "3531": { + "73781": "a man standing in snow in red and black dress with black helmet in head and skiing board in hand", + "73782": "a person in red with a black helmet" + } + }, + "145952": { + "1815": { + "38434": "the middle giraffe in the right hand picture", + "38435": "giraffe in the middle" + } + }, + "146274": { + "3878": { + "80945": "an oven filled with baking loaves", + "80946": "loaves of bread sitting in an oven" + } + }, + "146420": { + "3920": { + "81725": "man in striped shirt , on edge of court", + "81726": "the gentleman in the background that it is kneeling slightly , he is wearing a blue and white striped shirt , and a pair of khakis" + } + }, + "146469": { + "4510": { + "94005": "the man in the yellow ski jacket furthest up the mountain", + "94006": "a person in a yellow jacket" + } + }, + "146626": { + "2313": { + "48857": "the umpire standing behind the catcher", + "48858": "the umpire" + } + }, + "147278": { + "1735": { + "36698": "a man playing wii", + "36699": "a man in a white shirt holding a wii remote playing a game", + "36700": "a man playing a video game" + } + }, + "147303": { + "288": { + "5878": "a bus in front of others", + "5879": "the bus has a violet colour only in bottom" + } + }, + "147466": { + "4328": { + "90349": "a brown - haired boy in a red shirt", + "90350": "a boy with brown hair and red shirt with gray sleeves" + } + }, + "147543": { + "1272": { + "27091": "a woman wearing blue shorts and a racer - back tank with a blue and white tennis racket prepares to serve the ball", + "27092": "a woman holding a tennis ball" + }, + "1353": { + "28662": "a women with an orange shirt and fanny pack on", + "28663": "an official at a tennis match" + } + }, + "147694": { + "3484": { + "73119": "a woman in a purple short sleeve sweater wearing sunglasses and holding a wallet", + "73120": "a woman wearing a purple shirt standing behind a man" + } + }, + "147760": { + "3143": { + "65913": "a person with white t - shirt is trying to get the ball", + "65914": "man on the right wearing number 13" + } + }, + "147780": { + "2190": { + "46468": "a bigger horse with a red decoration in its mane", + "46469": "the tall horse with red scarf" + } + }, + "148047": { + "55": { + "945": "a woman in a red sweater", + "946": "a woman in a red jacket hugging a man" + }, + "4971": { + "103734": "a man holding up a frisbee", + "103735": "a man wearing a white shirt" + } + }, + "148230": { + "1237": { + "26460": "a woman with short brown hair wearing a dark coat and earrings", + "26461": "a women was sitting on the chir" + } + }, + "148635": { + "4005": { + "83353": "a small brown case behind a man standign up", + "83354": "brown vintage briefcase with scratch marks" + } + }, + "148727": { + "2941": { + "61813": "i think this vehicle is drived by a lady" + } + }, + "148860": { + "4157": { + "86887": "a brown and black horse with a woman in a green shirt sitting on it", + "86888": "brown horse with black legs" + } + }, + "149192": { + "3142": { + "65889": "an umbrella at an outdoor cafe nearest to the dinner special board", + "65890": "the umbrella and its pole nearest the cvs sign" + } + }, + "149202": { + "1536": { + "32696": "a cupcake that looks like a hat with a bow in front", + "32697": "hat in the right most side of the image" + }, + "4254": { + "88881": "a small black and white cake with a ribbon on top", + "88882": "a pastry with a bow - tie and spiral dots" + } + }, + "149221": { + "464": { + "10114": "the wine glass with some white wine in it", + "10115": "a glass containing white wine" + } + }, + "149410": { + "3151": { + "66068": "the dog is looking up at the owner", + "66069": "the yellow lab in the bottom right picture" + } + }, + "149424": { + "4849": { + "100988": "a man in a white shirt smiling", + "100989": "a man in white shirt near a woman" + } + }, + "149449": { + "936": { + "20183": "man with short black hair wearing a visor and white shirt looking at an elephant", + "20184": "a man with black short heair and a visor on" + } + }, + "149539": { + "3988": { + "82972": "the child in the green shirt is looking at the animals", + "82973": "the little girl with a green shirt , looking at the animals" + } + }, + "149726": { + "2551": { + "53865": "skateboarder wearing dark colored jeans", + "53866": "man wearing black pants skateboarding while holding hands with another skateboarder" + } + }, + "149921": { + "61": { + "1071": "an empty seat at on a bench", + "1072": "the table bench with two people on" + } + }, + "150477": { + "1764": { + "37337": "the catcher", + "37338": "an umpire standing behind a baseball player who is swinging a bat" + } + }, + "151265": { + "1778": { + "37640": "a large maroon motorcycle with tassels and a second seat next to other motorcycles in a parking lot", + "37641": "a burgundy colored motorcycle parked nexti to a black motorcycle" + }, + "4452": { + "92836": "the black motorcycle in th emiddle", + "92837": "a black bike standing aside of the bullet" + } + }, + "151289": { + "752": { + "16272": "the lighter colored laptop that has an apple on it", + "16273": "apple note book closed and next to the another laptop on the table" + } + }, + "151434": { + "4745": { + "98796": "a grey and black motorcycle parked behind two other motorcycles on the side of a road", + "98797": "silver motorcycle sitting behind two other motorcycles" + } + }, + "151729": { + "2227": { + "47085": "little girl in green shorts on the right of the other girl", + "47086": "a little girl with brown hair , green shorts and a white shirt leaning in to give a kiss" + } + }, + "151915": { + "4721": { + "98307": "the smaller giraffe on the left", + "98308": "a small giraffe standing to the left of another giraffe" + } + }, + "151979": { + "2558": { + "54053": "ballboy in grey jumpsuit leaning against wall at tennis match", + "54054": "a guy wearing a grey sweatsuit at a tennis match" + } + }, + "152003": { + "4819": { + "100421": "a dark yellow piece of fruit or vegetable" + } + }, + "152253": { + "33": { + "520": "a short giraffe in front of a taller giraffe", + "521": "giraffe standing next to a giant giraffe" + } + }, + "152389": { + "1118": { + "24205": "a man taking a shower with his swimsuit pulled down enough to expose his buttocks", + "24206": "a man standing in the showers with this swimwear pulled down past his buttocks" + } + }, + "152431": { + "928": { + "20016": "a man sitting wearing sunglasses and a brown coat and blue jeans near another man with a blue jacket", + "20017": "a man in a black jacket next to a person in a blue coat" + }, + "2988": { + "62739": "the woman with the black beanie", + "62740": "woman without sunglasses" + } + }, + "152556": { + "2293": { + "48430": "woman wearing a jean jacket", + "48431": "a women wearing a blue jeans over coat" + } + }, + "152633": { + "3717": { + "78024": "the school aged boy plays indoor soccer and kicks the ball with his foot", + "78025": "a boy in a brown shirt kicking a soccer ball" + } + }, + "152662": { + "3181": { + "66745": "the slice of pizza that is on the left hand side of the plate . the narrow point is facing towards the camera , and the wider edge of the slice is further away from the camera", + "66746": "the piece of pizza closest to the napkin" + } + }, + "152871": { + "4770": { + "99465": "a boy wearing a green shirt", + "99466": "young man in green eating a doughnut" + } + }, + "153475": { + "1975": { + "41892": "someone standing in their living room playing wii", + "41893": "a boy standing" + }, + "4296": { + "89721": "two people sitting on a couch are playing a wii game", + "89722": "a girl wearing green top and holding the wii remote" + } + }, + "154257": { + "4707": { + "97981": "the horse on the right in the right hand picture", + "97982": "horse with white strip on face" + } + }, + "154359": { + "707": { + "15347": "a bun with sliced pickles in it", + "15348": "a sandwich with pickles" + } + }, + "154373": { + "831": { + "17928": "middle set of skis", + "17929": "red and black skis being carried by a man in a black outfit" + }, + "2344": { + "49319": "man standing wearing all black", + "49320": "a man in black jacket" + } + }, + "154502": { + "279": { + "5648": "tallest girl wearing blue shoes", + "5649": "a tall skinny blonde haired girl in a ponytail and grey shorts playing extreme frisbee" + } + }, + "154895": { + "191": { + "3662": "the sandwich nearest to the yellow box", + "3663": "a side view of a wrapped sandwich" + } + }, + "154911": { + "256": { + "5037": "a silver macbook is to the right of a slightly larger macbook", + "5038": "the laptop of the man in the plaid shirt , with his hands in front of his face" + } + }, + "154912": { + "2983": { + "62707": "hotdog closest to fries", + "62708": "the hotdog closest to the order of fries" + } + }, + "155003": { + "168": { + "3061": "a giraffe whose face is completely visible", + "3062": "the baby giraffe is chewing and standing next to his mom in a cage" + } + }, + "155107": { + "391": { + "8360": "a white color laptop is on a table", + "8361": "a small white laptop" + }, + "476": { + "10442": "a gray laptop next a white mac computer", + "10443": "silver laptop computer" + } + }, + "155117": { + "2172": { + "46117": "a woman in blue jeans facing a dog on a skateboard", + "46118": "person in blue jeans holding a dog leash" + } + }, + "155323": { + "4697": { + "97749": "a man in a gray t shirt and white shoes" + } + }, + "155776": { + "65": { + "1162": "furthest away animal legs", + "1163": "two elephant feet close together" + } + }, + "155806": { + "3938": { + "81985": "little girl in white sweater riding on motorcycle", + "81986": "child on moped" + } + }, + "155884": { + "1037": { + "22364": "a full grown brown bear near a young bear", + "22365": "the larger black bear facing the camera" + } + }, + "155904": { + "844": { + "18206": "a bowl of healthy food with a spoon and napkin next to it", + "18207": "a bowl of bananas , strawberries , and some other things" + } + }, + "156296": { + "2086": { + "44265": "a young woman in a grey and red sweatshirt , black pants and black and white checkered rainboots holding an umbrella in the rain" + } + }, + "156425": { + "2775": { + "58471": "the catcher reaching to catch the ball", + "58472": "the umpire looking to the left" + } + }, + "156461": { + "200": { + "3860": "train that is black and yellow", + "3861": "a yellow colour train the platform" + } + }, + "156594": { + "1028": { + "22227": "overweight lady on far right", + "22228": "backside of a women with short hair wearing glasses" + } + }, + "156943": { + "4393": { + "91758": "a small bowl of soup with chopsticks on the lid of the bowl to the left of a similar bowl", + "91759": "the bowel to the left with the chopsticks" + } + }, + "157020": { + "4901": { + "101886": "a japanese woman wearing white and red walking down the sidewalk holding a sun umbrella", + "101887": "woman walking down the street wearing a pink kyoto" + } + }, + "157026": { + "4495": { + "93732": "the male on the right in the yellow t - shirt", + "93733": "a tourist looks at something in his bag" + } + }, + "157029": { + "2041": { + "43250": "the two signal lights above the yellow taxi light", + "43251": "2 traffic light in the top most right side of the image" + } + }, + "157707": { + "4028": { + "83834": "partial syrup in back", + "83835": "bottom part of a syrup bottle" + } + }, + "157834": { + "4736": { + "98571": "bear to the left of purple ribbon", + "98572": "white teddy bear tied to a vase with purple lace" + } + }, + "157977": { + "1010": { + "21859": "a pan with onions inside", + "21860": "a black pan of black sauce and onion in front burner" + } + }, + "158293": { + "3732": { + "78254": "a glass of ice water", + "78255": "a glass of water in the background of a mouthwatering burger and beer" + } + }, + "159061": { + "4968": { + "103636": "a woman coming down the stairs", + "103637": "the female in the back with black hair" + } + }, + "159250": { + "775": { + "16669": "two zebra behind the rest of its pack crossing the street" + } + }, + "159331": { + "1991": { + "42188": "the arm of another person in a short - sleeved shirt is clenched in a fist behind the large fat man" + } + }, + "159577": { + "3079": { + "64489": "a woman getting her hair cut", + "64490": "the woman getting her hair cut in the foreground" + } + }, + "159723": { + "3010": { + "63055": "a man massages his daughter ' s scalp after a bath", + "63056": "a man holding a little girl who is having her hair blowdried" + } + }, + "159768": { + "401": { + "8574": "a woman in a green and blue striped shirt", + "8575": "a woman in a green shirt sitting at the table" + } + }, + "159953": { + "2267": { + "48008": "a man wearing glasses and sitting on a couch", + "48009": "a man sitting on the sofa" + } + }, + "159987": { + "4357": { + "91000": "a bus in the front of the line", + "91001": "a white bus in front of another bus" + } + }, + "160014": { + "2943": { + "61860": "the white section of couch closest to the winfow" + } + }, + "160229": { + "2471": { + "51969": "man in khaki shorts skateboarding", + "51970": "man wearing a helmet , gray shirt , tan shorts on a skateboard" + } + }, + "160940": { + "4876": { + "101497": "a man holding a knife cutting a pizza with a gold watch on his left hand", + "101498": "a person holding a knife" + } + }, + "160944": { + "4513": { + "94049": "a glass of water", + "94050": "glass top left" + } + }, + "161028": { + "3820": { + "79982": "a kid with dark hair playing table tennis", + "79983": "a boy with black hair and red clothing with black trim holding a ping pong paddle" + } + }, + "161178": { + "4980": { + "103932": "the flowers and greenery one the bottom left", + "103933": "a red flower" + } + }, + "161510": { + "4407": { + "91957": "a purple chair that a older man is sitting on", + "91958": "violet chair" + } + }, + "161749": { + "2087": { + "44270": "the dog standing behind a person", + "44271": "a brown dog behind a person wearing black capri pants" + } + }, + "161818": { + "3962": { + "82451": "the man in the white shirt and black shorts is catching a frisbee" + } + }, + "161941": { + "1416": { + "30024": "fruit bowls sitting on a table", + "30025": "table top with fruit" + } + }, + "161974": { + "4159": { + "86897": "large tow truck with red trimmed flatbed and a child standing on it", + "86898": "a large trailer looking thing with a little kid on the back" + } + }, + "162177": { + "3631": { + "75923": "two highschool level base ball players at a base", + "75924": "a player is bending" + }, + "4125": { + "86005": "a baseball player on defense , playing 1st base , awaits the play as a runner contemplates stealing second", + "86006": "young man at first base while other players wait to run on" + } + }, + "162223": { + "3997": { + "83160": "a small airplane on the tarmac", + "83161": "a red and white plane parked behind another plane" + } + }, + "162654": { + "503": { + "11052": "man wearing black t - shirt with number 112", + "11053": "a child withansports drink in hand" + } + }, + "162792": { + "4194": { + "87616": "the train in the black and white photo with cn on its front", + "87617": "the black and white train" + } + }, + "162993": { + "2464": { + "51837": "a sheep in a dress so only its snout pokes out", + "51838": "back most animal" + } + }, + "163114": { + "154": { + "2717": "the silver motorcycle next to the tree", + "2718": "the white motorcycle" + } + }, + "163220": { + "811": { + "17590": "brown horses", + "17591": "2 horses dressed in harnesse ( s )" + } + }, + "163267": { + "3843": { + "80341": "a forest buffalo sitting separately near by another two", + "80342": "an ox relaxing on the ground away from other two oxens", + "80343": "a cow on most left" + }, + "4063": { + "84556": "the animal with the longest , thickest horns", + "84557": "a large bull with white horns laying on the ground between two smaller bulls" + } + }, + "163559": { + "1343": { + "28424": "a head of kale with light shining on it", + "28425": "a green vegetable" + } + }, + "163713": { + "136": { + "2357": "a balding man without a hat eating food", + "2358": "man sitting at the table eating , far left" + } + }, + "164918": { + "2689": { + "56821": "a bunch of green plantains with brown spots sit in a wooden bowl", + "56822": "a bowl full of green bananas" + } + }, + "165043": { + "2235": { + "47220": "a young zebra standing in tall grass", + "47221": "a zebra with black and white stripes and it ' s tail sticking out" + } + }, + "165057": { + "1795": { + "38020": "featured elephant", + "38021": "an elephant with a man riding it in front of another elephant" + } + }, + "165094": { + "14": { + "296": "the machine to the right of the other machine", + "297": "the right side parking meter" + } + }, + "165163": { + "645": { + "14025": "the baseball player dressed all in white in the background of the image", + "14026": "a child in a white baseball uniform" + } + }, + "165199": { + "1340": { + "28358": "a man with very long hair and a beard is standing in his baseball uniform next to a man with shorter long hair and a bigger beard", + "28359": "the man with the long hair on the left" + } + }, + "165299": { + "2611": { + "55170": "a piece of bread with butter", + "55171": "a slice of bread with just butter on it" + } + }, + "165426": { + "2675": { + "56518": "green bowl farthest from the food", + "56519": "empty plate front right" + } + }, + "165546": { + "25": { + "436": "the male on the right looking down at the cake", + "437": "a man looking at a cake" + } + }, + "165671": { + "3922": { + "81754": "the closest chair on the left side of the table" + } + }, + "166021": { + "4726": { + "98366": "the rear of a brown cow in front of a black cow", + "98367": "the first brown cow butt in the right hand picture" + } + }, + "166489": { + "213": { + "4113": "a baseball player swinging a baseball bat", + "4114": "a baseball player standing at home plate swinging a bat" + } + }, + "166764": { + "2750": { + "58050": "a young boy in a baseball uniform standing in a doorway", + "58051": "a boy wearing a white shirt and white pants with a glove on his hand" + } + }, + "166828": { + "4139": { + "86436": "the frig that is in full view", + "86437": "a silver refrigerator in the corner of the kitchen" + } + }, + "166987": { + "4021": { + "83657": "a plate of broccoli and other food", + "83658": "a plate of vegetables" + } + }, + "167002": { + "1844": { + "38912": "the smallest boy", + "38913": "boy in white shirt" + } + }, + "167033": { + "298": { + "6084": "the green bus", + "6085": "the greenish blue bus" + } + }, + "167075": { + "773": { + "16647": "a man in a red shirt playing tennis", + "16648": "a person in white shorts , red shirt , and red cap playing tennis on a tennis court" + } + }, + "167169": { + "1883": { + "39707": "a softball player pitching in a game", + "39708": "a righthanded softball pitcher is throwing a yellow ball" + } + }, + "167248": { + "4190": { + "87513": "a table with food and a newspaper on it", + "87514": "a tabletop with a newspaper , bread , and a cup of coffee" + } + }, + "167765": { + "3914": { + "81534": "blue denim item just under the puppy ' s nose", + "81535": "bottom leg of a pair of demin jeans" + } + }, + "167927": { + "2528": { + "53283": "a man in a hat talking on a cell phone", + "53284": "a man dressed in british garb" + }, + "4713": { + "98133": "the gentleman in a white oxford style shirt with suspenders that looks like he ' s walking away", + "98134": "a man in the background with a black satchel" + } + }, + "168022": { + "943": { + "20268": "woman sitting at the table writing something in a book", + "20269": "a woman in black and white writing in a book" + } + }, + "168161": { + "4803": { + "100082": "the maroon office chair behind the fan", + "100083": "a red empty office chair" + }, + "4868": { + "101315": "the chair that the guy in the white tshirt is sitting on", + "101316": "the chair being sat in by the man in the white shirt" + } + }, + "168332": { + "422": { + "9256": "a person next to an elephant wearing a safari hat", + "9257": "person with tan hat" + } + }, + "168657": { + "287": { + "5836": "woman on her cell phone", + "5837": "a woman in a striped sweater talking on a cell phone" + } + }, + "168703": { + "1253": { + "26723": "a giraffe behind the fence in the sun" + }, + "1685": { + "35832": "a back part of a tan and white giraffe", + "35833": "a giraffe off by itself closest to the girl in white shirt" + } + }, + "168774": { + "1404": { + "29733": "a black picnic table", + "29734": "table" + } + }, + "168839": { + "2938": { + "61712": "small elephant trailing big elephant", + "61713": "the baby elephant walking down the path next to his mother" + } + }, + "169040": { + "2612": { + "55205": "a truck carrying a dozer", + "55206": "a white , flat bed truck is carrying a reddish tractor" + } + }, + "169121": { + "424": { + "9314": "3 bibles on first pew 2 bibles on the second pew", + "9315": "the first pew" + } + }, + "169179": { + "3491": { + "73199": "a man hold a remote with smile", + "73200": "there is one person wearing red t - shirt holding a device in his hand" + } + }, + "169229": { + "2691": { + "56965": "a dog laying on a carpeted room" + } + }, + "169474": { + "370": { + "7791": "a blue toothbrush", + "7792": "a toothbrush with a blue handle in front of a toothbrush with a pink handle" + } + }, + "169529": { + "3185": { + "66824": "an apple with its stem facing up", + "66825": "the green apple below the two oranges" + }, + "4660": { + "97014": "a green apple upside down hidden under some oranges", + "97015": "the apple farthest right" + } + }, + "169634": { + "4556": { + "94909": "a black and white cat resting on the couch", + "94910": "a cat that has more black than white laying on a sofa" + } + }, + "169726": { + "2106": { + "44680": "a brown toy bear", + "44681": "a toy shaped like a brown bear" + } + }, + "169988": { + "2604": { + "55072": "comode in the bathroom", + "55073": "the toilet bowl on the left" + } + }, + "170080": { + "1399": { + "29648": "couple walking by the ocean behind the surfboard", + "29649": "the two individuals that are being covered by the tip of the surf board" + } + }, + "170327": { + "2851": { + "59804": "zebra on far right", + "59805": "zebra walking to back side in the right side of the image" + }, + "2913": { + "61058": "zebra between two other zebras standing" + } + }, + "170398": { + "1589": { + "33985": "little girl with white shirt with a design on it sitting at the table with a little girl with a pink shirt", + "33986": "a little girl in a white shirt" + } + }, + "170451": { + "682": { + "14853": "a united states fighter jet flying between two other similar fighter jets" + } + }, + "170464": { + "1965": { + "41612": "right person", + "41613": "a surfer wearing a white tank top and pink patterned trunks" + } + }, + "170584": { + "4106": { + "85473": "a cat lying on a skateboard in front of another cat", + "85474": "the gray cat sitting ontop of a skateboard" + } + }, + "170752": { + "876": { + "18836": "a cow ahead the others" + } + }, + "170980": { + "1952": { + "41314": "the third teddy bear from the right", + "41315": "the fourth teddy bear from the left" + } + }, + "170990": { + "559": { + "12145": "vase in the front right hand side", + "12146": "base of the closest plants ' pot" + } + }, + "171315": { + "4468": { + "93051": "the red teddy bear", + "93052": "red teddy bear" + } + }, + "171484": { + "466": { + "10138": "the giraffe standing to the right of two giraffes and to the left of one giraffe", + "10139": "tallest giraffe" + } + }, + "171536": { + "1766": { + "37397": "the man to the far left", + "37398": "a man with an unbuttoned white shirt" + } + }, + "171639": { + "2397": { + "50403": "a baby zebra", + "50404": "the smallest zebra" + } + }, + "171736": { + "4356": { + "90998": "the reflection of the pink cellphone", + "90999": "the reflection of the phone in the mirror" + } + }, + "172092": { + "2064": { + "43847": "the portion of the bed to the girl ' s right , including the head rest" + } + }, + "172143": { + "1510": { + "32259": "carrot on top", + "32260": "the largest carrot sitting on the top of the other carrots" + } + }, + "172197": { + "4975": { + "103828": "a baseball umpire", + "103829": "the umpire in all black with the number 57 on his arm" + } + }, + "172406": { + "384": { + "8155": "the nearest car", + "8156": "black car closest" + } + }, + "173056": { + "489": { + "10711": "a tall grey sheep stands beside a black baby sheep", + "10712": "a mother sheep looking at the camera" + }, + "3294": { + "68974": "the little black lamb", + "68975": "it is a black goat" + } + }, + "173070": { + "3050": { + "63839": "a glass of red wine", + "63840": "a glass of half filled red wine in the center of the table" + } + }, + "173251": { + "3633": { + "76008": "right leg of a standing man", + "76009": "the person ' s leg , shoe , and pants bottom , in the far right of the picture" + } + }, + "173451": { + "2158": { + "45773": "giraffe to the right", + "45774": "a giraffe that is shorter than its friend" + }, + "4394": { + "91764": "a giraffe behind another reaching up high", + "91765": "a tall giraffe standing over the other giraffe reaching for the grass" + } + }, + "173791": { + "4800": { + "100051": "an umpire calling the game", + "100052": "a baseball umpire working" + }, + "4866": { + "101290": "the keeper with white dress behind the man striking ball", + "101291": "catcher in white uniform and face mask squatting behind home plate" + } + }, + "173893": { + "2700": { + "57091": "a women carrying bottle", + "57092": "woman" + } + }, + "173925": { + "971": { + "20966": "a man wearing a white hat and black shoes holding a tennis racket", + "20967": "a tennis player wearing a white hat" + } + }, + "174135": { + "792": { + "17168": "white guy with mole on left side of face", + "17169": "a man having his hair cut" + }, + "873": { + "18795": "woman cutting hair", + "18796": "a woman cuts a mans hair" + } + }, + "174700": { + "227": { + "4475": "cat on left side", + "4476": "a black and white cat sleeping to the left of two other cats" + }, + "2728": { + "57526": "a grey cat on a red suitcase between two black cats", + "57527": "a grey and white cat on a red luggage" + }, + "2805": { + "59042": "the wooden chair leg on the far left by the black and white cat" + }, + "4863": { + "101189": "black cat sleeping on a red and black luggage beside a gray and white kitten", + "101190": "a black cat in a suitcase" + } + }, + "174892": { + "2553": { + "53901": "food in an orange container", + "53902": "food in an orange contaier" + } + }, + "175021": { + "1140": { + "24670": "the elephant that is carrying a stick", + "24671": "two elephants eating plants" + } + }, + "175523": { + "4071": { + "84826": "a red food truck", + "84827": "an orange food truck" + } + }, + "175546": { + "4313": { + "90090": "the man holding the sandwich", + "90091": "a man eating a hot dog" + } + }, + "175781": { + "2477": { + "52082": "the beat with no clothes", + "52083": "the bear that is not entirely in the picture" + } + }, + "176038": { + "2391": { + "50253": "a person sitting at the table with a shirt that says make on it", + "50254": "the person with a salad in front of him or her" + } + }, + "176229": { + "2664": { + "56265": "a man sitting on the road taking a photo of a man skateboarding", + "56266": "the person holding the a camera , taking a photo" + } + }, + "176263": { + "2771": { + "58409": "a male giraffe mounting another giraffe", + "58410": "a giraffe who is mounting another giraffe" + } + }, + "176403": { + "155": { + "2737": "red umprella with man wearing leather coat standing under it", + "2738": "red umbrella with woman in black dotted shirt sitting under it" + } + }, + "176791": { + "516": { + "11258": "a dark brown horse in which a man with black dress is sitting", + "11259": "the horse that has a guy in gray on top of it" + } + }, + "176985": { + "3140": { + "65843": "table", + "65844": "brown daining table" + } + }, + "177125": { + "4038": { + "84103": "horse that is not facing the camera", + "84104": "horse behind another horse" + } + }, + "177238": { + "1410": { + "29882": "a boy wearing red color t shirt playing video game with girl baby", + "29883": "a kid with red tshirt , playing video games" + } + }, + "177325": { + "1246": { + "26604": "glass with flower next to soda", + "26605": "left glass with flower" + } + }, + "177440": { + "245": { + "4839": "a small white teddy bear sitting in front of a large bear", + "4840": "white color teddy bear front position and back another teddy and site position was girl baby sitting" + }, + "314": { + "6424": "brown teddy bear", + "6425": "a brown stuffed bear" + } + }, + "177472": { + "2781": { + "58563": "a silver bowl full of finger chips", + "58564": "a silver cup holding the fries" + } + }, + "177917": { + "2167": { + "46062": "the feet of the brown teddy bear", + "46063": "the feet of a large teddy bear" + } + }, + "178376": { + "4122": { + "85911": "monitor on right side back", + "85912": "computer monitor to the right of the cell phone" + } + }, + "178791": { + "4846": { + "100949": "a young boy in the center frame of a poster playing baseball , wearing a blue and grey uniform", + "100950": "the boy in the middle" + } + }, + "178874": { + "4164": { + "87011": "a person walking behind seating", + "87012": "a man wearing a grey shirt" + } + }, + "179017": { + "353": { + "7241": "a man in jeans and a short sleeve button down shirt standing to the left of two other men outside the fence at a baseball diamond", + "7242": "a man is standing wearing jeans and shirt with a smile on his face" + } + }, + "179060": { + "2799": { + "58975": "a guy holding a blue frisbee", + "58976": "man in white shirt with blue frisbee" + } + }, + "179118": { + "4670": { + "97195": "the shorter giraffe on the left", + "97196": "baby giraffe" + } + }, + "179188": { + "1588": { + "33948": "woman standing in ski gear wearing green top", + "33949": "a woman in a green jacket with skis and poles" + }, + "4241": { + "88634": "a skier in a gray outfit", + "88635": "a man on skis with a grey hat and grey jacket" + } + }, + "179390": { + "4008": { + "83379": "a cow walking behind two other cows", + "83380": "a cow standing in a field next to 3 other cows" + } + }, + "179462": { + "3452": { + "72431": "middle layer of the banannas on the plate of fruit", + "72432": "middle of three bananas" + } + }, + "179618": { + "2860": { + "59996": "someone holding the base of a blender", + "59997": "a person holding blender with left hand" + } + }, + "179961": { + "4158": { + "86889": "dining chair in home", + "86890": "the chair behind the girl without the towel in the right hand picture" + } + }, + "180179": { + "2507": { + "52748": "a zebra with its head resting on another zebra", + "52749": "adult zebra hanging his head over the back of another adult zebra" + } + }, + "180273": { + "1823": { + "38546": "the center man", + "38547": "man running in the rain among others running in the rain" + } + }, + "180285": { + "201": { + "3866": "a woman in a red turtle neck holding a stuffed reindeer", + "3867": "the woman wearing a red sweater holding the brown stuffed animal" + } + }, + "180335": { + "952": { + "20433": "the giraffe that is eating", + "20434": "a giraffe reaching for a tee" + } + }, + "181054": { + "3249": { + "68075": "a man in a white shirt with a woman buttoning it up", + "68076": "a man in a white shirt looks nervous as an older woman buttons him up" + } + }, + "181057": { + "912": { + "19568": "zebra in the middle" + } + }, + "181064": { + "2066": { + "43878": "the # 61 amtrak train waits at the platform", + "43879": "a blue and silver train that is on the tracks with the number 61 lit up" + } + }, + "181135": { + "574": { + "12435": "a brown cow wearing a cowbell" + } + }, + "181176": { + "480": { + "10561": "black car", + "10562": "a black volkswagon in a park parking lot" + } + }, + "181316": { + "644": { + "14001": "the racket held by a girl wearing dark skirt", + "14002": "a racket being held by the girl in the black skirt" + } + }, + "181850": { + "1908": { + "40294": "a person ' s hand that has white fingernails", + "40295": "hands with white fingernails at top right of plate" + } + }, + "181929": { + "1891": { + "39968": "an asian girl with glasses", + "39969": "a woman with glasses standing beside a man with glasses" + } + }, + "182507": { + "1130": { + "24478": "a sandwich with meat , cheese , and lettuce on a black plate", + "24479": "the sandiwch on the right" + } + }, + "182571": { + "1495": { + "31974": "a woman wearing a red night gown and a santa hat", + "31975": "woman in santa hat" + } + }, + "182620": { + "661": { + "14428": "a ski with its end stuck in the snow", + "14429": "skis stuck into the snow" + } + }, + "182642": { + "3679": { + "77039": "the backside of the bike with a rider on it", + "77040": "back end of motorcycle" + } + }, + "182706": { + "3174": { + "66610": "the horse that is fully visible", + "66611": "horse in front , whose body is fully visible" + } + }, + "183218": { + "2245": { + "47516": "man in short sleeved white shirt with dark apron with left hand grasping a green towel", + "47517": "cook in apron black apron with a green towel" + }, + "2323": { + "49007": "a man with a tattoo on his right arm is wearing a black apron and has a beard", + "49008": "food service worker with back turned from counter , and red mark on arm" + } + }, + "183260": { + "2939": { + "61727": "woman sitting at table in brown shirt and glasses", + "61728": "a woman in brown sitting next to a man in black eating pizzas" + } + }, + "183342": { + "1953": { + "41320": "a person sitting next to a bag", + "41321": "a person wearing blue to the right of a person cutting a pizza" + } + }, + "183653": { + "982": { + "21213": "a table with two plates on it", + "21214": "a table with food on it" + } + }, + "183756": { + "1319": { + "28051": "a giraffe waiting for food", + "28052": "a giraffe standing on the second place with another one which is eating something" + } + }, + "183805": { + "3216": { + "67384": "a man holding hotdogs wearing a sunglasses", + "67385": "the man holding a plate of hotdogs" + } + }, + "183848": { + "1143": { + "24801": "a giraffe standing behind a girl", + "24802": "a giraffe in the center position back of the girl" + } + }, + "184161": { + "3643": { + "76266": "a blue color bench in a garden", + "76267": "a blue park bench" + } + }, + "184209": { + "29": { + "463": "a young child with dark straight hair in a stripped suit hits a small ball with a tennis racquet", + "464": "the little kid holding a racket" + } + }, + "184555": { + "2628": { + "55599": "woman", + "55600": "a women in a white shirt" + } + }, + "184713": { + "1502": { + "32106": "a doughnut on a white plate covered in chocolate and filled with either cream or jelly", + "32107": "a donut with brown on it" + } + }, + "185116": { + "37": { + "569": "the wicker chair to the left", + "570": "a wicker chair sitting directly in front of a closed door" + }, + "4974": { + "103801": "a couch sitting against the left wall" + } + }, + "185193": { + "4896": { + "101824": "a pizza on a brown serving board", + "101825": "a pizza like food cut into slices" + } + }, + "185248": { + "3046": { + "63754": "a hot dog with onions", + "63755": "a hot dog with onion slices on top" + } + }, + "185334": { + "4638": { + "96396": "a chrome motorcycle which is parked next to a black one", + "96397": "motorcycle the man in plaid is next to" + } + }, + "185394": { + "2483": { + "52196": "the large white boat in the background", + "52197": "the white boat in the background behind the horse and the people" + } + }, + "185656": { + "1204": { + "25788": "a giraffe standing next to a gate and looking over it", + "25789": "the giraffe looking over the fence" + } + }, + "185906": { + "1826": { + "38617": "a girraff kisses a person ' s hand", + "38618": "the giraffe with its tounge out" + } + }, + "185991": { + "4447": { + "92747": "a black suitcase underneath a medium blue one" + } + }, + "186116": { + "3058": { + "64146": "zebra is staring the camera", + "64147": "a zebra standing to the left and forefront of another zebra" + } + }, + "186246": { + "4001": { + "83256": "woman wearing a white shirt with her back turned", + "83257": "a woman in a white sweater and black skirt with her hair in a ponytail" + } + }, + "186399": { + "1679": { + "35758": "signal light", + "35759": "back of a stop light" + }, + "4451": { + "92822": "stoplight indicating walk", + "92823": "a traffic signal indicating it ' s okay to walk" + } + }, + "186685": { + "320": { + "6550": "the keyboard that is built in the laptop", + "6551": "black keyboard which is part of a silver laptop" + } + }, + "186729": { + "1372": { + "29097": "dead gray black and tan bird", + "29098": "a dead bird on the right side of a table" + } + }, + "186923": { + "1941": { + "41102": "the woman in a orange bikini sitting in front of a surfer" + } + }, + "187119": { + "2476": { + "52046": "black car beside bike" + } + }, + "187283": { + "3762": { + "78816": "biggest pieces of brocolli at the front and center of the bowl", + "78817": "two very large piece of broccoli and a whole tomato in a bowl of smaller broccolis and halved tomatoes" + } + }, + "187427": { + "1918": { + "40525": "the back of a flowered sofa", + "40526": "end of a couch" + } + }, + "187511": { + "1655": { + "35274": "pile of french fries", + "35275": "a side of fried potatoes on a white colored plate" + } + }, + "187582": { + "40": { + "644": "small black suitcase", + "645": "the black bag behind the child" + } + }, + "187784": { + "3969": { + "82640": "a man in a black and white shirt", + "82641": "a man in blues jeans standing in a boat" + } + }, + "187810": { + "538": { + "11804": "a giraffe with its head hidden", + "11805": "tall giraffe on opposite side of bridge" + } + }, + "187939": { + "2284": { + "48255": "a black laptop on a desk sitting beside a silver laptop", + "48256": "this is the black laptop next to a white laptop" + } + }, + "188143": { + "3753": { + "78731": "a man runs behind a girl on her knees catching a frisbee", + "78732": "the man standing in the blue shirt" + } + }, + "188239": { + "4631": { + "96287": "a woman with child in her lap sitting in front of the table", + "96288": "a woman holds a child on her lap at a kitchen table" + } + }, + "188787": { + "1173": { + "25374": "a catcher awaits the incoming pitch as the batter swings", + "25375": "the catcher behind the batter" + } + }, + "188854": { + "535": { + "11744": "a chair under a woman" + } + }, + "188889": { + "4175": { + "87255": "dark gray car directly behind the bicycles underneath the elephant painted on the wall", + "87256": "a blue car is parked on the side of the road behind a row of bycicles" + } + }, + "189156": { + "4410": { + "92063": "the black chair no one is sitting on", + "92064": "the empty black chair" + } + }, + "189838": { + "4873": { + "101448": "gray vertical striped vase to the right of the flowers", + "101449": "gray vase that is narrow at the top , on far right of the three vases" + } + }, + "190172": { + "3198": { + "67039": "toilet next to little girl", + "67040": "a toilet beside a baby in a bathroom" + } + }, + "190469": { + "169": { + "3063": "the back of someone to the upper right corner of the picture , facing away from a catered buffet", + "3064": "a man wearing a white shirt on the right hand side" + } + }, + "190513": { + "2198": { + "46594": "a motorcycle with an orange running light", + "46595": "the motorcycle on the right that you can only see a bit of" + } + }, + "190732": { + "1996": { + "42225": "two soccer player battling for the ball", + "42226": "soccer referee fight" + } + }, + "190805": { + "2337": { + "49168": "woman in all orange", + "49169": "a woman with her hair up and wearing an orange outfit with her back turned" + } + }, + "190914": { + "2372": { + "50037": "a woman in a burgundy shirt looking at the camera and holding a wii controller", + "50038": "the woman looking at us" + } + }, + "191293": { + "3054": { + "63909": "a black sofa against the wall", + "63910": "a black couch with a pepper pillow" + } + }, + "191340": { + "1736": { + "36726": "a baseball player sitting on the ground , whose number is 4", + "36727": "the man that is on the ground in the # 4 shirt that says young" + } + }, + "191477": { + "3476": { + "72962": "large broccoli piece the baby is reaching for", + "72963": "a piece of broccoli in front of a baby" + } + }, + "191945": { + "3939": { + "81991": "the man in the blue shirt", + "81992": "a man in a light blue shirt pushing a small child on a skateboard" + } + }, + "192080": { + "258": { + "5106": "a small giraffe standing on a small grass mound next to a larger giraffe", + "5107": "the giraffe the appears to be smaller" + } + }, + "192273": { + "1649": { + "35228": "a man with no shirt lying on the grass", + "35229": "boy laying on back on blanket" + }, + "1761": { + "37194": "a woman ' s arm , squirting a water bottle into a dogs mouth", + "37195": "someone ' s hand is holding a bottle of water" + } + }, + "192298": { + "4889": { + "101724": "a person is kneeling on the ground behind unwrapped presents wearing blue jeans", + "101725": "a person wearing blue jeans kneeling down" + } + }, + "192319": { + "1178": { + "25412": "a man in white shorts", + "25413": "a man wearing shorts standing next to the fruit stand" + } + }, + "192348": { + "4309": { + "90021": "green computer", + "90022": "smaller white laptop with green accents" + } + }, + "192476": { + "4524": { + "94222": "a chocolate donut with a hole", + "94223": "a jolly pirate donut on the right side of the plate that is covered with chocolate" + } + }, + "192566": { + "3489": { + "73170": "a guy in a white shirt is threatening another man", + "73171": "man" + } + }, + "192878": { + "3147": { + "66018": "a man playing with pet animal of cat with his girl friend", + "66019": "the man wearing a gray jacket holding the controller" + } + }, + "193044": { + "689": { + "14935": "an elephant on the left side of a row of three elephants", + "14936": "an elephant to the left of two other elephants" + }, + "3580": { + "74889": "an elephant walks in between two others" + } + }, + "193340": { + "1791": { + "37948": "the kid in green", + "37949": "smaller boy wearing green shirt" + } + }, + "193390": { + "17": { + "330": "the camouflage airplane", + "331": "camouflauged airplane" + } + }, + "193760": { + "4271": { + "89235": "man in red sweater", + "89236": "the guy wearing a red hoodie with an s on it holding a kite" + } + }, + "193829": { + "4945": { + "103088": "a young giraffe laying on the ground watching an adult giraffe", + "103089": "the giraffe that is laying on the ground" + } + }, + "194462": { + "1011": { + "21898": "a red white and black boad with hindi characters written on the side", + "21899": "a red , white and black stripped canoe behind a white cow" + } + }, + "194669": { + "4680": { + "97386": "water truck watering stuff", + "97387": "a red truck" + } + }, + "194974": { + "280": { + "5652": "the drink in the back" + } + }, + "195081": { + "4329": { + "90351": "right adult giraffe", + "90352": "a tall giraffe walking behind a baby giraffe in a zoo" + } + }, + "195137": { + "4624": { + "96211": "the larger zebra who is on the grass", + "96212": "a zebra following another zebra across a dusty road" + } + }, + "195188": { + "1135": { + "24601": "the watch that reads 6 : 32", + "24602": "a watch with the seconds hand at 23 seconds" + } + }, + "195578": { + "3758": { + "78775": "the elephant to the left", + "78776": "partially visible elephant to left of metal pole" + } + }, + "196082": { + "2239": { + "47380": "man looking in the mirror brushing his teeth with an electric toothbrush", + "47381": "a man is looking in the mirror and brushing his teeth" + } + }, + "196134": { + "4583": { + "95373": "the stop light fixture that has a dark red light", + "95374": "a street light that is red with three no - turn signs underneath / next to it" + } + }, + "196138": { + "4149": { + "86642": "cure boy wearing red shirt tie and pant", + "86643": "the baby in red" + } + }, + "196307": { + "4077": { + "84901": "soap dispenser", + "84902": "white pump bottle" + } + }, + "196676": { + "4900": { + "101882": "the back wheel and bottom part of the leg of the man on the bike next to the cow", + "101883": "a bike near a cow" + } + }, + "196842": { + "1721": { + "36449": "a man with one arm is pedaling a bike", + "36450": "a man in a lime green shirt riding a bike" + } + }, + "196912": { + "4672": { + "97253": "a woman wearing black next to man in a red shirt", + "97254": "a woman in black clothing" + } + }, + "197169": { + "3449": { + "72409": "a woman in a maroon shirt", + "72410": "an asian woman wearing a red shirt" + } + }, + "197273": { + "1843": { + "38908": "a young girl holding an umbrella", + "38909": "a girl holding a small umbrella" + } + }, + "197289": { + "1979": { + "41961": "a piece of bread on top of some kind of meat which is half cut off from the picture", + "41962": "a burger slider that is to the left of all the other burgers" + } + }, + "197329": { + "3412": { + "71453": "zebra between two other zebras" + } + }, + "197663": { + "3921": { + "81734": "man toasting", + "81735": "a man holding a glass" + } + }, + "197686": { + "561": { + "12203": "the plane in the background facing forward", + "12204": "large airplane near the grass" + } + }, + "197805": { + "562": { + "12207": "the apple screen furthest left", + "12208": "monitor far left" + } + }, + "198383": { + "2585": { + "54736": "the sofa on the right" + } + }, + "198547": { + "4661": { + "97016": "double decker bus", + "97017": "vehicle labeled london bus" + } + }, + "198620": { + "3763": { + "78842": "the spoon behind the bowl and near to the wine glass", + "78843": "a piece of silverware sits on the table near a short drinking glass" + } + }, + "198631": { + "505": { + "11108": "the large white vase with purple and white flowers in it", + "11109": "white vase with white and purple flowers in it" + } + }, + "198978": { + "1750": { + "36993": "front most kid with glasses", + "36994": "a slim boy wearing glasses" + } + }, + "198993": { + "2615": { + "55249": "a woman wearing a white shirt and standing next to a cooking pot", + "55250": "a woman in a white shirt cooking and laughing" + } + }, + "199134": { + "3251": { + "68100": "a man holding a tennis racket in the air", + "68101": "a man starring the mirror" + } + }, + "199410": { + "3351": { + "70248": "a giraffe with two other giraffes following behind it", + "70249": "a giraffe walking by itself in front of two others" + } + }, + "199594": { + "1468": { + "31330": "a green bicycle with chrome handlebars", + "31331": "a green bike carrying a man" + } + }, + "199739": { + "3562": { + "74599": "the batter", + "74600": "woman with bat" + } + }, + "200464": { + "4915": { + "102331": "a woman standing up and wearing a long blue necklace", + "102332": "a women with her hair in a pony tail wearing a blue shirt and blue jeans" + } + }, + "200625": { + "2786": { + "58720": "a man in orange t - shirt with tennis racket is talking to female partner", + "58721": "a man wearing an orange shirt holds a tennis racket" + } + }, + "200724": { + "3177": { + "66654": "the urin basin in red colour", + "66655": "a red toilet bowl with white pipes" + } + }, + "201030": { + "2638": { + "55745": "the blurred out man in the white shirt in the backround", + "55746": "out of focus man in white shirt" + } + }, + "201078": { + "1518": { + "32426": "man standing in the snow skiing posing with his wife", + "32427": "a man in blue who is on skis" + } + }, + "201184": { + "658": { + "14346": "a woman in a dress", + "14347": "a woman wearing a dress" + } + }, + "201897": { + "1999": { + "42302": "asian girl in long sleeve brown hoodie and holding wii remote", + "42303": "girl in brown sweatshirt with wii controller" + } + }, + "201969": { + "3690": { + "77318": "a man sitting on a bench next to a dog", + "77319": "man sitting on park bench looking to his right" + } + }, + "202307": { + "3899": { + "81195": "a woman brushing her teeth in front of a mirror", + "81196": "a girl brushing her teeth" + } + }, + "202601": { + "70": { + "1293": "a bald man standing next to another man" + } + }, + "202747": { + "3814": { + "79908": "a red motorbike going down a street", + "79909": "a red motorcycle" + } + }, + "202800": { + "4854": { + "101039": "the man in the white shirt", + "101040": "a white shirted man holding food" + } + }, + "202805": { + "1613": { + "34478": "a blurry person in orange and gray", + "34479": "half of a man in an orange and grey shirt" + } + }, + "202861": { + "3536": { + "73964": "all of a pizza that has pepperoni that is on the same side as the right side up pepsi cup", + "73965": "a pizza with pepperoni and peppers" + } + }, + "202909": { + "1079": { + "23539": "the closest zebra", + "23540": "the zebra most close to the camera" + } + }, + "203006": { + "3113": { + "65164": "a woman in a skirt", + "65165": "woman with curly hair wearing a black top , boots , and a skirt" + } + }, + "203035": { + "3941": { + "82016": "oven with nummbers 2306 on it", + "82017": "a modern type of silver black colored microwave oven" + } + }, + "203036": { + "3360": { + "70419": "a man in a blue shirt", + "70420": "a man in blue fixing his tie" + } + }, + "203112": { + "3722": { + "78061": "it is a white female wearing an orange shirt sitting at a dinner table", + "78062": "a woman in an orange shirt smiling next to another woman during a meal" + }, + "3780": { + "79255": "elderly woman sitting , eating a salad with a hot dog also on the table in front of her", + "79256": "the woman in the white shirt" + } + }, + "203621": { + "1591": { + "34006": "two black cats on a desktop computer table", + "34007": "cat closest to edge of desk" + } + }, + "203671": { + "4674": { + "97287": "man on a horse , accepting something from gray haired man in black coat", + "97288": "the horse the man is on" + } + }, + "203702": { + "1852": { + "39015": "car in the left most side in which a boy is there with green stripes", + "39016": "the car from which a person with green is head out" + } + }, + "203730": { + "4989": { + "104203": "the machine on the far right", + "104204": "a stainless steel , upright steamer currently steaming two trays of corn" + } + }, + "203994": { + "3029": { + "63470": "a woman in a blue tank top smiles beside a taller man", + "63471": "smiling woman wearing a black top stands with a man near the sea shore" + } + }, + "204053": { + "591": { + "12830": "a train on the railway station platform with number 70 - 039", + "12831": "the train closest to the man standing" + } + }, + "204379": { + "2863": { + "60046": "the horse that ' s getting kissed by the other horse", + "60047": "horse getting a kiss" + } + }, + "204746": { + "3867": { + "80753": "a cow ' s ribs are exposed", + "80754": "the body of a brown horse behind two cows" + } + }, + "204792": { + "1610": { + "34372": "woman on the left with blonde hair", + "34373": "a woman wearing a necklace and a dark shirt smiling" + } + }, + "205125": { + "1289": { + "27394": "the sandwich piece to the furthest right of the plate", + "27395": "a small piece of sandwich with meat and lettuce" + } + }, + "205202": { + "91": { + "1583": "a back rest of a wooden chair which was occupied by a man", + "1584": "a chair with a boy sitting in it and a boy leaning on it" + } + }, + "205223": { + "4506": { + "93958": "the tie at the second from the left", + "93959": "the second tie from the left in the collage" + } + }, + "205266": { + "4474": { + "93167": "a zebra eating grass from the ground with it ' s head the lowest", + "93168": "the larger zebra standing in back that ' s eating something off the ground" + } + }, + "205505": { + "2103": { + "44611": "baby sheep with his parent", + "44612": "young goat with older goat" + } + }, + "205769": { + "1863": { + "39238": "a woman with long brown hair wearing skis", + "39239": "a curly haired girl with a backpack stands smiling on skis" + } + }, + "205940": { + "3740": { + "78467": "a small stuffed lamb sitting next to a larger stuffed lamb", + "78468": "stuffed lamb with a leopard print dress on" + } + }, + "206435": { + "3445": { + "72376": "the person who is walking away from the scene with his back turned to the camera", + "72377": "a man wearing a cap and backpack" + } + }, + "206539": { + "3420": { + "71801": "women was playing video game", + "71802": "woman in black tank top holding wii controller" + } + }, + "206577": { + "2589": { + "54812": "the handle of the fridge with a note on it" + } + }, + "206653": { + "4280": { + "89402": "a person in the background wearing jeans seen blurry on the right side of the main girl", + "89403": "person in blue jeans walking away behind zombie girl" + } + }, + "206932": { + "4007": { + "83377": "black ski boots", + "83378": "a man with black pants standing on the ski board" + } + }, + "207010": { + "1285": { + "27338": "a woman wearing a black and white robe , with brown hair", + "27339": "a person with long hair" + }, + "1780": { + "37682": "man with a wii controller", + "37683": "man in red shirt" + } + }, + "207225": { + "4152": { + "86731": "a young boy biting his fingernails", + "86732": "little boy with his fingers in his mouth" + } + }, + "207317": { + "4425": { + "92350": "a black woman in red pants", + "92351": "a woman wearing a black top and orange pants holding a coat and carrying a back pack" + } + }, + "207331": { + "1464": { + "31259": "lemon that is furthest away from the bowl", + "31260": "a lemon behind two others" + } + }, + "207360": { + "4852": { + "101011": "man looking at cell phone", + "101012": "the man standing wearing a black shirt" + } + }, + "207447": { + "1811": { + "38334": "the man in the suit helping the lady in the chair", + "38335": "a man wearing a gray suit with purple tie" + } + }, + "207467": { + "1553": { + "33261": "man with beard brushing his teeth", + "33262": "a shirtless , bearded man brushing his teeth next to three other people brushing their teeth" + } + }, + "207647": { + "215": { + "4149": "a kid in a blue baseball uniform about to hit a ball", + "4150": "a kid about to hit a ball on a tee , wearing a blue shirt" + } + }, + "207654": { + "1317": { + "28027": "cut carrots in a red bowl", + "28028": "a sharp blade laying on a bowl of recent cut carrots" + } + }, + "207717": { + "2036": { + "43148": "a man in gray", + "43149": "a man in a grey suit with a blue tie" + } + }, + "207935": { + "1867": { + "39298": "man in red athletic clothing jumping", + "39299": "a man with a flower shirt" + } + }, + "208075": { + "98": { + "1710": "a man in black and white wearing shorts and holding a tennis racket smiling at his peers", + "1711": "the man in the black shirt is holding a tennis racket" + } + }, + "208121": { + "4663": { + "97055": "the queen bed with blue satin sheets between two lamps", + "97056": "a blue bed in between two nighttables" + } + }, + "208196": { + "4187": { + "87421": "a dirty brown jacket hanging off the back of the chair", + "87422": "the orange jacket" + } + }, + "208256": { + "1": { + "6": "a man getting ready to cut a cake", + "7": "guy in green with knife in the right hand picture" + } + }, + "208295": { + "2265": { + "47948": "the piece of food in the middle , resting on top of one other piece , and with a third resting on it .,", + "47949": "the longer piece of food on top of the dish" + }, + "4030": { + "83864": "a slice of sandwich on top of the others" + } + }, + "208379": { + "3797": { + "79484": "a man in a gray collared shirt playing wii", + "79485": "the man that is on his knees" + } + }, + "208629": { + "804": { + "17436": "a blue motorcycle sitting to the left of three others", + "17437": "a purple motorcycle parked at a curb" + } + }, + "209166": { + "4202": { + "87791": "a man in black pants sitting in a chair" + } + }, + "209191": { + "101": { + "1747": "a piece of pizza from the 8 - 9 o ' clock position in the pizza" + } + }, + "209279": { + "3761": { + "78792": "a woman in a blue tank top and green shorts", + "78793": "woman in blue top is holding small toothbrush to face with 3 young men" + } + }, + "209433": { + "2747": { + "57929": "an elephant holding a lei with its trunk", + "57930": "an elephant that is leing a boy" + } + }, + "209563": { + "1666": { + "35493": "a woman with a fork near her mouth smiling at the camera", + "35494": "woman in blue shirt eating in between man and woman" + } + }, + "209603": { + "703": { + "15262": "the pizza on the upper left looks hot", + "15263": "a calzone sitting on a pan to the left of another calzone and it is by the edge of the table" + } + }, + "209671": { + "3553": { + "74434": "green garbage truck" + } + }, + "209844": { + "2333": { + "49105": "man holding tennis bat in the front side of the left image", + "49106": "the tennis player in the foreground prepares to hit the ball" + } + }, + "210187": { + "920": { + "19766": "a book on table", + "19767": "a fine wooden table in front of a girl" + } + }, + "210279": { + "4299": { + "89759": "female zebra", + "89760": "an adult zebra is licking a young zebra" + } + }, + "210368": { + "2390": { + "50212": "winnie the pooh cake decoration", + "50213": "a large bear cake with hunny written on it" + } + }, + "210568": { + "1310": { + "27901": "a beige checkered pillow on a bed" + } + }, + "210844": { + "3811": { + "79858": "a hand without a wrist watch", + "79859": "a whine glass with whine being poured in it held by an arm without a watch" + } + }, + "210848": { + "3170": { + "66374": "empty bleachers", + "66375": "stands made of stone with fans sitting on top of them" + } + }, + "211080": { + "984": { + "21245": "the man wearing black next to the slef", + "21246": "a guy standing with a black and gray jacket watching someone snowboard" + } + }, + "211205": { + "875": { + "18830": "the piece of luggage the child is sitting on", + "18831": "large suitcase with child sitting on top" + } + }, + "211336": { + "2882": { + "60500": "a red colored surf board on which a man is sitting", + "60501": "the man ' s surfboard" + } + }, + "211570": { + "4698": { + "97760": "topmost sprikled donut", + "97761": "a top most donuts well decorated" + } + }, + "211622": { + "2602": { + "55042": "a woman in a blue top with red dots smiling", + "55043": "woman with blue top" + } + }, + "211737": { + "1184": { + "25456": "person in black cap and sunglasses and pink jersey over gray hoodie", + "25457": "a man playing frisbee in a pink jacket" + } + }, + "211863": { + "2353": { + "49507": "a woman with black pants and a hooded shirt walking on the sidewalk between three other people", + "49508": "black and white woman wearing black pants , addidas hoodie with hair in ponytail" + } + }, + "212070": { + "4619": { + "96106": "a wooden umbrella being held over a smiling woman ' s head" + } + }, + "212247": { + "2171": { + "46105": "a baby elephant on a road", + "46106": "a baby elephant walking behind a bigger elephant on a dirt surface" + } + }, + "212263": { + "1188": { + "25513": "a fuzzy brown dog playing two other dogs", + "25514": "teddybear" + } + }, + "212628": { + "2140": { + "45487": "the only other muffin , between the two cups of coffee , in the background of the image that is blurry", + "45488": "a blurry muffin behind a teacup" + } + }, + "212757": { + "4412": { + "92080": "the man in the white shirt", + "92081": "the man in the white shirt" + } + }, + "212781": { + "2335": { + "49126": "man standing next to a man holding bananas", + "49127": "the man in the blue shirt not holding the bananas" + } + }, + "212838": { + "4782": { + "99634": "a man with a cap on is brushing his teeth with an electric toothbrush", + "99635": "man brushing his teeth making mouth look like a o" + } + }, + "213032": { + "3399": { + "71161": "man playing disk in ground", + "71162": "the man in black pants" + } + }, + "213146": { + "3532": { + "73796": "an old woman playing tennis", + "73797": "a lady in a white hoodie and black pants in front of a mesh net" + } + }, + "213642": { + "3205": { + "67122": "a skiier in blue", + "67123": "skier furthest away" + } + }, + "213652": { + "1995": { + "42219": "the sandwich on the right", + "42220": "half of a sandwich on the right side of a plate" + } + }, + "213754": { + "3605": { + "75470": "a white bowl with sweets in it", + "75471": "a bowl of food next to a cutting board and a black bowl" + }, + "3680": { + "77083": "the white table in the final cell , with the two deserts on them", + "77084": "the table top around the dessert that is smoother in chocolate syrup" + } + }, + "214133": { + "3154": { + "66097": "the oven handle on the right", + "66098": "right hand stainless steel oven door" + } + }, + "215289": { + "1050": { + "22615": "a sheep to the right of another sheep" + } + }, + "215407": { + "2076": { + "44102": "a man in a brown sweater stands with his friends for a photo", + "44103": "a man wearing a black t - shirt is standing" + } + }, + "215421": { + "2909": { + "60994": "a round blue vase that has no metal on it" + } + }, + "215428": { + "1006": { + "21748": "a toddler girl", + "21749": "a little girl in a striped shirt" + } + }, + "215460": { + "4184": { + "87352": "the man in the blue shirt holding out his hand to receive a handshake after a game", + "87353": "a man in a blue shirt" + } + }, + "215718": { + "233": { + "4618": "a zebra is standing near some branches", + "4619": "a zebra with it ' s head turned next to a pile of wood" + } + }, + "216042": { + "3689": { + "77266": "food truck", + "77267": "a white truck that sells tacos , burritos , and rice plates" + } + }, + "216110": { + "2678": { + "56559": "woman in blonde hair getting hair styled", + "56560": "the woman getting her hair cut" + } + }, + "216432": { + "4704": { + "97901": "a person in a white shirt" + } + }, + "216711": { + "4023": { + "83678": "a red car in front of another red car", + "83679": "blurred image of red car infront of another red car" + } + }, + "216840": { + "4588": { + "95414": "the bright pink motorcycle", + "95415": "a red motorcylce that is parked on the road almost by itself" + } + }, + "217151": { + "695": { + "15071": "a young man with glasses standing right next to a stop sign with another man", + "15072": "a man with eyeglasses , wearing a striped shirt" + } + }, + "217221": { + "3509": { + "73473": "a parked police car" + } + }, + "217299": { + "3092": { + "64658": "the back of a brown and black cat looking ahead", + "64659": "calico cat looking at itself in mirror" + } + }, + "217511": { + "485": { + "10631": "a giraffe standing between giraffes", + "10632": "a giraffe standing between two other giraffes" + } + }, + "217779": { + "4438": { + "92603": "the white table which has sandwich and empty plate on it", + "92604": "white table" + } + }, + "217959": { + "4607": { + "95898": "the light brown cow behind the darker colorwed cow", + "95899": "reddish brown calf" + } + }, + "218057": { + "1100": { + "23885": "a dark couch holding a woman wearing all black", + "23886": "the sofa that lady in black is sitting on" + }, + "4131": { + "86243": "a blue sofa with a man seated on it", + "86244": "the blue couch is a good place for the man to rest" + } + }, + "218237": { + "2617": { + "55329": "the brown couch the men are on" + } + }, + "218579": { + "793": { + "17183": "a hand of a guy with red torso", + "17184": "an arm of a person in a burgundy shirt" + } + }, + "218595": { + "4225": { + "88328": "a white horse ' s head popping into the photo" + } + }, + "218832": { + "1327": { + "28166": "a woman with black hair , glasses , and a red shirt eating with two friends", + "28167": "a woman wearing a red sweatshirt with her hand near her face" + } + }, + "218990": { + "1377": { + "29150": "banana in front of other banana", + "29151": "a banana in front of another banana" + } + }, + "219200": { + "3776": { + "79153": "a man laying on the ground being subdued by a police officer", + "79154": "man being held down on the ground by a police officer" + } + }, + "219248": { + "1187": { + "25496": "the boy with the adidas shirt riding the horse", + "25497": "the boy with his hand on his chin" + } + }, + "219313": { + "3343": { + "70075": "a zebra standing taller than another zebra", + "70076": "tallest zebra" + } + }, + "219322": { + "4908": { + "101998": "a person holding skateboard on his hand", + "101999": "a skateboarder in a white t - shirt with a thick black wristband" + } + }, + "219399": { + "4642": { + "96494": "elderly man wearing a royal blue tie standing next to a much taller man to his right", + "96495": "an old man holding a gift in his hand" + } + }, + "219619": { + "2874": { + "60283": "a pink container with food shaped like a mouse inside of it", + "60284": "a snack shaped like a mouse" + } + }, + "219905": { + "2020": { + "42780": "the white truck in the background", + "42781": "the white panel truck" + } + }, + "220006": { + "4341": { + "90721": "a cow walking towards a man on a bike" + } + }, + "220139": { + "3534": { + "73860": "a long row of bench seatings that are wooden and is in between the closest bench to the camera and the farthest bench from the camera" + } + }, + "220239": { + "1018": { + "22069": "a woman in a pink dress eating", + "22070": "a woman wearing pink dress brushing teenth and holding tooth paste" + } + }, + "220316": { + "3795": { + "79470": "the man wearing glasses", + "79471": "man and young child saying yay ! to the doughnuts on the plate" + } + }, + "220417": { + "684": { + "14876": "little girl in white dress", + "14877": "cute girl wearing checked pattern shoe" + } + }, + "220529": { + "2770": { + "58373": "a man wearing the black color shirt holding the paper", + "58374": "a man in dark blue jeans and black long sleeved shirt holding the hand of another man" + } + }, + "220795": { + "2322": { + "48975": "a patron is served wine in a glass by a bartender", + "48976": "a pair of hands holding a wine glass on a bar" + } + }, + "221633": { + "2647": { + "55946": "white toyota car parked on side of the road", + "55947": "a white car in front of four people , two of which are on a motorcycle . the car ' s license plate number is 8p23419" + } + }, + "221717": { + "2971": { + "62522": "a young man in a dark sweater", + "62523": "a person wearing a black and gray sweater" + } + }, + "221894": { + "587": { + "12724": "carrot with string tied around it" + } + }, + "222345": { + "2867": { + "60140": "a man wearing an orange shirt sitting at his desk", + "60141": "a man in an orange shirt types at a computer" + } + }, + "222444": { + "3608": { + "75522": "the white bowl whose contents aren ' t visible", + "75523": "the white bowl in the background of the photo" + } + }, + "222676": { + "2858": { + "59984": "the person to the right of the man not swinging his tennis racket", + "59985": "a man in a blue jacket playing tennis" + } + }, + "222751": { + "2368": { + "50024": "a baseball player wearing a black hat about to throw a ball", + "50025": "a man who is going to through the ball" + } + }, + "223035": { + "637": { + "13715": "a sweet in the plate which is in orange color along with white top", + "13716": "vanilla donut" + } + }, + "223348": { + "741": { + "16036": "a man in a grey plaid shirt", + "16037": "the man with glasses" + } + }, + "223459": { + "642": { + "13949": "four blue jets flying close to each other", + "13950": "a second plane which is not forming foam" + } + }, + "223511": { + "4095": { + "85236": "a dark brown cow near a few white cows in a field", + "85237": "a brown cow on the left" + } + }, + "223595": { + "426": { + "9416": "a girl baby was standing and she shoulder holding the another hand", + "9417": "girl in purple jacket watching brother play baseball" + } + }, + "223790": { + "1622": { + "34666": "fork on the plate", + "34667": "a fork on a plate next to a slice of cake" + } + }, + "223932": { + "632": { + "13635": "a cat is seeing outside", + "13636": "the feline closest to what appears to be a frog toy" + } + }, + "224049": { + "4246": { + "88794": "a long blue and white couch with a cat sitting on it next to a yellow wall", + "88795": "a blue plaid couch with assorted tools sitting on it" + } + }, + "224112": { + "2334": { + "49109": "a wooden kitchen table with three shelves", + "49110": "a wooden table near a lady drinking soup with his husband" + } + }, + "224168": { + "2632": { + "55627": "a wooden chair holding some colorful circle stickers", + "55628": "the chair with the polka dot bag on" + } + }, + "224182": { + "2547": { + "53663": "a long horn standing in a field", + "53664": "the steer with the big long horns" + } + }, + "224292": { + "3186": { + "66838": "a laughing woman", + "66839": "woman with dirty blonde hair looking a cell phone an laughing" + } + }, + "224692": { + "3098": { + "64851": "the panda on the left", + "64852": "the panda closest to the women in the green jacket" + } + }, + "224695": { + "4960": { + "103359": "a white and brown cow next to a woman", + "103360": "white and brown cow standing to the left of woman in field" + } + }, + "224734": { + "2583": { + "54717": "sitting person in background", + "54718": "person in back sitting" + } + }, + "224753": { + "457": { + "9973": "a blue truck which is under the shade or shadow of something", + "9974": "a deep blue truck sitting in the shade" + } + }, + "224891": { + "4842": { + "100903": "the middle giraffe with a patch of sunlight hitting its back", + "100904": "the tall giraffe in the center" + } + }, + "225490": { + "4259": { + "89004": "top left pizza" + } + }, + "225669": { + "86": { + "1507": "a horse being led by a man", + "1508": "a red horse walking on grass with taking care of some person" + } + }, + "225709": { + "3565": { + "74638": "the hotdog against the edge of the pan", + "74639": "hot dog far right" + } + }, + "226263": { + "4602": { + "95782": "a man wearing a straw boater in a blue and white striped vest" + } + }, + "226357": { + "2250": { + "47633": "a man watching tv and encouraging the players", + "47634": "a man playing wii boxing" + } + }, + "226378": { + "1600": { + "34178": "the man with a necklace in his mouth", + "34179": "man chewing necklace" + } + }, + "226587": { + "1926": { + "40653": "a blue couch with a red pillow on it against the wall" + } + }, + "226660": { + "2683": { + "56671": "a man laying on a bed using a laptop computer", + "56672": "bed with man on it" + } + }, + "226840": { + "4599": { + "95692": "the right - hand zebra eating the ground where there does not appear to be any grass", + "95693": "a zebra eating with two zebras to its left" + } + }, + "227010": { + "2214": { + "46818": "a big pizza with red sauce", + "46819": "a full pizza in a pizza box sitting on a table top" + } + }, + "227103": { + "4076": { + "84897": "a darker giraffe standing in front of a lighter giraffe", + "84898": "giraffe with dark brown spots walking on grass" + } + }, + "227329": { + "4618": { + "96096": "man in a straw cowboy hat touching the horses saddle in the foreground", + "96097": "a man in a white hat with his hand on a horse saddle" + } + }, + "227675": { + "2102": { + "44600": "a background tray with coffee and creamer" + } + }, + "228105": { + "2588": { + "54798": "the middle blue luggage", + "54799": "a blue suitcase in between two other similar blue suitcases" + } + }, + "228119": { + "4024": { + "83789": "an old man feeding milk to baby on bed", + "83790": "the man feeding the baby" + } + }, + "228329": { + "1604": { + "34242": "a man wearing a tie cutting a cake", + "34243": "a man in a suit and tie standing with a woman" + }, + "4040": { + "84157": "the man is watching the happy couple", + "84158": "a man in a white shirt sitting and watching a couple cut a cake" + } + }, + "228478": { + "4084": { + "85028": "opentable in the background of a restaurant", + "85029": "a table with a white tablecloth and two orange stuffed arm chairs on both sides of it" + } + }, + "228560": { + "4272": { + "89257": "a zebra that is mostly white standing behind a zebra that is mostly black", + "89258": "zebra in back" + } + }, + "228603": { + "3130": { + "65651": "woman in a black and white dress", + "65652": "a woman with blond hair wearing a black dress" + } + }, + "228992": { + "1212": { + "26007": "chair far right", + "26008": "a brown lounge chair to the right of another chair" + } + }, + "229301": { + "2373": { + "50049": "a truck with wooden sides", + "50050": "a wooden truck bed" + } + }, + "229440": { + "2650": { + "56004": "the tan stuffed bear", + "56005": "a light - colored bear on the camera" + } + }, + "229825": { + "4851": { + "101009": "a woman wearing a blue and white shirt", + "101010": "a girl blue color t shirt and blue color jeans" + } + }, + "229919": { + "1664": { + "35372": "girl holding a toy to her nose", + "35373": "a girl holding a pink doll" + } + }, + "229976": { + "3667": { + "76762": "a pile of broccoli next to onions and other food", + "76763": "broccoli on a plate" + } + }, + "230135": { + "2379": { + "50100": "the side of a mans face while he is driving", + "50101": "the person with short hair" + } + }, + "230160": { + "301": { + "6122": "a skier with a red jacket on", + "6123": "a person in a red jacket" + } + }, + "230275": { + "3943": { + "82033": "the catcher in blue uniform", + "82034": "catcher in the blue shirt" + } + }, + "230318": { + "970": { + "20883": "a zebra walks behind another one", + "20884": "zebra behind other zebra" + } + }, + "230436": { + "4902": { + "101895": "the laptop on which the woman is working", + "101896": "the laptop being used by the woman" + } + }, + "230905": { + "4746": { + "98800": "a man holding a baby in his hand", + "98801": "the guy with the mustache" + } + }, + "231019": { + "1964": { + "41597": "a woman with a white hat", + "41598": "a women in the room with two boys" + } + }, + "231035": { + "1149": { + "25018": "this is a woman on a bicycle walking a chihuahua", + "25019": "person in white shirt riding a bike and walking a dog" + } + }, + "231414": { + "3443": { + "72327": "a dog wearing a red and blue piece of clothing", + "72328": "dressed puppy" + }, + "3530": { + "73734": "fluffy , white dog wearing brown shoes and a red heart tag on its collar", + "73735": "a white dog that is not wearing a sweater" + } + }, + "231963": { + "1672": { + "35635": "a green and red engine car", + "35636": "a train with a white circle that says dr" + } + }, + "231978": { + "3777": { + "79171": "a man drinking a glass of wine", + "79172": "a man with a white shirt drinking red wine" + } + }, + "232231": { + "620": { + "13375": "a blue shirt person wearing blue cap is holding the antiena", + "13376": "a man in blue holds a long piece of equipment" + } + }, + "232279": { + "1450": { + "30914": "blue tray that has empty plate and a cell phone on it", + "30915": "a table that has a lunch tray , a cell phone , and a remote controller on it" + } + }, + "232484": { + "4888": { + "101713": "a brown train with the number 2433 on it", + "101714": "train with engine" + } + }, + "232673": { + "4015": { + "83489": "a large elephant", + "83490": "the largest elephant being followed by the baby elephant" + } + }, + "232675": { + "2212": { + "46784": "a little girl with her finger in her nose", + "46785": "a small girl watching the goat on the road" + }, + "4710": { + "98021": "a goat partially seen with a woman in the background in black shirt and sunglasses", + "98022": "a man dressed in black with black sunglasses standing behind a goat" + } + }, + "232717": { + "1248": { + "26630": "an armchair without a towel", + "26631": "a black chair" + } + }, + "232770": { + "2358": { + "49540": "a young boy in a blue shirt having a man write on an orange", + "49541": "a boy holding a lemon" + } + }, + "233485": { + "2340": { + "49224": "a black ipad on the right lower conner of this picture", + "49225": "a large tablet in the bottom right side of the picture" + } + }, + "233623": { + "316": { + "6484": "woman wearing a football jersey", + "6485": "a woman with a blue tshirt standing with a man" + } + }, + "233746": { + "1802": { + "38116": "2 pieces of broccoli closest to the left side of the plate" + } + }, + "234000": { + "4199": { + "87699": "the zebra on the right", + "87700": "two zebras looking away from the camera" + } + }, + "234285": { + "1737": { + "36807": "donut bottom right side", + "36808": "the doughnut nearest to the camera" + } + }, + "234343": { + "1998": { + "42292": "the bear to the right", + "42293": "the baby bear" + } + }, + "234424": { + "2002": { + "42330": "small bird behind bigger bird", + "42331": "a small stork with both feet in the grass" + } + }, + "234457": { + "3696": { + "77398": "a orange cat is laying on a bed with his black and white cat friend", + "77399": "an orange cat to the right of a black and white cat" + } + }, + "234706": { + "2905": { + "60928": "a parent lama in the snow with his / her baby", + "60929": "the adult furry sheep" + } + }, + "234720": { + "1019": { + "22080": "a black motorcycle with a person in a yellow vest next to it" + } + }, + "235624": { + "3247": { + "68046": "the man behind the girl", + "68047": "a man" + } + }, + "235646": { + "1713": { + "36325": "partial neck and body of giraffe that is between two other giraffes", + "36326": "the neck of the giraffe in the middle" + } + }, + "235651": { + "1345": { + "28479": "wooden handle chair on the right of the other like it" + } + }, + "235802": { + "3968": { + "82634": "the silver and black motorcycle with the gold helmet on the right handlebar", + "82635": "a white - trimmed motorcycle with black seat and a gold helmet atop its handlebars" + } + }, + "235838": { + "4621": { + "96113": "a zebra seen from behind with its face turned towards another zebra", + "96114": "the back of a zebra looking to the left" + } + }, + "235949": { + "238": { + "4734": "a child eating food", + "4735": "little boy with gray shirt and green frosting all over his mouth" + } + }, + "236211": { + "1921": { + "40562": "a young woman wearing a pink shirt and a white veil", + "40563": "woman in a pink shirt wearing a white lace head veil" + } + }, + "236572": { + "3769": { + "78943": "the second zebra from the left", + "78944": "the 3rd zebra from the right" + } + }, + "236650": { + "3327": { + "69573": "a young soccer player in blue", + "69574": "the girl in blue and black that just used her head to hit the ball" + } + }, + "237137": { + "3442": { + "72317": "a young child picking up a marshmallow", + "72318": "a child samples food" + } + }, + "237383": { + "605": { + "13063": "a man wearing a black shirt holding a wii controller next to another man holding a wii controller", + "13064": "guy in black shirt" + } + }, + "237510": { + "814": { + "17644": "a zebra grazing and facing away from the camera", + "17645": "a zebra showing back to his / her friend" + } + }, + "237617": { + "2606": { + "55126": "a striped recliner which is half seen", + "55127": "the plaid couch on the bottom elft" + } + }, + "237715": { + "2480": { + "52135": "a brown and white horse to the right side of two other horses" + } + }, + "237818": { + "1151": { + "25053": "man in red shirt", + "25054": "a man in a red shirt" + } + }, + "237831": { + "672": { + "14673": "a baby eating some cake", + "14674": "shirtless baby sitting in a highchair" + }, + "1401": { + "29719": "a high seat for a baby whose having his first birthday", + "29720": "a brown child seat" + } + }, + "237841": { + "2529": { + "53305": "a woman in a red and white jacket jumping", + "53306": "a girl with a red shirt" + }, + "4114": { + "85752": "a woman wearing a white coat and grey hat", + "85753": "a woman in a white coat jumping" + } + }, + "238200": { + "3846": { + "80377": "a person feeding a baby", + "80378": "woman" + } + }, + "238905": { + "4300": { + "89771": "a man swings a bat", + "89772": "the baseball player at bat" + } + }, + "239052": { + "2973": { + "62554": "a man adjusts another man ' s boutonniere", + "62555": "a man with a head of brown hair in a suit with glasses" + } + }, + "239180": { + "3757": { + "78769": "the bed on the right in the bedroom", + "78770": "the twin bed closest to the lamp" + } + }, + "239331": { + "4567": { + "95185": "woman in a blue shirt", + "95186": "a blonde woman in a blue shirt prepares dinner in the kitchen" + } + }, + "239339": { + "1479": { + "31587": "the face and upper body of a woman wearing a gray hat sitting partially in front of a lamp to the far right side of the frame", + "31588": "woman in gray hat watching friends play game" + } + }, + "239398": { + "2166": { + "46043": "a small colorful chaise lounge by the windows", + "46044": "a small pink color settee which is at the right side pf the picture" + } + }, + "239596": { + "4445": { + "92693": "a glass of juice on the table", + "92694": "a glass of orange juice" + }, + "4537": { + "94469": "a placemat is empty behind a placemat that is full" + } + }, + "239616": { + "4226": { + "88339": "a large stone bear", + "88340": "a big teddy bear with bow on it ' s neck" + } + }, + "239654": { + "942": { + "20263": "the cat on the right with toilet roll in front of him", + "20264": "cat touching toilet paper roll" + }, + "2910": { + "61016": "the cat on the left", + "61017": "a kitten standing by a toilet looking upwards" + } + }, + "239752": { + "3701": { + "77586": "the zebra to the left of the others", + "77587": "a zebra in the left eating the grass" + } + }, + "239805": { + "648": { + "14106": "a man and woman hold video controllers as they play a game", + "14107": "a young man standing by a woman playing a game" + } + }, + "239933": { + "517": { + "11266": "the white motorcycle closest to the red truck", + "11267": "a white motorcycle that is betweena red truck and another white motercycle" + }, + "2886": { + "60544": "motorcycle with black wind gurad", + "60545": "a black motorcycle parked in front of silver car" + } + }, + "240074": { + "975": { + "21019": "a clear vase sitting in the background", + "21020": "the shortest candlestick holder" + } + }, + "240241": { + "4018": { + "83590": "a silver motorbike", + "83591": "a new bike parked in the grass" + } + }, + "240304": { + "4763": { + "99203": "a zebra facing closing to the camera and front of the tree", + "99204": "a zebra watching another zebra eat" + } + }, + "240590": { + "901": { + "19381": "the bird that is out of focus on the lower branch", + "19382": "a yellow bird sits on a lower branch than a bird next to him" + } + }, + "240709": { + "579": { + "12567": "a chair closest to the refrigerator", + "12568": "the closest chair" + } + }, + "240755": { + "1962": { + "41507": "the middle chair", + "41508": "a white chair in the middle of the row of chairs" + } + }, + "240951": { + "3078": { + "64480": "the motorcycle closest to the motorcycle with skulls", + "64481": "the motorcycle to the right of the one decorated as a skeleton" + }, + "3139": { + "65811": "a personalized motorcycle featuring skulls", + "65812": "motorcycle with skulls" + } + }, + "241214": { + "3872": { + "80844": "a grey car near the blue car", + "80845": "a car to the left of another car that has has all its doors open" + } + }, + "241329": { + "1556": { + "33322": "a man with a white shirt and black pants sitting on a motorcycle", + "33323": "a smiling man sitting on a motorcycle dressed in black pants , white shirt and a tie" + } + }, + "241342": { + "2488": { + "52345": "person wearing red - and - white striped pants and socks", + "52346": "legs in red and white striped pants" + } + }, + "241421": { + "4418": { + "92127": "the chair next to the boy in orange", + "92128": "the chair beside the boy in the orange hoodie" + } + }, + "241530": { + "4718": { + "98214": "the clean zebra in full view", + "98215": "tallest zebra grazing" + } + }, + "241539": { + "1803": { + "38125": "young blond girl", + "38126": "the little girl on the bed" + } + }, + "241723": { + "332": { + "6783": "the reflection of a person in a seat in the window" + } + }, + "241785": { + "2952": { + "62016": "a beige couch underneath a dog", + "62017": "a white couch" + } + }, + "241851": { + "4376": { + "91350": "a grey color sofa and white paper on top" + } + }, + "242076": { + "3742": { + "78517": "the man brushing his teeth with the toothbrush straight instead of horizontal" + } + }, + "242145": { + "798": { + "17318": "the brown suitcase in the right hand picture", + "17319": "the brown suitcase in the right hand picture" + } + }, + "242193": { + "4810": { + "100191": "trafic signal on left side", + "100192": "a street light facing left" + } + }, + "242350": { + "2264": { + "47924": "a tan fluffy bear", + "47925": "the big tan bear between the red alien stuffed animal and the white bear" + } + }, + "242426": { + "1196": { + "25600": "tallest giraffe", + "25601": "the taller giraffe" + } + }, + "242453": { + "4909": { + "102139": "a woman catching a ball with a mitt", + "102140": "a person catching a ball with a baseball glove" + } + }, + "242854": { + "3663": { + "76691": "a smiling woman in a yellow top next to another woman with a hot dog on a stick", + "76692": "a lady in a yellow blouse with long black hair" + } + }, + "242992": { + "351": { + "7236": "silver dual oven with dual roasting boxes", + "7237": "its a micro oven", + "7238": "silver oven" + } + }, + "243071": { + "3299": { + "69041": "motorcycle half seen on the right", + "69042": "a riderless motorcycle with entry number 122" + } + }, + "243959": { + "2416": { + "50748": "a man in a black shirt holding a baseball bat", + "50749": "a man swinging a baseball bat" + }, + "4578": { + "95329": "a woman holding a microphone", + "95330": "a lady in black pants and jean jacket with a pink shirt standing behind a man with a bat" + } + }, + "244222": { + "2693": { + "56983": "a giraffe shorter than the other giraffe", + "56984": "a giraffe nibbling a tree branch" + } + }, + "244387": { + "738": { + "15917": "a boy with a blue shirt jumping", + "15918": "a man in a blue shirt jumping to catch a frisbee" + } + }, + "244528": { + "3401": { + "71253": "half a sandwich held together by a toothpick with a blue top", + "71254": "a sandwich is ready to eat" + } + }, + "244715": { + "194": { + "3759": "the police officer behind the other", + "3760": "a police motorcycle behind another police motorcycle" + } + }, + "244836": { + "3844": { + "80350": "a vegetable dish on the left hand side", + "80351": "left plate" + } + }, + "244846": { + "166": { + "3002": "a frosted sprinkled cupcake , one out of four to the right and front of the others" + }, + "4781": { + "99632": "doughnut in top left corner", + "99633": "donut with sprinkles in left corner" + } + }, + "245105": { + "1176": { + "25392": "a man in a green and white striped shirt getting his hat taken by an elephant", + "25393": "the man in front of elephant" + } + }, + "245116": { + "1054": { + "22741": "a seated woman on a love seat leaning to her right as she laughs at the nintendo wii game playing out in front of her", + "22742": "a woman in a grey shirt , grey sweater , and black pants laughs" + } + }, + "245160": { + "2494": { + "52427": "the man wearing a red and white shirt with green pants", + "52428": "a man wearing shades holding up a poster like object" + } + }, + "245517": { + "1599": { + "34146": "a yellow double decker bus", + "34147": "a yellow tour bus for casinos parked" + } + }, + "245757": { + "2714": { + "57270": "a red leather chair with noone on it", + "57271": "red leather chair with no arm rest" + } + }, + "245982": { + "2015": { + "42676": "the table that the couple is sitting at", + "42677": "white color table in the image" + } + }, + "246411": { + "2326": { + "49028": "four pieces of bread with toppings", + "49029": "four slices of bread with toppings on a foil paper" + } + }, + "246474": { + "3119": { + "65356": "a little boy to the right of a boy playing wii", + "65357": "boy in gray shirt" + } + }, + "246539": { + "4879": { + "101573": "a right foot standing on the floor", + "101574": "right foot" + } + }, + "246592": { + "178": { + "3389": "a bottom right quarter of the pizza" + } + }, + "246869": { + "3207": { + "67161": "meat on a bun", + "67162": "a pile of meat with barbecue sauce" + } + }, + "246938": { + "1180": { + "25428": "several books sitting on a desk", + "25429": "a book with a red spine with a black stripe down the middle" + } + }, + "247068": { + "3188": { + "66866": "the blue chair the kids are sitting on", + "66867": "a blue toy story chair with two kids on it" + } + }, + "247265": { + "93": { + "1638": "a baby elephant digging", + "1639": "a small elephant stands between two larger elephants" + } + }, + "247271": { + "3852": { + "80462": "a man with greying hair and glasses , in a black suit , next to a man with a thin mustache and a blue tie", + "80463": "an asian man in a black jacket" + } + }, + "248031": { + "697": { + "15119": "guy with 11 on shirt", + "15120": "a baseball player looking at the camera" + } + }, + "248194": { + "4712": { + "98129": "a man with a black shirt and tan jacket", + "98130": "the man is using his cellphone" + } + }, + "248251": { + "3896": { + "81143": "a woman in a white and pink dress hugging the other woman", + "81144": "the woman on the left in a pink dress hugging other woman" + } + }, + "248476": { + "179": { + "3390": "the giraffe whose head is not visible", + "3391": "a giraffe in the backside of the another one giraffe open his tongue" + }, + "1245": { + "26576": "a giraffe with it ' s tongue stuck out to reach food in a child ' s hand", + "26577": "a brown and white giraffe sticking out its tounge" + } + }, + "248645": { + "854": { + "18435": "a lone slice of cheese pizza next to a fork and knife", + "18436": "a piece of pizza apart from other pizza pieces" + } + }, + "248948": { + "969": { + "20875": "the tallest giraffe behind two zebras", + "20876": "a giraffe standing behind two zebras" + } + }, + "248957": { + "3546": { + "74131": "an empty chair behind a piece of paper", + "74132": "the closest chair" + } + }, + "249664": { + "3371": { + "70633": "sandwich in the front of the image" + }, + "3678": { + "77033": "the brown sandwich furthest from the camera", + "77034": "the upper of the two sandwiches shown" + } + }, + "249770": { + "938": { + "20217": "hand of the person eating the food", + "20218": "hand holding sandwich" + } + }, + "249974": { + "4183": { + "87335": "light pink apple on left side", + "87336": "the first of two yellow apples next to a red apple behind a banana" + }, + "4477": { + "93318": "the red apple on the right", + "93319": "the red apple on the right" + } + }, + "250079": { + "109": { + "1887": "a beige loveseat with a throw pillow on it" + } + }, + "250295": { + "216": { + "4153": "a person with a tan hat and a striped shirt", + "4154": "a elephant trainer wearing a cap training to his elephant on a road" + } + }, + "250352": { + "3685": { + "77190": "a white horse standing next to a brown and white horse", + "77191": "an all white horse" + } + }, + "250385": { + "3525": { + "73660": "a baby bear with his mouth not wide open , in the middle of two other bears", + "73661": "a baby animal , possibly a bear cub , sitting between two other baby animals" + } + }, + "250749": { + "3752": { + "78729": "a groom with a green tie and his hand in the air as they cut the cake together", + "78730": "a man was opeaned the mouth" + } + }, + "251009": { + "387": { + "8335": "a third man standing on the ground", + "8336": "man skating in the right side of the image" + }, + "455": { + "9954": "picture of man with his legs pulled all the way up", + "9955": "skater picture to the left" + } + }, + "251466": { + "759": { + "16406": "redish colored horse", + "16407": "a brown police horse" + } + }, + "251503": { + "960": { + "20661": "the person in the background behind the counter", + "20662": "a man wearing a grey shirt and tan pants" + }, + "3329": { + "69612": "little boy with curly red hair and a brown shirt", + "69613": "a young child with curly hair eating pizza" + } + }, + "251696": { + "4585": { + "95377": "a black jerkin woman standing on train by seeing mobile in her hand", + "95378": "lady in a black coat looking at her cell phone and holding a bottle and her purse in her other hand" + } + }, + "252010": { + "4134": { + "86291": "a man in white shirt with yellow shoe laces", + "86292": "a man facing foward on a skateboard with one leg in the air" + } + }, + "252025": { + "2980": { + "62645": "a giraffe in third place in a line of giraffes", + "62646": "a tall giraffe walking behind two other giraffes in an outside enclosure" + } + }, + "252069": { + "3726": { + "78156": "man with blue sweatpants and blue sweater touching head", + "78157": "man sitting in blue sweater and blue sweatpants looking at an apple laptop" + } + }, + "252188": { + "1325": { + "28123": "an aqua - colored vase with blue accents", + "28124": "a crazy blue glass with blue balls on it" + } + }, + "252212": { + "3346": { + "70156": "a wooden chair with purple color pillow facing right side" + } + }, + "252277": { + "4963": { + "103453": "a book that says europe on the spine", + "103454": "a book entitled let ' s go europe on a budget" + } + }, + "252373": { + "461": { + "10070": "the backpack with the water bottle in a side pocket", + "10071": "the black luggage on the far - right with the water bottle" + }, + "2901": { + "60830": "a black suitcase that is only next to a white bag not another black bag" + } + }, + "252646": { + "3597": { + "75285": "the arm of a white couch", + "75286": "the object is a white couch with a table and lamp in front of it" + } + }, + "252664": { + "2541": { + "53547": "a train with it ' s front facing the camera", + "53548": "a grey and blue train" + } + }, + "252810": { + "847": { + "18249": "a spotted giraffe facing the camera", + "18250": "a pair of giraffes on the green meadow" + } + }, + "252998": { + "3924": { + "81768": "a long haired man in glasses using an apple laptop", + "81769": "man with green shirt on laptop" + } + }, + "253064": { + "4775": { + "99522": "at the top left of the frame , it appears to be a person ' s blurry arm", + "99523": "a person in a white shirt behind a table with white pizza on ti" + } + }, + "253261": { + "4733": { + "98492": "a white umbrella below a museum sign", + "98493": "the inside of an opened umberella" + } + }, + "253796": { + "3215": { + "67379": "a giraffe looking up while another giraffe next to it looks down" + } + }, + "253805": { + "4774": { + "99482": "the red and blue boat in the right hand picture", + "99483": "a blue and red taxi boat with two men seated" + } + }, + "253942": { + "3506": { + "73430": "the zebra on the extreme right hand side looking other zebras", + "73431": "the zebra on the right" + } + }, + "253945": { + "3221": { + "67492": "the umbrella that the girl in red , not pink , is holding", + "67493": "a black umbrella a woman in a red and white top is holding it" + }, + "3286": { + "68859": "a black umbrella being shared by 2 women", + "68860": "a black umbrella covering two women" + } + }, + "254210": { + "796": { + "17223": "the striped couch with the young man sitting on it", + "17224": "a green and light green striped couch a man is sitting on" + } + }, + "254266": { + "3906": { + "81337": "the man nearest the surfboard", + "81338": "the tall man looking at the surf board" + } + }, + "254327": { + "3834": { + "80146": "a young boy in a baseball uniform and blue bat", + "80147": "the guy holding the bat in green" + } + }, + "254396": { + "2351": { + "49476": "a man playing by wearing red t shirt", + "49477": "batter wearing red jersey" + }, + "4526": { + "94262": "a man sitting to keep the ball", + "94263": "the catcher is squatting and waiting" + } + }, + "254577": { + "4049": { + "84306": "the man getting married", + "84307": "the man holding hands with the woman in the floral dress" + } + }, + "254666": { + "3694": { + "77368": "a man wearing glasses with a blue glove", + "77369": "man" + } + }, + "254800": { + "2271": { + "48088": "a yellow chair at the back of the girl eating", + "48089": "couch behind the little girl" + } + }, + "255069": { + "1219": { + "26098": "a man in a civil war outfit sitting in a chair on right", + "26099": "a mustachioed man in civil war period dress" + } + }, + "255214": { + "2232": { + "47198": "a blue bus parked to the left of two other busses", + "47199": "the bus that is the closest in view" + } + }, + "255857": { + "1084": { + "23592": "one person is holding a child and child is wearing a hat", + "23593": "a man in blue holding a small child in green '" + } + }, + "255969": { + "2894": { + "60669": "a glass of wine sitting on a table next to a pizza and 2 other glasses", + "60670": "a clear wine glass partially filled with red wine" + } + }, + "256276": { + "3778": { + "79197": "a man in pink watches as the heavier woman beside him sits a bear cub upright in a chair", + "79198": "a man in a pink t - shirt" + } + }, + "256643": { + "1273": { + "27107": "an umpire officiating a baseball game", + "27108": "the umpire kneeling and wearing dark colors" + } + }, + "256659": { + "630": { + "13605": "a small boy who is wearing a red shorts is playing in the water", + "13606": "a boy without a shirt and wearing red swim trunks" + } + }, + "256809": { + "2496": { + "52506": "a shorter giraffe is standing in the middle of the field", + "52507": "a giraffe to the right of another giraffe" + } + }, + "257117": { + "2785": { + "58717": "zebra in the middle of the other two zebras", + "58718": "three zebras with partial headshots" + } + }, + "257163": { + "2422": { + "50948": "a man with a dark jacket", + "50949": "the legs of the man with hand in his pocket next to the dog" + }, + "2947": { + "61908": "this is a person whether its a boy or girl is unknown , wearing an orange shirt and light blue jeans", + "61909": "the back of a person in jeans and an orange jacket" + } + }, + "257302": { + "1121": { + "24254": "the man in blue shirt controls the elephant", + "24255": "a man over an elephant with his partner on the river side" + } + }, + "257379": { + "4369": { + "91213": "two baby bears", + "91214": "two small bear cubs standing in water by their mother" + } + }, + "257576": { + "3438": { + "72231": "the orange cat", + "72232": "brown cat" + } + }, + "257958": { + "4575": { + "95270": "tallest giraffe standing behind others", + "95271": "a mother giraffe with her two kid giraffe walking front of her" + } + }, + "258071": { + "284": { + "5795": "a child cutting paper with safety scissors next to her mom", + "5796": "a young girl with a white shirt using scissors beside her mom" + } + }, + "258077": { + "4532": { + "94349": "a chair which little boy sitting" + } + }, + "258270": { + "3060": { + "64184": "banana peeling in a white bowl with a plate of food on a black table", + "64185": "the opened banana on the smaller plate" + } + }, + "258289": { + "3090": { + "64650": "two small animals , one black and one brown standing at a fence", + "64651": "a dark black sheep on the left side of the fence" + } + }, + "258788": { + "2539": { + "53537": "elephant with trunk down", + "53538": "elephant with trunk down , on the left" + } + }, + "258823": { + "3192": { + "66960": "a graffi is close her eyes", + "66961": "the giraffe on the right" + } + }, + "258853": { + "3808": { + "79793": "only the back portion , and not the frame , of the chair the woman in gray is seated in" + } + }, + "258931": { + "2927": { + "61397": "catcher in all black preparing to catch high fastball", + "61398": "catcher in black shirt crouching behind a batter" + } + }, + "259029": { + "2023": { + "42817": "the biggest giraffe" + } + }, + "259060": { + "4268": { + "89195": "a woman wearing green colour t - shirt drinking beer", + "89196": "a woman in glasses drinking a beer" + } + }, + "259296": { + "92": { + "1634": "a small elephant partially hidden by tall brush", + "1635": "the baby elephant" + } + }, + "259297": { + "4878": { + "101551": "the sandwich roll to the back of the other two rolls" + } + }, + "259551": { + "1525": { + "32535": "a skier wearing a bright orange coat", + "32536": "a man in a red and silver jacket" + } + }, + "259964": { + "4345": { + "90772": "a boy in a red shirt and levi ' s playing a video game", + "90773": "a young blonde boy in a red shirt is playing a wii game with his father" + } + }, + "260033": { + "4520": { + "94179": "a duck with its neck up to the left of a duck with its wings spread out", + "94180": "duck being hit with other duck ' s wing" + }, + "4582": { + "95359": "the bird with its wings spread", + "95360": "a bird with both wings extended" + } + }, + "260126": { + "97": { + "1695": "a lady with a green fluffy jacket and a white see through hood next to a man", + "1696": "a woman smiling wearing a veil on her head" + } + }, + "260181": { + "2204": { + "46670": "a four light decorative lamp", + "46671": "the large , ornamental lamp" + } + }, + "260206": { + "2605": { + "55086": "a tall vase with trees painted on it", + "55087": "tallest vase in arrangement with skinny neck" + }, + "3892": { + "81087": "the small vase in the middle of the other 2 vases", + "81088": "a small urn with red , green and brown flowers on it with a blue background" + } + }, + "260223": { + "4738": { + "98651": "black and white photo of a boy in a baseball jersey hitting", + "98652": "a batter wears a 19 jersey and a helmet as he swings at the ball" + } + }, + "260248": { + "3539": { + "74037": "the section with the oranges", + "74038": "mandarin oranges in a yellow container" + } + }, + "260418": { + "3407": { + "71376": "banana and apple on the table", + "71377": "apple and banana scene" + } + }, + "260448": { + "1046": { + "22552": "red headed woman in striped shirt and grey pants", + "22553": "an older woman wearing a striped shirt" + } + }, + "260729": { + "4652": { + "96720": "a high power bullet engine", + "96721": "a motorcycle to the right of another motorcycle" + } + }, + "260899": { + "4566": { + "95134": "a red and white teddy bear , holding a yellow flower", + "95135": "a red - and - white teddy bear holding a large yellow flower in its right paw" + } + }, + "260917": { + "3331": { + "69708": "horse with black and white tail carrying woman" + } + }, + "261202": { + "3871": { + "80831": "a white bunny with pink bows on it ' s ears sits next to a brown teddy bear", + "80832": "a stuffed bunny with pink ribbon sitting next to a stuffed brown bear" + } + }, + "261283": { + "1093": { + "23830": "sandwich closest to glass", + "23831": "one half of a sandwich , resting on the right side of the plate closest to the fork" + } + }, + "261673": { + "3108": { + "65021": "a hand bag near the side of lady", + "65022": "the bag of the lady with the phone" + }, + "3168": { + "66351": "a black handbag in the lap of an older woman sitting next to a woman looking at her cell phone" + } + }, + "261720": { + "2802": { + "58997": "the white tray with the carrots in it", + "58998": "the container with the carrots inside of it" + } + }, + "261765": { + "4715": { + "98168": "orange suitcase between two black ones", + "98169": "hard cased red suitcase under large black back pack" + } + }, + "261879": { + "3136": { + "65735": "headboard of a bed on which two guys are lying", + "65736": "the wooden head board" + } + }, + "262335": { + "2810": { + "59144": "man in black sweater riding a snow board", + "59145": "a man in a black coat and jeans , starting to descend a ski hill on a snowboard" + } + }, + "262393": { + "2641": { + "55819": "a zebra in the zoo , smelling the stone", + "55820": "zebra in a cage" + } + }, + "262599": { + "2401": { + "50481": "zebra on the right of other zebra", + "50482": "a zebra in front of the other zebra" + } + }, + "262786": { + "494": { + "10823": "blue folding chair", + "10824": "a baby blue rusted lawn chair covered in dirt" + } + }, + "263041": { + "2013": { + "42572": "the man in the striped shirt", + "42573": "a youngman playing wii with a black and white polo" + } + }, + "263212": { + "3107": { + "65019": "the screen of the laptop that is turned off in the bottom right of the screen", + "65020": "a laptop monitor that isn ' t turned on" + } + }, + "263358": { + "3076": { + "64472": "the man standing and cutting the other man ' s hair", + "64473": "a barber standing behind a man and cutting his hair" + } + }, + "263434": { + "214": { + "4139": "a small silver laptop computer", + "4140": "a gray laptop" + } + }, + "263576": { + "1203": { + "25768": "the oldest phone", + "25769": "there is one person holding flip type mobile phone in his / her hand" + } + }, + "263623": { + "3837": { + "80206": "black and white cat lying on the floor", + "80207": "a black and white animal laying on the floor" + } + }, + "263762": { + "4423": { + "92292": "a white bus with red racing stripes with the words williams - balla on the front and side", + "92293": "the bus that says williams on it" + } + }, + "263769": { + "898": { + "19296": "black laptop closest to camera", + "19297": "there is a laptop on the left side of the table opposite the coat rack" + } + }, + "264340": { + "3630": { + "75917": "a man playing tennis in the tennis court", + "75918": "the tennis player" + } + }, + "264532": { + "4234": { + "88456": "black color chair with white striped cushion in the right side of the image", + "88457": "a chair which is kept on right" + } + }, + "264741": { + "830": { + "17884": "couch under the girl ' s legs on the left of the gathering", + "17885": "a grey couch across from the two men" + } + }, + "264885": { + "146": { + "2542": "the reflection of a cat in a mirror", + "2543": "a cat ' s reflection in the mirror , looking at the camera" + } + }, + "265114": { + "491": { + "10739": "a man in a green shirt", + "10740": "a man with a wrist watch" + } + }, + "265412": { + "2371": { + "50032": "chair behind a table to the right of cat", + "50033": "there is small table under the another table" + } + }, + "265414": { + "1813": { + "38403": "giraffe whose head is tilted up", + "38404": "a giraffe with its head back and neck arched" + } + }, + "265453": { + "3447": { + "72389": "a brown horse", + "72390": "the brown horse riding by a woman" + } + }, + "266207": { + "2769": { + "58359": "animal with silver object next to head", + "58360": "a grazing ram with a large metal bell around his neck all four of his legs are visible" + } + }, + "266240": { + "2730": { + "57613": "the slice of bread with butter coted", + "57614": "a cream type food item placed on the table among others" + } + }, + "266350": { + "343": { + "7065": "person wearing blue shirt holding jacket", + "7066": "person in blue shirt holding jacket" + }, + "3507": { + "73440": "a boy in mid air after doing a skateboard trick", + "73441": "the crotch of a skateboarder" + } + }, + "266515": { + "4679": { + "97384": "a blonde haired girl smiling at a giraffe", + "97385": "the woman in the gray shirt holding the green phone" + } + }, + "266880": { + "1316": { + "28023": "a stuffed koala bear with a leather jacket in between two boys and two other stuffed animals", + "28024": "a teddy bear wearing a leather jacket between two boys" + } + }, + "267000": { + "2841": { + "59647": "a man in a neon yellow safety vest", + "59648": "the man cleanng up the sidewalk with the bright green vest on carrying a dust pan" + } + }, + "267256": { + "2845": { + "59731": "a giraffe standing in a green field in the sun , next to another giraffe in the shade", + "59732": "a giraffe walking in the grass to the left of another giraffe who is standing below a tree" + } + }, + "267348": { + "1552": { + "33232": "a tall glass filled with fancy coffee and swirls", + "33233": "a glass holding a beige creamy beverage with white foam on top sitting on a plate" + } + }, + "267794": { + "2199": { + "46600": "an orange cat sitting on paperwork on a desk", + "46601": "this is a pretty tan kitty sleeping on a computer desk" + } + }, + "267797": { + "3333": { + "69843": "a black and white face looking to the left", + "69844": "a black and white cow tween other cows outside near a stone fence" + } + }, + "268313": { + "3693": { + "77355": "a truck open behind", + "77356": "a flat bed truck with cargo on its platform" + } + }, + "268428": { + "639": { + "13754": "a blue motercycle", + "13755": "the front of the bright blue motorcycle" + } + }, + "268785": { + "1074": { + "23330": "a man in the white dress is ready to play", + "23331": "batter" + } + }, + "268881": { + "1133": { + "24511": "the blurry immage of a person in the background of a photo", + "24512": "the person shaped figure in the background" + } + }, + "268897": { + "3826": { + "80070": "a giraffe with its head held very high", + "80071": "the tallest giraffe" + } + }, + "268940": { + "2095": { + "44435": "a large truck parked on the left of a white truck", + "44436": "a large truck with a dark colored front panel parked behind another truck" + } + }, + "268986": { + "124": { + "2152": "the scissore that have the protector plastic on it", + "2153": "big red colour scissor" + } + }, + "269004": { + "558": { + "12143": "a man with a beard wearing white and black face paint", + "12144": "a man wearing black and white face paint" + } + }, + "269171": { + "3898": { + "81178": "a bride", + "81179": "bride wearing pearl choker and tiara cutting into wedding cake" + } + }, + "269432": { + "1451": { + "30928": "cat sniffing plant", + "30929": "an animal licking a plant" + } + }, + "269477": { + "26": { + "446": "the slice of apple closest to the red bananas", + "447": "the slice of the apple closest to the bananas" + } + }, + "269483": { + "4796": { + "99903": "a young man holding a camera at a skate park", + "99904": "a teenager in a grey hat holding a camera watching his friends skateboard" + } + }, + "269503": { + "2304": { + "48711": "the head of an individual that is to the right", + "48712": "a blurry head of hair facing the elephant to its right" + } + }, + "269532": { + "1053": { + "22739": "a man in a work shirt and jeans holding his hat and herding sheep", + "22740": "a man herding some sheep into a pen" + } + }, + "269890": { + "957": { + "20629": "red motorcycle going down the road", + "20630": "the all red motorcycle" + } + }, + "269983": { + "333": { + "6798": "the stone colored snowboard behind the woman", + "6799": "skiboard on ground behind girl" + } + }, + "270186": { + "3653": { + "76389": "a striped armchair has a sign across the top that says ' drink 2 '.", + "76390": "strip single seat arm chair with a black jacket on it" + } + }, + "270362": { + "4881": { + "101595": "the bottom fork closest to the leaf", + "101596": "the fork hiding between the white plates and the silver tray" + } + }, + "270688": { + "1463": { + "31199": "a slice of pizza on a plate next to food on a plate", + "31200": "the slice of pizza" + } + }, + "270709": { + "1892": { + "40005": "a woman in a red dress walking next to a man", + "40006": "a woman in a red dress" + } + }, + "270821": { + "2624": { + "55499": "white horse with left leg up in the air", + "55500": "profile view of a white horse with a hoof up" + } + }, + "270844": { + "1657": { + "35309": "a man smiling at a restaurant", + "35310": "a man smiling holding a macys box in front of him" + } + }, + "270984": { + "961": { + "20665": "a young man wearing a pink shirt standing with his legs crossed", + "20666": "the photo of the boy with his legs crossed" + } + }, + "271106": { + "3270": { + "68583": "a plant growing out of a toilet bowl", + "68584": "a flower planted in a toilet bowl" + } + }, + "271112": { + "859": { + "18543": "empty bear bottle is kept in the living room", + "18544": "the first clear glass bottle in line , immediately behind the green glass bottle" + } + }, + "271250": { + "4786": { + "99739": "the man about to throw a frisbee", + "99740": "a man getting ready to throw a white frisbee" + } + }, + "271383": { + "3645": { + "76281": "the back window of a white honda civic", + "76282": "the white car that is to the right of all other cars" + } + }, + "271396": { + "268": { + "5460": "man in black with sunglasses", + "5461": "a man wearing sunglasses standing behind a fence watching a tennis match" + } + }, + "271411": { + "1231": { + "26299": "a red piece of luggage in a pile of luggage that is on top of the person" + } + }, + "271447": { + "2660": { + "56123": "a cup of tea with the scosser on the table", + "56124": "cup with tea" + } + }, + "271473": { + "3756": { + "78749": "a man sitting along with a woman lot of drinks in infront of them", + "78750": "a man in a blue striped shirt with white collar tasting wines" + } + }, + "271806": { + "1547": { + "33143": "a train which is carrying coal", + "33144": "the train to the left of the tracks" + } + }, + "272255": { + "3855": { + "80481": "the man in the red striped shirt in the crosswalk" + } + }, + "272299": { + "687": { + "14903": "a couple sitting outside , playing with a cell phone", + "14904": "a man sitting down next to a woman" + }, + "3086": { + "64591": "the girl holding the phone", + "64592": "the girl in the picture" + } + }, + "272670": { + "2249": { + "47629": "white cup behind glass", + "47630": "a cup just behind a glass" + } + }, + "272807": { + "3672": { + "76888": "the blue motorcycle next to black bikes", + "76889": "blue color bike second from the right" + } + }, + "273081": { + "2501": { + "52602": "the portion of the table not covered with the red and white cloth", + "52603": "the portion of the picnic table not covered with the cloth" + } + }, + "273250": { + "2261": { + "47837": "red sauce or salsa in brown bowl", + "47838": "a brown ceramic bowl of tomato base soup" + } + }, + "273951": { + "4799": { + "99992": "an orange and black bag over a man ' s shoulder", + "99993": "black , orange and gray glove on man ' s hand" + } + }, + "274116": { + "4464": { + "92985": "a lady with a yellow handbag", + "92986": "a person in a light colored jacket and pants" + } + }, + "274559": { + "1070": { + "23231": "a man in black shirt and glasses looking at the two men talking" + } + }, + "274685": { + "4821": { + "100477": "a brown horse is leading another one", + "100478": "the man is riding a chestnut brown horse" + } + }, + "274907": { + "4436": { + "92537": "a smiling female wearing a green winter parka with a black hat / scarf combo and white snow goggles", + "92538": "the lady with glasses with white frame" + } + }, + "274986": { + "1249": { + "26661": "a black color bike parked on the road", + "26662": "solid black bike" + } + }, + "275377": { + "263": { + "5367": "a brown park bench placed to the right of another , identical park bench", + "5368": "the bench that the person is not sitting upon" + } + }, + "275499": { + "563": { + "12242": "an elephant laying on the ground with another elephant standing over it", + "12243": "an elephant laying in front of another elephant who is standing" + } + }, + "275700": { + "2993": { + "62782": "an orange that is below and to the right of the other fruit in the picture", + "62783": "the front right orange in the bowl" + } + }, + "275793": { + "2004": { + "42427": "the plant in the window" + } + }, + "276244": { + "640": { + "13768": "a television", + "13769": "a tv with a video game playing on it" + }, + "2961": { + "62202": "a television screen", + "62203": "the television" + } + }, + "276354": { + "230": { + "4596": "a man holding an umbrella and kissing a woman", + "4597": "a man in a suit kissing a woman" + } + }, + "276634": { + "2887": { + "60569": "a large giraffe in front of another giraffe", + "60570": "the two animals" + } + }, + "276639": { + "317": { + "6509": "the black lamb", + "6510": "a black goat standing with a young boy in green" + } + }, + "276711": { + "891": { + "19110": "the girl with the curly hair", + "19111": "a woman with curly hair playing wii" + } + }, + "276716": { + "3323": { + "69484": "guy on ground right", + "69485": "a baseball player sliding into base" + } + }, + "276735": { + "641": { + "13847": "a girl wearing a blue and white shirt", + "13848": "a girl wearing blue jeans with white and black t - shirt" + } + }, + "276740": { + "2237": { + "47344": "delicious food", + "47345": "onion rings with sauce" + } + }, + "276918": { + "365": { + "7653": "a giraffe whose head is obscured by a tree" + } + }, + "277150": { + "4883": { + "101635": "man standing in front of tree", + "101636": "the man in all blue" + } + }, + "277202": { + "2572": { + "54460": "woman in a black shirt sitting with a glass of wine", + "54461": "a woman holding a glass" + } + }, + "277267": { + "4244": { + "88751": "man sitting legs crossed holding his wrist", + "88752": "young man sitting in chair with legs crossed" + } + }, + "277507": { + "355": { + "7302": "a bed with a shoulder bag on it next to a bed with a cutout of a person", + "7303": "bed with bag on it" + }, + "3218": { + "67405": "2d person made out of paper laying on bed", + "67406": "bed with paper cut out of a person" + } + }, + "277623": { + "1068": { + "23117": "a dog standing to the right of another dog", + "23118": "a black and gray dog standing on the right side of the image" + } + }, + "278097": { + "2213": { + "46798": "a bench with a bearded man a lady sat on it", + "46799": "a bench with a man and woman sitting on it" + }, + "3105": { + "64930": "a man with wide - brimmed hat in background with white jacket", + "64931": "a person wearing a white jacket that has a cowboy hat on his head" + } + }, + "278135": { + "2467": { + "51915": "a whitish gray colour parrot on the lady shoulder", + "51916": "a grey , white and yellow bird , looking at the baby" + } + }, + "278398": { + "4406": { + "91919": "heavy set boy with glove behind his back", + "91920": "the pitcher on the red team" + } + }, + "278418": { + "273": { + "5510": "a boy with a red shirt and black socks", + "5511": "a boy in maroon jersey is playing football with two other boys" + } + }, + "278573": { + "853": { + "18429": "the area from the top to the end of the girls underwear", + "18430": "a girl in underwear with a pair of scissors behind her back" + }, + "3230": { + "67618": "the hand of someone holding scissors", + "67619": "a woman ' s hand holding a pair of scissors" + } + }, + "278646": { + "551": { + "12058": "hot dog between water glass and other hot dog" + } + }, + "278763": { + "3731": { + "78244": "the elephant closest to the zebra head in the front", + "78245": "the left hind leg of an elephant that is directly behind a zebra ' s nose" + } + }, + "278931": { + "447": { + "9822": "the woman using her phone", + "9823": "a girl sitting on a bus playing with herself phone that has red corded earbuds" + } + }, + "279104": { + "1009": { + "21826": "the grilled sandwich lying next to the spoon", + "21827": "a piece of rye toast sandwich with lettuce and tomato" + } + }, + "279341": { + "459": { + "10022": "a tan colored dog is digging a hole on a beach", + "10023": "brown dog laying in the sand" + } + }, + "279443": { + "3935": { + "81909": "sandwich to the back", + "81910": "top of a sandwich to the left of potato salad and not next to the olive" + } + }, + "279530": { + "2072": { + "44080": "husky with lighter coloring" + } + }, + "279883": { + "3080": { + "64499": "a silver color laptop", + "64500": "an apple laptop computer with stickers on the back sitting on a man ' s lap" + } + }, + "280018": { + "2571": { + "54406": "spoon that is in the cup of veggies", + "54407": "the spoon in the mixed vegetable dish" + } + }, + "280059": { + "956": { + "20624": "a left side horse", + "20625": "a large , brown harnessed horse with a very white - colored face , pulling a cart with another horse" + } + }, + "280094": { + "4778": { + "99574": "a large grey seagull , flying over a beach , with wings outstretched", + "99575": "the seagull to the right of another seagull flying behind it" + } + }, + "280260": { + "2822": { + "59371": "an adult sheep", + "59372": "a sheep next to his or her baby sheep" + } + }, + "280297": { + "1278": { + "27186": "boat with vegitables", + "27187": "a boat with different colored baskets in it and a man sitting in it" + } + }, + "280340": { + "2791": { + "58808": "a man standing at a counter in a brown jacket and khakis", + "58809": "a man in a tan shirt is leaning on the counter behind the table" + } + }, + "280409": { + "1593": { + "34029": "a giraffe leaning down to look into the camera", + "34030": "the head of a giraffe closest to a little girl" + }, + "3998": { + "83162": "a woman with black hair looking at a giraffe", + "83163": "the woman with black hair" + } + }, + "280968": { + "2662": { + "56152": "the bed", + "56153": "a white sheet in front of the reclining man , in the foreground of the image on the bed" + } + }, + "281177": { + "512": { + "11210": "beauty queen wearing pink", + "11211": "a woman with a pink dress wearing a tiara" + }, + "3387": { + "70825": "woman in white dress sitting in red car", + "70826": "the woman wearing the tiara and sitting in the car" + } + }, + "281327": { + "12": { + "265": "a groom sitting on a bench and has a beard", + "266": "groom" + } + }, + "281846": { + "4381": { + "91516": "cat by woman ' s feet on green blanket", + "91517": "grey cat laying on the bed with other cats and a woman" + } + }, + "282147": { + "2392": { + "50274": "a man swinging at a baseball", + "50275": "a baseball player with the number 19 on his shirt , swinging at a ball" + }, + "4952": { + "103176": "a catcher wearing a red shirt and red hat", + "103177": "baseball catcher ready to catch ball" + } + }, + "282339": { + "125": { + "2193": "a leg with jeans on next to a woman", + "2194": "the gray back of a couch" + } + }, + "282503": { + "4243": { + "88730": "the laptop computer the child is facing", + "88731": "a laptop on a couch being played with by a baby" + } + }, + "282514": { + "718": { + "15462": "a woman wearing a yellow shirt", + "15463": "girl in yellow shirt" + } + }, + "282617": { + "3936": { + "81947": "the girl under the umbrella", + "81948": "a little girl crouching down and holding a blue umbrella" + } + }, + "282691": { + "586": { + "12694": "the bed nearest the window", + "12695": "a bed on the bedroom with other bed on its left side" + } + }, + "282883": { + "3033": { + "63549": "an asian man wearing a suite and tie", + "63550": "a man in black coat standing in the middle of other two men" + } + }, + "283141": { + "500": { + "10995": "a man is holding a smaller surf board", + "10996": "the person holding the shorter surf board" + } + }, + "283377": { + "1544": { + "33045": "person in white jersey and red cap", + "33046": "the person in the white shirt" + } + }, + "283431": { + "3238": { + "67791": "a man in a long sleeved brown shirt holds a wii controller up", + "67792": "man in brown coat playing wii" + } + }, + "283840": { + "3650": { + "76369": "sandwich with two pickle slices showing", + "76370": "the sandwich without the cheese" + } + }, + "284021": { + "1927": { + "40676": "a black knife next to a white plate", + "40677": "the knife rests on the able after being used to cut a sandwich" + }, + "4033": { + "83911": "an gloved hand of a chef who is cutting something", + "83912": "the gloved hand of a person helping make sandwiches" + }, + "4088": { + "85144": "a person in middle cutting the sandwich in square shapes", + "85145": "forearms and hands of a person wearing a silver watch that is preparing a sandwich" + } + }, + "284348": { + "1519": { + "32439": "a series of childrens books , propped in a small cubby next to a teddy bear", + "32440": "middle of the three compartments of books behind the bear ' s arm" + } + }, + "284362": { + "4398": { + "91809": "a man who is standing and looking on the side", + "91810": "the person standing near the edge of the boat" + }, + "4459": { + "92928": "man on coast guard boat holding on to railing and crouching down", + "92929": "a person in a life vest bending down" + } + }, + "284578": { + "1202": { + "25764": "the zebra in front", + "25765": "a zebra that is in front of another zebra , obscuring the other ' s head" + } + }, + "284814": { + "855": { + "18463": "the skis that are most visible", + "18464": "set of skis furthest right" + } + }, + "284835": { + "671": { + "14671": "the man with long curly hairs", + "14672": "a woman with dark wavy hair in a white button down shirt" + }, + "3688": { + "77258": "oven behind man in striped pants", + "77259": "bread in an oven with the shoulder of a man in a white t - shirt in front of it" + } + }, + "285064": { + "239": { + "4749": "a woman in a white polo shirt", + "4750": "a woman wearing a white shirt" + } + }, + "285132": { + "2336": { + "49142": "a woman wearing a pink shirt and sunglasses", + "49143": "a lady in pink wearing glasses" + } + }, + "285679": { + "4195": { + "87618": "destroyed pick up truck number 13", + "87619": "a rusted truck with ' 13 ' spray painted on it" + } + }, + "286132": { + "4568": { + "95191": "a pair of adult skis" + }, + "4651": { + "96718": "a man in a blue coat standing in the snow", + "96719": "a man with blue shirt and black pants holding skis" + } + }, + "286349": { + "1896": { + "40055": "the man in the green t - shirt", + "40056": "a man in green with shades" + } + }, + "286550": { + "808": { + "17546": "an apple laptop sitting in front of the man with a blue shirt", + "17547": "a laptop the man in blue is looking at" + } + }, + "286576": { + "1111": { + "24062": "a empty blue subway chair", + "24063": "a handicap seat" + }, + "3854": { + "80472": "the blue chair on the right" + } + }, + "286724": { + "4233": { + "88452": "a small elephant stands between two large elephants", + "88453": "a baby elephant beside an adult elephant" + } + }, + "286816": { + "3104": { + "64926": "a white remote", + "64927": "a picture of a white remote control laying next to a black remote control" + } + }, + "287173": { + "4043": { + "84183": "the blue motorcycle behind the green motorcycle", + "84184": "there is blue and green colored two wheeler vehicles parked a side" + } + }, + "287249": { + "2258": { + "47767": "a man was standing", + "47768": "a man holding an extension" + } + }, + "287575": { + "74": { + "1367": "a green vase to the right of two other vaces", + "1368": "vase which was at the extreme right in the picture" + } + }, + "287920": { + "242": { + "4815": "the bed the mad is jumping on with the tan blanket", + "4816": "a bed" + } + }, + "288123": { + "4475": { + "93200": "a woman with a gray sweater holding a phone near her face", + "93201": "woman holding her cell phone" + } + }, + "288828": { + "655": { + "14313": "this meter maid appears to be reprinting someone for not paying their meter fare", + "14314": "police officer" + } + }, + "289140": { + "1328": { + "28180": "the left dish of food consisting of bananas , orange stuff and green endamame", + "28181": "a bento box filled with soybeans , bananas , and carrots" + } + }, + "289187": { + "3022": { + "63284": "a green apple", + "63285": "a green apple next to red apples" + } + }, + "289425": { + "1698": { + "36061": "there is one person wearing white dress and helmet looking at somewhere", + "36062": "# 25 is attempting to steal a base" + } + }, + "289696": { + "1055": { + "22789": "a brown chair on wood floor with grey tabby cat", + "22790": "the chair with the bigger cat on it" + } + }, + "289797": { + "228": { + "4506": "a woman playing a game with joystick", + "4507": "woman playing wii with both controllers" + } + }, + "289866": { + "4094": { + "85204": "a woman sat on sofa", + "85205": "a middle aged woman in a white top and brown pants sitting on a grey couch talking to a man" + } + }, + "289971": { + "3738": { + "78400": "the man with the champagne glass in his hand", + "78401": "man in a suit cutting a wedding cake" + } + }, + "289987": { + "2445": { + "51327": "a cubic green vase with nothing in it", + "51328": "a green ceramic container shaped like a wavy box" + } + }, + "290072": { + "3604": { + "75444": "the board that is laying on the sand with a persons feet on it", + "75445": "a surf board that is lying on the ground horizontally" + } + }, + "290185": { + "1704": { + "36127": "a colorful bouquet on a table with a bottle of beer on a table before a beautiful , smiling woman", + "36128": "a table with a beer and a flower bouquet on it" + } + }, + "290201": { + "3461": { + "72610": "a man in a black shirt touching his wrist", + "72611": "a man in a black shirt" + } + }, + "290620": { + "246": { + "4845": "a middle age man calling with his cellphone", + "4846": "a man on the phone" + } + }, + "291039": { + "4843": { + "100922": "the screen on the right", + "100923": "the computer monitor on the right" + } + }, + "291091": { + "3840": { + "80278": "the computer under the kitten", + "80279": "a laptop keyboard where a cat standing" + } + }, + "291236": { + "1098": { + "23877": "a bunch of bananas on the left of another bunch", + "23878": "3 bananas on top of green apples and to the left of the other 3 bananas" + } + }, + "291366": { + "2408": { + "50621": "man in a white shirt with a blue diamond pattern", + "50622": "a man with a white and blue shirt on a tennis court shaking hands with another man" + } + }, + "291493": { + "3522": { + "73613": "part of table completely in shadows", + "73614": "the shaded area to the bottom left of the plate" + }, + "3774": { + "79117": "tan colored table food is on", + "79118": "table on which a sandwich is kept" + } + }, + "291897": { + "3833": { + "80138": "the sandwich between the soup and one half of a sandwich top", + "80139": "the sandwhich next to the soup" + } + }, + "292271": { + "1903": { + "40203": "the big zebra in the biddle", + "40204": "a zebra that is dead center in the camera ' s frame" + } + }, + "292498": { + "679": { + "14750": "bus parked behind a white and black pole at a bus station" + } + }, + "292522": { + "2098": { + "44457": "lefft guy sitting", + "44458": "the man on the far top left sitting down" + } + }, + "292739": { + "3666": { + "76759": "trunk of the elephant on the left", + "76760": "an elephant to the left of the watering hole" + } + }, + "292844": { + "3364": { + "70512": "a girl with a light blue sweater and dark blue jeans", + "70513": "woman in a blue top playing wii" + } + }, + "293012": { + "1638": { + "34988": "an apple next to a banana", + "34989": "the red apple to the right of the banana" + } + }, + "293246": { + "1313": { + "27921": "the green bus furthest to the right", + "27922": "the green bus on the extreme right and close to the building" + } + }, + "293586": { + "1405": { + "29745": "left hand white surfboard", + "29746": "a white clean surf board" + } + }, + "293853": { + "2586": { + "54783": "a black and white polka - dotted umbrella being held by a young woman wearing a blue denim jacket and gray leggings", + "54784": "a black - and - white polka dot umbrella held downward" + } + }, + "294353": { + "4347": { + "90822": "a pepper shaker", + "90823": "shaker positioned to the left of the fork and in front of the vase" + } + }, + "294787": { + "733": { + "15832": "the man on the far right , wearing # 116", + "15833": "skier in bib number 116" + } + }, + "294900": { + "589": { + "12819": "the giraffe with the curvier neck and tail between two legs", + "12820": "giraffe with head reaching up" + } + }, + "294970": { + "2048": { + "43362": "a man in a blue snow suit who is standing and holding blue skis", + "43363": "a man blue dress" + }, + "2297": { + "48540": "blue skis stuck in the snow", + "48541": "a set of blue skis held by a man wearing a blue outfit" + } + }, + "295448": { + "4639": { + "96424": "the gray suitcase the girl with two suitcases is pulling", + "96425": "a large white rolling suitcase" + } + }, + "295766": { + "1836": { + "38823": "the bride wearing a white dress who has light brown hair", + "38824": "a brown haired lady in his marriage function" + } + }, + "295819": { + "2083": { + "44190": "silver subway train on the right", + "44191": "the front of a white train sitting next to a blue train" + } + }, + "295864": { + "1774": { + "37548": "the keyboard of the black laptop", + "37549": "the keyboard of a large laptop next to a smaller laptop" + } + }, + "296030": { + "514": { + "11241": "small part of a white animal below the animal we can see", + "11242": "the small portion of cow visible on the left" + } + }, + "296233": { + "1628": { + "34830": "plants behind the bridesmaid on the right", + "34831": "a plant behind a woman in purple" + } + }, + "296267": { + "4208": { + "87931": "a partially eaten sandwich half to the right of an uneaten sandwich half", + "87932": "half of a blt sandwich on a white plate" + } + }, + "296790": { + "1264": { + "26898": "pizza that has black olives", + "26899": "dark wooden stole closest to a wall with a large pizza on top of it" + } + }, + "297092": { + "4737": { + "98602": "a red sox player", + "98603": "red sox player behind another baseball player" + } + }, + "297182": { + "584": { + "12658": "a boy in green looking up", + "12659": "a man dressed in green during haloween with his friends" + } + }, + "297370": { + "3659": { + "76509": "boy with fork in hand", + "76510": "boy eating and holding fork" + } + }, + "297699": { + "4121": { + "85909": "the gentleman in the khaki shorts looking out the window", + "85910": "a man sitting in shorts and white shirt holding his hands" + } + }, + "297764": { + "758": { + "16395": "banana in fruit bunch", + "16396": "the middle banana in the right hand picture" + } + }, + "297765": { + "3551": { + "74356": "a man in an orange shirt sitting before a cake", + "74357": "man wearing a tie" + } + }, + "297876": { + "4797": { + "99975": "tablet in a protective case on a table", + "99976": "poster of the ipad on the table" + } + }, + "297984": { + "3984": { + "82908": "a slice of pizza with ham on it" + } + }, + "297997": { + "3505": { + "73410": "the wing of an airplane up in the air", + "73411": "the wing of the airplane" + }, + "3568": { + "74705": "the window seal of a window on a plane", + "74706": "the window frame of a plane" + } + }, + "298077": { + "1786": { + "37839": "a solid black cat next to a black and white cat", + "37840": "the black furred animal eating food" + } + }, + "298199": { + "2584": { + "54719": "a man wearing a plaid shirt getting out of a taxi", + "54720": "male getting out of cab" + } + }, + "298306": { + "2345": { + "49400": "the girl pointing her hand towards right corner of the picture", + "49401": "the girl in the right" + } + }, + "298418": { + "3959": { + "82403": "a snowboarder in white pants and a black jacket", + "82404": "a snowboarder with an open black coat and red goggles poses with a fellow snowboarder and skier" + } + }, + "299051": { + "4132": { + "86245": "a white , antique vehicle , with the hood open", + "86246": "very old fashioned white car sitting beside the blue one of similar kind" + } + }, + "299085": { + "1944": { + "41142": "a man wearing a green shirt", + "41143": "a man with dark hair wearing a green polo shirt and a watch on his left hand" + } + }, + "299495": { + "390": { + "8358": "a bear resting with it ' s paws on a stone hill , behind a bear in a similar position", + "8359": "a bear sits on a rock above another bear" + } + }, + "299544": { + "2676": { + "56529": "a very large pizza", + "56530": "part of a pisa placed in front of woman" + } + }, + "299594": { + "2156": { + "45756": "a skateboarder standing and waiting", + "45757": "a person in blue jeans standing on the back end of a skateboard with one foot" + } + }, + "299618": { + "3842": { + "80335": "the plane slightly to left of other plane", + "80336": "plane in the back" + } + }, + "299643": { + "1308": { + "27870": "a plastic cup of beverage with no spoons in it", + "27871": "a yellowish plastic cup behind a similar cup with something sticking out" + } + }, + "299732": { + "1851": { + "39007": "a teddy bear to the left", + "39008": "the stuffed animal on the left" + } + }, + "299782": { + "3132": { + "65690": "a young girl with red jacket and pick sweats standing on top of a brown horse", + "65691": "a girl in a red coat on top of a horse" + } + }, + "300197": { + "3503": { + "73368": "a man in a blue shirt", + "73369": "the man in the long sleeved light shirt" + }, + "4962": { + "103446": "a hand holding a white color remote", + "103447": "a girl with full sleeve holding the white remote in its hands" + } + }, + "300620": { + "3556": { + "74519": "piece of the table that is directly above the monitor and laptop on the right" + } + }, + "300704": { + "3367": { + "70568": "red white and blue nose of a plane", + "70569": "plane with nose visible and headlight and white fender of vehicle to the planes left" + } + }, + "300758": { + "416": { + "9008": "black horse", + "9009": "a black horse with a woman on it" + } + }, + "300955": { + "3448": { + "72399": "a tennis referee crouching", + "72400": "a women white cap on her head feilding in the ground" + } + }, + "301109": { + "784": { + "16939": "a large man sitting down in a plaid shirt", + "16940": "over wieght man sitting off to side watching" + }, + "2828": { + "59453": "this is a man in a white shirt", + "59454": "a man in a white shirt playing wii" + } + }, + "301209": { + "371": { + "7840": "person in middle wearing blue jacket", + "7841": "the person in blue" + } + }, + "301273": { + "2717": { + "57373": "a person just outside of the picture with 2 visible fingers on the left" + } + }, + "302216": { + "1114": { + "24177": "carrot touching the green lettuce", + "24178": "two orange carrots between celery and lettuce" + } + }, + "302353": { + "2597": { + "54966": "the bed closest to the photographer", + "54967": "a bed that is made with two beds to the left of it" + } + }, + "302415": { + "2856": { + "59941": "a man in glasses and a dark shirt standing over a bowl of tomatoes", + "59942": "man wearing a white shirt under a blue shirt nearest the tomatoes" + } + }, + "302514": { + "1855": { + "39033": "ablue mini van behind a motorcycle", + "39034": "suv / van that is purple behind the cycle next to the red car" + } + }, + "302661": { + "1520": { + "32444": "the white sheep you can only see a bit of", + "32445": "a sheep to the left of two others eating" + } + }, + "302715": { + "173": { + "3265": "large brown teddy bear playing life - size guitar", + "3266": "a life sized stuffed animal playing guitar" + } + }, + "303012": { + "3497": { + "73262": "the cow that is cut off in the photo" + } + }, + "303144": { + "805": { + "17464": "apple laptop computer being used by a red , curly haired man", + "17465": "laptop toward front of room" + }, + "1160": { + "25157": "a man is sitting wearing a white check shirt", + "25158": "a man in a blue shirt behind a laptop" + }, + "3586": { + "75031": "laptop the bald man is using" + } + }, + "303221": { + "4488": { + "93634": "the boy using the toothbrush", + "93635": "a boy wearing cars pajamas is brushing his teeth with an electric toothbrush" + } + }, + "303311": { + "3435": { + "72183": "a woman in gray with long , black sleeves", + "72184": "a woman wearing a grey and black mixed dress" + } + }, + "303923": { + "4097": { + "85307": "a grown man", + "85308": "a man wearing blue denim jeans" + } + }, + "304011": { + "4070": { + "84796": "the back of a city bus with a the letters \" par \" on it", + "84797": "the back end of a parked red , orange , and white bus" + } + }, + "304092": { + "4458": { + "92906": "the largest elephant", + "92907": "an elephant behind three other elephants" + } + }, + "304158": { + "2139": { + "45477": "a zebra sniffing a rock", + "45478": "a zebra sniffing a rock" + } + }, + "304173": { + "1015": { + "21997": "an old man in a brown coat", + "21998": "an older guy with a hat and brown jacket blue jean and black shoes walking next to a guy on a skatboard walking his dog" + } + }, + "304408": { + "3339": { + "69960": "the child looking at the giraffe", + "69961": "a young girl wearing a brown shirt , held up by an older man wearing glasses" + } + }, + "304601": { + "1961": { + "41496": "a small white bus between two big white and red buses", + "41497": "the small white bus inbetween the larger busses" + } + }, + "304625": { + "3210": { + "67246": "woman in a yellow kimono with a black and pink bow", + "67247": "woman holding umbrella and yellow paper" + } + }, + "304757": { + "465": { + "10130": "a laptop with a black back", + "10131": "a large dell laptop silver with a dark cover" + } + }, + "304833": { + "3927": { + "81789": "the elephant facing the camera", + "81790": "the elephant on the left" + } + }, + "305085": { + "1639": { + "35004": "a baseball player wearing white pants and a blue shirt", + "35005": "a man in a blue jersey" + } + }, + "305476": { + "1444": { + "30706": "tallest giraffe in the image", + "30707": "a tall giraffe looking at the camera at a park or zoo" + } + }, + "305693": { + "117": { + "2026": "skis", + "2027": "a pair of fischer skis" + }, + "3148": { + "66022": "the two skis of a child riding on a ski lift", + "66023": "skiis worn by child" + } + }, + "306095": { + "3995": { + "83127": "the white chair closest to the bed", + "83128": "a white chair closest to the bed" + } + }, + "306231": { + "1822": { + "38538": "the plane on top of the other one", + "38539": "the flight above the big flight" + } + }, + "306342": { + "2369": { + "50026": "an empty bench seat on a public transportation vehicle", + "50027": "an empty bench near a window" + } + }, + "306490": { + "2510": { + "52805": "clear water glass directly to the right of the white dinner plate", + "52806": "a glass of water on a table next to a plate of food" + } + }, + "306516": { + "225": { + "4456": "a woman eating a piece of pizza and wearing a red shirt", + "4457": "person eatting" + } + }, + "306621": { + "1183": { + "25454": "the vegetables are cooked on the plate", + "25455": "roasted broccoli with spices laying on a roasted potato" + } + }, + "306705": { + "2021": { + "42801": "a woman with white hair works in the kitchen", + "42802": "old woman in white shirt wearing apron cooking" + } + }, + "306722": { + "4034": { + "83933": "a large banana with a green tip behind a mandarin orange in a bowl of oranges and bananas", + "83934": "front banana in the right hand picture" + } + }, + "306789": { + "1026": { + "22207": "black bicycle", + "22208": "the bicycle with the black and white frame" + } + }, + "306798": { + "4934": { + "102774": "a sprinkled glazed donut", + "102775": "glazed donut on a table with sprinkles on it" + } + }, + "306837": { + "2091": { + "44324": "a zebra that is eating while standing to the right of another zebra", + "44325": "the zebra with its head in the grass" + } + }, + "307032": { + "2525": { + "53240": "a fireman resting against a parking meter", + "53241": "a person that is putting money into the machine with some keys hanging" + } + }, + "307082": { + "2": { + "29": "a truck number 14 on a snow bank", + "30": "a truck with the number 14 painted on it" + } + }, + "307190": { + "3782": { + "79279": "a child sitting on a toilet and brushing his or her teeth", + "79280": "the child brushing their teeth" + } + }, + "307464": { + "1790": { + "37933": "white color bowl in the left side of the image" + } + }, + "307730": { + "152": { + "2701": "woman eating pizza", + "2702": "girl eating" + } + }, + "307751": { + "454": { + "9897": "a cup holding tooth brushes", + "9898": "glass that ' s holding toothbrushes" + }, + "4288": { + "89592": "a white & blue color tooth brush", + "89593": "the blue toothbrush in front" + } + }, + "307881": { + "1338": { + "28323": "woman using the pink toothbrush", + "28324": "girl on right" + } + }, + "308110": { + "4587": { + "95389": "a yellow car on wheels to the left of the front of the train", + "95390": "cart with orange and black circular sign on it" + } + }, + "308180": { + "4702": { + "97837": "an old woman playing wii", + "97838": "a woman with flowers on her sweating holding a remote" + } + }, + "308522": { + "1571": { + "33655": "a girl holding a toy monkey", + "33656": "a woman in a black shirt holding balloon animals" + } + }, + "308895": { + "4011": { + "83436": "the piece furthest away", + "83437": "a piece of some food , behind the other piece" + }, + "4069": { + "84691": "sandwich on plate", + "84692": "front most hot pocket piece" + } + }, + "309086": { + "4256": { + "88917": "a man holding a tennis racket with his arms crossed", + "88918": "a man was standing with folding hands" + } + }, + "309096": { + "1917": { + "40520": "the green plant next to the wood floor", + "40521": "plant with dark green and light green leaves" + } + }, + "309144": { + "3502": { + "73295": "a baseball catcher in the ground", + "73296": "a catcher wearing an orange shirt" + } + }, + "309260": { + "2544": { + "53587": "white vehicle in the parking lot", + "53588": "white van" + } + }, + "309280": { + "167": { + "3019": "an adult elephant with its trunk through a fence", + "3020": "the adult elephant" + } + }, + "309292": { + "3866": { + "80738": "an empty bowl sitting on the counter top under hanging coffee mugs", + "80739": "a large bowl is on the counter next to smaller bowls on plates" + } + }, + "309523": { + "2470": { + "51944": "a lady with bare legs wearing ballett tie on shoes", + "51945": "leg of a girl who is to the left of the pic" + } + }, + "310006": { + "3592": { + "75236": "the red airplane", + "75237": "a red plane flying behind 2 white planes" + } + }, + "310242": { + "1801": { + "38114": "red firetruck with four doors in middle of engine bay", + "38115": "second fire truck from right , white fenders" + } + }, + "310331": { + "254": { + "4975": "a cat in the middle of two cats", + "4976": "cat in the middle" + }, + "2679": { + "56572": "cat to the right", + "56573": "the cat sitting extreem right" + } + }, + "310360": { + "3213": { + "67287": "a girl with braided hair wearing a white tank top and a blue skirt", + "67288": "the woman in the white tank top with reflective shoes" + } + }, + "310457": { + "1452": { + "30936": "off colored green arm chair sitting next to a black leather chair" + }, + "3635": { + "76014": "a brown leather chair", + "76015": "a black leather chair with a gold pillow" + } + }, + "310518": { + "1339": { + "28345": "middle section of table containing 6 plates and two pizzas", + "28346": "the half eaten pizza in the middle" + }, + "3670": { + "76812": "area of table with napkin holder , orange fanta , sunglasses , and pizza with no slices missing", + "76813": "the front most table section" + } + }, + "310558": { + "2352": { + "49495": "the old man wearing with black shirt and blue cap", + "49496": "a man with a blue cap on typing on his laptop" + } + }, + "310707": { + "10": { + "230": "the patterned fabric hanging behind the teddy bear", + "231": "the cloth hanging behind the bear with white squares outlined by blue bars" + } + }, + "310759": { + "4907": { + "101994": "a man with beard wearing blur shirt and tie", + "101995": "a bearded man in a blue shirt with a biblical tie" + } + }, + "310897": { + "4307": { + "89912": "the white corded mouse", + "89913": "white mouse sitting on the left side of mouse pad" + } + }, + "311067": { + "2809": { + "59130": "a silver airplane with aa on its tail", + "59131": "a silver american airlines airplane" + } + }, + "311501": { + "1087": { + "23709": "a white knitted hat", + "23710": "a white knit hat" + } + }, + "311709": { + "2923": { + "61311": "the buffalo standing between two other buffalo", + "61312": "three bulls standing in a meadow looking into the camera" + }, + "4898": { + "101833": "cow in front", + "101834": "angry cow on front right side" + } + }, + "311925": { + "3681": { + "77151": "a classic plymouth with a bike sitting in front of it", + "77152": "a front of an old car" + } + }, + "311988": { + "1541": { + "32890": "an upside - down wine glass to the right of a similarly inverted champagne flute", + "32891": "a clear wine glass sitting upside down on a table" + }, + "3356": { + "70325": "a chair between two other chairs", + "70326": "the chair in the middle" + } + }, + "311997": { + "1165": { + "25236": "bottom slice of banana bread", + "25237": "slice of cake on left" + } + }, + "312390": { + "2280": { + "48176": "arm and shirt of adult male", + "48177": "the adult behind the child" + } + }, + "312494": { + "4476": { + "93232": "a wooden bowl holding pieces of bread" + } + }, + "312786": { + "2745": { + "57900": "the left most person", + "57901": "a woman wearing a black coat is trying a sip of wine" + } + }, + "312886": { + "617": { + "13305": "farther back zebra", + "13306": "an adult zebra and a baby zebra standing" + } + }, + "313002": { + "2125": { + "45183": "bowl of greens", + "45184": "a bowl of greens" + } + }, + "313148": { + "2148": { + "45626": "a personal pizza with black olives", + "45627": "the flatbread with black olives" + } + }, + "313164": { + "1460": { + "31132": "bicycle with brown tire wall" + } + }, + "313206": { + "1580": { + "33800": "zebra to the left", + "33801": "the taller of a pair of zebras" + } + }, + "313244": { + "4205": { + "87883": "a white bowl filled with nuts and two bananas resting on top", + "87884": "yellow bananas that have brown marks on them are in a white bowl" + } + }, + "313256": { + "1717": { + "36391": "an empty freight train" + } + }, + "313617": { + "3182": { + "66747": "the side of the table upon which the beer ' s on" + } + }, + "313847": { + "2532": { + "53357": "a child playing catcher in a baseball game", + "53358": "a baseball catcher kneeling at home plate" + } + }, + "313873": { + "976": { + "21023": "a boy in a red sweatshirt", + "21024": "the girl wearing the red shirt" + } + }, + "313880": { + "1403": { + "29730": "a slice of pizza close to camera" + } + }, + "313963": { + "18": { + "332": "a zebra running to the left in front of other zebras", + "333": "the zebra running towards us" + } + }, + "314048": { + "2639": { + "55787": "a woman with a beer", + "55788": "a woman in pink holding a hotdog and beer" + }, + "4128": { + "86086": "a man in a white shirt with his eyes closed holding a hot dog", + "86087": "a man in white shirt eating a burger by sitting near a young woman" + } + }, + "314298": { + "2559": { + "54076": "chairs to the wooden table behind the man and woman" + } + }, + "314319": { + "1732": { + "36632": "baby being worn in an orange printed sling", + "36633": "the baby in the right hand picture" + } + }, + "314439": { + "2561": { + "54158": "the motorcycle in the rear , with 06 on the back of the seat", + "54159": "a parked white motorcycle" + } + }, + "314602": { + "187": { + "3606": "elephant to the right of the other elephant", + "3607": "a slightly smaller elephant grazes on food" + } + }, + "315297": { + "38": { + "573": "a grey couch with pillows on it", + "574": "a dark grey sofa sitting next to a piano" + } + }, + "316102": { + "3292": { + "68962": "a woman sitting sideways , wearing a dress that has a matching hood", + "68963": "a persona wearing a black and white hooded outfit" + } + }, + "316293": { + "1169": { + "25310": "half of a grapefruit that has already been eaten", + "25311": "the gutted peel of a grapefruit" + }, + "2257": { + "47749": "there is a whole orange on the upper right side of the picture", + "47750": "whole fruit not in a bowl" + } + }, + "316343": { + "2385": { + "50157": "a man playing the wii", + "50158": "man holding the remote with a red and black shirt on" + } + }, + "316557": { + "398": { + "8529": "the man in the middle of the group of 3", + "8530": "the person standing second from left" + } + }, + "316663": { + "635": { + "13665": "white bowl almost empty to right of plate", + "13666": "a white cup with something to drink in it" + }, + "2672": { + "56426": "a person wearing a dark blue shirt" + } + }, + "316671": { + "4039": { + "84155": "glass on left", + "84156": "a glass of wine in front of a paper cup" + } + }, + "317022": { + "3070": { + "64382": "a young blonde woman wearing a black shirt", + "64383": "woman on right" + } + }, + "317036": { + "2933": { + "61504": "an old truck , painted brown and green", + "61505": "a classic truck with a custom paint job parked at the curb" + } + }, + "317210": { + "4559": { + "94977": "a black horse that has a all black face", + "94978": "a dark brown work horse to the left of an identical horse" + } + }, + "317241": { + "1106": { + "24012": "a bear looking down at the ground", + "24013": "a bear with its mouth close to the leaves" + }, + "3495": { + "73247": "a bear that is more elevated than another bear that is visible", + "73248": "the bear whose whole body is visible in the photo" + } + }, + "317489": { + "1797": { + "38028": "white fox van , left of the boy talking on the phone", + "38029": "white van on left" + } + }, + "317805": { + "2058": { + "43742": "a chair on woman ' s left", + "43743": "a black chair to the right of a woman is empty" + } + }, + "317833": { + "4978": { + "103872": "a small white dish containing green and orange vegetables", + "103873": "the plate with carrots and beans" + } + }, + "317997": { + "3832": { + "80120": "a tennis player with ball on his hand and discussing with his teammate", + "80121": "a man with a ball in his hand" + } + }, + "318073": { + "1322": { + "28089": "man in lime green shirt and jeans , crouched down and looking at the ground", + "28090": "a man in a neon shirt kneeling on the ground painting" + } + }, + "318117": { + "1733": { + "36671": "car on the left", + "36672": "a car sitting in front of the motorcycle" + } + }, + "318183": { + "2404": { + "50536": "a tall vase made of dark colored glass", + "50537": "the vase furthest to the right , slightly in the back , which is black in color" + } + }, + "318528": { + "4223": { + "88291": "a yellow designer flower pot", + "88292": "a yellow vase" + } + }, + "318574": { + "224": { + "4423": "a green bicylce with a solid black tire", + "4424": "a black coloured cycle standing between other cycles" + }, + "3117": { + "65317": "the yellow and silver colored bike that reads giant", + "65318": "yellow bike right side" + } + }, + "318638": { + "939": { + "20240": "cutting board under pizza" + } + }, + "318678": { + "776": { + "16721": "girl in blue shirt", + "16722": "a girl in a blue shirt" + } + }, + "318924": { + "3887": { + "81014": "the big vulcher standing straight up", + "81015": "the large black bird looking right" + } + }, + "319685": { + "1368": { + "28973": "a white polar bear that is fighting and has its mouth open", + "28974": "a white bear" + } + }, + "320292": { + "1265": { + "26902": "a motorcycle with a yellow card on its seat", + "26903": "a small black motorcycle on display" + } + }, + "320308": { + "1425": { + "30264": "backpack of man in dark blue shirt", + "30265": "the backpack of the mustached man" + }, + "1831": { + "38741": "the lower part of a dog , its head is not visible" + } + }, + "320391": { + "1645": { + "35154": "the red chair to the left of the table" + } + }, + "320472": { + "4817": { + "100411": "painting on wall above the tv", + "100412": "a picture in a frame above a tv" + } + }, + "320611": { + "4627": { + "96247": "a single white cousioned chair farthest away from the french doors" + } + }, + "321011": { + "2773": { + "58455": "a skateboarder with a black helmet , brown shirt , black shoes , and primarily green skateboard with yellow wheels doing a trick off of a ramp" + } + }, + "321150": { + "469": { + "10181": "a giraffe stands in front of another giraffe", + "10182": "a giraffe eating from the food bucket standing in front of another giraffe" + } + }, + "321173": { + "4056": { + "84401": "table area behind the cup in the right hand picture" + } + }, + "321583": { + "4716": { + "98208": "apple computer turned on", + "98209": "an apple imac in between two running computers" + } + }, + "321592": { + "1396": { + "29589": "green motorcycle", + "29590": "a green motorcycle" + }, + "1458": { + "31086": "and old motorcycle , with red trim , that is not fully built", + "31087": "the old motorcycle that has a reddish orange light in front and no seat" + } + }, + "321980": { + "1620": { + "34613": "cat on the left with its head up" + } + }, + "322064": { + "4725": { + "98356": "a striped couch with four striped pillows and one floral pillow", + "98357": "a striped couch to the right of a glass table" + } + }, + "322080": { + "4923": { + "102548": "the boy with dark glasses on", + "102549": "the third boy to the left has his thumbs up" + } + }, + "322212": { + "893": { + "19124": "a man wearing a white shirt" + } + }, + "322239": { + "1240": { + "26513": "empty bed space between grey suitcase and pillows", + "26514": "the left corner of the bed" + } + }, + "322388": { + "3403": { + "71310": "two black and white sheep", + "71311": "the sheep against the cage" + } + }, + "322553": { + "4372": { + "91261": "a bear trying to take a bite out of another bear", + "91262": "a teddy bear playing with his colleague like biting" + } + }, + "322695": { + "888": { + "19052": "the horse with the rider furthest to the right", + "19053": "the horse furthest to the right in the picture" + } + }, + "322843": { + "3639": { + "76184": "man with navy blue shirt and hat looking at boys phone", + "76185": "a soldier in a blue uniform sits next to 2 boys looking at a cell phone" + } + }, + "323147": { + "174": { + "3318": "the man in the grey shirt", + "3319": "a man wearing grey colored suit standing beside with black colored suit man" + } + }, + "323149": { + "2377": { + "50085": "a plain bicycle behind a decorated bicycle", + "50086": "a leaning bicycle kept aside a decorated bicycle" + } + }, + "323213": { + "3850": { + "80451": "female worker in reflective gear holding a bottle of water", + "80452": "a woman in a red safety vest and yellow safety jacket smiles for the camera" + } + }, + "323396": { + "4188": { + "87441": "large black animal", + "87442": "a big black cow to the right of the picture" + } + }, + "323553": { + "890": { + "19062": "three pieces of broccoli to the left of te knife", + "19063": "a group of four broccoli with the lowest one lightly touching the knife in the bottom right corner" + } + }, + "323728": { + "986": { + "21280": "a lady sitting outside on her laptop", + "21281": "a smiling blonde lady with her shades set against her hair" + } + }, + "323734": { + "3511": { + "73482": "a sandwich on a whit plate next to some fries", + "73483": "sandwich with red meat for breakfast" + } + }, + "323964": { + "3976": { + "82719": "the person behind the girl" + } + }, + "324332": { + "142": { + "2451": "a green and white bus next to people standing", + "2452": "a yellow bus" + } + }, + "324591": { + "1282": { + "27271": "the third goat that is being held on a black leash by a blonde woman with a braid in her hair", + "27272": "a goat stand near security" + }, + "3467": { + "72817": "a goat being pet by a girl in a colored dress", + "72818": "the goat closest to the woman in the white patterned tank - top" + } + }, + "324709": { + "2743": { + "57848": "a man with a backpack", + "57849": "the man who is the tallest on line with a backpack facing truck" + } + }, + "324817": { + "947": { + "20319": "a man in a hat", + "20320": "a man wearing sunglassing sitting on a motor bike" + } + }, + "324871": { + "2724": { + "57473": "a man with a purple shirt riding an elephant", + "57474": "the man in the maroon" + } + }, + "324929": { + "1373": { + "29099": "the blonde woman in white", + "29100": "a woman in khakis watching the giraffes at the zoo" + } + }, + "325014": { + "1629": { + "34832": "a man wearing a yellow tie", + "34833": "a yellow tie that a man is wearing" + }, + "4285": { + "89467": "blue and pink tie on the table", + "89468": "a black tie on the mannequin" + } + }, + "325494": { + "2493": { + "52425": "a man wearing a white ballcap and a baseball glove stands behind a batter", + "52426": "the guy with the cap and mitt" + } + }, + "325966": { + "1637": { + "34954": "top side of a woman ' s laptop", + "34955": "a black laptop computr being held by a woman in her lap" + } + }, + "325986": { + "221": { + "4239": "a man ' s crossed legs underneath a table in the background", + "4240": "a guy wearing green shorts" + } + }, + "326048": { + "1857": { + "39085": "the girl in pink clothes", + "39086": "the girl wearing pink outfit" + } + }, + "326731": { + "3268": { + "68502": "a giraffe , standing on top of a baby giraffe , in a zoo", + "68503": "a giraffe in an enclosure looking the camera" + } + }, + "326776": { + "1406": { + "29788": "silver laptop the man in the grey sweater is using", + "29789": "back cover of open laptop" + } + }, + "326845": { + "1293": { + "27508": "a woman touching her sunglasses", + "27509": "a woman in black looking to our right" + } + }, + "326966": { + "779": { + "16807": "man with a cane in his hand", + "16808": "the man in the suit holding the cane" + } + }, + "327168": { + "519": { + "11323": "a vacant dark brown chair", + "11324": "a chair with a honeycomb design on its back rest" + } + }, + "327258": { + "3658": { + "76485": "a police man on a brown horse with a black tail" + } + }, + "327395": { + "3244": { + "68016": "the sheep on the right getting a hug from the girl", + "68017": "the sheep on the right with the girl leaning down to it" + } + }, + "327468": { + "3876": { + "80922": "some broccoli on a plate with other vegetables" + } + }, + "327579": { + "2985": { + "62711": "a boy wearing white color shirt on a skateboard", + "62712": "a man in a white shirt and gray shorts skateboarding" + } + }, + "327813": { + "4593": { + "95566": "a black sofa sitting in the middle of a room", + "95567": "a couch" + } + }, + "327841": { + "2815": { + "59280": "the white car facing away from the camera on the right side of the picture", + "59281": "a silver vehicle next to a green truck" + } + }, + "327843": { + "300": { + "6110": "an asian man with hands hand close together", + "6111": "a man who is about to clap" + } + }, + "327998": { + "3728": { + "78168": "a woman with lipstick smiling", + "78169": "woman with black hair" + } + }, + "328064": { + "1771": { + "37510": "girl baby having white hat shering cheers with her partner", + "37511": "a young girl in a white - and - pink hat" + }, + "4678": { + "97376": "a baby looking up smiling", + "97377": "a small girl looking up and smiling" + } + }, + "328115": { + "2855": { + "59928": "a cell phone cover which was opened to repair" + } + }, + "328574": { + "441": { + "9707": "the horse that is in front", + "9708": "the horse with the woman holding the gun" + } + }, + "329239": { + "4669": { + "97188": "the black jeep", + "97189": "black jeep in parking lot" + } + }, + "329268": { + "483": { + "10625": "middle giraffe", + "10626": "giraffe resting its head on the neck of another giraffe" + } + }, + "329343": { + "1658": { + "35317": "chair in the front", + "35318": "chair facing the open hallway" + } + }, + "329508": { + "2281": { + "48178": "first piece of broccoli extending over carrots" + } + }, + "329558": { + "3342": { + "70073": "train with yellow on front", + "70074": "the train with yellow stripe" + } + }, + "329629": { + "3558": { + "74571": "a sheep grazes in a field next to two other sheep", + "74572": "a sheeet with its head down grazing" + } + }, + "329830": { + "1241": { + "26521": "two pieces of broccoli to the right of more broccoli" + } + }, + "329841": { + "1840": { + "38871": "a playroom" + } + }, + "329942": { + "706": { + "15341": "the silhouette of a child playing a sports video game", + "15342": "boy with long hair in black shirt playing game" + } + }, + "330088": { + "3150": { + "66064": "the giraffe that is furthest back on the road", + "66065": "a group of giraffee" + } + }, + "330094": { + "1032": { + "22275": "man in a blue cut off shirt smiling at a woman holding a blender", + "22276": "man in a blue and gray sleeveless shirt" + } + }, + "330284": { + "3273": { + "68638": "an elephant stands near a waterfall and a smaller elephant", + "68639": "an elephant with it ' s face in another elephants butt" + } + }, + "330342": { + "3031": { + "63506": "a man wearing a black suit helping his wife cut their cake", + "63507": "a groom wearing a dark suit" + } + }, + "330683": { + "2003": { + "42385": "black cow standing in the grass", + "42386": "a young black cow standing alone" + }, + "3664": { + "76716": "the brown cow", + "76717": "big brown cow with a tag in its ear" + } + }, + "330716": { + "3128": { + "65556": "a pitcher with gold handle", + "65557": "a pitcher with white men on it" + } + }, + "330729": { + "311": { + "6318": "the reflection of the bigger horse", + "6319": "reflection of horse with white mane" + }, + "4409": { + "92023": "pretty brown horse leaning over beside a creek", + "92024": "the lighter of the two horses" + } + }, + "330991": { + "4087": { + "85124": "a man looking right side wearing full coat", + "85125": "the man behind the woman with the brown jacket" + } + }, + "331313": { + "3326": { + "69548": "the larger cow on the left side of the ice cream cone", + "69549": "the big cow" + } + }, + "331324": { + "2918": { + "61189": "little girl brushing her teeth", + "61190": "a girl in red pajamas brushing her teeth with her reflection in the mirror" + } + }, + "331331": { + "2621": { + "55467": "a blue and white shirt", + "55468": "man with blue plaid shirt" + } + }, + "331549": { + "1194": { + "25578": "a dark skinned man shaking another man ' s hand", + "25579": "the tennis player on the left" + } + }, + "331577": { + "2052": { + "43568": "the blue cloth in the left side of the picture", + "43569": "the dark pants leg of someone standing to the left of the white sheep carcass" + } + }, + "331832": { + "2116": { + "44862": "a peron in a black shirt", + "44863": "the boy with the black t - shirt" + } + }, + "332135": { + "408": { + "8760": "woman with long blond hair and a blue shirt", + "8761": "woman decorate the cake" + } + }, + "332227": { + "2608": { + "55149": "there is one person wearing cooling glass and white paint on his face looking somewhere", + "55150": "a man dressed in white makeup and a black wig with sunglasses on is standing on a city street" + } + }, + "332773": { + "2625": { + "55521": "a red bowl of food with an egg and sesame seeds", + "55522": "an asian dish with the sesame seeds on the eggs" + } + }, + "332853": { + "2429": { + "51106": "vase closest to the camera", + "51107": "the vase furthest from the lamp" + } + }, + "332953": { + "2110": { + "44781": "a blue toy bus with open windows and grey seating", + "44782": "a blue doubledecker bus in a garage" + } + }, + "333207": { + "3618": { + "75670": "a umbrella", + "75671": "purple umbrella" + } + }, + "333225": { + "433": { + "9558": "the piece of broccoli furthest left on the plate that is centered" + }, + "3459": { + "72596": "a piece of broccoi sitting on the edge of a plate", + "72597": "a floret of brocollie lying on the plate at the left most corner" + } + }, + "333323": { + "1782": { + "37754": "a hot dog closest to the table ' s edge", + "37755": "hot dog clockwise from cheese fries" + } + }, + "333356": { + "296": { + "6000": "blue , gray and black backpack on the back of a man wearing eyeglasses", + "6001": "backpack of the man in the purple coat" + } + }, + "333517": { + "556": { + "12123": "the far left zebra", + "12124": "a zebra grazing on grass to the left of the pathway" + } + }, + "333546": { + "3122": { + "65397": "the woman on the left" + } + }, + "333550": { + "3266": { + "68475": "a black cow with white legs behind two other cows", + "68476": "the lonely cow" + } + }, + "333575": { + "760": { + "16438": "skateboarder jumping on wall", + "16439": "the skateboarders on the right jumping against the wall" + } + }, + "333694": { + "3533": { + "73831": "a man with box", + "73832": "a woman with gray hair sitting at the table" + } + }, + "333922": { + "4074": { + "84883": "a medical truck to the right of another truck", + "84884": "a truck that is behind another truck , we can only see the front" + } + }, + "334187": { + "550": { + "12041": "blue sleeved shirt person cutting cake", + "12042": "hand dishing up a slice of cake" + } + }, + "334380": { + "1119": { + "24230": "man with white trousers and blue t - shirt", + "24231": "a person wearing a blue shirt and tank shorts" + } + }, + "334881": { + "817": { + "17694": "a man wearing a gray suit jacket", + "17695": "a man in a gray jacket with his back to the camera" + } + }, + "334939": { + "2186": { + "46324": "top of croissant sandwich next to strawberry on plate with carrot and celery sticks", + "46325": "the sandiwch nearest to the camera" + } + }, + "335138": { + "1039": { + "22428": "the chair on which the man wearing dark brown t - shirt seated" + }, + "3841": { + "80328": "a chair with a man with glasses sitting in it" + } + }, + "335244": { + "515": { + "11243": "the top part of the bunk bed with rails on the side", + "11244": "the top bunk of a bunk bed , black , covered with toys" + } + }, + "335534": { + "1691": { + "35989": "a nicely dressed man and woman , cutting a cake together", + "35990": "a man in a black suit stands with a woman in a blue dress and silver shawl while they both hold a knife together to cut a cake" + } + }, + "335633": { + "3379": { + "70772": "woman holding the cat", + "70773": "black shirt women" + } + }, + "335713": { + "151": { + "2677": "a computer monitor with a cartoon skull on it", + "2678": "the silver television with the skull on it" + }, + "3261": { + "68369": "a computer monitor showing a bunch of icons", + "68370": "a small computer screen with lots of yellow icons" + } + }, + "335767": { + "3784": { + "79329": "giraffe on the right side , with head hidden", + "79330": "the giraffe whose head you can ' t see" + } + }, + "335784": { + "802": { + "17377": "any of the heads that are behind the leader", + "17378": "motorcycle riders behind 2 other motorcycle riders" + } + }, + "335860": { + "2010": { + "42490": "a peeled banana with a surprised face with butter between its peels", + "42491": "some yellow coloured bananas are place on a paper" + } + }, + "335955": { + "3109": { + "65031": "black dog standing on all four legs", + "65032": "a dog standing up , wearing a purple collar" + } + }, + "336185": { + "4491": { + "93670": "a baby elephant , walking alongside a taller elephant , in the brush", + "93671": "a young , small elephant walking to the left of a larger adult" + } + }, + "336267": { + "156": { + "2747": "a sparrow is sitting along with two others" + }, + "2716": { + "57347": "a bid that is not facing the camera , looking off to the right", + "57348": "bri facing the right" + } + }, + "336289": { + "4093": { + "85186": "a skate board which written atomic", + "85187": "the blue snow board" + } + }, + "336406": { + "4809": { + "100177": "fusia dog", + "100178": "this fusia dog appears to be wrapped in a fried tortilla and topped with cilantro" + } + }, + "336491": { + "4717": { + "98210": "person in pink sitting in wooden chair", + "98211": "girl sitting in a chair" + } + }, + "336764": { + "925": { + "19980": "a dapple grey horse", + "19981": "a brown horse with a red harness and purple and white lease" + } + }, + "336966": { + "3203": { + "67106": "the 3rd farthest plane in the row of 4", + "67107": "airplane 3rd in the row" + } + }, + "336991": { + "967": { + "20852": "a tiny black and blue vase" + } + }, + "337068": { + "59": { + "1034": "chair in front of purple placemat with blue diffuser", + "1035": "a white chair facing the window" + } + }, + "337255": { + "112": { + "1945": "a brown couch sitting against the window", + "1946": "vintage brown sofa" + } + }, + "337401": { + "420": { + "9172": "urinal that shows frogs hopping fromit", + "9173": "the urinal on the left" + } + }, + "337433": { + "1494": { + "31921": "an all white bird all the way on the left", + "31922": "white bird" + }, + "2465": { + "51868": "a bird is sitting between two birds", + "51869": "a sparrow with two sparrows sitting on hang rod" + } + }, + "337509": { + "3617": { + "75629": "fatter sheep on left", + "75630": "sheep to the far left" + } + }, + "337704": { + "1707": { + "36160": "player in orange between two players in white", + "36161": "3 people on left" + } + }, + "337761": { + "283": { + "5771": "a silver dell flat panel computer monitor sitting at the end of a desk" + } + }, + "338087": { + "2665": { + "56331": "the racket the woman in black is holding" + } + }, + "338218": { + "4109": { + "85569": "a park bench with a man in green pants on it", + "85570": "the bench the man in the green pants is on" + } + }, + "339051": { + "705": { + "15309": "a woman or girl in a red shirt with brown hair", + "15310": "a person in a red shirt by the refridgerator" + } + }, + "339611": { + "2754": { + "58159": "a vehicle parked directly behind a baseball players mitt", + "58160": "the second car from the left" + } + }, + "340129": { + "1141": { + "24782": "a short man stands next to a taller man", + "24783": "a man wearing a blue tshirt and blue baseball cap" + } + }, + "340412": { + "3538": { + "74019": "the man in the black shirt and the chair with the pants and no body", + "74020": "a man with his arm resting on a chair" + } + }, + "340636": { + "292": { + "5935": "a man knealing down by a pond with his hand in the water", + "5936": "a man in a striped shirt crouching down and touching the water" + } + }, + "341429": { + "902": { + "19398": "a black table a boy is sitting at", + "19399": "table under pizza" + } + }, + "341693": { + "4904": { + "101945": "a laughing woman with red shoes", + "101946": "a girl with red skirt , with a tennis racket and laughing" + } + }, + "342017": { + "2808": { + "59109": "a baseball player swinging a bat", + "59110": "batter black and whit outfit" + } + }, + "342374": { + "666": { + "14494": "smallest girl in blue smock across the table", + "14495": "little girl wuth blue vest" + } + }, + "342662": { + "3970": { + "82644": "a man and his new wife cutting their wedding cake", + "82645": "a man in a tuxedo" + } + }, + "342696": { + "2122": { + "45135": "a woman smaling", + "45136": "blond woman in an orange and white top wearing glasses" + } + }, + "343009": { + "2159": { + "45858": "a woman with a bowl of apples in her lap", + "45859": "the older lady wearing a bracelet sitting next to a younger lady" + }, + "3711": { + "77840": "the chair of the woman on the right", + "77841": "the chair the older lady is sitting on" + }, + "3773": { + "79094": "4 chair legs of the chair the younger woman is sitting on" + } + }, + "343153": { + "1959": { + "41450": "yellow car", + "41451": "yellow car" + } + }, + "343204": { + "1110": { + "24055": "photo of a man on a laptop screen", + "24056": "man on a laptop screen hugging a woman" + } + }, + "343404": { + "3481": { + "73044": "the cow squirting milk at the cat in the right hand picture", + "73045": "a cow from which a man is taking out milk" + } + }, + "343621": { + "2129": { + "45278": "a brown color fridger", + "45279": "brown fridge with opened door" + } + }, + "343737": { + "704": { + "15268": "a brown and black dog laying on a porch", + "15269": "the longhaired yellow dog , lying down on the right side of the photo" + } + }, + "343834": { + "1229": { + "26289": "the baseball player is holding a bat", + "26290": "a batter with a blue uniform swinging the bat" + } + }, + "343968": { + "4550": { + "94763": "a giraffe near another giraffe and facing the camera", + "94764": "the giraffe facing the camera" + } + }, + "344157": { + "1315": { + "28019": "girl wearing a wedding dress , and cutting the cake", + "28020": "woman in a cream colored wedding dress cutting cake" + } + }, + "344632": { + "3985": { + "82919": "child swearing glasses and red shirt", + "82920": "a kid with glass on his eyes with red color shirt" + }, + "4320": { + "90201": "african american child in green top sitting in a yellow chair next to another girl wearing glasses", + "90202": "a young african american wearing a yellow shirt" + } + }, + "345578": { + "4311": { + "90060": "the woman in the white wedding dress", + "90061": "a woman on the right screen" + } + }, + "345864": { + "2842": { + "59656": "a small bowl filled with red liquid", + "59657": "the white bowl that is above the blue plate of food" + } + }, + "345903": { + "4807": { + "100160": "a bear that is nearest to the person with the camera in a zoo" + } + }, + "346168": { + "4420": { + "92210": "a batter behind the fence with sunglasses on", + "92211": "a player with the base ball stick behind the net wearing a coolers" + } + }, + "346360": { + "2766": { + "58312": "the gentleman on the right side of the photo wearing a black tee shirt and a metal watch", + "58313": "an older man wearing a black tshirt and silver watch" + } + }, + "346499": { + "3291": { + "68945": "a man was sitting on elephant", + "68946": "the man on the elephant with the red hsirt" + } + }, + "346668": { + "4375": { + "91297": "a boy with a mickey mouse backpack" + } + }, + "346909": { + "4480": { + "93366": "the dog closest to the camera" + }, + "4543": { + "94566": "a dog to the left of another dog" + } + }, + "347115": { + "468": { + "10152": "a table holding a black plate" + } + }, + "347121": { + "3799": { + "79513": "the chair on the right behind facing forward in the right hand picture", + "79514": "the chair that is in front of the fence in the 2nd picture" + } + }, + "347167": { + "4443": { + "92635": "the table the girl is sitting at in front in the right hand picture", + "92636": "table that woman is using laptop on" + } + }, + "347655": { + "2307": { + "48772": "a female holding a knife crouched down and cutting a designer cake", + "48773": "a woman holding a knife , cutting in to something" + } + }, + "348203": { + "21": { + "407": "a female chef wearing a white chefs hat looking into the pan", + "408": "the women is cooking in the kitchen" + } + }, + "348436": { + "2709": { + "57192": "a red motorcycle", + "57193": "a red and black motorcycle with a picture of lightning bolt on the gas tank" + } + }, + "348577": { + "3295": { + "68984": "a little boy wearing a white shirt with a baseball on it standing in front of a woman", + "68985": "a small child" + } + }, + "348684": { + "2519": { + "52959": "a baseball umpire crouched and inspecting an oncoming pithc", + "52960": "a baseball umpire crouching wearing black pants , a black shirt with a white number on the sleeve and a black helmet" + } + }, + "348751": { + "2878": { + "60441": "a brown dog lying on its side", + "60442": "a brown dog lays behind another dog" + } + }, + "348794": { + "2115": { + "44835": "an elephant carrying two people on its back and a stick in its mouth", + "44836": "elephant with the yellow strap" + }, + "2388": { + "50182": "an elephant with long trimmed tusks carrying a man and girl in light neutral colored clothes", + "50183": "an elephant that is to the far right" + } + }, + "348865": { + "23": { + "420": "woman wearing black holding a pink umbrella", + "421": "a woman with a black shirt , black jacket and black purse with a pink umbrella standing next to a guard on a horse" + } + }, + "348966": { + "1124": { + "24373": "a cup sitting on a table behind another cup and some doughnuts" + } + }, + "349030": { + "2050": { + "43508": "a giraffe with it ' s neck up standing next to a giraffe with its neck down in front of a tree", + "43509": "the closest giraffe" + } + }, + "349319": { + "2642": { + "55846": "an elephant with a three passengers , one wearing blue , another a white short sleeve shirt and a girl in black", + "55847": "the elephant with the man in white who is touching a man in blue" + } + }, + "349686": { + "4472": { + "93141": "the baseball umpire wearing black and gray", + "93142": "the umpire in a black shirt standing behind the baseball players" + } + }, + "349793": { + "1963": { + "41582": "man in a white shirt , sitting on a motorcycle drinking", + "41583": "a man on a motor bike drinking" + } + }, + "349809": { + "2580": { + "54664": "a bit cat that is sitting in the front", + "54665": "gray cat" + } + }, + "349932": { + "3358": { + "70382": "the woman with the amh shirt in the right hand picture", + "70383": "a woman in a gray zip up sweatshirt , jeans , and white tennis shoes" + } + }, + "349943": { + "1042": { + "22499": "woman looking at cake as she cuts into it for first slice", + "22500": "awoman in the cake" + } + }, + "350083": { + "1545": { + "33055": "giraffe to left of other", + "33056": "the slightly shorter giraffe" + } + }, + "350280": { + "3824": { + "80059": "woman with red hair", + "80060": "woman wearing a black shawl looking downward" + } + }, + "350421": { + "1113": { + "24115": "a fancy chair away from the window" + } + }, + "350435": { + "3524": { + "73636": "the motorcycle that is only being touched by hands", + "73637": "a red motorcross bike flying in the air" + } + }, + "350500": { + "4390": { + "91664": "a blue purse", + "91665": "a dark colored bag is sitting on top of a suitcase that is next to a wall" + } + }, + "350515": { + "2274": { + "48120": "brown suit case on top of other suitcases", + "48121": "a brown piece of luggage balanced on top of other luggage" + }, + "3066": { + "64320": "red suitcase close to bottom of pile", + "64321": "red suitcase" + } + }, + "350842": { + "1407": { + "29794": "banana closest to green apple", + "29795": "a banana touching an apple , an orange and a lemon" + } + }, + "350984": { + "90": { + "1563": "bear in green shirt", + "1564": "brown bear with green shirt" + } + }, + "351242": { + "1532": { + "32650": "the left - most hot dog" + }, + "3915": { + "81579": "a hotdog between two other hotdogs", + "81580": "a hot dog in the middle of two other hot dogs", + "81581": "the hotdog in the middle" + } + }, + "351451": { + "1838": { + "38851": "the car in the back side of the image", + "38852": "the silver car parked outside of the fence" + } + }, + "351470": { + "1696": { + "36052": "the longer banana the thumb is primarily touching" + } + }, + "351686": { + "2696": { + "57051": "an unusually straight , slightly green banana next to a more ripe banana", + "57052": "the straight banana" + }, + "4637": { + "96389": "a banana with a sticker on it", + "96390": "a yellow and brown banana sitting next to a green banana" + } + }, + "351705": { + "1529": { + "32637": "a snowboard with a yellow letter p on it", + "32638": "a female snow boarder stands and talks to a fellow snowboarder at a ski resort" + } + }, + "351719": { + "2100": { + "44538": "the person holding the knife", + "44539": "hands holding a cake cutting knife and a green fork" + } + }, + "351807": { + "39": { + "611": "an indian lady with a black necklace , black hair , and a pink shirt", + "612": "a woman holding a vase in her hand" + } + }, + "351948": { + "525": { + "11499": "a mother elephant with her baby on her right side", + "11500": "elephant standing over baby elephant" + } + }, + "351973": { + "2603": { + "55062": "the horse of the right that is further back", + "55063": "the second horse from the left" + } + }, + "352073": { + "765": { + "16495": "a girl in a black bikini is watching the other as she leans over" + }, + "3235": { + "67745": "the girl in the yellow bikini facing away from the camera", + "67746": "woman in the yellow bikini" + } + }, + "352142": { + "4548": { + "94699": "donut stacked on top of a donut beside a person cutting a slice of bacon", + "94700": "glazed doughnut on a white cutting board" + } + }, + "352191": { + "2321": { + "48972": "the backside of a zebra behind three other zebras" + } + }, + "352241": { + "4403": { + "91888": "bed with blue bedskirt", + "91889": "a low bed with a blue covering around the mattress" + } + }, + "352312": { + "1919": { + "40529": "a bolar bear sniffing a slanted concrete slab", + "40530": "a polar bear at the bottom of a ramp" + } + }, + "352427": { + "2121": { + "45107": "a man with a green shirt and black shorts crouching on a field with others to catch a frisbee", + "45108": "a guy in sports dress trying to catch a frisbee" + } + }, + "352502": { + "4615": { + "95979": "the female cow with her young in the meadow", + "95980": "a large brown and white cow" + } + }, + "352651": { + "1915": { + "40458": "the chair the woman is sitting in", + "40459": "the chair the woman is sitting" + } + }, + "353072": { + "295": { + "5970": "a baby polar bear standing next to it ' s mother", + "5971": "a smaller , younger polar bear rubs into the left side of a larger adult" + } + }, + "353146": { + "1699": { + "36069": "the hot dog almost out of the picture", + "36070": "the wrapped hot dog that isn ' t the closest to the beans" + } + }, + "353461": { + "3373": { + "70644": "a pair of black handle scissors in a caddy", + "70645": "black handled scissors" + } + }, + "353701": { + "3734": { + "78277": "a slice of pizza seperated from the rest of pie" + } + }, + "353720": { + "19": { + "390": "a man in a suit sitting on a bench behind another man", + "391": "a man wearing a suit and sitting on a backless bench facing a building and facing away from the camera" + } + }, + "353893": { + "1326": { + "28126": "a chair tucked under the table", + "28127": "an empty wooden chair back" + } + }, + "353938": { + "3960": { + "82407": "the table on which the guy in black t - shirt is sitting", + "82408": "the empty seat between the man with the backpack and the man with the drink" + } + }, + "354012": { + "31": { + "499": "a lady sitting in front of a cake with candles on top", + "500": "a woman smiling in front of cake" + } + }, + "354327": { + "4503": { + "93940": "a woman who has a brown teddy bear in her hands and a holiday hat", + "93941": "a woman wearing antlers and holding a brown teddy bear" + } + }, + "354391": { + "3040": { + "63616": "a man in a fedora is hugging a girl", + "63617": "man wearing hat holding child" + } + }, + "354444": { + "1742": { + "36879": "a bird with its wings spread standing on top of the vehicle", + "36880": "the seagull on the vehicle to the left of two other seagulls" + } + }, + "354480": { + "1252": { + "26710": "girl", + "26711": "woman pointing toward the water with her arm around the man" + }, + "4258": { + "88947": "a couple on a bench", + "88948": "the guy waring a black t - shirt" + } + }, + "354483": { + "2729": { + "57554": "a woman with a brown sweatshirt and orange pants on her cell phone", + "57555": "a woman in orange pants" + } + }, + "354553": { + "787": { + "17046": "a sheered sheep standing to the right of another sheep", + "17047": "lamb to the right of other lamb" + } + }, + "354569": { + "4964": { + "103478": "the black dog laying to the right of the clock", + "103479": "black poodle laying beside white dog" + } + }, + "355119": { + "32": { + "506": "two black and white horses standing side by side", + "507": "the faces of the two black and white horses" + } + }, + "355133": { + "3233": { + "67638": "a slice of pizza with green toppings", + "67639": "pizza on bottom green stuff" + } + }, + "355194": { + "3521": { + "73609": "a glass of wine on a table with the sunlight gleaming off of it", + "73610": "glass with white wine" + } + }, + "355440": { + "4180": { + "87309": "a young man wearing a green striped shirt", + "87310": "the man in the green striped shirt" + } + }, + "355571": { + "1238": { + "26469": "woman with green hoody", + "26470": "a woman wearing a green sweater" + } + }, + "355740": { + "886": { + "19034": "the giraffe on the right standing tall", + "19035": "a giraffe stands tall next to some other zoo animals" + } + }, + "356496": { + "1017": { + "22062": "a red bicycle next to a red and silver bicycle" + } + }, + "356535": { + "3096": { + "64826": "larger elephant", + "64827": "larger elephant" + } + }, + "356856": { + "3623": { + "75743": "a color computer monitor", + "75744": "a black computer monitor" + } + }, + "356922": { + "4386": { + "91607": "a white laptop computer", + "91608": "a white laptop" + } + }, + "357015": { + "4020": { + "83635": "a man in a dark grey shirt holding a tennis ball in a baseball field", + "83636": "the man with the grey t - shirt on" + } + }, + "357036": { + "3721": { + "78059": "chaur begining transition into bench" + } + }, + "357113": { + "4784": { + "99678": "teddy bear farthest to the right" + } + }, + "357247": { + "1596": { + "34085": "person with a black hat , white t - shirt , and black shorts with sunglasses on top of his head", + "34086": "person wearing white polo shirt and black shorts , with ball cap and watch on left wrist" + } + }, + "357509": { + "3942": { + "82020": "a slice of pizza facing us" + } + }, + "357771": { + "1974": { + "41881": "first bench on the left of the group", + "41882": "a small bench farthest to the left of similar benches" + } + }, + "358216": { + "4596": { + "95599": "plate with sandwich and small salad", + "95600": "a plate with a sandwich and a bowl of salad" + } + }, + "358253": { + "4363": { + "91081": "a bed with a red floral sheet that is next to the table and lamp", + "91082": "a bed with reddish sheets between two other beds" + } + }, + "358539": { + "3381": { + "70776": "man whose face cannot be seen", + "70777": "band member turned away from camera" + } + }, + "358667": { + "282": { + "5745": "the brief case to the right of the couch", + "5746": "trolly near the sofa" + } + }, + "358741": { + "3870": { + "80827": "a cow with it ' s head turned while in green grass", + "80828": "a cow with a brown and white face" + } + }, + "359323": { + "2217": { + "46923": "elephant that is farther away", + "46924": "half of an elephants body excluding the head" + } + }, + "359497": { + "3575": { + "74815": "juan uribe swinging a bat", + "74816": "uribe hitting a ball" + } + }, + "359529": { + "1695": { + "36026": "a dog on television", + "36027": "a black and white dog on television" + } + }, + "359872": { + "3490": { + "73188": "elephant over green ball", + "73189": "a small elephant" + } + }, + "360017": { + "4641": { + "96489": "a woman eating a donut", + "96490": "a brunette woman about to eat a doughnut" + } + }, + "360870": { + "868": { + "18708": "a man dressed in a black t - shirt gesticulating wildly", + "18709": "a man preparing food with his colleague" + } + }, + "360982": { + "2181": { + "46234": "catcher", + "46235": "the catcher behind the batter" + } + }, + "360991": { + "1739": { + "36834": "brown haired man carrying a dog on the beach barefoot", + "36835": "a man in blue jeans" + } + }, + "361130": { + "4073": { + "84875": "the cake with the white top", + "84876": "the cake on the plate stand with the white icing" + } + }, + "361197": { + "3225": { + "67528": "the white ranch dressing in a cup", + "67529": "white dressing in a plastic container" + } + }, + "361373": { + "2387": { + "50164": "a man in a plaid shirt sitting down", + "50165": "man sitting on couch in living room" + } + }, + "361866": { + "1563": { + "33455": "train ' s front wheel", + "33456": "part of the cake , blue in color with the smal green wheel on top of it" + } + }, + "362157": { + "3587": { + "75106": "partially seen person sitting on brown couch wearing green", + "75107": "a man sitting on a bed" + } + }, + "362247": { + "4947": { + "103094": "two giraffes and a zebra", + "103095": "a giraffe standing behind another giraffe" + } + }, + "362333": { + "4855": { + "101058": "the yellow truck nearest the trees" + } + }, + "362498": { + "1000": { + "21606": "a large polar bear looking at a smaller polar bear", + "21607": "white polar bear looking at another bear" + } + }, + "362643": { + "2449": { + "51395": "a wooden rocking chair with green cushions sits by a window", + "51396": "the rocking chair to the right of the table" + } + }, + "362656": { + "456": { + "9969": "baby elephant walking with mother elephant", + "9970": "a small elephant underneath a larger elephant" + } + }, + "362936": { + "1590": { + "34004": "the white car just over the bridge", + "34005": "the back of a white jeep" + } + }, + "363079": { + "524": { + "11494": "there is one black color laptop on the table showing powerpoint slide on the screen", + "11495": "the laptop the woman is using" + } + }, + "363136": { + "1483": { + "31638": "a man with glasses and a red necktie kissing a woman", + "31639": "a man was kissing" + } + }, + "363145": { + "2360": { + "49603": "a brown sink in the bathroom", + "49604": "a wash basin on the bathroom" + } + }, + "363363": { + "158": { + "2794": "blue next to the girl feeding the giraffes", + "2795": "navy blue out of focus object" + }, + "2565": { + "54208": "a girl feeding giraffes", + "54209": "a girl in a pink shirt" + } + }, + "363455": { + "4098": { + "85321": "person in a coat with a bag on a bike", + "85322": "a person riding a bicycle holding an umbrella" + }, + "4589": { + "95416": "red bike in the rain", + "95417": "a red bicycle" + } + }, + "364099": { + "389": { + "8348": "boy wearing black shirt", + "8349": "the taller man" + } + }, + "364210": { + "1480": { + "31595": "a side of a donut covered in chocolate and cuts", + "31596": "a donut covered in chocolate and nuts" + } + }, + "364251": { + "910": { + "19545": "a pile of chairs", + "19546": "folded chair on top" + } + }, + "364293": { + "2017": { + "42726": "this person wears a black top and beige pants on the left side of the image", + "42727": "man in khaki pants" + } + }, + "364467": { + "4653": { + "96780": "cake inside the cellophane wrapper", + "96781": "part of a chocolate souffle cake with a green ribbon around it" + } + }, + "364742": { + "3176": { + "66624": "a zebra running past a cow" + } + }, + "364770": { + "4281": { + "89412": "second glass from the right of the edge of the counter" + } + }, + "365259": { + "4995": { + "104406": "man in black shirt hugging woman in white cardigan", + "104407": "the man in the black t - shirt" + } + }, + "365310": { + "2839": { + "59591": "a woman in wedding attire feeding and being fed", + "59592": "an overweight woman exchanges cake with a man" + } + }, + "365563": { + "3298": { + "69037": "the little boy wearing a plaid shirt", + "69038": "small boy standing next to a fire hydrant holding onto a younger child" + } + }, + "365565": { + "2191": { + "46470": "a blue motorcycle faced straight", + "46471": "the bike that is furthest away from the person" + } + }, + "365696": { + "1022": { + "22136": "the horse on the left with a white stripe going down it ' s face", + "22137": "the horse behind the front one" + } + }, + "365739": { + "153": { + "2709": "a man in a red shirt holding a child ' s hand", + "2710": "a man with red t shirt holding a child in his hand" + } + }, + "365946": { + "3966": { + "82604": "the man in the tan shirt with a black belt", + "82605": "the man in the beige t shirt" + } + }, + "366009": { + "4913": { + "102271": "a women wearing black t - shirt", + "102272": "the lady is sitting in the chair next to a box of tissue" + } + }, + "366071": { + "2948": { + "61931": "woman in black pants and red shirt standing behind a woman in a blue dress", + "61932": "a person in colorful clothing behind a woman in blue" + } + }, + "366089": { + "1720": { + "36427": "the only man not wearing a hat", + "36428": "a man sitting on a dead zebra" + } + }, + "366430": { + "4457": { + "92904": "the ford suv", + "92905": "the gray ford suv" + } + }, + "366517": { + "1928": { + "40701": "a small potted plant to the right of a larger potted plant", + "40702": "smallest plant and pot" + } + }, + "366933": { + "3349": { + "70203": "boy in front on skateboard", + "70204": "teenager doing skate trick" + } + }, + "367058": { + "4151": { + "86729": "brown chair with blue and beige garment on back" + } + }, + "367389": { + "1922": { + "40597": "the quarter panel and rear door of a grey sedan", + "40598": "a car with it ' s trunk open" + } + }, + "368460": { + "2619": { + "55385": "a man in a white shirt playing ultimate frisbee", + "55386": "a man catching a frisbee , wearing a white shirt and black shorts" + } + }, + "368589": { + "2917": { + "61185": "the meter machine that is closest to this person ' s ear", + "61186": "a parking meter with a 2 hour time limit" + } + }, + "368827": { + "2489": { + "52371": "a sugar donut to the far right of three other donuts", + "52372": "a plain donut is situated to the right of another plain donut" + } + }, + "369087": { + "4326": { + "90311": "woman in brown shirt playing video game", + "90312": "woman in brown" + } + }, + "369557": { + "2518": { + "52957": "man jumping to catch frisbee", + "52958": "the man that is laid out in the air" + } + }, + "369561": { + "1320": { + "28053": "the child who ' s face is halfway in the picture", + "28054": "portion of face on right side" + } + }, + "369811": { + "771": { + "16633": "a cow with a blue guiding rope around its face laying in the hay", + "16634": "a cow laying down with a blue rope on it ' s face" + } + }, + "369860": { + "1631": { + "34886": "the female child sitting on the couch", + "34887": "girl" + } + }, + "369899": { + "3039": { + "63614": "a old man with yelow t - shirt", + "63615": "a man wearing yellow striped shirt wearing black baseball cap" + } + }, + "369931": { + "1395": { + "29582": "the woman holding the glass", + "29583": "a woman holding liquor glass in his right hand" + } + }, + "370145": { + "1482": { + "31626": "man on left in colorfull shirt", + "31627": "a male with glasses wearing a white shirt" + } + }, + "370524": { + "931": { + "20066": "the entire close up photo , on the left", + "20067": "a closeup of the chest and neck of a man that is wearing a red and white bowtie" + } + }, + "370724": { + "1833": { + "38788": "an elephant behind a smaller elephant", + "38789": "an adult elephant walking immediately behind a baby elephant" + } + }, + "370727": { + "1718": { + "36397": "a man wearing glasses behind the left shoulder of the man in the red shirt", + "36398": "a man in a black jacket with dark hair and glasses" + } + }, + "370831": { + "3376": { + "70751": "black leather sofa near window with white and red crocheted blanket", + "70752": "a couch with a red and white blanket across the back of it" + } + }, + "371406": { + "4856": { + "101062": "a black and white cow between two other cows", + "101063": "the cow in the middle that you cannot read the number on it ' s ear tag" + } + }, + "371412": { + "312": { + "6334": "beareded male chef by the name of derek", + "6335": "a male chef with glass in hand" + } + }, + "371486": { + "352": { + "7239": "a white bowl with broccoli , chicken , and white pasta", + "7240": "a bowl with pasta in it" + } + }, + "371651": { + "4951": { + "103140": "person in middle", + "103141": "person in middle of surfers" + } + }, + "371847": { + "3873": { + "80852": "a guy wearing a green shirt", + "80853": "a young man in a brown shirt" + } + }, + "371871": { + "1060": { + "22971": "a large brown teddy bear with one eye and his heart coming out with other teedy bears repairing him", + "22972": "the large teddy bear with the red heart in its belly" + } + }, + "371950": { + "2053": { + "43659": "pizza on wood table not paper" + } + }, + "371965": { + "4301": { + "89792": "a man in a black shirt playing wii with a woman", + "89793": "man in black shirt and jeans playing wii" + } + }, + "372058": { + "344": { + "7070": "banana on the right on the table", + "7071": "the farthest banana to the right" + } + }, + "372141": { + "1516": { + "32346": "a young man in a black tee shirt with white sleeves helping to fix a skateboard", + "32347": "the black boy on the left" + } + }, + "372182": { + "2363": { + "49666": "a gold key surfboard is red and gold", + "49667": "red and yellow board sticking out on right" + } + }, + "372288": { + "2008": { + "42470": "a woman is holding to video game controllers", + "42471": "a girl playing whose wearing a red top" + } + }, + "372309": { + "2157": { + "45763": "the old man with the white beard", + "45764": "a man in a plaid jacket" + } + }, + "372669": { + "2346": { + "49404": "two dogs resting" + } + }, + "372729": { + "4316": { + "90119": "the baby held by the girl in pink", + "90120": "baby boy" + } + }, + "372748": { + "3819": { + "79979": "the back view of a child looking at a television while eating", + "79980": "top right baby" + } + }, + "372790": { + "750": { + "16227": "a pink umbrella held by a woman in pink jacket", + "16228": "pink umbrella" + }, + "824": { + "17837": "a blue and white striped umbrella", + "17838": "blue umbrella" + } + }, + "373212": { + "1671": { + "35622": "empty bike near the sidewalk and building" + } + }, + "373426": { + "4780": { + "99630": "the batter in the dark shirt and dark helmet", + "99631": "the batter about to hit the ball" + } + }, + "373580": { + "1902": { + "40201": "a man in a white shirt eats food with a badminton racket in hand", + "40202": "man eating the hot dog" + } + }, + "373664": { + "3499": { + "73277": "the chair with wooden arms to the right of the stuffed chair", + "73278": "two wooden arm rests farthest from the fire place" + } + }, + "374896": { + "1025": { + "22167": "a blond girl sitting in a red chair", + "22168": "a blonde haired woman wearing a blue dress sitting down in a red chair under an umbrella" + }, + "1849": { + "38994": "a man with brown hair , wearing a white tshirt and black shorts , holding a budweiser beer can in his left hand", + "38995": "a man in a white shirt holding a can of beer" + } + }, + "374924": { + "3951": { + "82230": "a baseball batter", + "82231": "a man about to hit a ball" + } + }, + "375004": { + "1382": { + "29267": "the pink fabric the man has" + } + }, + "375027": { + "3276": { + "68703": "longest baby carrot", + "68704": "the longest chilli" + } + }, + "375133": { + "983": { + "21241": "snowboarder in dark green jacket holding a snowboard", + "21242": "person holding snow board" + } + }, + "375529": { + "4965": { + "103518": "elephant with trunk extended into water", + "103519": "elephant with another looking over its head" + } + }, + "375926": { + "4228": { + "88359": "a pizza with tomatoes and leaves on it", + "88360": "the slice of pizza at approximately the 3 : 00 position with the slightly folded and browned herb" + } + }, + "376085": { + "4010": { + "83434": "the back of a sheep can be seen cut off in the picture", + "83435": "the backside of a sheep on the right" + } + }, + "376258": { + "2478": { + "52127": "an arm holding a pizza", + "52128": "a white mans arm holding a pizza" + } + }, + "376377": { + "4531": { + "94347": "a blue plush stool", + "94348": "a stool that is supporting a man wearing jeans while playing the wii" + } + }, + "376558": { + "2182": { + "46250": "a man wearing a plaid shirt sitting on a bench", + "46251": "the man facing away from the camera on the bench" + } + }, + "376750": { + "603": { + "13045": "a boy in jeans and a brown shirt standing next to kids motorcycles", + "13046": "a young asian boy" + } + }, + "376838": { + "1868": { + "39312": "soldier without coat", + "39313": "the man in the middle wearing black" + }, + "4517": { + "94116": "a man in a hat with a strap", + "94117": "a man standing with a hat on in a leather coat listening to other men talking" + } + }, + "376882": { + "3255": { + "68171": "the man walking behind the bench", + "68172": "woman wearing a purple jacket" + } + }, + "376965": { + "3163": { + "66232": "a referee walking on a game court", + "66233": "the referee in black trousers" + } + }, + "377218": { + "3374": { + "70673": "a man holding a surf board", + "70674": "a man holding beach skiing kit with two girls" + } + }, + "377284": { + "3695": { + "77376": "the donut on the left", + "77377": "the glazed donut on the left /" + } + }, + "377339": { + "2145": { + "45555": "girl", + "45556": "a young girl wearing a green and yellow shirt and a pink headband" + } + }, + "377473": { + "2175": { + "46155": "a woman wering a pink top holds a camera at a boy", + "46156": "a woman wearing pink tshirt holding a camera , trying to take the video of a boy" + } + }, + "377513": { + "3527": { + "73678": "a bald man admiring a pizza", + "73679": "man ready to devour pizza" + } + }, + "377518": { + "475": { + "10440": "brown chair or love seat facing the tv and shelves", + "10441": "a beige love seat sitting across a television set" + } + }, + "377570": { + "2759": { + "58217": "a man working on his computer", + "58218": "man on laptop" + } + }, + "378066": { + "2218": { + "46951": "a zebra on the left of a group of zebras", + "46952": "only zebra whose entire tail and backend can be seen" + } + }, + "378440": { + "3545": { + "74129": "a man in black looking at food", + "74130": "a man in a balck shirt with a beard" + } + }, + "378644": { + "348": { + "7164": "the laptop closest to us", + "7165": "the laptop that is first from the left side of the picture" + } + }, + "378907": { + "3588": { + "75122": "a blck baby eating cake", + "75123": "a girl with cake on her face" + } + }, + "379232": { + "3402": { + "71270": "a white bike parked along a peir with a cruise ship in the background", + "71271": "a black and white bicycle on its kickstand" + } + }, + "379470": { + "285": { + "5818": "patio chair seen on the right side", + "5819": "a brown chair sitting to the right of all the other chairs" + } + }, + "379944": { + "4683": { + "97460": "a man in a brown vest", + "97461": "man in a vest and blue jeans standing watching someone swing a bat" + } + }, + "380007": { + "2101": { + "44542": "a woman with a brown ponytail , looking down and wrapping herself with a bath towel", + "44543": "a woman wrapping herself with a brown towel" + } + }, + "380338": { + "1489": { + "31773": "a pink frosted cake with sprinkles on top missing a few slices", + "31774": "the pink cake with a couple of pieces missing" + } + }, + "380395": { + "3023": { + "63339": "man in the left side of the image with white trousers", + "63340": "the leg of the person which is standing on the wheel of the trash can" + } + }, + "380520": { + "4802": { + "100080": "the donut in the middle of the other snacks", + "100081": "a yellow donut with rainbow sprinkles on top" + } + }, + "380661": { + "1605": { + "34244": "a chair directly in front of the standing woman", + "34245": "the chair that a man wearing white pants is sitting in" + } + }, + "380924": { + "1665": { + "35380": "a person in black pants standing over a girl crammed in a suitcase", + "35381": "a man standing and starring at a person on the suitcase" + }, + "2774": { + "58463": "a suitcase with a girl inside it", + "58464": "a brown suitcase with a person in it" + } + }, + "381116": { + "4401": { + "91859": "player ith red and white uniform", + "91860": "player in red shirt is getting tagged" + } + }, + "381244": { + "1859": { + "39119": "glass shadow image of man taking picture in his mobile", + "39120": "reflection of man taking picture" + } + }, + "381577": { + "1230": { + "26293": "man in black with hand on his chin", + "26294": "boy with black dress" + } + }, + "381858": { + "3860": { + "80618": "an older giraffe towering over a younger giraffe", + "80619": "a giraffe whose head is above the wooden frame" + } + }, + "382118": { + "3706": { + "77720": "the elephant with the longest tusks", + "77721": "the right most elephant" + } + }, + "382341": { + "2444": { + "51313": "a guy standing wearing blue uniform and a helmet", + "51314": "umpire standing behind home plate and a catcher ready to make a call" + } + }, + "382401": { + "3201": { + "67098": "the man wearing the shirt with the white buttons", + "67099": "a black man standing talking on his cell phone" + } + }, + "382469": { + "3923": { + "81755": "a white chair facing to the left", + "81756": "chair in the left" + } + }, + "382472": { + "4115": { + "85777": "a woman on skiis", + "85778": "a woman skiing with a man and a boy" + } + }, + "382638": { + "315": { + "6447": "man in white shirt and tie", + "6448": "a man wearing a birthday cone on his head staring at the laptop" + } + }, + "382641": { + "3937": { + "81977": "table cloth behind the pizza" + } + }, + "383244": { + "2573": { + "54469": "a living room" + } + }, + "383576": { + "4141": { + "86446": "a black leather couch near two windows", + "86447": "a black leather loveseat against the wall with windows" + } + }, + "383768": { + "4173": { + "87196": "a man standing in the left corner", + "87197": "the standing man in black pants" + } + }, + "383949": { + "496": { + "10928": "all purpose ovan", + "10929": "the top two of the ovens with large windows" + } + }, + "384166": { + "3156": { + "66135": "man in blue eating donuts", + "66136": "a man eating a box of donuts with chocolate" + } + }, + "384197": { + "2945": { + "61893": "a remote tot he left of another remote" + } + }, + "384961": { + "4892": { + "101767": "the older woman to the left of the other woman wearing blue and has her hands clasped together", + "101768": "a lady wearing a blue jean jacket looking forward" + } + }, + "385037": { + "987": { + "21314": "a man on the back of a motorcycle with a woman in the front", + "21315": "a young adult male in a leather outfit seated on a motorcycle" + } + }, + "385075": { + "2726": { + "57510": "shorter giraffe", + "57511": "a giraffe on the right reaching the other giraffe ' s neck" + } + }, + "385157": { + "4544": { + "94573": "the baby elephant", + "94574": "adolescent elephant next to large elephant" + } + }, + "385339": { + "2920": { + "61238": "a fork is piercing a hot dog" + } + }, + "385342": { + "3321": { + "69447": "a small bird in the top", + "69448": "the bird on the top branch" + } + }, + "385379": { + "252": { + "4950": "a black cat and a white cat interested in broccoli", + "4951": "a black cat and grey - white cat trying to eat something on the floor" + } + }, + "385401": { + "3992": { + "83060": "black laptop with glare on the screen", + "83061": "an old laptop with someone using it . it also has a major glare" + } + }, + "385519": { + "75": { + "1369": "a woman holding a beer", + "1370": "a woman dressed in a black costume holding a bottle of beer" + } + }, + "385724": { + "940": { + "20242": "boy wearing the burgundy shirt", + "20243": "a man in red playing soccer" + } + }, + "385734": { + "723": { + "15670": "middle goat", + "15671": "a white lamb in the center" + } + }, + "385744": { + "1524": { + "32492": "man at table on his bluetooth", + "32493": "a man with long braided hair" + } + }, + "385916": { + "2019": { + "42763": "the broccoli in the front" + } + }, + "385934": { + "3493": { + "73224": "a catcher , ready to catch a baseball", + "73225": "a baseball catcher getting ready to catch the ball" + } + }, + "386414": { + "4209": { + "88006": "a white and grey cat sitting on a bathroom counter", + "88007": "a cat watching another cat play in the sink" + }, + "4278": { + "89362": "a cat in a sink", + "89363": "a cat peeping out of a sink" + } + }, + "386504": { + "701": { + "15234": "an adult next to a child on skis", + "15235": "a man sking" + } + }, + "386532": { + "484": { + "10629": "plane parked at the furthest end", + "10630": "an old plane in the back of a room" + } + }, + "386603": { + "2765": { + "58304": "hot dog bun with round tan circles of meat on top" + } + }, + "386785": { + "900": { + "19322": "sheep laying down closest to the photographer", + "19323": "the lamb with its right leg curled" + } + }, + "387105": { + "4427": { + "92372": "the far right baby bird", + "92373": "baby bird looking up" + } + }, + "387338": { + "4499": { + "93916": "a man being held down by the sink to play a practical joke", + "93917": "man with his face shoved into sink and about to have his finger chopped off with scissors" + } + }, + "387365": { + "78": { + "1406": "a boy with blond hair that has a blue tie on", + "1407": "a boy with blond hair and a blue tie" + } + }, + "387410": { + "4230": { + "88418": "brown bench being laid on by a man on his side", + "88419": "the bench on the right in the right hand picture" + } + }, + "387599": { + "1565": { + "33477": "right arm of a white chair", + "33478": "the right arm of a white chair and the front view of a table and chair" + } + }, + "387717": { + "4500": { + "93922": "the larger of the two clusters of pizza slices", + "93923": "the pizza is on the wooden plate" + } + }, + "388403": { + "261": { + "5214": "looks like crazy happy ate the apple", + "5215": "juggler with a mouth full of apple" + } + }, + "388466": { + "4850": { + "101000": "dog in a white shirt with another dog in front of it on a surfboard surfing", + "101001": "the bark brown dog on the surfboard" + } + }, + "388616": { + "4606": { + "95895": "the skin of a peeled orange", + "95896": "the orange peel on the left" + } + }, + "388726": { + "1871": { + "39405": "a medium blue boat with an orange deck", + "39406": "a boat with a top orange stripe , bottom blue strip , and pole sticking up" + } + }, + "388823": { + "1609": { + "34364": "a glass model flower pot with flowers", + "34365": "glass vase with three flowers in it" + }, + "3974": { + "82688": "two empty vases near a vase of flowers ; one is clear glass and the other is opaque white", + "82689": "glass vase that is tinted a white color" + } + }, + "388962": { + "2936": { + "61526": "a zebra that is behind the other zebra , it is also out of focus", + "61527": "zebra which is not fully visible" + } + }, + "388997": { + "3208": { + "67225": "the nurse in the picture", + "67226": "a woman with blond hair wearing a blue shirt" + } + }, + "389061": { + "1420": { + "30160": "sandwich hald closest to utensils", + "30161": "the sandwich on the left side of the plate" + } + }, + "389188": { + "1024": { + "22160": "racket held my man in white hat", + "22161": "the tennis racket being held by the gentleman in the outermost right hand side of the photo . the gentleman is wearing a white baseball cap" + } + }, + "389280": { + "2142": { + "45541": "a women in a red shirt", + "45542": "the mother that is in the picture" + } + }, + "389292": { + "4207": { + "87899": "the portion of the table with the wine bottle and the man in the red shirt ' s plate", + "87900": "the area of the table where the man in the red shirt is eating" + } + }, + "389345": { + "3302": { + "69099": "young boy with white earbuds standing next to a display with others near by", + "69100": "a man in a green shirt wearing headphones" + }, + "3365": { + "70524": "a man talking to someone on his mobile", + "70525": "a boy was speeking on cell phone" + } + }, + "389463": { + "2033": { + "43065": "red chair with cat on it", + "43066": "the chair the cat is laying on" + } + }, + "389617": { + "1731": { + "36610": "a man wearing a tie and two pins that read dont panic !", + "36611": "a man wearing a shirt and tie and buttons that say don ' t panic !" + } + }, + "389705": { + "2895": { + "60727": "the zebra on the right", + "60728": "the mother zebra is leading her babies" + } + }, + "390567": { + "1749": { + "36985": "a brown and yellow food truck , parked against the curb", + "36986": "a yellow truck in front of a white truck" + } + }, + "390864": { + "3981": { + "82839": "higher bird", + "82840": "a bird sitting on a branch with a twig in front if it" + } + }, + "390952": { + "2118": { + "44905": "sheep with blue mark on its back looking at dog", + "44906": "an animal with fur that is painted blue" + } + }, + "390969": { + "4818": { + "100413": "man in an orange shirt sitting on a sidewalk edge looking down at his cell phone", + "100414": "the man wearing an orange shirt" + } + }, + "391325": { + "4100": { + "85360": "a person wearing all black walks across a field", + "85361": "a person wearing a black coat and black pants with black high boots" + } + }, + "391332": { + "2846": { + "59743": "the computer screen behind the clock that reads 10 : 19", + "59744": "the monitor that is showing hair on it" + } + }, + "391435": { + "623": { + "13437": "the reflection of the man shaving", + "13438": "image of a man shaving on a laptop screen" + } + }, + "391492": { + "3818": { + "79961": "the broccoli at the very bottom at the plate" + } + }, + "391646": { + "2601": { + "55024": "a chair behind a table", + "55025": "the table and bench that ' s against it" + } + }, + "391747": { + "2362": { + "49658": "server out of focus", + "49659": "a waiter standing at a chair looking happy about giving his customer good food" + }, + "2430": { + "51108": "an asian man who is about to cut into a cake", + "51109": "an old man having his dinner on the table" + } + }, + "391876": { + "2648": { + "55993": "the woman in the red dress", + "55994": "a women in a red dress standing outside talking on the cell phone" + } + }, + "392033": { + "1954": { + "41336": "a young man wearing a blue plaid shirt and blue jeans", + "41337": "the guy on the left in the right hand picture" + } + }, + "392062": { + "3129": { + "65593": "plastic container full of chopped carrots", + "65594": "the bowl with cut carrot pieces" + } + }, + "392067": { + "1990": { + "42172": "a woman looking at her cell phone", + "42173": "a woman looking down to her cell phone" + } + }, + "392177": { + "923": { + "19905": "man on a tightrope with an umbrella", + "19906": "a man in a black suit holding a umbrella" + } + }, + "392302": { + "62": { + "1095": "the part of the table that is to the left of the glass of beer" + }, + "1557": { + "33334": "the sauce cup sitting on the plate", + "33335": "a cup on palat" + } + }, + "392793": { + "3810": { + "79838": "a cowgirl with brown hair , waving", + "79839": "a woman wearing a pink shirt and a blue and white vest with a cowboy hat" + } + }, + "393193": { + "2596": { + "54939": "a man wearing a white shirt and black pants", + "54940": "a man in a white shirt" + } + }, + "393592": { + "1760": { + "37192": "a man playing a video game", + "37193": "the man in the white shirt" + } + }, + "393675": { + "2951": { + "61997": "the man in the photo that is wearing a suit and looking at the female in the photo", + "61998": "man in the picture" + } + }, + "393709": { + "4518": { + "94151": "a young boy wearing a red and grey coat", + "94152": "asian boy with red and gray jacket on" + } + }, + "393735": { + "1222": { + "26134": "bag under horse head", + "26135": "the side of a brown horse with an arrow on it" + } + }, + "393845": { + "4392": { + "91687": "a woman with gray hair and glasses", + "91688": "and elderly woman fixing a man ' s tie" + } + }, + "394190": { + "992": { + "21395": "a child in blue , on skis , obscured by the girl in front", + "21396": "a person in a blue outfit behind a girl" + } + }, + "394550": { + "571": { + "12369": "a donut placed under another donut", + "12370": "a donut underneath another donut" + } + }, + "395030": { + "2150": { + "45644": "kids blue lunchbox with orange and yellow food", + "45645": "some vegetables in the blue lunch box" + } + }, + "395097": { + "473": { + "10369": "a bowl of red stewed onions", + "10370": "bowl of beets" + } + }, + "395432": { + "4979": { + "103912": "male giraffe alongside another giraffe", + "103913": "a larger giraffe climbing up behind a smaller giraffe" + } + }, + "395445": { + "4415": { + "92096": "a black couch with two light colored pillows", + "92097": "a picture of a black couch with a white and tan pillow on it" + } + }, + "395766": { + "1700": { + "36092": "a woman wearing black shorts and an animal print shirt", + "36093": "a woman wearing an animal print shirt and black shorts" + } + }, + "395964": { + "4466": { + "93026": "the boy on the left with a gun in his hand", + "93027": "boy with a gun" + } + }, + "395974": { + "53": { + "883": "a woman wearing glasses and a tan sweater playing nintendo wii", + "884": "a woman in a eggshell sweater plays the wii" + } + }, + "396193": { + "1379": { + "29234": "triangle slice of fruit on plate", + "29235": "a piece of fruit with greenish yellow skin" + } + }, + "396625": { + "1233": { + "26384": "a large mother giraffe is nuzzling or licking her baby giraffe in a zoo while standing next to a white pole", + "26385": "a brown giraff leaning down to its child" + } + }, + "396743": { + "650": { + "14132": "a white purse sits on a grey chair" + }, + "2826": { + "59433": "the back of a black chair behind the flowers", + "59434": "a black leather dinning chair" + } + }, + "396793": { + "289": { + "5884": "ball boy against wall", + "5885": "a young child behind a tennis player" + } + }, + "396890": { + "819": { + "17744": "pastry on the plate , to the right of the donut", + "17745": "a chocolate covered donut sitting on a plate closest to the dog" + } + }, + "396976": { + "4645": { + "96548": "a woman in a white shirt", + "96549": "a woman telling a story with her hands" + } + }, + "397212": { + "4862": { + "101187": "a brown and black striped cat with green eyes", + "101188": "a brown and black striped cat sitting on an outside deck looking through a window" + } + }, + "397525": { + "3845": { + "80364": "the child in the red ninja shirt standing behind the girl with the birthday cake", + "80365": "a man is standing near a girl wearing red t shirt" + } + }, + "398036": { + "3885": { + "81004": "a woman in an orange shirt and pink shorts", + "81005": "a woman wearing an orange shirt" + } + }, + "398623": { + "479": { + "10477": "a girl in a white tshirt holds a wii controller", + "10478": "a woman with a tattoo , in white shirt holding a video game controller" + } + }, + "398697": { + "1232": { + "26302": "a black bicycle parked on side of road", + "26303": "bicycle behind the man holding another bicycle" + } + }, + "398712": { + "4779": { + "99622": "elephant facing the camera", + "99623": "the elephant who is looking straight at you" + } + }, + "398752": { + "1923": { + "40605": "a woman brushing her teeth", + "40606": "a woman with red hair brushes her teeth" + } + }, + "398808": { + "827": { + "17848": "a suitcase is blue and is secon from the top of the stack", + "17849": "the suitcase at the second from the top" + } + }, + "399006": { + "3271": { + "68612": "the bed to the right", + "68613": "the bed with the white paper on it" + } + }, + "399095": { + "3396": { + "71054": "the man who ' s chest is not visible", + "71055": "man on right side behind sign" + } + }, + "399138": { + "2979": { + "62642": "the chair holding a woman second from the right" + }, + "4808": { + "100175": "a girl in a blue jacket", + "100176": "a girl in a blue hooded jacket" + } + }, + "399528": { + "211": { + "4090": "a lady with dark hair in a blue jacket and white purse holding her dog who has a burberry hat on with its tongue out", + "4091": "a woman" + } + }, + "399554": { + "1186": { + "25490": "goat in the back side of the image", + "25491": "a sheep is sitting behind another sheep which is posing the camera" + } + }, + "400275": { + "3925": { + "81782": "a man wearing blue tshirt and a blue cap on a water boat ,", + "81783": "a man sitting in the yellow color boat wearing blue and black color t shirt" + } + }, + "400948": { + "1200": { + "25690": "a medium sized elephant beside a small elephant" + } + }, + "401400": { + "4099": { + "85332": "the man with the longer hair", + "85333": "a smiling man looking left" + } + }, + "401561": { + "4446": { + "92701": "giraffe with body blocked by leaves", + "92702": "giraffe with face not obscured by leaves" + } + }, + "401707": { + "128": { + "2260": "a skating board in which a skier standing", + "2261": "orange and black skis worn by a girl in a blue jacket" + } + }, + "401831": { + "3149": { + "66056": "a giraffe ' s neck and face , with an open mouth and only one horn visible , next to taller giraffe", + "66057": "a giraffe with its mouth open , looking upward" + } + }, + "401980": { + "2832": { + "59528": "someone wearing glasses holding a bike", + "59529": "the woman in the glasses with the white shirt" + } + }, + "402041": { + "3425": { + "71894": "the last elephant in the circus show", + "71895": "the last elephant in the performance chain" + } + }, + "402042": { + "1423": { + "30220": "a man in blue t - shirt in spectacles", + "30221": "a man with glasses" + } + }, + "402191": { + "964": { + "20811": "a baby sheep laying on the ground", + "20812": "a baby white sheep" + } + }, + "402224": { + "1712": { + "36312": "person wearing 147" + } + }, + "402297": { + "2940": { + "61757": "legs of a man wearing blue jans and brown shoes", + "61758": "the leg ' s of a person with a brown bag in between them" + } + }, + "402407": { + "2590": { + "54817": "a baseball player holding a bat", + "54818": "derrick lee , a former chicago cubs player , is at bat" + } + }, + "402770": { + "543": { + "11931": "the brown color doll in the right side of the image", + "11932": "the bear on the very right" + } + }, + "403190": { + "4860": { + "101144": "the elephant in the water", + "101145": "elephant on left" + } + }, + "403305": { + "1504": { + "32175": "blue jeans on a skateboarder", + "32176": "rightmost skater" + } + }, + "403306": { + "2060": { + "43791": "a girl in white holding a wii remote", + "43792": "the girl wearing the white top" + } + }, + "403567": { + "2704": { + "57148": "the horse on the right side" + } + }, + "403652": { + "3430": { + "72049": "a ginger cat", + "72050": "orange cat" + } + }, + "403705": { + "4584": { + "95375": "a tall giraffe out of the two giraffes is eating the leaves of a small tree", + "95376": "the giraffe on the left side of the image with it ' s head highest of the other giraffe" + } + }, + "403830": { + "1626": { + "34819": "a blue raft with a mans feet spread across the raft", + "34820": "this is a blue raft containing a man in a red shirt" + } + }, + "403841": { + "2080": { + "44136": "a man", + "44137": "person on right" + } + }, + "404183": { + "1570": { + "33643": "a red and white double decker bus", + "33644": "a brown and beige bus between two other busses" + } + }, + "404205": { + "3652": { + "76381": "the lamb that is behind the other lamb . it is slightly to the upper lefthand side of the other", + "76382": "a sheep standing the lawn backside" + } + }, + "404208": { + "903": { + "19418": "a plane that has a red white and blue tail and is in the middle of the others", + "19419": "a bigger plan in the middle" + } + }, + "404502": { + "3301": { + "69063": "a white horse next to two brown horses", + "69064": "a white horse standing between two brown horses" + } + }, + "404812": { + "1351": { + "28548": "a boy wearing a grey windbreaker , holding some objects in his hand", + "28549": "a man wearing grey and orange shirt holding something like a remote" + } + }, + "404823": { + "3222": { + "67498": "the man in green on the left", + "67499": "a soldier in green walking alongside an soldier to his right" + } + }, + "404933": { + "207": { + "4021": "a woman wearing a white headband", + "4022": "skater" + } + }, + "404972": { + "2984": { + "62709": "a fully grown white sheep eating grass in the field", + "62710": "white ram with curly horn" + } + }, + "405197": { + "4867": { + "101305": "a baby holding a banana", + "101306": "a cute baby eating banana" + } + }, + "405604": { + "699": { + "15169": "laptop keyboard with laptop screen" + } + }, + "405645": { + "4004": { + "83335": "burnt hot dog in tin foil", + "83336": "a burnt sausage on a piece of foil" + } + }, + "405777": { + "3517": { + "73567": "a woman standing next to a horse head sculpture", + "73568": "a women wearing a white top" + } + }, + "406376": { + "2226": { + "47081": "young girl diving for a frisbee", + "47082": "a woman in blue jeans and a blue top" + } + }, + "406509": { + "629": { + "13562": "woman sitting at table with pizza in front of her", + "13563": "a woman smiling" + } + }, + "406560": { + "1632": { + "34903": "the red bus behind the blue bus", + "34904": "a red and white bus beside another bus" + } + }, + "406601": { + "1057": { + "22922": "giraffe in middle , facing the right", + "22923": "the shortest giraffe" + } + }, + "406934": { + "3214": { + "67289": "a girafee is walking behind another girafee" + } + }, + "407192": { + "4643": { + "96510": "the person with a toothbrush in her mouth", + "96511": "a baby brushing her teeth with her mothers help" + } + }, + "407589": { + "1418": { + "30074": "the third white boat on the beach", + "30075": "a boat with \" mfos \" written on it" + } + }, + "407688": { + "2229": { + "47117": "a blue bike with a blue helmet on it to the left of a red bench", + "47118": "the bike with the blue helmet" + } + }, + "407806": { + "3370": { + "70614": "woman with red hair holding a cell phone", + "70615": "a woman in a green shirt types a message on her phone" + } + }, + "407869": { + "331": { + "6782": "bike tire behind black skateboards" + } + }, + "407905": { + "2343": { + "49317": "zebra closest to the tree log", + "49318": "two zebras next to a log of wood in the grass" + } + }, + "408041": { + "981": { + "21140": "a giraffe sticking it ' s head out to people in a zoo", + "21141": "a giraffe leaning in to a man taking a picture" + } + }, + "408163": { + "1837": { + "38837": "man with arms folded", + "38838": "man with his arms crossed on his chest" + } + }, + "408206": { + "2137": { + "45395": "an american airplane in front", + "45396": "the closest airplane with workers around it" + } + }, + "408294": { + "2562": { + "54181": "an older lady with blonde hair and a turquoise shirt and necklace", + "54182": "an old lady wearing light blue cloth and necklace" + } + }, + "408954": { + "3582": { + "74959": "a gray haired man wearing glasses and a gray coat", + "74960": "a balding man with a brown coat and glasses" + } + }, + "409050": { + "4167": { + "87056": "a white chair facing the sofa", + "87057": "a white wicker chair with a pink cushion in a living room" + } + }, + "409108": { + "1437": { + "30553": "yellow lemon which is on the lower part of the bark", + "30554": "a orange fruit in the second position in the tree" + } + }, + "409163": { + "1091": { + "23768": "the left most boat", + "23769": "a boat with a white cloth - like thing inside" + }, + "3477": { + "73013": "a long boat in between two other boats", + "73014": "the boat in the middle of the other two boats" + } + }, + "409165": { + "2710": { + "57204": "a palm plant stands behind a man with a tennis racket", + "57205": "a large green potted plant , located behind a tennis player ' s left side" + } + }, + "409394": { + "1772": { + "37518": "the trunk of a bigger elephant", + "37519": "the elephant who ' s only feature that can be seen is it ' s trunk" + } + }, + "409751": { + "3067": { + "64328": "man in white shirt on the television", + "64329": "man in television" + } + }, + "410266": { + "2097": { + "44453": "a blonde boy playing tennis wearing a red shirt", + "44454": "a blonde boy in blue shorts and a red shirt" + } + }, + "410447": { + "2757": { + "58198": "the last giraffe in line", + "58199": "the giraffe furthest on the right" + } + }, + "410933": { + "3926": { + "81785": "girl in white shirt", + "81786": "a girl wearing white confronts another soccer player" + } + }, + "410992": { + "1682": { + "35781": "a pinkish old school fridge", + "35782": "pink color fridge in the image" + } + }, + "411104": { + "305": { + "6173": "grey , coin operated parking meter with 4 minutes of parking remaining", + "6174": "the parking meter to the right" + } + }, + "411191": { + "2578": { + "54646": "a tableau of asparagus and potatoes", + "54647": "asperagus and boiled potatoes" + } + }, + "411266": { + "3026": { + "63405": "a man in green shorts getting into an airplane", + "63406": "the leg of a passenger climbing into a small airplane with a passenger behind him waiting to load a suitcase on the plane" + } + }, + "411343": { + "1740": { + "36852": "the seond from the right zebra who has his head down and standing by the tree", + "36853": "a zebra stands in the middle of two zebras" + } + }, + "411501": { + "3248": { + "68053": "blond child and their pink toothbrush", + "68054": "child with blonde hair and orange shirt" + } + }, + "411700": { + "2883": { + "60502": "the smallar pizza", + "60503": "pizza in background" + } + }, + "411738": { + "4072": { + "84853": "a white carpet with a dog on top", + "84854": "a white throw blanket with lots of threads and kind of fuzzy looking" + } + }, + "412112": { + "1640": { + "35010": "the orange bottle", + "35011": "an orange bottle behind a hotdog" + } + }, + "412198": { + "1387": { + "29347": "a giraffe facing away from the camera", + "29348": "shortest of the three giraffes" + } + }, + "412453": { + "894": { + "19140": "the giraffe in the middle of the other giraffes", + "19141": "the giraffe in the middle" + } + }, + "412490": { + "2929": { + "61437": "brown and black cat sleeping in the back", + "61438": "a cat sleeps to the right of another cat" + } + }, + "412555": { + "4162": { + "87003": "white cup that has coffee in it", + "87004": "a cup of coffee in a white cup" + } + }, + "412830": { + "3606": { + "75493": "person ' s hand holding fork", + "75494": "diner ' s hand holding a fork" + } + }, + "412896": { + "1522": { + "32468": "chicken walking the beach", + "32469": "a rooster in the background walking by" + } + }, + "412910": { + "1239": { + "26478": "a blue surfboard", + "26479": "blue surfboard" + } + }, + "413012": { + "3032": { + "63508": "a black haired caucasian woman wearing a red maillot", + "63509": "a brunette woman in a revealing red outfit" + } + }, + "413046": { + "3362": { + "70430": "the wine bottle sitting on the table", + "70431": "a bottle on a table next to a wine glass" + } + }, + "413182": { + "996": { + "21509": "baseball player with green uniform", + "21510": "boy wearing green and white uniform" + }, + "1199": { + "25680": "a small boy with black t - shirt holding his hands behind", + "25681": "a boy in a baseball uniform with his hands behind his back" + }, + "3063": { + "64241": "a boy with a black baseball shirt and gray pants standing to the left of a boy in a green hat and shirt", + "64242": "boy in a white and black cap standing to the left of a colored boy" + } + }, + "413665": { + "1792": { + "37983": "a pink dish holds bread , carrots , and cucumber slices", + "37984": "the pink rectangle plate" + } + }, + "413668": { + "3252": { + "68112": "a mouse with a person ' s hand on it" + } + }, + "414314": { + "4525": { + "94231": "boy is bluish green shirt with writing on the lower right side wearing long white shorts", + "94232": "boy with blue shirt and white shorts kicking soccer ball" + }, + "4727": { + "98373": "a person with a grey shirt on falling down", + "98374": "a male in all grey trying to tackle another male in a game of football" + } + }, + "414499": { + "1466": { + "31323": "a yellow snowboard with writing on the bottom", + "31324": "a yellow snowboard" + } + }, + "415235": { + "4305": { + "89847": "a small cow to the right of a man", + "89848": "the bull right hand side of the man riding it" + } + }, + "415288": { + "871": { + "18757": "a blue bike with no one touching it", + "18758": "a blue bike with no on touching it" + } + }, + "415396": { + "4806": { + "100152": "a seated woman wearing a dark shirt and jeans in a meeting room watching", + "100153": "a woman wearing navy sits in a waiting room" + } + }, + "416002": { + "2291": { + "48411": "high - tech computer monitor mounted on wall with plasma screen saver", + "48412": "black and gray computer and white keyboard" + } + }, + "416315": { + "2120": { + "45081": "the dark brown and / or black cat", + "45082": "a black cat sitting on a table next to a plate of food" + } + }, + "416723": { + "250": { + "4916": "a man sitting on a couch with a wii remote", + "4917": "a man playing wii wearing a brown shirt and brown hat , sitting between 2 women" + } + }, + "417469": { + "2473": { + "51989": "a boy wearing a white shirt and blue jeans sitting in a chair with a laptop in his lap and looking at his phone", + "51990": "a boy in a white shirt and blue jeans looking at a cell phone" + }, + "2543": { + "53583": "a young man with a blue backpack", + "53584": "a young man wearing a backpack in front of him , looking down" + } + }, + "417471": { + "1899": { + "40105": "the back of an elephant behind another elephant", + "40106": "the top part of the elephant in the back" + } + }, + "418047": { + "3077": { + "64474": "car in front of the motor cycle", + "64475": "the steel coloured car" + } + }, + "418115": { + "3629": { + "75903": "a cream colored arm chair closest to the camera frame with a round table in front of it and a floor light beside it", + "75904": "brown chair next to pile of magazines" + } + }, + "418305": { + "4160": { + "86949": "the middle cup that is sitting on the back of a toile and has a plant in it and its ' leaves", + "86950": "the plants in the middle cup" + } + }, + "418517": { + "2108": { + "44702": "a young girl sitting on a man ' s lap", + "44703": "girl on her phone" + } + }, + "418569": { + "1985": { + "42088": "a man in air throwing frisbee weraing a light yellow tea shirt", + "42089": "a man wearing an orange shirt , jumping" + } + }, + "418596": { + "1725": { + "36516": "giraffe behind another giraffe with it ' s head down", + "36517": "the giraffe to the right of the other" + } + }, + "418652": { + "435": { + "9600": "a cow with its head resting on another", + "9601": "grey cow sitting up" + } + }, + "418717": { + "100": { + "1745": "a woman in a yellow dress giving a teddy bear", + "1746": "a beaming woman in a yellow summery dress presents a teddy bear to a dapper - looking gentleman" + } + }, + "418804": { + "1878": { + "39575": "a chocolate cake in the pan next to the tile wall", + "39576": "the top of a cooked cake" + } + }, + "419001": { + "656": { + "14322": "an elephant to the right of all others" + } + }, + "419026": { + "3144": { + "65921": "the piece on the left with two halves of peperroni" + } + }, + "419028": { + "2552": { + "53867": "a gold , intricate vase to the left of three other vases", + "53868": "a golden piece of pottery" + } + }, + "419085": { + "3288": { + "68876": "the wine glass filled with white wine", + "68877": "a glass of white wine on a table" + } + }, + "419194": { + "3036": { + "63568": "a man sitting on the ground with his legs crossed , taking a picture with a digital camera", + "63569": "a person with sunglasses wearing a blue shirt taking a picture" + } + }, + "419586": { + "1058": { + "22937": "there is one person wearing white shirt and a cap looking at the pizza", + "22938": "a man in a jersey getting slices of pizza in a buffet style line" + } + }, + "419632": { + "794": { + "17220": "construction worker in white hard hat and neon yellow vest" + } + }, + "420019": { + "2311": { + "48843": "a boy wearing a red shirt", + "48844": "kid with red shirt and mouth wide open" + } + }, + "421059": { + "2354": { + "49515": "the smallest flower vase" + }, + "3980": { + "82817": "a gray haired woman standing in the background", + "82818": "an old woman in black" + }, + "4872": { + "101420": "a flower vase that is very narrow", + "101421": "a narrow vase among all" + } + }, + "421086": { + "3347": { + "70177": "pizza in front of white apron", + "70178": "cheese pizza on a pan" + } + }, + "421241": { + "1391": { + "29429": "a girl playing wii", + "29430": "the girl in gray sweatpants who is playing wii" + } + }, + "421298": { + "1947": { + "41209": "the sandwich", + "41210": "a wheat bread sandwhich" + }, + "3297": { + "69031": "salad on a plate", + "69032": "a side dish consisting of radishes and lettuce" + } + }, + "421556": { + "1748": { + "36960": "it is a red shuttle bus with text advertisement on the back", + "36961": "a red park & ride bus" + }, + "4016": { + "83550": "a double ducker bus going in the road", + "83551": "a double - decker bus" + } + }, + "421611": { + "3126": { + "65523": "table by window", + "65524": "a dining table" + } + }, + "421623": { + "3030": { + "63504": "a little girl with green bows in her hair hugging a winnie the pooh doll", + "63505": "a little girl holding a stuffed animal" + } + }, + "421876": { + "618": { + "13309": "girl in brown shirt virtual boxing", + "13310": "a woman with long dark hair , a brown shirt , white belt and blue jeans" + } + }, + "422623": { + "3673": { + "76907": "the giraffe behind the zebra that is looking up" + } + }, + "422969": { + "1583": { + "33881": "a girl wearing black top and blue shorts" + } + }, + "423247": { + "2054": { + "43664": "a lady with horse", + "43665": "horse groomer" + } + }, + "423266": { + "4990": { + "104255": "marroon motorcycle", + "104256": "the red motorcycle" + } + }, + "423275": { + "634": { + "13657": "back of the futon on other side of table" + } + }, + "423768": { + "2881": { + "60485": "a frosted white cake that is to the right of another", + "60486": "white cake to right of white cake behind bottle" + } + }, + "423815": { + "3863": { + "80697": "the cut fruit in the bowl", + "80698": "cut apples in a white bowl" + } + }, + "424137": { + "1505": { + "32209": "man is eating beside his girlfriend", + "32210": "a man eating a sandwich" + } + }, + "424152": { + "1907": { + "40277": "a zebra has full view", + "40278": "zebra in front and closer to the right side of picture" + } + }, + "424157": { + "1864": { + "39251": "woman in blue shirt and camo shorts leans on another woman", + "39252": "left hand person" + } + }, + "424160": { + "1007": { + "21795": "a brown cow standing in the back", + "21796": "the only cow standing , facing towards the camera" + } + }, + "424193": { + "2111": { + "44787": "the brown and white horse", + "44788": "the horse that isn ' t covered" + } + }, + "424297": { + "1461": { + "31143": "bigger giraff", + "31144": "a big giraffe standing in a pen next to a baby giraffe" + } + }, + "424327": { + "450": { + "9863": "man in dark pants", + "9864": "a referee wearing a black shirt and gray pants watches base ball players" + } + }, + "424388": { + "1298": { + "27648": "man wearing black shirt and scarf", + "27649": "a man with short hair wearing a stripped scarf" + } + }, + "424539": { + "290": { + "5916": "the chair cut off in the top of the photo", + "5917": "a chair that is dimly lit with a glare" + } + }, + "425148": { + "4318": { + "90168": "a person driving a bike with a pillion rider sitting behind", + "90169": "a person driving a black motorcycle with another person sitting behind them" + } + }, + "425458": { + "4003": { + "83322": "the broccoli to the furthest right" + } + }, + "425626": { + "3518": { + "73586": "a slice of pizza with fresh herbs on top", + "73587": "the pizza piece near to the camera" + } + }, + "425836": { + "4120": { + "85874": "train on the far right with the red lettering", + "85875": "train on right" + } + }, + "426085": { + "1955": { + "41373": "the legs in the picture with black converse tennis shoes", + "41374": "a men wearing blue jeans" + } + }, + "426087": { + "4462": { + "92957": "the zebra you can not see his face", + "92958": "the zebra with only its backend showing" + } + }, + "426149": { + "34": { + "531": "man looking up with a green flannel checkered shirt on", + "532": "a young man with dark hair and a plaid shirt" + }, + "145": { + "2540": "a man in a black shirt holding a wii controller", + "2541": "a guy dressed in black with a hat playing wii" + }, + "1207": { + "25846": "person without face showing", + "25847": "the person behind the man in the black sweatshirt , who is wearing a gray sweatshirt" + } + }, + "426618": { + "1030": { + "22252": "a person wearing a red and white coat", + "22253": "a man walking in a red sweatshirt" + } + }, + "426773": { + "186": { + "3596": "the boy in a gray sweater", + "3597": "little boy sitting down reading a book" + } + }, + "426880": { + "2962": { + "62204": "a bowl of chili", + "62205": "the bowl with chili in it" + } + }, + "426888": { + "1615": { + "34531": "a banana in between two other bananas , wearing a pair of eyeglasses", + "34532": "a yellow banana in the middle of a bunch" + } + }, + "427238": { + "3900": { + "81236": "man with long hair playing wii", + "81237": "a man with long brown hair and beard holding a wii device with another man ' s face close up in the foreground" + } + }, + "427461": { + "828": { + "17868": "a giraffe bends over to stick its nose into a cage", + "17869": "the neck and face of a giraffe with its head in a feeding trough" + } + }, + "427555": { + "2830": { + "59493": "table below lady holding apple slice", + "59494": "a plate with pineapple pieces on a red table" + } + }, + "427583": { + "509": { + "11178": "a white bear with white paws", + "11179": "a white teddy bear next to a bunny rabbit" + } + }, + "427633": { + "4644": { + "96541": "a traffic sign with red light", + "96542": "a red traffic signal showing that nobody should cross the road" + } + }, + "428006": { + "4825": { + "100567": "a paper cup of a drink with ice cubes and a lemon inside", + "100568": "a cup of iced tea with lemon" + } + }, + "428050": { + "4895": { + "101814": "young boy with white dress shirt standing next to man with a can in front of a fish tank", + "101815": "boy on left side" + } + }, + "428446": { + "4844": { + "100930": "empty place mat", + "100931": "green placemat in the back" + } + }, + "428502": { + "2285": { + "48299": "the pear on the left side", + "48300": "apple to the left and back of bananas" + } + }, + "428576": { + "3822": { + "80044": "a white and gray island", + "80045": "white and silver island in a kitchen with a centerpiece and two modern chairs" + } + }, + "429038": { + "3439": { + "72241": "a man sitting on a couch watching people wii", + "72242": "the person sitting on couch" + } + }, + "429059": { + "4353": { + "90964": "a purple folder on the bottom row", + "90965": "a purple tote bag" + } + }, + "429207": { + "69": { + "1265": "a white truck with a worker standing on it , which is in front of the man who is directing traffic", + "1266": "a electricity repair vehicle stopped alongside the road" + } + }, + "429227": { + "3310": { + "69238": "the baby zebra is eating grass is present in the image", + "69239": "a small zebra puts its head under a larger zebra" + } + }, + "429248": { + "3159": { + "66189": "a chihuahua standing in grass wearing a blue collar", + "66190": "a brown dog facing to the another dog" + } + }, + "429858": { + "4728": { + "98407": "the woman in a white dress cutting the cake", + "98408": "a woman cutting the cake" + } + }, + "430193": { + "240": { + "4766": "a man dressed in all black skiing in the snow", + "4767": "the man with blue hat" + } + }, + "430281": { + "1258": { + "26821": "a standing woman in a red dress", + "26822": "a woman in a red dress" + } + }, + "431178": { + "3353": { + "70275": "the guy in the grey tee shirt", + "70276": "the guy in a gray shirt with blonde hair" + } + }, + "431297": { + "3705": { + "77701": "the giraffe who ' s nose is not visible", + "77702": "a giraffe head only , no body showing" + } + }, + "431407": { + "843": { + "18168": "a giraffe that is next to a baby giraffe", + "18169": "tallest giraffe" + } + }, + "431569": { + "3421": { + "71815": "the little elephant whose behind is visible between a large gap in branches" + } + }, + "431627": { + "4835": { + "100790": "man testing snowboard", + "100791": "a man sitting on a footstool putting a snowboard on his feet" + } + }, + "431704": { + "1094": { + "23844": "a woman in a flower dress and a white towel on hand is helping a man hold food", + "23845": "an african woman in a blue flowered dress and a white towel on her head is has cooked a meal and is serving it" + } + }, + "431712": { + "2673": { + "56508": "silver vehicle right", + "56509": "the white car with the blue cooler on top" + } + }, + "431902": { + "372": { + "7880": "the blondish man shaking hands with the man with a red tie", + "7881": "a man wearing a blue tie smiling and shaking hands" + }, + "2876": { + "60361": "a man wearing a yellow tie", + "60362": "a man sitting at a table wearing a yellow tie" + } + }, + "431904": { + "2711": { + "57225": "the yellow van is parked on the side of the road", + "57226": "yellow color van" + } + }, + "431917": { + "4742": { + "98720": "a man in sunglasses and green shirt sits at a table", + "98721": "older man on the right" + } + }, + "431984": { + "436": { + "9608": "schoolbus without a number" + } + }, + "432526": { + "2778": { + "58512": "man wearing a red jacket", + "58513": "man wearing red jacket standing on skiis" + } + }, + "432627": { + "530": { + "11645": "a woman in green holding an umbrella", + "11646": "a woman holding on to an umbrella" + } + }, + "432981": { + "1220": { + "26100": "this is a boy taking a picture with a camera", + "26101": "a man taking a picture of another man skating" + } + }, + "433214": { + "4705": { + "97953": "there is one small and slim carront near the knief", + "97954": "the shorter of the two carrots" + } + }, + "433301": { + "3660": { + "76658": "a plate with baby carrots and cucumbers", + "76659": "a snack with toy in box" + } + }, + "433472": { + "440": { + "9680": "the banana that is cut" + } + }, + "433662": { + "4894": { + "101804": "an elephant facing the building with a platform attached to his back for riders", + "101805": "the elephant on the left" + } + }, + "434047": { + "2357": { + "49538": "the baby left of the other in front of the mirror", + "49539": "the actual young boy on the left and not his reflection" + } + }, + "434070": { + "534": { + "11676": "a person in a black shirt and blue shorts", + "11677": "person in black shirt and blue shorts" + } + }, + "434372": { + "77": { + "1391": "the chair on the bottom right" + }, + "806": { + "17486": "a dark brown chair under a shelf" + } + }, + "434493": { + "3821": { + "79986": "a person in red and blue with their arms spread", + "79987": "a young man in a red shirt and blue shorts playing frisbee with another man" + } + }, + "434525": { + "592": { + "12848": "the right side vase", + "12849": "the vase on the far right" + } + }, + "434539": { + "3918": { + "81697": "woman on the right wearing blue", + "81698": "a woman in a turquoise top sitting holding a wine glass" + } + }, + "434587": { + "3283": { + "68826": "a portion of the end of a green bus", + "68827": "a green bus with one bar visible" + } + }, + "434916": { + "690": { + "14954": "a man standing with his hand on his hip wearing a khaki vest and black ball cap", + "14955": "a man wearing a tan vest and black hat" + }, + "3470": { + "72905": "a man with gloves near a kite", + "72906": "a black man wearing sunglasses and black gloves" + } + }, + "435029": { + "2749": { + "57957": "man with black shorts", + "57958": "a man with a blue and white t - shirt wearing black pants" + } + }, + "435453": { + "4777": { + "99561": "man in blue shirt", + "99562": "man standing with back toward camera" + } + }, + "435471": { + "772": { + "16639": "person on the right", + "16640": "the skier in all black" + } + }, + "435653": { + "2282": { + "48218": "a sheep which is standing near the fence", + "48219": "lamb closest to the fence" + } + }, + "435766": { + "4236": { + "88500": "the clear flower vase behind the red mug", + "88501": "a vase with a flower sits in the center of the table" + } + }, + "435814": { + "2697": { + "57062": "man in green shirt standing", + "57063": "guy with glasses that ' s standing" + } + }, + "435841": { + "4711": { + "98100": "an up close view of dessert", + "98101": "the front two pieces of a cake sliced into four pieces" + } + }, + "435951": { + "2425": { + "50979": "a man in a hat and red and black jacket holds a tennis racquet", + "50980": "a man wearing a gray cap" + } + }, + "435994": { + "1904": { + "40231": "a bed with a blue comforter and yellow pillow", + "40232": "a single bed with a blue cover on it" + } + }, + "436025": { + "3217": { + "67397": "a woman playing wii", + "67398": "a woman playing wii" + } + }, + "436108": { + "4399": { + "91823": "empty chair beside a woman celebrating birthday", + "91824": "an empty wooden chair" + } + }, + "436399": { + "3112": { + "65144": "a car is parked behind two other cars in the background" + } + }, + "436539": { + "3361": { + "70421": "a white and pink jacket hanging over a stool", + "70422": "a pink and white jacket draped on the bar stool" + } + }, + "436941": { + "4402": { + "91869": "a small zebra being nibbled on by a larger zebra", + "91870": "a zebra that is standing to the left of another zebra" + } + }, + "437100": { + "4829": { + "100674": "bird sleeping next to a post and tree" + } + }, + "437277": { + "3890": { + "81060": "person on right", + "81061": "a man without a shirt" + } + }, + "437510": { + "1331": { + "28195": "a giraffe with its head lowered the most", + "28196": "giraffe on far left of group of giraffes with head bent the lowest" + } + }, + "438025": { + "1820": { + "38507": "a big white truck standing between two other trucks", + "38508": "white truck waiting on the ground for its turn" + } + }, + "438349": { + "4231": { + "88431": "a baby sitting in a kitchen sink full of water holding a glass", + "88432": "a baby getting a bath in a sink" + } + }, + "438413": { + "1443": { + "30704": "girl with blonde hair and blue shirt", + "30705": "the little girl in a blue shirt" + } + }, + "438663": { + "1023": { + "22150": "a food truck parked next to a smashed truck", + "22151": "truck on left hand side" + } + }, + "438805": { + "2712": { + "57248": "the zebra closest to the camera sprints through a prairie", + "57249": "a zebra is running with its whole body visible to the camera" + } + }, + "439060": { + "864": { + "18652": "red motor cycle in front of another red one", + "18653": "red motorcycle on the right" + } + }, + "439118": { + "3996": { + "83137": "a man in a blue shirt playing a video game", + "83138": "a man who is sitting beside of a girl" + } + }, + "439303": { + "818": { + "17736": "brown bear with no clothes", + "17737": "plain shor haired beaqr" + } + }, + "439906": { + "3572": { + "74791": "all of the food on the table" + } + }, + "440066": { + "2505": { + "52671": "female surfer", + "52672": "a kid surfing a wave" + } + }, + "440097": { + "4470": { + "93087": "the cow butt in the background", + "93088": "light brown cow standing to the right behind the two other cows" + } + }, + "440273": { + "4391": { + "91677": "the heads of the two donkeys in front", + "91678": "two donkeys that are in a row , wearing gear around their head . they aren ' t moving" + } + }, + "440339": { + "247": { + "4875": "a blomde girl wearing a grey sweater holding a donut", + "4876": "girl with lightest hair and red straps on her arm" + } + }, + "440389": { + "1438": { + "30562": "a man in red with a helmet is holding out a catcher ' s mitt playing baseball", + "30563": "the baseball catcher" + } + }, + "440894": { + "833": { + "17973": "a zebra following behind another zebra among a group of other animals", + "17974": "zebra on the left" + } + }, + "441108": { + "102": { + "1752": "mother in a black sweater holding her daughter in a polka dot sweater", + "1753": "a women holding the baby and sea the horse" + } + }, + "441205": { + "882": { + "18913": "green chaor with blanket on back", + "18914": "corner of couch with throw blanket on top" + } + }, + "441470": { + "3453": { + "72458": "a person wearing cargo shorts and sandals", + "72459": "a person wearing camouflage shorts" + } + }, + "441599": { + "1290": { + "27444": "a baby giraffe standing next to an older giraffe", + "27445": "a giraffe eating leaves off the tree" + } + }, + "442218": { + "727": { + "15714": "unopened bottle of wine without a cooler around it", + "15715": "right bottle of wine" + } + }, + "442298": { + "3881": { + "80973": "a red table with dirty white plates and a pizza tin", + "80974": "a table with a red cloth drapped over it" + } + }, + "442461": { + "3713": { + "77880": "the woman with the dark hair on the left of the picture", + "77881": "woman on left" + }, + "4910": { + "102201": "a man wearing a red sweater and holding a glass of wine", + "102202": "the man in the red and black north face" + } + }, + "442467": { + "1146": { + "24916": "a man in green t shirt talking to two other men", + "24917": "the boy with the green sweater" + }, + "1360": { + "28818": "man wearing a black hoodie with white graphics on it texting on his cell phone", + "28819": "the boy on the far left with short , curly , blond hair" + } + }, + "442518": { + "3989": { + "82997": "a person in a blue t shirt with a white long sleeved shirt underneath", + "82998": "a man wearing dark blue pants and a light blue shirt" + } + }, + "443005": { + "3458": { + "72572": "the motorcycle driver is wearing a green jacket", + "72573": "the man who is riding the bike" + } + }, + "443248": { + "2806": { + "59076": "the motorized chair", + "59077": "a wheelchair powered by a joystick on one of the handles" + } + }, + "443592": { + "908": { + "19504": "a girl in a red jacket balancing herself upon the skateboard", + "19505": "a child in a red jacket on a skateboard" + }, + "4358": { + "91009": "a boy in a brown coat", + "91010": "the boy with a blue cap on his head" + } + }, + "443593": { + "1886": { + "39839": "a man in a red shirt jumping for a frisbee" + } + }, + "443752": { + "3793": { + "79463": "donut in front of other donut", + "79464": "the front doughnut on the left in the right hand picture" + } + }, + "443869": { + "1730": { + "36608": "a yellow sauce", + "36609": "the pile of yellow sauce on the left" + } + }, + "444285": { + "3265": { + "68443": "a young man is sitting while holding an umbrella", + "68444": "the boy in the t - shirt holding the umbrella handle" + } + }, + "444362": { + "708": { + "15353": "a man wearing a black polo playing wii", + "15354": "a man playing wii" + } + }, + "444583": { + "2117": { + "44889": "a walking man in a dirty white tank top , black gym shorts and white sandals", + "44890": "a man in black shorts and a white shirt walking down the sidewalk" + } + }, + "445076": { + "3813": { + "79870": "2 zebras facing off" + }, + "3912": { + "81492": "the left sided profile of a zebra", + "81493": "the zebra on the left" + } + }, + "445098": { + "1697": { + "36059": "the child with blonde hair", + "36060": "a baby girl sitting with a yellow pajama set with pink sheep , blue turtles , and frogs on it" + } + }, + "445127": { + "4219": { + "88161": "a monitor to the left of another monitor", + "88162": "a monitor with two speakers" + } + }, + "445218": { + "3628": { + "75899": "a blue motorcycle parked next to others", + "75900": "the blue and white motorcycle in spot 37" + } + }, + "445327": { + "3496": { + "73257": "the man in the brown skirt and lightblue shirt", + "73258": "the man wearing a blue shirt and brown skirt" + }, + "3560": { + "74591": "a women looking at bananas", + "74592": "a woman wearing a plaid shirt with hands on hips and looking down" + } + }, + "445397": { + "4485": { + "93500": "a person sitting in a chair , holding a green bottle", + "93501": "the person sitting in the chair holding a bottle" + } + }, + "445411": { + "3065": { + "64290": "a burger sandwhich with a white round piece of cheese", + "64291": "a grilled sandwich with an white egg shaped object on top , next to a cone of french fries" + } + }, + "445684": { + "636": { + "13667": "curly haired man with head phones is riding a skate board", + "13668": "a man with curly hair and wearing headphones standing on a skateboard" + } + }, + "445768": { + "122": { + "2127": "this is the giraffe standing in the middle", + "2128": "a giraffe in the middle of two other giraffes" + }, + "2646": { + "55913": "the shortest giraffe standing closest to the wall", + "55914": "a smaller giraffe standing against a stone wall" + } + }, + "445810": { + "2718": { + "57394": "the young girl that only has one hand on the table and is wearing a blue shirt under her uniformed shirt", + "57395": "a girl on a laptop behind another girl on a laptop" + } + }, + "445906": { + "1806": { + "38169": "smart phone with white case", + "38170": "a black iphone in a white case" + } + }, + "445990": { + "4512": { + "94047": "a woman in a green and yellow hat following a snowboarder", + "94048": "a female in a black outfit walking behind two snowboarders" + } + }, + "446199": { + "2877": { + "60371": "a green and white train at a stop", + "60372": "a green and white train with a sign that reads paterson" + } + }, + "446303": { + "2736": { + "57685": "a green bowl next to a plate of bagels", + "57686": "green cup next to the plate" + } + }, + "446539": { + "1987": { + "42121": "the sheet has a flower on it", + "42122": "sheet covering person" + } + }, + "446565": { + "4601": { + "95780": "hawk in middle in front eating carcass", + "95781": "the vulture in the middle with its back facing the camera" + } + }, + "446677": { + "4455": { + "92883": "a man with white shirt handling goat", + "92884": "there is one person wearing white shirt holding sheep head in his hands" + } + }, + "446732": { + "3877": { + "80939": "a polar bear resting its chin on a slab of rock", + "80940": "a polar bear rests its head on a concrete wall" + } + }, + "446864": { + "2997": { + "62831": "the darker table on the right cell", + "62832": "the table on which two plates are plced" + } + }, + "446868": { + "2898": { + "60772": "a pastor is officiating a wedding", + "60773": "a father in front of a guy and a girl , those who are about to get married" + } + }, + "447084": { + "271": { + "5487": "a man wearing a quiksilver top standing behind a surfboard between two other men", + "5488": "the boy in the middle is still wearing his swimsuit" + } + }, + "447232": { + "3537": { + "74008": "a boat which was near boat named demetrius" + } + }, + "447709": { + "3501": { + "73293": "a man with his hand touching his face", + "73294": "a man wearing glasses with his hand on his cheek" + } + }, + "448439": { + "2682": { + "56649": "a man wearing brown shoes", + "56650": "a man wearing ear buds" + } + }, + "448662": { + "217": { + "4187": "sandwich and its toothpick , the one that is sitting on the plate all the way", + "4188": "a section of sandwich sitting under another section of sandwich" + } + }, + "448663": { + "3665": { + "76741": "the white car that is parked , next to the blue car", + "76742": "a white subaru car that is obscured by another car" + } + }, + "448739": { + "2896": { + "60733": "the guy in the light blue shirt", + "60734": "a man with a blue sleeveless shirt with one arm around a woman and his phone in the other hand" + } + }, + "449032": { + "598": { + "12967": "a woman standing next to a fence", + "12968": "the woman holding the baby" + } + }, + "449171": { + "963": { + "20763": "a person who is dressed in blue and wearing sunglasses and a hat", + "20764": "a man wearing blue with purple sunglasses holding an orange snowboard" + }, + "4364": { + "91129": "man with short hair , sun glasses , and black and white scarf", + "91130": "a man wearing black sunglasses" + } + }, + "449776": { + "3008": { + "63039": "a woman in a pink shirt", + "63040": "a women wearing a pink shirt watching watching a boy blow the candles out on his cake" + } + }, + "449919": { + "2600": { + "55014": "boy with short hair in a red shirt", + "55015": "the boy in the red shirt that is mostly blocked from view" + } + }, + "450006": { + "883": { + "18919": "a tennis player wearing a green shirt", + "18920": "a man wearing a green t - shirt giving shakehand" + } + }, + "450270": { + "1073": { + "23287": "a cat sitting upright behind a lying cat", + "23288": "a striped cat" + } + }, + "450305": { + "1674": { + "35655": "a stack of oranges on display with a sign", + "35656": "a basket of oranges under a sign reading large orange" + } + }, + "450551": { + "1988": { + "42133": "tallest giraffe in the image" + } + }, + "450864": { + "3743": { + "78542": "the woman wearing the gray shirt on the right", + "78543": "brunette in grey smiling" + } + }, + "451003": { + "1879": { + "39624": "a women with a fork in her hand and a black shirt on", + "39625": "a woman and a black shirt sitting outside with a fork going to her mouth with food on it" + } + }, + "451165": { + "3197": { + "67027": "baseball player number 31 , named ward , at bat in a red and white jersey", + "67028": "a batter wearing a red and white uniform ready to swing" + } + }, + "451312": { + "1881": { + "39660": "the front of the train that is just to the left of the two red lights", + "39661": "the train the back on the left side" + } + }, + "451336": { + "3007": { + "62997": "the person holding the silver phone", + "62998": "a hand holding a silver cell phone" + } + }, + "451698": { + "2423": { + "50953": "the chair behind the glass", + "50954": "the wooden chair directly behind the salt shaker" + } + }, + "452088": { + "730": { + "15749": "a black and white airplane behind a red and white airplane", + "15750": "larger plane on grass" + } + }, + "452327": { + "1421": { + "30206": "a man holding and seeing laptop in front of another man", + "30207": "a man with brown hair holding a laptop" + } + }, + "453558": { + "1684": { + "35830": "the left half of a pizza on a tray", + "35831": "two slices of pizza that are still stuck together" + } + }, + "453680": { + "951": { + "20425": "bed sitting a woman wearing blue jeans", + "20426": "horizontally striped furniture" + } + }, + "453906": { + "4743": { + "98751": "a zebra walking in front of another zebra", + "98752": "a zebra with another zebra in a pasture" + } + }, + "454112": { + "443": { + "9735": "a small child wearing a black coat , standing between a man and women", + "9736": "a little girl standing between a man and a lady" + } + }, + "454144": { + "2165": { + "46024": "there is a grey colored sofa chair in front of three people", + "46025": "steal blue chair headrest in room" + } + }, + "454258": { + "2384": { + "50151": "a light red apple with a green top laying on its side on top of a bowl of apples and oranges", + "50152": "red and green apple with no stem sitting on the top of all the other fruit" + }, + "4270": { + "89212": "an orange in a fruit bowl with oranges and apples", + "89213": "the orange in the front to the right of the pile of the fruits" + } + }, + "454692": { + "4267": { + "89183": "blue and white skiis", + "89184": "white and blue ski set laying next to red skii" + } + }, + "454821": { + "3563": { + "74603": "a yellow bus with the words \" my bus \" on a black trim near the roof" + } + }, + "455313": { + "823": { + "17833": "a snowboarder with a black jacket and light grey pants sitting in the snow", + "17834": "a man in a black jacket attached his foot to a snowboard" + } + }, + "455704": { + "4970": { + "103714": "the cow in the bacground of the image", + "103715": "cow in background" + } + }, + "456003": { + "3413": { + "71492": "the book matilda is next to a dog", + "71493": "a book titled matilda" + } + }, + "456010": { + "1540": { + "32850": "the zebra to the left of the other two", + "32851": "closest zebra" + } + }, + "456045": { + "4740": { + "98692": "the zebra showing its backside and faced away from the camera", + "98693": "the rear end of a zebra standing behind another zebra" + } + }, + "456136": { + "889": { + "19060": "the pizza directly in front of the girl", + "19061": "a pizza with sauce , basil and tomato" + } + }, + "456392": { + "3627": { + "75897": "person on top right side", + "75898": "the lower torso of a man in a blue and white plaid shirt" + } + }, + "456608": { + "747": { + "16213": "a white man in a grey suit", + "16214": "a man in a suit with a blue shirt with a button his jacket" + } + }, + "456876": { + "1509": { + "32232": "black motorcycle", + "32233": "a black motorcycle behind a red one" + } + }, + "457205": { + "4360": { + "91039": "a renato ratti wine bottle is used as a side dish for the pizza", + "91040": "a tall bottle of wine with a tan sticker that says canco" + } + }, + "457976": { + "2208": { + "46733": "black and white animal in the foreground , closest to the camera", + "46734": "brown and while cow closest to camera" + } + }, + "458008": { + "973": { + "21001": "the boat with the black hull", + "21002": "an old black sail boat beside two other boats" + } + }, + "458166": { + "520": { + "11329": "a chair sat on by a cat", + "11330": "a gray chair with a black cat sitting in it" + } + }, + "458232": { + "4508": { + "93993": "a bald man in a red shirt", + "93994": "a man plating with his daughter" + } + }, + "458413": { + "1898": { + "40063": "a dark park bench behind a lighter green chair and to the right of a similar bench", + "40064": "black park bench on the right side of a gravel path" + } + }, + "458611": { + "48": { + "762": "a projector screen", + "763": "a screen" + } + }, + "458617": { + "493": { + "10799": "knife in peanut butter jar", + "10800": "an empty jar of peanut butter with a knife in it" + } + }, + "458631": { + "176": { + "3361": "a cat ' s reflection in a mirror where you can see both the cat and the reflection", + "3362": "a gray and white cat in a mirror" + } + }, + "458654": { + "904": { + "19440": "standing sheep with a cover on", + "19441": "two sheep wearing white sheets over their bodies" + } + }, + "458763": { + "3651": { + "76375": "blue artistic object on the right side of the little doll", + "76376": "a blue glass sculpture" + } + }, + "458969": { + "164": { + "2945": "a tv with yellow sticker attached to it where a sunset video is running and kids are watching it", + "2946": "a television being watched by 3 small children" + } + }, + "459037": { + "856": { + "18473": "an apple , mostly yellow - green , in a decorative bowl next to another apple", + "18474": "yellow apple" + } + }, + "459152": { + "2124": { + "45156": "gummy hotdog in the back of the box" + }, + "4130": { + "86197": "hot dog which is arranged second from the top in the pile", + "86198": "the bar of candy in the box on the right side of the picture and the second one down in the box" + } + }, + "459363": { + "3917": { + "81642": "a woman wearing bracelets on both arms eating food in front of her purse", + "81643": "the older woman ' s hand wearing bracelets /" + } + }, + "459466": { + "172": { + "3100": "the teddy bear on the right", + "3101": "a yellow color teddy with red color bow & placed on a garden chair" + } + }, + "459643": { + "2866": { + "60119": "a lighter brown striped cat snuggling with another cat", + "60120": "a brown tabby cat" + } + }, + "459747": { + "3451": { + "72428": "the goose on the right of the other goose", + "72429": "the swan on the right of the other swan" + } + }, + "460139": { + "4673": { + "97265": "a motor bike with registration number rj14sa774", + "97266": "a black motorcycle with rj14 on the plate" + } + }, + "460405": { + "1781": { + "37752": "a giraffe near the camera", + "37753": "a giraffe walking to the right in front of a large brown rock" + } + }, + "460673": { + "4953": { + "103197": "the tennis player wearing a white t - shirt next to the man in the blue shirt and tie", + "103198": "a tennis player standing next to someone" + } + }, + "460986": { + "3411": { + "71436": "a small , tan , earthenware bowl with a lip around the edge", + "71437": "the pot on the far left" + } + }, + "461027": { + "3891": { + "81066": "empire squatting with a blue shirt and grey pants", + "81067": "there is one person standing and wearing blue dress looking at somewhere" + }, + "3957": { + "82348": "a catcher squatting down behind home plate", + "82349": "the hind catcher" + } + }, + "461183": { + "911": { + "19551": "a bald man holding a yellow diving fin", + "19552": "the bald man on the left hand side" + } + }, + "461281": { + "236": { + "4713": "a man in a yellow shirt catching a frisbee", + "4714": "a boy picking a flying plate game wearing yellow tshirt" + } + }, + "461517": { + "1692": { + "36004": "a man in a blask shirt and black pants walking towards two other men", + "36005": "a man in the back ground wearing black pants , black shirt with blue down the front wearing wrist band" + }, + "4332": { + "90503": "a man in a white shirt holds a frisbee", + "90504": "a man in a white shirt" + } + }, + "461543": { + "81": { + "1442": "man in mid - air", + "1443": "man in green hat sateboarding" + } + }, + "461820": { + "3523": { + "73627": "a young child with a pink shirt is hodling a blender lid in her mouth", + "73628": "a little girl with a pacifier in her mouth" + } + }, + "461940": { + "4656": { + "96856": "a blue and yellow jet that is the third one from the left", + "96857": "third jet from the left" + } + }, + "462080": { + "3940": { + "82013": "an orange which is only partly seento the right" + } + }, + "462426": { + "1535": { + "32688": "grey keyboard on the laptop", + "32689": "a keyboard with lighter keys next to the monitor" + } + }, + "462530": { + "3961": { + "82441": "man in blue polo shirt", + "82442": "the umpire with the blue shirt" + } + }, + "462599": { + "1592": { + "34020": "a pink and white checkered tablecloth" + } + }, + "463338": { + "104": { + "1791": "an adult elephant looking away from the camera , second from the right", + "1792": "an elephant turn back with her kid" + }, + "4905": { + "101963": "a baby elephant with its family", + "101964": "a small elephant standing between two larger elephants" + } + }, + "463505": { + "2752": { + "58095": "a guy on his cellphone getting a haircut", + "58096": "a man in a bright plaid shirt talking on the phone while getting a haircut" + } + }, + "464111": { + "1688": { + "35882": "partial truck on left", + "35883": "a black truck is parked behind and to theleft of the silver car" + } + }, + "464928": { + "1825": { + "38615": "a drawstring bag labeled el natura lista in front of an open suitcase", + "38616": "white draw string bag full of stuff" + } + }, + "464967": { + "1768": { + "37433": "food goin ginto an oven", + "37434": "black slab with food on it" + } + }, + "465625": { + "1759": { + "37188": "the bowl on the right" + }, + "1829": { + "38726": "the bowl to the left of the beer bottle" + } + }, + "466097": { + "1793": { + "38015": "a sofa with a woman on it", + "38016": "the back of a brown chair to the left of another chair" + } + }, + "466196": { + "4571": { + "95243": "the arm and torso of the man with grey hair", + "95244": "two men peering into an open oven" + } + }, + "466519": { + "3311": { + "69249": "blue bowl of soup on a table in the woods", + "69250": "a bowl of sauce in a blue and silver bowl" + } + }, + "466549": { + "2160": { + "45939": "an older man in a black tshirt plays on the wii next to three other people", + "45940": "a man in a black shirt is swining his arm holding a remote" + } + }, + "466664": { + "2224": { + "47059": "a gray and camoflaged bag with a hook", + "47060": "the bag was in military colour" + } + }, + "466828": { + "203": { + "3875": "woman riding the bike", + "3876": "woman in black shirt riding a bike with a man in a black shirt walking beside her" + } + }, + "466839": { + "748": { + "16221": "a zebra looking the opposite direct of the other two zebras", + "16222": "the zebra on the left" + } + }, + "467394": { + "2732": { + "57644": "a dark brown stuffed bear is pictured nearby other stuffed animals", + "57645": "the brown teddy bear below the bear with flowers on its feet" + } + }, + "467674": { + "1354": { + "28686": "a slightly eaten pizza , with a number of black olives", + "28687": "plate on top left" + } + }, + "467840": { + "1358": { + "28785": "a blue adidas bag", + "28786": "the adidas bag" + } + }, + "468061": { + "1546": { + "33135": "a boy wearing red t - shirt looking elephant", + "33136": "a boy standing in ankle - deep water with another man and an elephant" + } + }, + "468086": { + "4580": { + "95353": "a woman riding a white horse", + "95354": "the woman equestrian wearing a hat and on the white horse" + } + }, + "468836": { + "1356": { + "28742": "the boy is flying his kite", + "28743": "a boy in a brown shirt is looking at the sky" + } + }, + "468845": { + "4897": { + "101828": "the piece at the very left edge", + "101829": "a slice of bread to the left of four other slices of bread" + } + }, + "468884": { + "1080": { + "23550": "the big zebra on right of a little zebra", + "23551": "a zebra near a small zebra" + } + }, + "469260": { + "4732": { + "98464": "man in green plaid pants and boots with left hand on a bull", + "98465": "a man wearing green plaid" + } + }, + "469427": { + "4116": { + "85844": "empty metal table to the left" + } + }, + "469495": { + "35": { + "550": "the white planter chair with the woman wearing dark clothes and white sneakers sitting in it", + "551": "a women sitting the white color chair and wearing the black color dress" + }, + "4973": { + "103791": "flowers in a tall planter all the way on the right" + } + }, + "469545": { + "2193": { + "46493": "a man wearing a black dress watching the cricket", + "46494": "a refree behind the wicket keeper on the baseball ground" + } + }, + "469941": { + "2427": { + "51004": "a man in a green shirt and black pants with arms spread wide", + "51005": "a man in a green shirt" + } + }, + "470078": { + "1394": { + "29574": "a young child on skis in front of an adult on skis", + "29575": "a child in blue ," + } + }, + "470085": { + "219": { + "4229": "an elephant with it ' s trunk curled under itself and no tusk showing", + "4230": "the elephant on the right" + } + }, + "470501": { + "3380": { + "70774": "a base ball bat is on a coaches hand", + "70775": "a baseball bat being held by a man wearing a blue shirt" + } + }, + "470880": { + "3566": { + "74671": "a black and white picture of a skateboarder in the midst of performing a trick", + "74672": "a boy with a hat is practicing the skateboard" + } + }, + "470893": { + "1271": { + "27044": "a man in a white shirt and black vest at his wedding reception", + "27045": "an unhappy looking groom stands behind his bride as they hold a knife up together" + } + }, + "470955": { + "1753": { + "37090": "a little baby with blue socks and t - shirt on sitting on the front end of a skateboard with his brother", + "37091": "boy on front of skateboard" + } + }, + "471245": { + "2674": { + "56510": "a menu off to the left of a plate of food", + "56511": "papers tucked under plate" + } + }, + "471332": { + "1324": { + "28112": "back of traffic light", + "28113": "set of traffic lights not showing light part" + } + }, + "471409": { + "627": { + "13515": "man wearing a black t - shirt with gold writing", + "13516": "man in black t - shirt on far left" + } + }, + "471686": { + "1044": { + "22511": "a wooden chair pushed in to a table" + } + }, + "471945": { + "1112": { + "24069": "empty chair", + "24070": "empty chair in a living room" + } + }, + "471962": { + "3124": { + "65458": "a long necked giraffe , green grass", + "65459": "a giraffe with neck fully extended in enclosure with grass" + } + }, + "471992": { + "1197": { + "25632": "a white bear riding a bicycle in front of an open frigerator", + "25633": "the stuffed animal in front of the refrigerator , that looks like it ' s driving the vehicle with handlebars" + } + }, + "472169": { + "30": { + "469": "the fire hydrant nearest the parking sign", + "470": "the fire hydrant on the right" + } + }, + "472749": { + "1511": { + "32281": "man in green shirt", + "32282": "a man in a button up shirt on the coach playing a wii with a beer in front of him" + } + }, + "472990": { + "1597": { + "34093": "guy jumping with arm raised in white in the right hand picture", + "34094": "the baseball player in white" + } + }, + "473003": { + "1402": { + "29724": "white color sofa in the living room", + "29725": "white couch in a room" + } + }, + "473072": { + "1174": { + "25376": "half a sandwich facing away from french fries", + "25377": "half of a grilled turkey sandwich touching a green pickle" + } + }, + "473320": { + "2056": { + "43728": "a person puts the whole donut into his mouth", + "43729": "a man in glasses putting food in his mouth" + } + }, + "473500": { + "4576": { + "95309": "the cat on the back of the toilet", + "95310": "cat sitting on toilet" + } + }, + "473590": { + "2738": { + "57757": "a pastry with lines of chocolate drizzle , missing a few bites", + "57758": "a pastry , half eaten by a woman" + } + }, + "473797": { + "4140": { + "86440": "a pink chair right behind the toliet", + "86441": "the red camping chair closest to the toilet" + } + }, + "473870": { + "3037": { + "63580": "a man in a blue jacket , blue jeans , and a grey cap skiing", + "63581": "a man wearing a blue jacket and jeans stands on skis" + } + }, + "474004": { + "602": { + "13041": "a computer screen with a keyboard directly infront of it", + "13042": "a old white computer screen" + } + }, + "474256": { + "3709": { + "77789": "the clear glass bottle with the writing ferv", + "77790": "empty bottle with a blue label" + } + }, + "474319": { + "1497": { + "32014": "a white surfboard with a blue stripe", + "32015": "the surfboard with the blue section on it" + } + }, + "474472": { + "905": { + "19462": "the monitor in the middle , perched on a platform , with a coke can and cd in front of it", + "19463": "the computer screen directly behind the white keyboard" + } + }, + "474941": { + "4439": { + "92617": "part of a black coloured coat hanging", + "92618": "an elbow of a person sitting down" + } + }, + "475146": { + "4374": { + "91290": "the white table holding the mans tray" + } + }, + "475313": { + "3945": { + "82115": "man wearing check shirt", + "82116": "a man in brown and white checkered shirt wearing glasses" + } + }, + "475509": { + "989": { + "21337": "a boy in grey shorts", + "21338": "a kid wearing spiderman shirt and grey shorts playing the wii" + } + }, + "475635": { + "3085": { + "64542": "a woman dressed in a white jacket with brown shorts playing with a frisbee", + "64543": "girl insweat shirt" + } + }, + "475731": { + "2144": { + "45545": "a tennis player wearing a blue shirt serving", + "45546": "man serving tennis game" + } + }, + "475857": { + "1066": { + "23085": "a pile of orange baby carrots" + } + }, + "476347": { + "3905": { + "81317": "a water fowl in between two others", + "81318": "the goose in the middle , which is also ahead of the other two" + } + }, + "476360": { + "822": { + "17791": "a man with white t - shirt standing in the baseball field", + "17792": "a male baseball player in a white shirt with red letters on the back" + }, + "2365": { + "49832": "a man wearing a red and white baseball uniform with # 18 on the back of it", + "49833": "a baseball player walking on the field" + } + }, + "476520": { + "660": { + "14417": "a zebra which is standing on the left side", + "14418": "zebra that is too the left of the rightmost zebra" + } + }, + "476651": { + "616": { + "13297": "a man wearing sports dress and a bat in his hand", + "13298": "a man wearing a yellow sweatband on his head" + } + }, + "476785": { + "3729": { + "78172": "young man on a black keyboard", + "78173": "skinny male typing on a keyboard" + } + }, + "476795": { + "3829": { + "80100": "the second sink basin from the right", + "80101": "third sink from left" + } + }, + "476848": { + "133": { + "2329": "leftmost zebra", + "2330": "a zebra eating grass to the left of another zebra eating grass" + }, + "2627": { + "55572": "the zebra which is mostly concealed by the zebra on the right", + "55573": "a zebra behind the one on the right" + } + }, + "476871": { + "334": { + "6806": "the giraffe that is in the center of the group of three", + "6807": "the neck of one giraffe and full body of two others" + } + }, + "477156": { + "2331": { + "49080": "brown chair with blue bag on it", + "49081": "the arm of a partially taken chair" + } + }, + "477179": { + "4655": { + "96824": "a man in a white shirt playing wii", + "96825": "a man wearing a white shirt and jeans holding a wii controller" + } + }, + "477266": { + "1585": { + "33913": "the girl in the middle with the polka dot shirt", + "33914": "a woman wearing a dotted black dress holds a green beer bottle" + } + }, + "477497": { + "2035": { + "43106": "the food group that is circled with the red line", + "43107": "baked good" + }, + "4340": { + "90688": "blue square full of red cherry tomatoes", + "90689": "cherry tomatoes in a blue square container" + } + }, + "477672": { + "3830": { + "80117": "a young girl holds up a colorful , cute electric toothbrush", + "80118": "a little girl holding up a green and white toothbrush" + } + }, + "477836": { + "4925": { + "102588": "the man on the far right", + "102589": "a man with a black shirt , tie , and jacket smiles for a photo" + } + }, + "477852": { + "1082": { + "23572": "a red bike", + "23573": "motorcycle driven bo ongetta making a hard right turn" + } + }, + "478105": { + "63": { + "1098": "this is a cake behind the cake with candles on it" + } + }, + "478833": { + "2522": { + "53086": "a blurry panini sandwich sitting in the background of the photo", + "53087": "a blurry sandwich in the distance" + } + }, + "478892": { + "3345": { + "70126": "the chair on the left side of the table furthest from the red vase" + } + }, + "479168": { + "829": { + "17882": "a man holding a baseball bat", + "17883": "a uniformed young man preparing to hit a baseball" + } + }, + "479391": { + "2512": { + "52866": "child in a red shirt and red baseball cap", + "52867": "boy in red shirt playing video game" + } + }, + "479396": { + "3242": { + "67904": "a maroon velvet chair with matching pillow in a livingroom", + "67905": "a maroon couch with a video game system box on top" + } + }, + "479501": { + "3341": { + "70009": "little kid with yellow shirt on and blonde fluffy hair", + "70010": "a child in a yellow shirt" + } + }, + "479578": { + "1751": { + "36997": "zebra on right", + "36998": "the zebra with his head turned" + } + }, + "479670": { + "1925": { + "40639": "head of a large piece of broccoli closest to the carrots" + } + }, + "479908": { + "4776": { + "99559": "the white care in the back", + "99560": "a parking meter in which a man is inserting his credit card" + } + }, + "480088": { + "869": { + "18718": "man on the right with curly hair", + "18719": "the man in the right" + }, + "3328": { + "69610": "shemp howard", + "69611": "the man on the left of the screen" + } + }, + "480240": { + "985": { + "21253": "a boy in blue jersey number 26 is playing with baseball bat", + "21254": "the batter" + }, + "1415": { + "30012": "a catcher watching as a batter hits the ball", + "30013": "catcher behind the batter" + } + }, + "480257": { + "383": { + "8144": "sandwich inthe front", + "8145": "a sandwich filled with beef on a plate" + } + }, + "480295": { + "2065": { + "43858": "the blurry image of a person walking behind a man eating a hot dog", + "43859": "lady stand back of man" + } + }, + "480532": { + "369": { + "7784": "the black chair near the glass of wine", + "7785": "black chair near wine glass" + } + }, + "480741": { + "572": { + "12397": "man with his legs crossed", + "12398": "man in green shirt" + } + }, + "480823": { + "2301": { + "48637": "a sheep eating food in front of the net", + "48638": "a little lamb in the middle" + } + }, + "480843": { + "1777": { + "37603": "a white sofa against the window", + "37604": "a white chaise lounge in front of a window" + } + }, + "480893": { + "2176": { + "46181": "a tiny sliver of an elephants body that is behind the front elephant" + } + }, + "480947": { + "337": { + "6925": "woman in blue shirt with the number 2 on it", + "6926": "a woman with the number 2 on her jersey" + } + }, + "481530": { + "1152": { + "25064": "the vodka bottle behind the can", + "25065": "a fifth of vodka next to a can of campbell ' s tomato juice" + } + }, + "481627": { + "959": { + "20654": "the skis in the man ' s hand on the right" + } + }, + "481723": { + "548": { + "11999": "a zebra grabbing another zebra from behind", + "12000": "a zebra hugging the back of another zebra" + } + }, + "482084": { + "1513": { + "32306": "two bananas that have been pulled apart from the bunch that is sitting directly behind them", + "32307": "two yellow bananas on the table" + } + }, + "482202": { + "1972": { + "41851": "the giraffe stading closest to the gate", + "41852": "a giraffe closest to the fence" + }, + "3987": { + "82950": "the giraffe in front of the feeder to the right", + "82951": "the giraffe closest to the pole" + } + }, + "482251": { + "761": { + "16456": "a person playing the game with her", + "16457": "a man playing the wii" + } + }, + "482252": { + "1234": { + "26386": "a motorcycle without a person onit", + "26387": "empty white motorcycle" + } + }, + "482326": { + "259": { + "5119": "a white woman looking at a phone", + "5120": "a woman with blonde hair looking at a cellphone the man is holding" + } + }, + "482332": { + "2364": { + "49823": "man with red sox shirt", + "49824": "man wearing white sneakers , white shorts , and black red sox shirt" + } + }, + "482439": { + "1061": { + "22973": "a bunch of bananas in a woman ' s left hand" + } + }, + "482588": { + "2840": { + "59614": "a person wearing a tan jacket and a black helmet", + "59615": "this is a person in a khaki jacket holding a baby" + } + }, + "482659": { + "1166": { + "25252": "a lady with glasses", + "25253": "the woman with glasses and a silver watch hugging another woman" + } + }, + "482747": { + "3662": { + "76669": "a man in a light blue shirt and glasses sitting between three other men", + "76670": "the person in the light blue shirt" + } + }, + "483261": { + "405": { + "8620": "a baseball catcher holding his glove out to catch a ball", + "8621": "a baseball catcher in crouched position with glove ready to catch" + } + }, + "483363": { + "222": { + "4302": "an man wearing red at a frisbee match", + "4303": "man in red shirt with three white x ' s on front" + } + }, + "483484": { + "1888": { + "39859": "the top of the table is decorative with a table cloth", + "39860": "the top of a table containing sugar a tea cup , and mints" + } + }, + "483487": { + "2520": { + "53008": "giraffe to the right", + "53009": "giraffe on the right in the sunlight" + } + }, + "483534": { + "4186": { + "87386": "the back of a red bus behind the yellow bus", + "87387": "the red bus behind the yellow bus" + } + }, + "483611": { + "4481": { + "93410": "bowl in top left corner" + } + }, + "484062": { + "2399": { + "50448": "a sheep was eating grass", + "50449": "a sheep eating grass" + }, + "4958": { + "103345": "the sheep in the middle", + "103346": "sheep in the middle" + } + }, + "484108": { + "83": { + "1475": "a surfer in blue checkered shorts", + "1476": "surfer wearing blue plaid board shorts" + } + }, + "484186": { + "1209": { + "25941": "player swinging bat", + "25942": "player swinging at a baseball" + } + }, + "484260": { + "403": { + "8603": "two zebras side by side" + } + }, + "484634": { + "1250": { + "26672": "a man in a yellow coat and red pants skiing on a snowy mountain", + "26673": "man holding ski ' s with yellow and red snow suit on" + } + }, + "484899": { + "2349": { + "49456": "a baseball player with his arms raised and other players around him", + "49457": "a baseball player in white dress having bat in his hand raising the bat to hit the ball" + } + }, + "485077": { + "4823": { + "100544": "a teen girl doing advertisement of drink standing in between two official dressed men", + "100545": "the oriental girl standing between the two men" + } + }, + "485306": { + "4991": { + "104347": "a woman in green looking at her friend ' s cellphone", + "104348": "a woman in a green shirt" + } + }, + "485364": { + "4192": { + "87588": "an old man in black suit sitting on a bench", + "87589": "a old man eating something and talking with his lady" + }, + "4255": { + "88902": "a groom dressed in a dark suit helping his bride cut the wedding cake", + "88903": "man wearing suit and cutting cake with woman in white dress" + } + }, + "485374": { + "3686": { + "77192": "a silver container with pepper rings and a purple flower", + "77193": "a glass jar of yellow and red vegetables" + } + }, + "485491": { + "3162": { + "66230": "a subway car", + "66231": "dc metro train pulling into a station with passengers ready to board" + } + }, + "485506": { + "2694": { + "56993": "a bowl that has apples sitting in it", + "56994": "the metal bowl the fruit is in" + } + }, + "485654": { + "2862": { + "60034": "a toilet covered in a trash bag to the left of the blue divider", + "60035": "the toilet in the left stall" + } + }, + "485757": { + "2143": { + "45543": "the person decorating the cake", + "45544": "a woman decorating the cake" + } + }, + "485761": { + "4019": { + "83594": "there is one white color chair on the floor with other chairs", + "83595": "white chair" + } + }, + "485800": { + "3744": { + "78569": "an elderly woman wearing a dress stands with her hand on a seated man ' s shoulder", + "78570": "an woman in a long sleeved patterned dress" + } + }, + "485830": { + "358": { + "7420": "little girl feeding the sheep food beside the father", + "7421": "person with pink on his or her hat" + } + }, + "485868": { + "878": { + "18864": "a tray of blue - and - white frosted , heart - shaped cookies", + "18865": "heart - shaped cookies on edge of table" + }, + "1559": { + "33371": "a woman dressed in black wearing glasses", + "33372": "taking women weared spectacles" + } + }, + "486005": { + "2396": { + "50350": "all brown cow", + "50351": "tan - colored cow" + } + }, + "486567": { + "1323": { + "28100": "the yellow and red umbrella and its pole", + "28101": "a umberlla" + } + }, + "486713": { + "4489": { + "93638": "a white horse with a blue sash", + "93639": "a light gray horse in the center" + } + }, + "486732": { + "700": { + "15231": "a table upon which a plate full of pizza has been set" + } + }, + "486906": { + "444": { + "9751": "a man in a black shirt", + "9752": "a man jumping to catch a frisbee with shorts and a black shirt on" + }, + "2433": { + "51141": "the girl in the blue shirt jumping for the frisbee", + "51142": "a woman in a blue tank top reaching for a frizbee" + } + }, + "487284": { + "3616": { + "75625": "the seat the main in the background is sitting on in the gray shirt", + "75626": "striped barely visible couch with man sitting on it" + } + }, + "487510": { + "2152": { + "45703": "the back of the sofa on which the laptop is sitting", + "45704": "right sofa" + } + }, + "488033": { + "3293": { + "68972": "a bamboo umbrella handle and leather suitcase handle in someone ' s hand at the same time", + "68973": "a cane is being held by a man" + } + }, + "488066": { + "840": { + "18104": "teddy bear", + "18105": "a teddy bear on a wooden chair holding a book in its ' lap" + } + }, + "488129": { + "175": { + "3348": "a guy in a white shirt", + "3349": "the man standing up" + } + }, + "488367": { + "1982": { + "42049": "the parking meter on the right", + "42050": "the parking meter to the outermost right had side of the two parking meters . it is slightly taller than the other parking meter" + } + }, + "488932": { + "4754": { + "99005": "green bus on the right that we can only see part of", + "99006": "the right most bus" + } + }, + "489109": { + "4413": { + "92088": "tall person next to child", + "92089": "a man" + } + }, + "489167": { + "2242": { + "47439": "the back of an elephant whose tail is being held by the trunk of another elephant", + "47440": "an elephant with a star on its back" + } + }, + "489844": { + "3975": { + "82700": "a man cutting a cake in a red shirt", + "82701": "the man in the red shirt" + } + }, + "489849": { + "1876": { + "39507": "a tan cow with a yellow ear tag standing alone behind a darker cow", + "39508": "this is a white cow standing alone" + } + }, + "490182": { + "2277": { + "48144": "a baseball player wearing white", + "48145": "a baseball player for the dodgers runs to base" + } + }, + "490462": { + "715": { + "15427": "a man who appears blurry wearing a light blue shirt and blue pants", + "15428": "man walking in short sleeve light colored shirt with dark hair" + } + }, + "490610": { + "286": { + "5834": "a lady wearing a black dress side of the baby sitting in the ship", + "5835": "a woman wearing glasses" + } + }, + "490791": { + "588": { + "12773": "a bright green bicycle", + "12774": "a green bicycle ridden by a man in a black , gold and white windbreaker" + } + }, + "490801": { + "2169": { + "46098": "a child with soccer glasses licking icing off of his finger", + "46099": "a boy in front of the dining table wearing white and black specs and white dress" + } + }, + "491107": { + "4640": { + "96482": "a girl in a pink dress", + "96483": "girl on left" + } + }, + "491638": { + "4646": { + "96572": "a bearded man", + "96573": "a man standing behind an old lady" + } + }, + "492040": { + "1561": { + "33416": "a lady sitting on a stool wrapped in a red blanket", + "33417": "a woman sitting on a chair wrapped in a red blanket" + }, + "2244": { + "47512": "woman in grey plaid shirt", + "47513": "a woman wearing checked shirt" + }, + "4449": { + "92783": "man in grey sweater", + "92784": "a man stirring a pot of food" + } + }, + "492297": { + "1653": { + "35270": "person pointing fingers behind the dog with a hat and glasses", + "35271": "an obese woman with gray hair pointing at the camera and a small dog wearing a dog sized black harley davidson hat , white sunglasses , collar , and a black top" + } + }, + "492402": { + "4609": { + "95913": "the decorative pillows on the other side of the girl wearing dark brown", + "95914": "pillows and blue chair" + } + }, + "492408": { + "610": { + "13222": "umbrella in man ' s left hand", + "13223": "an umbrella being held by a person in their left hand" + }, + "3464": { + "72690": "a black umbrella in a person ' s right hand", + "72691": "a black umbrella being held up next to a tree" + } + }, + "492692": { + "4859": { + "101105": "man is on the ground playing wii", + "101106": "young man sitting on the floor with wii controller in his right hand" + } + }, + "492769": { + "3061": { + "64200": "a man in a light green shirt", + "64201": "man in green shirt" + } + }, + "493066": { + "2725": { + "57499": "a burger on other side of table", + "57500": "a burger with slaw on top" + }, + "2812": { + "59178": "a hamburger full of sause with its bun half off on a white plate" + } + }, + "493626": { + "678": { + "14720": "the man in the plain with the white hat", + "14721": "a man inside of the plane" + } + }, + "494056": { + "474": { + "10382": "the vase is standing on a wooded shelf", + "10383": "a vase on the table" + } + }, + "494224": { + "2295": { + "48487": "a piece of cake with a white handled fork in front of it", + "48488": "cake closest to you" + } + }, + "494394": { + "162": { + "2908": "the man with a bag at his feet", + "2909": "a man with a plastic bag sitting between his feet" + } + }, + "494680": { + "3052": { + "63901": "an older gentleman with a hat on looking at a book", + "63902": "man wearing a hat in the back" + } + }, + "495095": { + "143": { + "2463": "a pizza is being eaten by a man", + "2464": "the pizza slice the man in blue is holding" + }, + "2953": { + "62020": "slice of pizza sitting on the table closest to the man in blue", + "62021": "a dish kept in a plate" + } + }, + "495169": { + "4343": { + "90748": "couch behind two men", + "90749": "a brown couch that is behind all of the people playing wii ; it has a person ' s jacket on it" + } + }, + "495233": { + "3736": { + "78330": "a man in a black shirt", + "78331": "a man with black t - shirt smiling" + } + }, + "495460": { + "955": { + "20507": "the portion of the sandwich on the right", + "20508": "a sandwich portion to the right of another sandwich portion" + } + }, + "495750": { + "599": { + "12970": "a blue seat with the number 7 on the back", + "12971": "a blue baseball seat numbered 47 with only the 7 visable" + } + }, + "496255": { + "1956": { + "41375": "a man wearing a white longsleeve shirt and carrying a white board", + "41376": "a man wearing a white jersey" + } + }, + "496261": { + "1481": { + "31611": "a square doughnut", + "31612": "glazed donut" + } + }, + "496374": { + "583": { + "12628": "a burn pepperoni pizza on a rack with orange paper", + "12629": "frozen spicy italian pizza right out of the oven" + } + }, + "496613": { + "4682": { + "97441": "a round piece of blue cake" + } + }, + "496730": { + "2582": { + "54695": "a dog wearing a pirate hat", + "54696": "a pet with danger cap" + } + }, + "496732": { + "2911": { + "61041": "person outside" + } + }, + "496752": { + "3828": { + "80094": "the baby elephant", + "80095": "a baby elephant , smaller than the others" + } + }, + "496782": { + "4156": { + "86864": "a brown couch with 4 pillows on it", + "86865": "a couch with pillows" + } + }, + "497402": { + "1630": { + "34843": "far left urinal" + } + }, + "497616": { + "2355": { + "49522": "the cat only , the one in the chair", + "49523": "cat on left side sitting in the chair" + } + }, + "497642": { + "397": { + "8525": "an adult elephant to the far left of a baby elephant and another adult elephant", + "8526": "an elephant walking opposite direction of the others" + }, + "2045": { + "43324": "adult elephant ' s trunk touching the mouth of a baby elephant", + "43325": "a mom elephant gives her baby elephant something to eat with her trunk" + } + }, + "498179": { + "3600": { + "75331": "a wooden dining table with several chairs sitting around it" + } + }, + "498449": { + "3422": { + "71840": "the skier wearing white pants", + "71841": "a boy wearing white ski pants" + } + }, + "498508": { + "2034": { + "43075": "the motorcycle that the woman is riding", + "43076": "the motorcycle that is fully shown" + } + }, + "498786": { + "2247": { + "47577": "a single slice of pizza with broccoli and black olives", + "47578": "a slice of pizza in a tray with toppings" + } + }, + "499141": { + "2607": { + "55145": "hand holding white wii remote", + "55146": "the hand coming from the person not touching the cat" + } + }, + "499274": { + "3408": { + "71397": "a man in a red shirt serving as a line judge for a tennis match", + "71398": "a man wearing a red shirt and black pants watching the tennis player" + } + }, + "499305": { + "3382": { + "70778": "a black chair site in the living room", + "70779": "a green chair with a black bag sitting on top" + } + }, + "499339": { + "834": { + "17983": "a giraffe who is eating hay out of a feeder", + "17984": "the giraffe eating from elevated hay basket on the side of the pole" + } + }, + "499532": { + "3460": { + "72602": "two twin size beds on a larger base with blue sheets and maroon towels for pillows", + "72603": "two blue floral bed mats near each other" + } + }, + "499545": { + "2329": { + "49060": "the black fugure sitting on the beanch", + "49061": "sitting statue on right" + } + }, + "500057": { + "4957": { + "103299": "the girl in black dress", + "103300": "a young girl eating a yellow vegetable and wearing a black sleeveless top" + } + }, + "500224": { + "328": { + "6730": "an adult male bending down wearing a blue cap", + "6731": "man in blue cap bending over behind a child" + } + }, + "500400": { + "226": { + "4472": "a meat pizza on a table in front of an older woman", + "4473": "the pizza closest to the woman" + }, + "2414": { + "50710": "a pizza immediately in front of the photographer", + "50711": "a whole no topping pizza" + } + }, + "500450": { + "1646": { + "35169": "the man with the wood racket", + "35170": "man playing tennis" + } + }, + "500561": { + "778": { + "16751": "the silver watch", + "16752": "one hand and arm wearing a watch , and a portion of another hand holding food" + } + }, + "500576": { + "4709": { + "98009": "the horse with the small stripe on its neck which head isn ' t visible" + }, + "4761": { + "99150": "the horse with the blue ribbons around his neck" + } + }, + "500662": { + "4235": { + "88461": "a suitcase with blue and red squares", + "88462": "the suitcase with twelve red rectangles on it" + } + }, + "500818": { + "3103": { + "64916": "the cow infront of the cow", + "64917": "there is a cow with a man standing behind it" + } + }, + "501325": { + "4785": { + "99735": "an unoccupied couch to the right of an occupied couch", + "99736": "the sofa beside the stair at the right of the picture" + } + }, + "501549": { + "504": { + "11058": "man standing near chairs", + "11059": "a man standing talking to two others" + } + }, + "501618": { + "2521": { + "53050": "the woman on the left", + "53051": "a woman with brown scarf , grey jacket holding silver handphone" + } + }, + "501677": { + "4400": { + "91825": "the boy sitting on his skateboard in front of the ramp", + "91826": "a young man sitting on a skateboard which has two wheels on the ground" + } + }, + "502148": { + "3308": { + "69228": "the bed closest to the viewer" + } + }, + "502183": { + "276": { + "5571": "a dog tie with two wheeler", + "5572": "scooter behind big dog" + }, + "2684": { + "56701": "a black tire on a motorcycle", + "56702": "a black colored two wheeler showing back side of the vechicle" + } + }, + "502286": { + "2626": { + "55558": "man smelling pizza with basil leaf", + "55559": "a man leaning in over two pizzas" + }, + "4700": { + "97789": "an oven roasted meat pizza on a plate", + "97790": "a pizza with a big leaf topping" + } + }, + "502407": { + "3160": { + "66201": "2 slices of meatloaf on blue plate in the right hand picture", + "66202": "the dark meat to the right of the broccoli" + } + }, + "502680": { + "2251": { + "47661": "a blue boat with an american flag", + "47662": "partial boat behind wooden pole" + } + }, + "502717": { + "2405": { + "50548": "man with green cammo shirt on", + "50549": "the man in the green shirt" + } + }, + "503114": { + "3641": { + "76258": "the couch on the left side of the room", + "76259": "a sofa near the colour full screen" + } + }, + "503153": { + "3823": { + "80057": "a baby red cow with marking tags in its ears", + "80058": "a small brown cow standing beside a large lighter colored cow" + } + }, + "503178": { + "3559": { + "74577": "elephant in the back left side of the image", + "74578": "the backside of a large elephant and his tail" + } + }, + "503274": { + "4053": { + "84362": "the white bassinet near the window", + "84363": "a small white bassinet for a baby placed next to a window" + } + }, + "503472": { + "1660": { + "35336": "a yellow table with books on top", + "35337": "yellow color table in the papers" + } + }, + "503541": { + "4266": { + "89168": "a small red carry on suitcase with metal decorations", + "89169": "red small box" + } + }, + "503647": { + "4092": { + "85175": "a standing zebra", + "85176": "the zebra near the cement bowl" + } + }, + "503949": { + "1602": { + "34232": "the zebra in the back of the group", + "34233": "a zebra behind another zebra eating at a log" + } + }, + "504154": { + "2497": { + "52516": "the legs of the guy sitting on the leftside" + } + }, + "504184": { + "1288": { + "27384": "the urple toothbrush", + "27385": "purple toothbrush" + } + }, + "504211": { + "4918": { + "102413": "the batter leaning forward at home plate", + "102414": "the batter" + } + }, + "504257": { + "339": { + "7010": "a woman in a light colored sweater", + "7011": "a woman in a kitchen wearing an apron" + } + }, + "504338": { + "2977": { + "62615": "a white colored bow tie is on the paper is present in the image", + "62616": "white bow with black polka dots" + } + }, + "504534": { + "1723": { + "36461": "a man wearing a white t - shirt and shoes , black shorts and hat", + "36462": "a man in a white shirt and black shorts just threw a frisbee" + } + }, + "504554": { + "4769": { + "99376": "the bananna on the right", + "99377": "the rearmost banana out of focus" + } + }, + "504744": { + "3549": { + "74253": "a ripe apple between two oranges", + "74254": "red apple between two oranges on bottom left of bowl" + } + }, + "504769": { + "4629": { + "96262": "a person in a black shirt watching a plane", + "96263": "a black t shirt man watching aeroplane with security" + } + }, + "504988": { + "3683": { + "77184": "a man in camouflage dishing up food", + "77185": "a man in military camo and a black hat dishing food" + } + }, + "505402": { + "3788": { + "79367": "a black cat sitting and starring", + "79368": "a cat sitting with a heart shaped tag behind another cat" + } + }, + "505479": { + "2061": { + "43814": "the back end of a horse behind another force", + "43815": "the rear end of a horse with a person riding on it" + } + }, + "505700": { + "4701": { + "97795": "the man in the blue shirt", + "97796": "man in a blue dress shirt with a red undone tie" + } + }, + "505885": { + "1211": { + "26003": "the man with his arm around the woman", + "26004": "a couple under an umbrella" + } + }, + "506199": { + "4442": { + "92629": "an empty suitcase laying on the floor and open", + "92630": "an empty opened suitcase" + } + }, + "506598": { + "783": { + "16937": "a piece of pepperoni pizza with a large bite taken out of it", + "16938": "a piece of pizza with a bite out of it on a bus" + } + }, + "506631": { + "972": { + "20976": "a red motorcycle with a rider on it wearing black", + "20977": "motorcycle with long haired rider" + }, + "4083": { + "85017": "red - helmeted motorcycle rider", + "85018": "a looking river sitting in the cbr bike wearing black color jurgin with blue color jeans" + } + }, + "506701": { + "1380": { + "29255": "an infant sleeping next to his mom and sister", + "29256": "baby laying flat on its back" + } + }, + "507424": { + "150": { + "2675": "half of the sandwich sits to the left of the tomatoes", + "2676": "the left half of the sandwich" + } + }, + "507690": { + "3120": { + "65369": "a man with a red backpack", + "65370": "a man holding cycle on it" + } + }, + "507815": { + "4911": { + "102235": "a tan suitcase slightly shorter than the other suitcase", + "102236": "the light brown suitcase furthest to the left" + } + }, + "507875": { + "2138": { + "45446": "a person in a green shirt and brown shorts lying on the top bunk", + "45447": "a woman sleeping on a bunk bed on a train" + }, + "3510": { + "73478": "gentle man sitting in a train compartment and watching book", + "73479": "man sitting on bottom bunk looking at books" + } + }, + "507939": { + "930": { + "20046": "a sink full of dishes with a bowl on top" + }, + "3318": { + "69347": "dirty bowl with spoon in it , in front of chinese food container", + "69348": "a dirty bowl with a spoon in it" + } + }, + "508083": { + "3836": { + "80180": "a man going before a lady carrying a cellphone", + "80181": "the black backpack on the right" + } + }, + "508174": { + "3916": { + "81603": "two giraffes", + "81604": "a giraffe who is standing in front of another giraffe" + } + }, + "508200": { + "2620": { + "55465": "vegitable salad", + "55466": "a white bowl full of bean and tomato salsa" + }, + "3771": { + "78962": "a bowl full of chips that is out of focus in this picture , behind the orange", + "78963": "a bowl of wheat thin crackers on a table" + } + }, + "508245": { + "2566": { + "54230": "the bowl of food with the rice on top of it", + "54231": "bowl of rice and gravy" + } + }, + "508467": { + "2314": { + "48863": "silver placemat on right side" + } + }, + "508612": { + "4217": { + "88136": "a zebra that you can see all of in front of another zebra", + "88137": "a zebra standing in front of another zebra" + } + }, + "508969": { + "3193": { + "66964": "a male giraffe playing with a girl giraffe", + "66965": "the taller , darker giraffe" + } + }, + "509483": { + "2380": { + "50104": "the food truck on the far right", + "50105": "food truck with tables in front" + } + }, + "509534": { + "2498": { + "52542": "the orange case with a picture of a bird", + "52543": "a random picture showing a food chain" + } + }, + "510258": { + "1507": { + "32213": "mother zebra nursing baby zebra", + "32214": "a mama zebra feeding her baby" + } + }, + "510617": { + "2394": { + "50316": "a yellow , orange , and white vehicle with headlights", + "50317": "the shorter old style school bus with a hose in front of it on the ground" + } + }, + "510977": { + "3463": { + "72684": "asian woman with a pink shirt , short hair , glasses and drink in her right hand", + "72685": "a woman wearing glasses" + } + }, + "511224": { + "2563": { + "54185": "a giraffe with 3 giraffes behind it", + "54186": "the front giraffe of two that are standing very close , possibly touching" + } + }, + "511495": { + "3723": { + "78087": "a brown teddy bear looking at itself in a mirror", + "78088": "teddy bear standing in front of gray cinder block wall" + } + }, + "511642": { + "1012": { + "21912": "a grey sedan is parallel parked nicely behind another older grey sedan", + "21913": "a gray car parked on the street directly behind another gray car" + } + }, + "511665": { + "1470": { + "31346": "bus in the middle among the three buses", + "31347": "red color bus standing the road in the second position" + }, + "1539": { + "32832": "a maroon double decker tour bus", + "32833": "dark red double decker bus" + } + }, + "511736": { + "615": { + "13278": "a bowl of pink yogurt", + "13279": "a bowl of a pink colored yogurt" + } + }, + "511930": { + "899": { + "19308": "a man serving soup", + "19309": "a man with a white shirt and navy apron preparing food" + } + }, + "512022": { + "2900": { + "60822": "a pastry sitting in front of two other pastries", + "60823": "the cake standing in front of other cakes in a row" + } + }, + "512316": { + "3751": { + "78703": "a giraffe being groomed by the giraffe above him , and grooming the giraffe below him", + "78704": "the light - colored giraffe gives a kiss on top of the other giraffe ' s head" + } + }, + "512515": { + "2657": { + "56074": "the chair and ottoman closest to the entertainment center", + "56075": "the chair and footstool that is further back in the room" + } + }, + "512561": { + "4330": { + "90384": "the man in the white shirt with the wrist watch" + } + }, + "512644": { + "3714": { + "77935": "a motorcycle with flames painted on it", + "77936": "motorcycle with flame decals" + } + }, + "512658": { + "2195": { + "46517": "the stuffed banana that is on the right", + "46518": "the stuffed banana that is behind the pole" + } + }, + "512950": { + "4523": { + "94220": "two very large slices of pizza with fresh basil on top", + "94221": "two large slices of pizza with cheese and basil sitting on a cutting board" + } + }, + "513075": { + "754": { + "16309": "the silver car", + "16310": "a silver car" + } + }, + "513449": { + "4864": { + "101201": "a face of a boy wearing glasses", + "101202": "the man that is shown wearing glasses" + } + }, + "513550": { + "3196": { + "67017": "man on right side", + "67018": "a man holding a pen in a brown suit" + } + }, + "513602": { + "3901": { + "81261": "a woman in a top hat", + "81262": "woman in a black suit" + } + }, + "514088": { + "1939": { + "41076": "a man wearing white , sitting on the beach", + "41077": "man sitting cross legged in khakis and a white shirt with yellow flowers" + } + }, + "514295": { + "1355": { + "28700": "a person wearing a blue shirt , eating at a table", + "28701": "a person wearing purple is eating at a table" + } + }, + "514559": { + "2659": { + "56121": "woman in white dress", + "56122": "women in white dress" + } + }, + "514806": { + "740": { + "15982": "a dark green arm chair", + "15983": "the black / green sofa in the picture" + } + }, + "514846": { + "1191": { + "25546": "the zebra is facing the camera", + "25547": "a zebra with its head down fighting another zebra" + } + }, + "515390": { + "1469": { + "31334": "a giraffe standing in the middle and has brown lips is looking over porch rail", + "31335": "the giraffes head on the right" + } + }, + "515470": { + "1115": { + "24183": "a girl with a black shirt playing wi", + "24184": "a young girl with straight hair , grasping a device with a cord" + } + }, + "515590": { + "1587": { + "33938": "cream and green colored train with cartoons on it", + "33939": "green and white train , with a logo on the front" + } + }, + "515662": { + "4373": { + "91282": "yellow drink cup in the back of photo", + "91283": "yellow soda cup farthest from camera" + } + }, + "515815": { + "3123": { + "65442": "a person in a light blue shirt and dark pants reclined in a recliner opposite another person in a recliner -- feet to feet", + "65443": "a woman sleeping with her legs out on the couch" + } + }, + "515871": { + "766": { + "16500": "a woman in a white top", + "16501": "a woman in white" + }, + "3612": { + "75566": "a partially seen person wearing gray shirt", + "75567": "the partial person wearing grey in the corner" + } + }, + "516261": { + "3189": { + "66880": "chair being sat in by a man", + "66881": "the chair the guy is in in the right hand picture" + } + }, + "516487": { + "2849": { + "59798": "a lady looking down drinking a glass of wine", + "59799": "woman on the right side drinking wine from a glass" + } + }, + "516582": { + "1144": { + "24847": "a young woman in a white shirt , white hat , and black shorts with a tennis racket in her hands", + "24848": "a girl in a yellow shirt and black shorts holding a tennis racket" + } + }, + "516906": { + "266": { + "5444": "a tan chair between two green tables", + "5445": "the single - person seat directly facing the television" + } + }, + "517403": { + "756": { + "16375": "the girl in purple with her hand on the table", + "16376": "a woman with a flower shirt" + } + }, + "517438": { + "2721": { + "57443": "a half eaten doughnut", + "57444": "a half of a glazed donut" + } + }, + "517517": { + "3809": { + "79828": "a plain tour bus riding next to an elaborate bus", + "79829": "a white bus driving in the blind spot of a colorfully decorated bus" + } + }, + "517670": { + "4317": { + "90163": "the laptop keyboard" + } + }, + "517920": { + "1579": { + "33776": "a carp arked next to a parking meter", + "33777": "the car closes to the parking meter" + } + }, + "518265": { + "4514": { + "94061": "another male couple", + "94062": "the man running behind the couple" + }, + "4573": { + "95258": "the woman in the striped blouse reaching for the man with the frisbee", + "95259": "woman is playing frisbee with blue and white shirt on" + } + }, + "518390": { + "4200": { + "87724": "a young child looking at the elephants", + "87725": "a boy wearing a red baseball cap" + } + }, + "518495": { + "3028": { + "63448": "the left side of a pizza cut in half", + "63449": "the left half of a pizza" + } + }, + "518948": { + "304": { + "6165": "a lady in the middle in between two boys", + "6166": "the girl with the pink jacket" + } + }, + "518966": { + "4498": { + "93908": "a blonde woman with a red and white backpack helping to transport a surfboard", + "93909": "the back of a woman in black with a ponytail" + } + }, + "519209": { + "3272": { + "68627": "silver kitchen compartments of the far end", + "68628": "a metal compartment all the way on the left" + } + }, + "519685": { + "3465": { + "72753": "a man in a black tshirt sitting on a love seat", + "72754": "man in a black shirt sitting on a couch watching two ladies play on the wii" + } + }, + "519911": { + "3312": { + "69272": "a teddy bear wearing a pink dress", + "69273": "a brown teddy bear holding a red bear" + } + }, + "520310": { + "3781": { + "79275": "stool that is green / brown closest to woman in pink", + "79276": "the seat where the man is resting his head on his hand" + } + }, + "520486": { + "4419": { + "92145": "umbrella on rearmost empty table" + } + }, + "520657": { + "3071": { + "64390": "yellower half of the sandwich" + } + }, + "520921": { + "1092": { + "23796": "a bear standing up with its mouth open", + "23797": "a bear with his mouth open" + } + }, + "521182": { + "4377": { + "91381": "a man in a blue color shirt", + "91382": "the man wearing a striped button - down shirt" + } + }, + "521184": { + "2025": { + "42838": "the man in the grey shirt leading the horse", + "42839": "the man leading a horse" + } + }, + "521216": { + "4875": { + "101473": "smaller bear", + "101474": "white color doll hugging yellow doll" + } + }, + "521266": { + "274": { + "5517": "the ppizza slices next to the whole pizza", + "5518": "partially eaten pizza on pan with tomato and pepper toppings" + } + }, + "521678": { + "1701": { + "36094": "giraffe biting off of a tree", + "36095": "a giraffee rubbing its mouth on the tree" + }, + "4337": { + "90576": "two giraffe site of the ground", + "90577": "the giraffe that is leaning most forward than other" + } + }, + "521709": { + "2958": { + "62137": "man on couch with dog toy", + "62138": "balding man sitting on couch wearing brown hoodie" + }, + "4665": { + "97097": "the couch the man in brown is sitting on", + "97098": "beige sofa with a man sitting" + } + }, + "521870": { + "471": { + "10307": "the vase that is covered most by the two praying children", + "10308": "a vase sitting behind and between two kneeling children figurines" + } + }, + "521988": { + "4068": { + "84679": "a cup of coffee with a layer of foam at the top", + "84680": "a cup of milk on a glass table" + } + }, + "522062": { + "3206": { + "67144": "the woman with black hair and a black shirt", + "67145": "women in all black" + } + }, + "522146": { + "4366": { + "91146": "orange and black rubber boat", + "91147": "the orange and black railing" + } + }, + "522233": { + "1247": { + "26624": "the person holding the bat", + "26625": "a batter" + } + }, + "522240": { + "3101": { + "64873": "a bowl of food with a spoon in it next to 2 pizzas" + } + }, + "522667": { + "72": { + "1318": "a green leafy tree behind a brick building", + "1319": "tree with green leaves behind a black fence" + } + }, + "522708": { + "1274": { + "27152": "the parked blue van next to the photographer", + "27153": "blue color car parked near the man having cap on his head" + } + }, + "522811": { + "1841": { + "38888": "man with very light blue suit", + "38889": "the man in the middle" + } + }, + "522834": { + "4404": { + "91907": "a big elephant whose trunk pointing to the floor , may be touching", + "91908": "the two elephants and their trainer" + } + }, + "522871": { + "2570": { + "54394": "a zebra stands with its head down facing an onlooking ostrich", + "54395": "zebra that is grazing while looking at an ostrich" + } + }, + "522897": { + "4009": { + "83432": "the bed that is closest to the window", + "83433": "a bed next to a table and chairs" + } + }, + "522947": { + "4577": { + "95325": "the man with the glasses on", + "95326": "a man in a tie holding his phone in a room" + } + }, + "523166": { + "4536": { + "94448": "a man standing in front of the microwave looking at the camera", + "94449": "man touching microwave" + } + }, + "523183": { + "2787": { + "58740": "a giraffe snacking on the tree" + }, + "4914": { + "102277": "a tall giraffe standing behind the giraffe that is eating" + } + }, + "523405": { + "2875": { + "60349": "a bird between two other birds", + "60350": "a grey and white bird in the middle of two other bids" + } + }, + "523473": { + "1303": { + "27742": "there is horse with black hair tale walking back of all the other horses", + "27743": "a horse at the end of a line of riders" + } + }, + "523577": { + "3377": { + "70765": "a zebra resting its head on another zebra ' s back", + "70766": "a zebra with it ' s head draped over the back of another zebra" + } + }, + "523594": { + "2381": { + "50108": "the black dog", + "50109": "a black haired dog" + } + }, + "523792": { + "3889": { + "81051": "a man holding a basket of pastries", + "81052": "a ma holding a bagel basket" + } + }, + "523995": { + "2868": { + "60156": "a man in a suit at a table", + "60157": "a man with a red tie" + } + }, + "524174": { + "4182": { + "87331": "the plane with white and blue", + "87332": "the blue and white wing of the plane" + } + }, + "524291": { + "2194": { + "46507": "standing dog", + "46508": "a black and white dog with a blue collar tag who is standing and staring at something" + } + }, + "524314": { + "3296": { + "69015": "reflection of a minivan ' s hatchback behind a green car in the window" + } + }, + "524320": { + "2969": { + "62473": "a womans legs and skirt", + "62474": "a red dress with white flowers" + } + }, + "524340": { + "926": { + "19982": "a cate sleeping the shoppa", + "19983": "cat draped over arm of couch" + } + }, + "524802": { + "4448": { + "92778": "a girl with a blue shirt on sitting on a train or bus", + "92779": "a girl with a blue jacket" + } + }, + "524925": { + "1946": { + "41188": "the small aeroplane have 5 colours", + "41189": "a airoplan with number n177xy" + } + }, + "524991": { + "1951": { + "41297": "a zebra with its head down eating grass to the left of two other zebras", + "41298": "the zebra on the left" + } + }, + "525101": { + "1948": { + "41242": "yellow train moving on railroad tracks between green trees", + "41243": "a train with a yellow front and 1a31 marking , moving along a track" + } + }, + "525342": { + "3042": { + "63660": "orange left of another", + "63661": "an orange in the forefront of a picture of various fruit" + } + }, + "525360": { + "508": { + "11146": "the baby in the red jumpsuit , being held by another person", + "11147": "a cradled infant dressed in a red suit and blue cap" + } + }, + "525721": { + "2312": { + "48847": "a bird on a table", + "48848": "a white and gray bird sitting on a table" + } + }, + "525865": { + "4650": { + "96654": "the skinnier of the four appliances", + "96655": "the leftmost stainless steel fridge or freezer" + } + }, + "526290": { + "3948": { + "82190": "the woman who is squinting in one eye", + "82191": "a blue eyed brown haired lady turning her nose up" + } + }, + "526464": { + "2807": { + "59093": "the shadow of the man holding the wine glass", + "59094": "a black haired man behind the glass" + } + }, + "526522": { + "3395": { + "71038": "the bald man holding a cup of tea" + } + }, + "526552": { + "3805": { + "79745": "a pizza that is in the middle of two other ones", + "79746": "the pan under the left arm of the woman in the blue and white shirt" + } + }, + "526713": { + "275": { + "5525": "giraffe to the far left", + "5526": "the giraffe furthest left walking toward the photographer" + } + }, + "526794": { + "1020": { + "22089": "beige sofa with five pillows including a lime green pillow" + } + }, + "527181": { + "1388": { + "29353": "a father with his child watching giraffe drinking water", + "29354": "a man with a baby" + } + }, + "527194": { + "2690": { + "56849": "a man in a white shirt with 23 stamped on it", + "56850": "a man in all white , kicking the soccer ball" + }, + "2756": { + "58194": "a man in a green jersey", + "58195": "a man wearing a green soccer jersey" + } + }, + "527196": { + "1109": { + "24043": "man holding the ktie", + "24044": "a man holding a kite" + } + }, + "527666": { + "1374": { + "29120": "the man with in the black tuxedo", + "29121": "a man in black suit is arranging dress of a small boy" + } + }, + "527863": { + "2094": { + "44408": "a single broccoli floret closest to the edge of the plate" + } + }, + "527925": { + "2663": { + "56154": "the back pack on left side", + "56155": "blue backpack near the black cat" + } + }, + "528020": { + "3475": { + "72951": "women wearing wearing spectacles with violet shirt and flourecent colour waist holding the horse", + "72952": "a woman wearing a purple shirt and a yellow vest" + }, + "3550": { + "74315": "a woman wearing a plaid shirt and gray vest standing next to a horse", + "74316": "the woman wearing the vest and plaid shirt" + } + }, + "528563": { + "2068": { + "43909": "the man with the black shirt", + "43910": "there is one person wearing black t - shirt playing games" + } + }, + "528657": { + "4463": { + "92959": "the reflection of a man brushing his teeth seen in a mirror", + "92960": "face of the man in the mirror" + } + }, + "528756": { + "163": { + "2918": "a banana on a fork to the left of all the other bananas", + "2919": "a banana on the end of a fork to the left of a another banana on a fork" + } + }, + "528851": { + "2824": { + "59394": "a woman in glasses standing besides a table", + "59395": "young lady with glasses and long hair" + } + }, + "529613": { + "3661": { + "76662": "the back of a woman ' s head with long hair", + "76663": "the woman facing away from the camera" + } + }, + "529786": { + "570": { + "12357": "a banana with a sticker containing an optimistic quote", + "12358": "a yellow banana on top of others with a blue sticker" + } + }, + "530097": { + "922": { + "19846": "a mini laptop sitting on a big laptop", + "19847": "a small ibm thinkpad laptop sitting on top of a larger laptop both powered off" + }, + "3656": { + "76450": "computer monitor with silver frame", + "76451": "the monitor with the silver border around the outside to the right of all the others" + }, + "3727": { + "78160": "a black laptop computer underneath a smaller laptop", + "78161": "a laptop with another smaller laptop on top" + } + }, + "530132": { + "1208": { + "25918": "a parked bus behind another parked bus" + } + }, + "530535": { + "2446": { + "51355": "elephant wagging tail", + "51356": "an elephant that has it ' s trunk pointing towards the water" + } + }, + "530649": { + "4798": { + "99982": "zebra on right", + "99983": "a zebra looking alert and staring off camera" + } + }, + "530668": { + "402": { + "8598": "the back of the wooden dining chair" + } + }, + "530758": { + "4771": { + "99467": "a man sitting in a black suv extending his hands out of the vehicle", + "99468": "a man inside the car hanging his hand outside" + } + }, + "530782": { + "1978": { + "41929": "a ton of green banannas", + "41930": "a bunch of green bananas" + }, + "3072": { + "64412": "bannana in second to bottom row third right" + } + }, + "531037": { + "2686": { + "56783": "a man with glasses", + "56784": "a man in an orange tie stands on the right of the image" + } + }, + "531290": { + "4969": { + "103707": "the bottom row of carrots under tomatoes" + } + }, + "531550": { + "944": { + "20299": "a goose standing to the left of the baby geese", + "20300": "a goose with its neck extended" + } + }, + "531910": { + "825": { + "17840": "a sandwich piece under another sandwich piece", + "17841": "the sandwich on the bottom right above the broccoli" + } + }, + "532124": { + "4558": { + "94950": "a zebra foal facing the camera", + "94951": "a small zebra facing the camera standing beside a larger zebra" + } + }, + "532147": { + "4059": { + "84439": "the taller giraffe", + "84440": "darker colored giraffe on the left" + } + }, + "532439": { + "3": { + "73": "an elephant with no tusks", + "74": "a large elephant standing next to a large wooden pole" + } + }, + "532457": { + "1499": { + "32051": "a man with a white shirt and tie without a hat is resting on a metal fence", + "32052": "an older man staring off in the distance" + } + }, + "532505": { + "1945": { + "41182": "a blue couch that women are sitting on", + "41183": "a blue couch with two woman on it" + } + }, + "532603": { + "149": { + "2662": "donut on top of two others", + "2663": "a brown bun with a hole in the centre on top of two others" + } + }, + "532622": { + "3529": { + "73703": "the backside of a woman walking to towards the refreshment room sign", + "73704": "a man with brown jacket" + } + }, + "532734": { + "4303": { + "89833": "a man throwing a banana", + "89834": "a man in bike gear holding flowers and throwing a notepad" + } + }, + "533045": { + "4424": { + "92298": "a cheese pizza in the top left", + "92299": "a pizza next to a glass and above another pizza" + } + }, + "533220": { + "1376": { + "29146": "a man with a purple shirt", + "29147": "a smiley man with a purple shirt and khaki pants sitting down with his hands together" + }, + "3554": { + "74467": "woman with long dark hair in denim shirt , far left of picture", + "74468": "a woman off to the left with a demin jacket on" + } + }, + "533519": { + "3544": { + "74100": "the man on the left", + "74101": "man in black shirt and slacks holding a riding crop" + } + }, + "534292": { + "80": { + "1434": "a child wrapped in a towel to the left of a wash tub", + "1435": "the little boy on the bench on the far left wrapped in a towl" + } + }, + "534311": { + "1858": { + "39103": "a bull next to the left of a bull laying down", + "39104": "a white and brown bull sitting next to a cow" + } + }, + "534347": { + "335": { + "6832": "the cat looking at the shoes", + "6833": "an orange cat that is not looking at the camera" + } + }, + "534690": { + "2415": { + "50737": "the far right and the far left cushions of the couch in the right hand picture" + } + }, + "534926": { + "434": { + "9561": "the man looking down" + } + }, + "534980": { + "4322": { + "90251": "a man standing by a fence", + "90252": "a man in a green coat , jeans and a hat standing in a park" + } + }, + "535049": { + "1769": { + "37488": "a white couch with two red pillows", + "37489": "a white couch with two red pillow on it" + } + }, + "535229": { + "4882": { + "101623": "a bottle that is in front of a man", + "101624": "a bottle with a white stopper" + } + }, + "535234": { + "3024": { + "63345": "a baseball player sliding into a base", + "63346": "baseball player stealing base , wearing the number 12 sliding into 2nd base" + } + }, + "535284": { + "1305": { + "27814": "the zebra which was at the left of the picture", + "27815": "three zebras drinking from a water hole" + }, + "3991": { + "83028": "a zebra in the front on the right side of picture", + "83029": "the first zebra at the front of three drinking water from a poo" + } + }, + "536244": { + "513": { + "11239": "a man in a purple shirt is riding on a skateboard as his picture is being taken", + "11240": "a man in a purple t - shirt is crouching down" + } + }, + "536570": { + "693": { + "14989": "a little asian boy looking", + "14990": "a boy playing in a remote his father just near to him" + } + }, + "536619": { + "1662": { + "35365": "a wooden bench on which the children are sitting", + "35366": "bench two kids are sitting on" + }, + "4032": { + "83885": "the bench that the eagle is on", + "83886": "the feet of a bird" + } + }, + "536902": { + "49": { + "778": "a cake for a 13th birthday", + "779": "happy 13th gift" + } + }, + "537055": { + "979": { + "21091": "a woman with glasses and a black hat is eating food", + "21092": "the girl on the right in the black hat" + }, + "1048": { + "22566": "a large man wearing a orange shirt taking a picture", + "22567": "man in orange striped shirt with glasses and smiling face" + } + }, + "537255": { + "3724": { + "78089": "a man carrying a white striped surfboard" + } + }, + "537540": { + "2026": { + "42877": "bike tire behind the blue bicycle", + "42878": "the front wheel of a cycle which is standing behind the another one" + } + }, + "537667": { + "2523": { + "53103": "a baseball player sliding into home plate and getting tagged by the catcher", + "53104": "a la dodgers player sliding into home base while the catcher is trying to get the ball" + } + }, + "537866": { + "3153": { + "66095": "the small white dish next to the big plate with sliced citrus", + "66096": "a small bowl of fruit" + } + }, + "537986": { + "4987": { + "104145": "a girl in a striped gray and white shirt playing an interactive video game", + "104146": "woman wearing grey and white stripes and sweatpants" + } + }, + "538099": { + "3766": { + "78922": "a horse with a colorful blanket", + "78923": "the horse in the background" + } + }, + "538285": { + "4579": { + "95331": "a jetliner with an almost completely red tailfin", + "95332": "an airplane that has connected with a gate" + } + }, + "538544": { + "1572": { + "33696": "empty water glass by full water glass" + } + }, + "538691": { + "3482": { + "73048": "the large wine glass that isn ' t being held", + "73049": "the biggest wine glass on the table" + } + }, + "538737": { + "857": { + "18503": "polar bear on right", + "18504": "the polar bear on the right" + } + }, + "538938": { + "2914": { + "61079": "the plant , immediately behind the umbrella in front", + "61080": "a potted plant in the center of an image of an outside restaurant , behind a picnic table" + } + }, + "539132": { + "3133": { + "65692": "a low urinal", + "65693": "a urinal close to the ground" + } + }, + "539397": { + "2359": { + "49587": "a steer with its head turned slightly to the right that is in between other steer", + "49588": "this seems to be a bull which is between two other bulls" + } + }, + "539475": { + "3313": { + "69276": "a baby on a blanket on a woman ' s lap", + "69277": "a baby drinking a bottle" + } + }, + "539727": { + "4078": { + "84909": "the donut with the chocolate sprinkles , behind the rainbow sprinkles", + "84910": "a chocolate donut with chocolate sprinkles on it" + } + }, + "540347": { + "308": { + "6214": "the front left quarter of a pizza" + } + }, + "540428": { + "1005": { + "21744": "boy in gray t - shirt with goggles over eyes", + "21745": "a boy wearing a gray shirt" + } + }, + "540439": { + "4041": { + "84159": "soccer player with black uniform , about to kick soccer ball", + "84160": "woman wearing black t - shirt and black gloves" + } + }, + "540457": { + "2105": { + "44674": "a baseball catcher with his arm up", + "44675": "a catcher holding out his glove" + } + }, + "541298": { + "4954": { + "103246": "there is a bed conains the back bedsheet and a pillow", + "103247": "twin size bed with black cover and white pillow" + } + }, + "541367": { + "744": { + "16165": "far right suitcase", + "16166": "suitcase with green floral background" + } + }, + "541496": { + "2800": { + "58985": "it is 2 black suitcases in an isle with other suitcases in a seat in front of them" + } + }, + "541553": { + "135": { + "2353": "the head , face and ears of a beige dog resting it ' s head on a table with a cake on it", + "2354": "golden dog leaning on table" + } + }, + "541690": { + "3613": { + "75580": "the man in blue jeans", + "75581": "a man with long sleeve shirt and jeans is sitting talking with a woman on a bench" + } + }, + "541949": { + "1722": { + "36451": "woman in an all black dress", + "36452": "girl in black dress" + } + }, + "542160": { + "4432": { + "92484": "a baseball player with the number 2 on his uniform", + "92485": "player whose no is 2" + } + }, + "542818": { + "4564": { + "95085": "an elephant in between 2 other elephants" + } + }, + "542839": { + "2761": { + "58225": "little girl with her chin propped on her hand", + "58226": "a little girl in a pink shirt watching another little girl eat a donut" + } + }, + "543617": { + "2454": { + "51492": "a man wearing a hat with a fork in the hatband", + "51493": "the man with glasses and painted fingernails" + } + }, + "543695": { + "720": { + "15505": "a woman wearing black shorts and a blue shirt", + "15506": "a girl in blue to the left of a identical girl in blue" + } + }, + "543732": { + "269": { + "5470": "monitor in the left side of the image", + "5471": "white computer display" + } + }, + "544114": { + "3519": { + "73588": "the animal on the right closest to the bigger and darker mountains", + "73589": "a lighter colored tan bovine" + } + }, + "544169": { + "481": { + "10580": "a man with crew cut and white socks", + "10581": "a man in jeans playing the wii" + } + }, + "544263": { + "1334": { + "28239": "an adult zebra eating from the ground of green grass", + "28240": "a zebra bending over to graze at the grass" + } + }, + "544457": { + "490": { + "10725": "chair on the side of the room with the square mirror", + "10726": "the fancy chair on the left" + } + }, + "544568": { + "1603": { + "34234": "a kid eating a donut", + "34235": "the boy with the black beanie" + } + }, + "544783": { + "4794": { + "99868": "a duck that is looking straight ahead", + "99869": "the duck that is looking up" + } + }, + "545116": { + "2485": { + "52246": "a man reaching across the net", + "52247": "a man in a grey shirt leaning over a net" + } + }, + "545351": { + "212": { + "4092": "a man skier with a yellow and black jacket and black pants standing next to a woman", + "4093": "human with a yellow jacket standing on top of skiis" + } + }, + "545411": { + "3043": { + "63707": "the first of two grey bento boxes which is filled with rice and vegetables" + } + }, + "545731": { + "3417": { + "71575": "girl in pick laughing girl in aqua making funny face" + } + }, + "545929": { + "529": { + "11570": "a lady", + "11571": "a woman in a tan sweater playing wii" + } + }, + "546046": { + "1846": { + "38969": "the blue bus in the middle", + "38970": "a blue bus between two other buses" + } + }, + "546154": { + "681": { + "14836": "the girl in the black dress standing next to the man", + "14837": "a girl with glasses on" + } + }, + "546575": { + "3280": { + "68799": "a boy with striped t - shirt and standing near the skate board", + "68800": "a boy in a striped shirt standing next to a skateboard" + } + }, + "547066": { + "2546": { + "53610": "the cake behind the pink flowers", + "53611": "a piece of white chocolate cheesecake behind the pink flowers" + } + }, + "547533": { + "4352": { + "90941": "a gray truck to the left of a woman on a motorcycle", + "90942": "a grey color jeep is near a girl with a bike" + } + }, + "547767": { + "3599": { + "75321": "the top of the table that has 2 glasses with orange napkins in them" + } + }, + "547770": { + "3223": { + "67508": "left hand motorcycle", + "67509": "the motorcycle with woman standing behind it" + } + }, + "547797": { + "3598": { + "75310": "a man in black t - shirt", + "75311": "a man in a black shirt begins to eat his salad" + } + }, + "548088": { + "1040": { + "22458": "desert bread next to chocolate desert", + "22459": "a slice of marbled poundcake next to a half - eaten brownie" + } + }, + "548136": { + "141": { + "2444": "tennis racket in the hands of the man in the striped shirt", + "2445": "red tennis racket the tallest man is holding" + }, + "2634": { + "55687": "a blue and white tennis racket being held by the rightmost man", + "55688": "the tennis racket that the man in the white shirt and black shorts is holding" + } + }, + "548240": { + "523": { + "11417": "white vehicle with a cat standing in the window", + "11418": "a cat with yellow eyes and a pinkish nose peers around the back of a truck cab" + } + }, + "548351": { + "2954": { + "62047": "a little girl in a striped top is playing a video game", + "62048": "a young girl with a white video game controller in her hands" + } + }, + "548564": { + "3955": { + "82328": "the first blue umbrella on the right", + "82329": "the closest blue umbrella" + } + }, + "548772": { + "1170": { + "25327": "a black and brown dog looking at a plate", + "25328": "a black and brown dog whose face is visible looking at a treat" + } + }, + "549127": { + "3474": { + "72946": "umbrella over lady ' s hand", + "72947": "multi colored umbrella on the right side" + } + }, + "549236": { + "373": { + "7906": "large light tan dog sitting on floor in front of tv", + "7907": "a dog sitting on the floor" + } + }, + "549447": { + "4261": { + "89068": "lamb in black collar" + } + }, + "550056": { + "4610": { + "95915": "the phone to the righ", + "95916": "flip phone being held up by a man to his ear" + } + }, + "550235": { + "54": { + "900": "a white tabletop", + "901": "the table where a boy is sitting eating a sandwich" + } + }, + "550726": { + "3552": { + "74407": "the person on the right", + "74408": "a woman playing the wii with a young girl" + } + }, + "551164": { + "4138": { + "86383": "a black vase", + "86384": "a black caraf with fine white intersecting lines decorating it" + } + }, + "551244": { + "2957": { + "62133": "a glass of juice is placed on the table near pizza", + "62134": "a coca - cola glass sitting on a table half filled with an orange drink" + } + }, + "552276": { + "3779": { + "79250": "the horse behind the fence" + } + }, + "552336": { + "1794": { + "38017": "two apples in the right side of the image" + } + }, + "552467": { + "3336": { + "69882": "a woman wearing a purple dress and gold necklace holding a piece of chocolate cake", + "69883": "a woman wearing a purple dress" + } + }, + "552549": { + "742": { + "16074": "the entree that is in focus and looks like a pile of bacon", + "16075": "bacon on top of a burger" + } + }, + "552811": { + "3118": { + "65349": "giraffe mom", + "65350": "mother and baby giraffe" + } + }, + "553308": { + "1457": { + "31021": "man wearing white shirt kneeling", + "31022": "a man searching through an open trunk" + } + }, + "553336": { + "2317": { + "48912": "a man wearing a white shirt and grey shorts", + "48913": "a young person in a white shirt and grey shorts playing a sport outdoors" + } + }, + "553413": { + "3355": { + "70320": "a black , white and grey flag in front of a person wearing a checked jacket and eating a hotdog", + "70321": "black and white fabric in the front corner" + } + }, + "553501": { + "4218": { + "88157": "a loaf of banana bread on the far right and in front of a bunch of bananas", + "88158": "the loaf that ' s on the right ," + } + }, + "553554": { + "4538": { + "94482": "the center clear canister out of a group of three", + "94483": "a jar of seasonings behind the lemons" + } + }, + "553563": { + "3004": { + "62940": "the catcher with the red uniform", + "62941": "a catcher in a red uniform reaching for the ball" + } + }, + "553660": { + "127": { + "2256": "a little girl in a purple jacket standing next to a man", + "2257": "the little girl in the purple coat" + } + }, + "553758": { + "3389": { + "70905": "boy waving with black sneakers", + "70906": "a male child sitting next to an adult female" + } + }, + "553796": { + "4929": { + "102717": "the bench chair that the woman facing away from the camera at the table with three other women" + } + }, + "553812": { + "2622": { + "55472": "a wicker chair with a blue back on it", + "55473": "part of a wicker chair that has a blue cushion on it" + } + }, + "553975": { + "2568": { + "54256": "the woman looking at her white phone", + "54257": "woman holding cell phone" + } + }, + "554015": { + "223": { + "4321": "two candles on top of a birthday cake", + "4322": "two candles lit on a birthday cake" + } + }, + "554031": { + "2853": { + "59862": "white table in front of other tables which the group of three women are resting their hands on", + "59863": "the white table between two women in pony tails" + } + }, + "554598": { + "1268": { + "26983": "the far most violet couch", + "26984": "a loveseat with large cushions and wood frame in an open room" + }, + "3621": { + "75729": "the red couch closet to you", + "75730": "purple couch in sunny room" + } + }, + "554706": { + "3919": { + "81709": "the right half of the table , which is divided by a line", + "81710": "a wooden table with nothing in site on it" + } + }, + "554823": { + "71": { + "1312": "a giraffe with its head hidden", + "1313": "the giraffe is putting its head on tree branch , which is only partly visible" + } + }, + "554842": { + "3716": { + "78019": "a woman wearing a red and black striped shirt", + "78020": "a middle aged blonde woman in a pink and black striped , off the shoulder top standing beside a man in blue" + } + }, + "554949": { + "2283": { + "48251": "the cook in the middle", + "48252": "middle cook of three cooks" + } + }, + "554952": { + "3573": { + "74800": "man playing wii", + "74801": "a man playing wii" + } + }, + "555211": { + "1336": { + "28308": "a long seat with no back sitting placed in front of a painting and a large window" + } + }, + "555493": { + "4137": { + "86370": "black dog staring at camera", + "86371": "dog with green eyes" + } + }, + "555516": { + "1530": { + "32639": "woman on a couch", + "32640": "the woman petting the cat" + }, + "4251": { + "88833": "a man in a striped jacket reading a book", + "88834": "people sitting on couch" + } + }, + "555520": { + "917": { + "19660": "a small black goat fully visible right hand side of it", + "19661": "a baby black sheep" + } + }, + "555613": { + "3114": { + "65191": "the pick - up truck", + "65192": "the vehicle without the man" + } + }, + "555755": { + "427": { + "9492": "a magazine page on top of a newspaper", + "9493": "the newspapers and magazines on the right corner of the table" + } + }, + "555782": { + "1755": { + "37131": "a dark brown horse in the corner", + "37132": "a black horse standing behind a brown horse in a fenced area" + } + }, + "556176": { + "2540": { + "53539": "a man in red shirt and black jeans holding a controller sitting on a couch", + "53540": "a man in red playing the wii" + } + }, + "556360": { + "1462": { + "31145": "the picture on the bottom left", + "31146": "a page in a magazine showing different types of fruits and vegetables sits on a table next to a glass of ice water" + } + }, + "556542": { + "2870": { + "60169": "a man wearing white tea watching horse riding", + "60170": "a man in a white jacket standing" + } + }, + "556560": { + "4359": { + "91033": "the headrest to the right of the donut", + "91034": "a seat to the right of the donut ," + } + }, + "556669": { + "4946": { + "103092": "a base ball bats man at training with his coach", + "103093": "the boy about to hit the ball" + } + }, + "556752": { + "924": { + "19965": "a lady standing inside the counter of a food court", + "19966": "the woman with bangs on her forehead standing behind the glass shelves" + } + }, + "556783": { + "1127": { + "24430": "a black and white lamb", + "24431": "a spotted black & white baby sheep lying in straw" + }, + "1779": { + "37654": "a white baby lamb on the right of a black and white spotted lamb", + "37655": "the small white lamb laying in the straw" + } + }, + "556865": { + "1501": { + "32079": "the taller of the two birds", + "32080": "the pigeon whose right feet is hardly visible and kissing the other pigeon" + } + }, + "557678": { + "1126": { + "24396": "a monitor along with keyboard", + "24397": "the small black computer monitor" + } + }, + "558031": { + "3504": { + "73380": "the side view of a man in jeans and white sneakers , with glasses , holding onto the corner of a piece of equipment", + "73381": "man in blue shirt and white sneakers" + } + }, + "558044": { + "2219": { + "46974": "a white airplane with a blue stripe and ana painted on it", + "46975": "a white plane with a big blue stripe and ana on it" + } + }, + "558070": { + "113": { + "1973": "it is a white male wearing ice skates", + "1974": "a man with a black shirt and brown coat" + } + }, + "558850": { + "488": { + "10707": "the giraffe in the background", + "10708": "the giraffe furthest to the left" + }, + "582": { + "12620": "a close giraffe", + "12621": "a giraffe standing in the grass to the right of another giraffe" + } + }, + "559267": { + "711": { + "15398": "little girl on the left side of the umbrella wearing light green socks", + "15399": "a young child crouched under an umbrella in the grass , wearing a green sleeved top and green socks" + } + }, + "559382": { + "4324": { + "90276": "a black truck pulling a white motor home on the far right", + "90277": "the pickup truck on the far right" + } + }, + "559482": { + "1949": { + "41265": "a man ' s face behind a large sandwich", + "41266": "a man with glasses and a black shirt using his cell phone" + } + }, + "559525": { + "4067": { + "84667": "a girl in a black helmet", + "84668": "a girl wearing denim shorts" + } + }, + "559647": { + "3209": { + "67236": "the base runner that is sliding into home , getting tagged out by the catcher ..", + "67237": "the person in the white vest over the blue shirt , sliding on the ground" + } + }, + "559720": { + "1089": { + "23743": "the black umbrella held by the woman on the right" + }, + "4830": { + "100685": "red umbrella held by man in plaid shirt", + "100686": "the umbrella on the far left" + } + }, + "559865": { + "4972": { + "103759": "the bear in the middle", + "103760": "the middle bear" + } + }, + "559891": { + "3620": { + "75711": "a woman in white", + "75712": "a person petting a horse" + }, + "4382": { + "91559": "a white and tan horse being hugged by a woman" + } + }, + "560155": { + "2861": { + "60004": "a man in a blue shirt", + "60005": "a man wearing a blue shirt and white shorts who is playing tennis" + } + }, + "560567": { + "1984": { + "42076": "a child in a yellow jacket", + "42077": "little kid on pink skiis with yellow parka on" + }, + "2069": { + "43945": "a woman skiing with a small child", + "43946": "a person and a child" + } + }, + "560576": { + "2275": { + "48134": "white goat behind boy with number taped to back of his shirt and to left of big man with shirt tucked in jeans", + "48135": "goat in the right side of the image" + } + }, + "560754": { + "4546": { + "94579": "a few black suitcases" + } + }, + "560784": { + "1675": { + "35657": "a man in a black shirt with short black hair", + "35658": "a man with a navy blue shirt" + }, + "2016": { + "42686": "lady in a green shirt putting food in the oven", + "42687": "a woman taking something out of an oven" + } + }, + "561097": { + "3668": { + "76768": "the white horse with dark spots", + "76769": "a brown and white horse stands aloof" + } + }, + "561406": { + "527": { + "11554": "the brown chair that has a young girl sitting on it", + "11555": "back of chair where young girl is seated" + } + }, + "561453": { + "4515": { + "94063": "one half of a sandwich arranged underneath the other half" + } + }, + "561631": { + "2126": { + "45203": "a stoplight above the p on the street sign", + "45204": "the bottom of a stoplight on the left side of the pole" + } + }, + "561655": { + "3894": { + "81109": "purple , blue , yellow , green , and white umbrella opened up", + "81110": "the open rainbow colored umbrella" + } + }, + "561818": { + "3017": { + "63198": "a pair of giraffes", + "63199": "giraffe laying to west" + } + }, + "562008": { + "1429": { + "30346": "a refrigerator with its door closed", + "30347": "a closed fridge" + } + }, + "562063": { + "3555": { + "74488": "the lady standing behind another and holding a big hat" + } + }, + "562675": { + "1392": { + "29451": "a pie with green topping on it", + "29452": "a chocolate heart with green decoration around it" + } + }, + "562745": { + "1153": { + "25076": "a giraffe , with head lowered , crosses in front of another giraffe", + "25077": "giraffe with its neck bent straight across" + } + }, + "562845": { + "3038": { + "63588": "a woman wearing orange taking a picture with a frisbee", + "63589": "woman with white frisbee" + } + }, + "563123": { + "1301": { + "27722": "skateboard with ssticker of wings on it", + "27723": "skateboard with the wing sticker on it" + } + }, + "563164": { + "732": { + "15800": "white heart shaped purse", + "15801": "a beautiful heart - shaped white leather hand bag on the lap of a beautiful teen girl" + } + }, + "563447": { + "2132": { + "45326": "a boy in the right , wearing a hat , holding a horses lead", + "45327": "the boy on the far right" + }, + "4435": { + "92508": "tall girl next to two horses", + "92509": "the cowgirl standing between two kids" + } + }, + "563477": { + "4389": { + "91661": "a woman in a blue shirt", + "91662": "a women in a blue shirt" + } + }, + "563601": { + "4000": { + "83184": "a portion of a plate with cucumbers and an orange slice", + "83185": "bananas and an orange" + } + }, + "563659": { + "4249": { + "88814": "a woman in the lunch table" + } + }, + "563803": { + "2592": { + "54839": "the pieces of carrots in the front left and the tiny piece on the right in the right hand picture", + "54840": "the carrot in the center far left" + } + }, + "563858": { + "3405": { + "71351": "shorter firaffe", + "71352": "the neck of a giraffe with it ' s mouth open" + } + }, + "564271": { + "4654": { + "96820": "the white refrigerator in the front", + "96821": "the white fridge on the left" + } + }, + "564432": { + "198": { + "3830": "a giraffe bending down", + "3831": "a giraffe eating grass off the ground" + } + }, + "564566": { + "858": { + "18539": "a man in a suit presenting an award", + "18540": "a man wearing glasses in a gray suit jacket standing to the left of a shorter man" + } + }, + "564573": { + "997": { + "21518": "orange in the chopping board which is first from left and apart from scrapper", + "21519": "the orange on the left" + } + }, + "564708": { + "321": { + "6574": "a big clock on the wall", + "6575": "a clock fixed on the wall" + } + }, + "564729": { + "406": { + "8660": "sandwich with the open part facing the camera" + } + }, + "564762": { + "3279": { + "68764": "the giraffe whose head can ' t be seen", + "68765": "a girraffe hidding behind another girraffe" + } + }, + "565116": { + "2692": { + "56968": "the table behind", + "56969": "a table with black folded napkins on it" + } + }, + "565360": { + "2440": { + "51247": "a slightly overweight man in a yellow jersey", + "51248": "a man wearing a yellow soccer jersey that has dark hair and a beard and is not actively trying to kick the ball" + } + }, + "565462": { + "526": { + "11529": "a green food dish with cat food", + "11530": "green bowl filled with cat food" + } + }, + "565476": { + "260": { + "5173": "a banana in a group of four bananas resting on other types of fruit", + "5174": "a sideways banana" + } + }, + "565500": { + "647": { + "14085": "a bus with a luck advertisement crossing an intersection", + "14086": "a metro bus in the city" + } + }, + "565600": { + "428": { + "9494": "the gray haired man in white carrying the tennis racket", + "9495": "a man on a tennis court in a white t shirt and white pants with a blue stripe down the side , holding a tennis racket" + } + }, + "565769": { + "2915": { + "61120": "an elephant ' s head and an elephant behind the first elephant", + "61121": "the elepant next to the water" + } + }, + "565870": { + "3426": { + "71946": "the bowl in the corner that has food in it", + "71947": "a small slip of a white bowl on the bottom left of the pizza" + } + }, + "566166": { + "3309": { + "69231": "a baby elephant next to its mother", + "69232": "the baby elephant being petted by the man" + } + }, + "566175": { + "2557": { + "54042": "the home plate umpire", + "54043": "the umpire in the baseball game" + } + }, + "566700": { + "4948": { + "103098": "the scary animal who is wearing a rain coat and hat", + "103099": "a bear with plastic sharp teeth" + } + }, + "566847": { + "3674": { + "76926": "a larger giraffe that has a smaller giraffe leaning on it", + "76927": "a large giraffe standing next to baby" + } + }, + "566973": { + "3520": { + "73592": "the image of books in the book named as ikea", + "73593": "a magazine partially with yellow letters at the top partially covered by another magazine" + } + }, + "567000": { + "4349": { + "90886": "a man in a light blue shirt and khaki trousers", + "90887": "a man in a a blue shirt and khaki pants" + } + }, + "567145": { + "2804": { + "59036": "bed that ' s barely in the picture", + "59037": "the corner of the second bed with the yellow spread" + } + }, + "567301": { + "2937": { + "61679": "a couch with a white blanket and pillow with a camera" + }, + "3012": { + "63097": "i man standing next to a woman in a room", + "63098": "a man in a white collared shirt standing with his hands on his hips" + } + }, + "567518": { + "1190": { + "25543": "skis under a woman", + "25544": "a pair of skis in the snow attached to a woman" + } + }, + "568117": { + "749": { + "16226": "black cat curled up next to person on bed by hand of person" + } + }, + "568389": { + "2567": { + "54254": "a man holding a computer", + "54255": "a black boy holding laptop and smiling showing his teeth" + } + }, + "568492": { + "839": { + "18056": "red sweater", + "18057": "a person wearing a red jacket and sitting at a table" + } + }, + "568840": { + "4557": { + "94934": "coffee cup on left side in the back", + "94935": "a cup of coffee at the back" + } + }, + "569046": { + "3879": { + "80951": "the white - haired man at the left of the group of men who are sitting down", + "80952": "a man with white hair and camo who is sat next to the cross legged man" + } + }, + "569075": { + "4247": { + "88808": "man in plaid shirt", + "88809": "talking man in white" + } + }, + "569101": { + "2030": { + "42979": "the sliced banana piece that is in contact with the tail of the butterfly", + "42980": "the banana that ' s partially obscured by a leaf" + } + }, + "569538": { + "3733": { + "78275": "a brown and white dog growling", + "78276": "brown and white puppy playing with a white puppy" + } + }, + "569742": { + "801": { + "17358": "man sitting at table , far left", + "17359": "a man sitting on the left with a green t - shirt on" + } + }, + "569769": { + "3806": { + "79752": "a blue and white china cup filled with a coffee drink and topped with cinnamon", + "79753": "the cappuccino to the lower left hand side of the fruit and the cake" + } + }, + "569795": { + "2833": { + "59544": "man looking at reflection brushing teeth", + "59545": "a man brushing with his left hand" + } + }, + "570285": { + "2635": { + "55690": "the skis that are being worn by the child who is facing the woman", + "55691": "the ski on which a child is standing" + } + }, + "570457": { + "601": { + "12983": "a woman with a pink collar", + "12984": "a woman with dishes in trays" + } + }, + "570474": { + "3357": { + "70344": "a woman holding a cell phone", + "70345": "a young woman looking at a cell phone and smiling" + } + }, + "570538": { + "2205": { + "46674": "a man in a red t shirt and a hat bending over", + "46675": "man bent over in red shirt" + }, + "4831": { + "100694": "a woman in blue plays baseball", + "100695": "a woman holding bat in the hands and wearing cooling glass" + } + }, + "570568": { + "3798": { + "79488": "a man combing his hair while another man shaves his face", + "79489": "the man getting his face shaved" + } + }, + "570870": { + "4534": { + "94419": "the bird with its head not in the cup" + } + }, + "570968": { + "2254": { + "47696": "a four legged stool closes to the long haired man" + } + }, + "571249": { + "2741": { + "57800": "one of the four cows which is grey incolor" + } + }, + "571451": { + "3088": { + "64630": "half a sandwich with a bite taken out of it sits beside the other half on a plate in front of two bottles and a glass of coke", + "64631": "right half of the sandwich in the foreground" + }, + "3306": { + "69180": "the half of a sanwhich that is on the left of the plate without a bite out of it on the left part of the table", + "69181": "meat sandwich to left of other meat sandwich" + } + }, + "571554": { + "2782": { + "58588": "black back pack on skier to left", + "58589": "a black backpack on the back of a person wearing a blue helmet" + } + }, + "571653": { + "676": { + "14694": "a woman with pulled back black hair , wearing glasses , in a red shirt", + "14695": "a woman in a red blouse next to a woman in black" + } + }, + "571661": { + "291": { + "5933": "a child in a grey hoodie", + "5934": "a woman in a grey hoodie sits on a box in front of a table of bananas" + } + }, + "571702": { + "638": { + "13717": "the baby holding the remote controller", + "13718": "baby sitting on mans lap , holding remote control" + } + }, + "571719": { + "3800": { + "79629": "a woman wearing a grey shrt", + "79630": "woman wearing a gray shirt with a tank top underneath and hoop earrings" + } + }, + "571885": { + "2367": { + "49869": "broccoli on a few carrots in a bowl", + "49870": "two broccoli sprigs over carrot sticks" + } + }, + "572061": { + "241": { + "4779": "a girl in a red top and a boy in a blue top that are on surfboards and they are not looking at the camera", + "4780": "girl with red shirt swimming in water with other children" + } + }, + "572245": { + "363": { + "7536": "the person wearing black who is holding a hot dog", + "7537": "shirt on a person holding a hot dog" + }, + "2789": { + "58780": "gray pants of person standing to the back left of the hot dog", + "58781": "leg of man standing in background" + } + }, + "572789": { + "1036": { + "22357": "a woman with a green and black face cover smiling in the camera", + "22358": "a woman in a black top and a green veil" + } + }, + "573107": { + "1419": { + "30082": "a catcher in red and white", + "30083": "catcher" + } + }, + "573476": { + "1992": { + "42197": "a child in a blue vest reaching", + "42198": "a boy at a table reaching for a cupcake" + } + }, + "573520": { + "1558": { + "33346": "bag behind green bag without yellow tag" + } + }, + "573724": { + "253": { + "4968": "a beige side chair with a brown pillow", + "4969": "a white cushion chair with a grey pillow on it" + } + }, + "573854": { + "532": { + "11653": "smaller green plane", + "11654": "small green propeller airplane" + } + }, + "573931": { + "1473": { + "31439": "a smoothie with a blue and white straw", + "31440": "a cup of juice , with a blue / white stripped straw" + } + }, + "574453": { + "1724": { + "36483": "a dressed - up girl smiling and posing beside her date", + "36484": "this is a woman wearing black glasses and has curly hair" + } + }, + "574563": { + "1185": { + "25478": "the person with their back to the camera", + "25479": "back of a person wearing a plaid shirt" + } + }, + "575284": { + "4306": { + "89871": "a blue t - shirt boy skating in sea", + "89872": "a gut wearing blue tshirt standing on a surfing board stretching his right hand to a friend" + } + }, + "575641": { + "542": { + "11877": "man in black", + "11878": "a black man in a black shirt" + } + }, + "576187": { + "1930": { + "40717": "a police scooter coming from behind" + } + }, + "576212": { + "3488": { + "73158": "a white external keyboard", + "73159": "a white keyboard" + } + }, + "576290": { + "2753": { + "58151": "a baby giraffe in a closed pen", + "58152": "baby giraffe" + } + }, + "576457": { + "1910": { + "40374": "black microwave", + "40375": "a black microwave oven on top of a white microwave" + } + }, + "576818": { + "4421": { + "92277": "a meat sandwich which is touching the onion rings", + "92278": "half of the meatball sandwich on the right" + } + }, + "577129": { + "3801": { + "79685": "a flower pot with purple flowers in it", + "79686": "a group of purple flowers in a toilet" + } + }, + "577140": { + "302": { + "6130": "red apple with two holes on top", + "6131": "a red apple with two black spots near the top" + } + }, + "577278": { + "1601": { + "34196": "a cut in center", + "34197": "the middle most cup in the stack of cups" + } + }, + "578002": { + "2797": { + "58953": "an afton mountain vinyard wine glass still has some red wine in it", + "58954": "wine glass in someone ' s hand with a little wine left at the bottom" + } + }, + "578294": { + "2412": { + "50693": "an figure 8 shaped red vase with green vines and leaves", + "50694": "a red vase that is to the right of two other similar red vase" + } + }, + "578375": { + "2614": { + "55246": "man with red and black suitcase in front of him", + "55247": "a man reading his laptop in the waiting room" + }, + "4985": { + "104077": "a lone yellow chair in the midst of green , blue and pink chairs", + "104078": "a yellow vinyl seat between a pink seat and a green seat" + } + }, + "578521": { + "4811": { + "100205": "person holding tongs", + "100206": "a man in a black chef coat using tongs to cook with" + } + }, + "578702": { + "1409": { + "29841": "a single broccoli floret sitting on the top", + "29842": "a piece of broccoli on top and in the middle of the plate" + } + }, + "579299": { + "4940": { + "102958": "the pizza nearest to the camera", + "102959": "a pizza in front of the red beverage" + } + }, + "579366": { + "1441": { + "30631": "elephant was in grass with her baby", + "30632": "elephant standing near small elephant" + } + }, + "579785": { + "1051": { + "22693": "woman looking at friends cell phone", + "22694": "an asian girl in glasses looking at a phone" + } + }, + "579813": { + "3765": { + "78908": "the lady is sittig", + "78909": "little boy sitting at the top of the ramp , wearing white shirt and red shoes" + } + }, + "579907": { + "3853": { + "80464": "a black love seat couch near the silver handle on the outside door", + "80465": "a black couch to the left of the televison" + } + }, + "580296": { + "2879": { + "60471": "small bear on his hind legs on the fence", + "60472": "a bear standing against the fence" + } + }, + "580579": { + "3378": { + "70770": "brown donut with white topping and brown nuts", + "70771": "a doughnut with cream frosting with crumbles on top" + } + }, + "580585": { + "64": { + "1139": "reflection of the bird in the mirror", + "1140": "a reflection of a green love parrot in the mirror" + } + }, + "580668": { + "4880": { + "101589": "a blue vehicle parked directly behind a bench", + "101590": "the blue car behind the man with the blue hat" + } + }, + "581563": { + "2740": { + "57784": "taxi cab with premium on the trunk", + "57785": "a yellow taxi waiting in a line of cars" + } + }, + "581857": { + "1448": { + "30867": "the woman in the grey shirt with a watch on her wrist ..", + "30868": "a short haired woman in jeans shopping" + } + } +} \ No newline at end of file diff --git a/make_refcoco/refcocog_google/needrevision_refid_part4.json b/make_refcoco/refcocog_google/needrevision_refid_part4.json new file mode 100644 index 0000000000000000000000000000000000000000..4fe97ee86360f9aba45d5a6c2dd3230b3058953e --- /dev/null +++ b/make_refcoco/refcocog_google/needrevision_refid_part4.json @@ -0,0 +1,506 @@ +{ + "4859": { + "101105": "man sitting on the ground playing wii", + "101106": "man in white and light blue t - shirt" + }, + "678": { + "14720": "the man crouching inside the plane", + "14721": "the man wearing white hat" + }, + "162": { + "2908": "the man resting his face on his hands", + "2909": "the man with a plastic bag between his feet" + }, + "3052": { + "63901": "person looking at a book", + "63902": "person wearing a hat and backpack" + }, + "2355": { + "49522": "the cat sitting in the chair", + "49523": "cat on left side" + }, + "3408": { + "71397": "a man bending and judging a tennis match", + "71398": "a man wearing a red shirt and black pants" + }, + "834": { + "17983": "a giraffe who is eating hay out of a feeder", + "17984": "the giraffe on the right side of the pole" + }, + "328": { + "6730": "person bending over", + "6731": "big person in blue cap" + }, + "1646": { + "35169": "person about to hit a ball", + "35170": "person wearing shirt and pants" + }, + "4400": { + "91825": "boy sitting on his skateboard and looking at another boy", + "91826": "boy wearing dark t - shirt and jeans" + }, + "3683": { + "77184": "a man dishing up food", + "77185": "a man in military camo and a black hat on the right" + }, + "3788": { + "79367": "a black cat sitting and starring", + "79368": "a cat with a heart shaped tag" + }, + "4701": { + "97795": "person whose tie is being pulled by another person", + "97796": "person in blue shirt with a red undone tie" + }, + "1211": { + "26003": "person putting arm around another person", + "26004": "person with backpack" + }, + "2138": { + "45446": "a person sleeping on the top bunk", + "45447": "a person in a green shirt and brown shorts" + }, + "3510": { + "73478": "personn sitting in a train compartment and reading book", + "73479": "person in striped shirt" + }, + "899": { + "19308": "a man serving soup", + "19309": "a man with tattoo on his arm" + }, + "293": { + "5939": "a lady laughing and looking at another lady", + "5940": "a lady with dark hair and a dark shirt" + }, + "3196": { + "67017": "person holding a pen", + "67018": "person in a brown suit" + }, + "1939": { + "41076": "a person sitting cross legged on the beach", + "41077": "person in khakis and a white shirt with yellow flowers" + }, + "2659": { + "56121": "person helping another cross a stream", + "56122": "person in white dress" + }, + "2849": { + "59798": "person looking down drinking a glass of wine", + "59799": "person on the right side not wearing glasses" + }, + "756": { + "16375": "the woman about to pick up a slice of pizza", + "16376": "a woman with a flower shirt" + }, + "4573": { + "95258": "person reaching for another person with the frisbee", + "95259": "person with blue and white striped shirt on" + }, + "4514": { + "94061": "person running behind", + "94062": "person in dark brown top and jeans" + }, + "304": { + "6165": "person resting her head in hand and crossing one's legs", + "6166": "the person in pink jacket" + }, + "3465": { + "72753": "person sitting on a love seat and watching others play wii", + "72754": "person in a black shirt and white shorts" + }, + "1092": { + "23796": "a bear standing up with its mouth open", + "23797": "a bear on the right" + }, + "2025": { + "42838": "the person leading the horse", + "42839": "the person in gray top and jeans" + }, + "1701": { + "36094": "giraffe biting off of a tree", + "36095": "tall giraffe on the right" + }, + "2958": { + "62137": "person playing with dog", + "62138": "balding person wearing brown hoodie" + }, + "4793": { + "99824": "the girl eating and looking at her plate", + "99825": "the girl wearing a pink shirt" + }, + "1247": { + "26624": "the person holding the bat", + "26625": "the person in white t - shirt and grey pants" + }, + "1841": { + "38888": "person resting hands on other people's shoulders", + "38889": "tallest person wearing bright suit" + }, + "4404": { + "91907": "a elephant whose trunk pointing to the floor , may be touching", + "91908": "elephant more on the right side of the picture" + }, + "4536": { + "94448": "a person reaching for the microwave looking at the camera", + "94449": "person in black t shirt" + }, + "2787": { + "58740": "a giraffe snacking on the tree", + "58741": "a giraffe on the right" + }, + "3377": { + "70765": "a zebra resting its head on another zebra ' s back", + "70766": "a zebra on the left" + }, + "3889": { + "81051": "a man holding a basket of pastries", + "81052": "a man wearing grey hoodie" + }, + "2194": { + "46507": "standing dog", + "46508": "a black and white dog with a blue collar tag" + }, + "508": { + "11146": "person being held by another person", + "11147": "person dressed in a red suit and blue cap" + }, + "2312": { + "48847": "a bird standing on a table", + "48848": "a bird on the left" + }, + "3948": { + "82190": "the woman who is squinting in one eye", + "82191": "a blue eyed brown haired woman not wearing glasses" + }, + "1388": { + "29353": "person holding another person while watching giraffe drink water", + "29354": "person in brown shirt with bag" + }, + "2690": { + "56849": "a man about to kick a ball", + "56850": "a man in all white with number 23 on his chest" + }, + "1109": { + "24043": "man holding the ktie", + "24044": "man on the right" + }, + "1374": { + "29120": "person arranging pansts of another person", + "29121": "the person with in the black tuxedo and glasses in his head" + }, + "3475": { + "72951": "woman holding the horse", + "72952": "a woman wearing spectacles with violet shirt and flourecent colour waist vest" + }, + "1333": { + "28225": "a person holding another person", + "28226": "a person in a pink and orange flannel shirt" + }, + "2068": { + "43909": "person standing and playing wii", + "43910": "person wearing black t - shirt" + }, + "2824": { + "59394": "person standing besides a table crossing arms", + "59395": "person with glasses and long hair" + }, + "2294": { + "48483": "a person sitting on bike holding another person", + "48484": "a person with a helmet on the head" + }, + "2446": { + "51355": "an elephant that has it ' s trunk pointing towards the water", + "51356": "elephant on the left" + }, + "2686": { + "56783": "a man staring at another man", + "56784": "a man in an orange tie" + }, + "4558": { + "94950": "a zebra facing the camera", + "94951": "a small zebra beside a larger zebra" + }, + "1499": { + "32051": "a man resting on a metal fence", + "32052": "a man in white shirt and polka dot tie" + }, + "4303": { + "89833": "a man throwing a banana", + "89834": "a man in bike gear on the right of the picture" + }, + "1376": { + "29146": "a man sitting down with his hands together", + "29147": "a man with a purple shirt and khaki pants " + }, + "3544": { + "74100": "the man holding a riding crop", + "74101": "man in black shirt and slacks on the left" + }, + "1858": { + "39103": "a bull standing", + "39104": "a white and brown bull on the left of the picture" + }, + "434": { + "9561": "the man looking down", + "9562": "the man on the left" + }, + "3024": { + "63345": "a baseball player sliding into a base", + "63346": "baseball player wearing the number 12" + }, + "513": { + "11239": "a man riding on a skateboard as his picture is being taken", + "11240": "a man in a purple t - shirt and ripped jeans" + }, + "693": { + "14989": "a person standing", + "14990": "a small person" + }, + "2523": { + "53103": "a baseball player sliding into home plate and getting tagged by the catcher", + "53104": "a la dodgers player on the right of the picture" + }, + "4987": { + "104145": "a girl punching out her arm while playing an interactive video game", + "104146": "girl wearing grey and white stripes and sweatpants" + }, + "4041": { + "84159": "soccer player about to kick soccer ball", + "84160": "soccer player wearing black t - shirt and black gloves" + }, + "2105": { + "44674": "a baseball player holding his arm up to catch a ball", + "44675": "a baseball player wearing helmet and vest" + }, + "135": { + "2353": "dog resting it ' s head on a table", + "2354": "golden dog" + }, + "3613": { + "75580": "person talking to another person while crossing legs", + "75581": "person with long sleeve shirt, jeans and cap" + }, + "1722": { + "36451": "person pulling another person's tie", + "36452": "blonde person in black dress" + }, + "1607": { + "34281": "a person reading a book to another person he ' s holding", + "34282": "a bald person wearing a beige t - shirt and gray jeans" + }, + "2761": { + "58225": "girl propping her chin on her hand", + "58226": "girl in a pink shirt near window" + }, + "2454": { + "51492": "a man looking at laptop", + "51493": "the man with glasses and painted fingernails" + }, + "1603": { + "34234": "person eating a donut", + "34235": "person with the black beanie" + }, + "4794": { + "99868": "a duck that is looking straight ahead", + "99869": "the duck on the right side" + }, + "2485": { + "52246": "a person reaching across the net", + "52247": "tallest person in a grey shirt and shorts" + }, + "3280": { + "68799": "a boy walking towards his skate board", + "68800": "a boy in a striped shirt" + }, + "3336": { + "69882": "person holding a piece of chocolate cake", + "69883": "person wearing a purple dress" + }, + "3118": { + "65349": "giraffe stretching its neck straight up", + "65350": "taller giraffe" + }, + "4494": { + "93729": "man touching the frisbee", + "93730": "a man in a white shirt" + }, + "3004": { + "62940": "person crouching to catch a ball", + "62941": "person in a red uniform and helmet" + }, + "127": { + "2256": "a person holding a plate", + "2257": "the person in the purple coat" + }, + "3389": { + "70905": "person waving", + "70906": "person in black sneakers" + }, + "2568": { + "54256": "person looking at phone", + "54257": "blonde person on the right" + }, + "2283": { + "48251": "the cook holding a plate", + "48252": "middle cook of three cooks" + }, + "1530": { + "32639": "person petting the cat", + "32640": "person with sleeves rolled up" + }, + "4251": { + "88833": "a person reading a book", + "88834": "person in a striped jacket " + }, + "2540": { + "53539": "a man reaching out his right arm holding a controller", + "53540": "a man in red shirt and black jeans" + }, + "2870": { + "60169": "a person watching horse riding", + "60170": "a person in a white jacket and beige pants" + }, + "4946": { + "103092": "a man about to hit a ball", + "103093": "a man in red shirt and blue vest" + }, + "113": { + "1973": "person holding phone", + "1974": "person with a black shirt and brown coat" + }, + "711": { + "15398": "girl crouching and holding an umbrella", + "15399": "girl wearing light green socks on the left" + }, + "3209": { + "67236": "the person that is sliding into home , getting tagged out by the catcher", + "67237": "the person in the white vest over the blue shirt" + }, + "3620": { + "75711": "person petting a horse", + "75712": "a person in white t - shirt" + }, + "4382": { + "91559": "horse being hugged by a person", + "91560": "white and brown horse" + }, + "2861": { + "60004": "a man playing tennis", + "60005": "a man wearing a blue shirt and white shorts" + }, + "3954": { + "82306": "a person putting gloves on", + "82307": "person with dark blue jumper" + }, + "1984": { + "42076": "a person being held by another person", + "42077": "little person on pink skiis with yellow parka on" + }, + "2069": { + "43945": "a person helping another person ski", + "43946": "a big person in white jumper and backpack" + }, + "2016": { + "42686": "person putting food in the oven", + "42687": "person in green t - shirt" + }, + "1153": { + "25076": "a giraffe , with head lowered , crosses in front of another giraffe", + "25077": "giraffe in the middle" + }, + "3614": { + "75583": "a man in explaining something on a tablet", + "75584": "a man with a blue cap and striped shirt" + }, + "198": { + "3830": "a giraffe bending down to eat grass", + "3831": "giraffe in front" + }, + "3012": { + "63097": "person standing with hands on hips", + "63098": "person in a white collared shirt and jeans" + }, + "4247": { + "88808": "man pointing toward another man", + "88809": "man in plaid shirt" + }, + "2205": { + "46674": "person bending over", + "46675": "person in red shirt and cap" + }, + "4831": { + "100694": "person holding bat in hands", + "100695": "person wearing light blue shirt and glass" + }, + "4534": { + "94419": "the bird not drinking", + "94420": "the bird on the left" + }, + "638": { + "13717": "person sitting on another person's lap and holding the remote controller", + "13718": "small person in red shirt" + }, + "1419": { + "30082": "person squatting on the ground to catch a ball", + "30083": "person in red and white wearing glove" + }, + "1992": { + "42197": "a person reaching for a cupcake", + "42198": "a person in a blue vest" + }, + "542": { + "11877": "man receiving food", + "11878": "a black man in a black shirt" + }, + "2223": { + "47051": "person sitting a chair holding a protest sign", + "47052": "old person in grey t - shirt and blue jeans" + }, + "4865": { + "101219": "person being held by another person", + "101220": "a young person wearing a yellow shirt" + }, + "751": { + "16247": "person holding a painting brush", + "16248": "person wearing white top and cap" + }, + "3540": { + "74039": "a man swinging a bat", + "74040": "a man in a blue baseball shirt and white pants" + }, + "3765": { + "78908": "person sitting", + "78909": "person wearing white shirt and red shoes" + }, + "2879": { + "60471": "bear standing against the fence", + "60472": "a small bear on the right" + }, + "4529": { + "94312": "kid holding out left arm playing wii", + "94313": "kid in a green and red sweatshirt" + }, + "2131": { + "45308": "man putting both hands behind his head", + "45309": "a man with the pool noodle" + }, + "1306": { + "27841": "a cow eating grass", + "27842": "the cow on the right" + }, + "3508": { + "73469": "a person standing and playing a video game", + "73470": "a little person dressed in brown" + }, + "4165": { + "87036": "a child holding feathers", + "87037": "a child wearing green t - shirt" + }, + "4126": { + "86073": "a person standing and reading a book", + "86074": "a person in a suit" + }, + "388": { + "8339": "a man holding up an umbrella in the rain for a man who is fixing a tire", + "8340": "a man wearing glasses in a red jacket" + } +} \ No newline at end of file diff --git a/make_refcoco/refcocog_umd/needrevision_refid_part4.json b/make_refcoco/refcocog_umd/needrevision_refid_part4.json new file mode 100644 index 0000000000000000000000000000000000000000..40953cd396df831d56e02106606dfb27a2562ab5 --- /dev/null +++ b/make_refcoco/refcocog_umd/needrevision_refid_part4.json @@ -0,0 +1,498 @@ +{ + "1679": { + "37582": "player holding a baseball glove", + "37583": "a blurred player" + }, + "4048": { + "92810": "player hitting a ball with a baseball bat", + "92811": "player with number 18 on his back" + }, + "2530": { + "57782": "man crouching ready to catch a ball", + "57783": "man with 55 on his back" + }, + "4385": { + "101410": "man leaning on one leg watching the players", + "101411": "man in gray pants" + }, + "5018": { + "102413": "man standing ready to swing his bat", + "102414": "man in front of the other two men" + }, + "2290": { + "52302": "sheep standing in the pasture next to a sitting sheep", + "52303": "the front most sheep" + }, + "2347": { + "53861": "a sheep sitting down in the grass", + "53862": "a sheep in the background" + }, + "3143": { + "71854": "a horse being led by it ' s trainer", + "71855": "a horse in front of the picture" + }, + "1688": { + "37818": "zebra eating grass", + "37819": "the zebra in the middle with its face near the ground" + }, + "944": { + "21007": "a bird touching its neck with its right feet", + "21008": "a bird in the back" + }, + "3477": { + "79163": "the bird standing and looking to the left", + "79164": "bird with both feet in the water" + }, + "2497": { + "56845": "person holding a baseball bat", + "56846": "person in blue baseball cap" + }, + "4110": { + "94298": "person sitting and watching children play a ballgame", + "94299": "person wearing a white shirt and black leggings" + }, + "2011": { + "45909": "a woman talking on her cell phone", + "45910": "a blonde woman wearing a blue shirt and white shorts" + }, + "2884": { + "65819": "a woman looking at her phone", + "65820": "a woman with black hair wearing jeans, a striped gray shirt and flip flops" + }, + "1076": { + "24000": "person crossing a stream of water", + "24001": "person wearing jeans and a green vest" + }, + "4803": { + "56121": "person helping the other cross a stream", + "56122": "person in white dress" + }, + "3508": { + "80112": "baseball player placing his hands on his hips", + "80113": "a baseball player named datz" + }, + "169": { + "4002": "person feeding a giraffe", + "4003": "a small person in light blue shirt" + }, + "258": { + "5988": "person holding a child", + "5989": "person wearing glasses and navy shirt" + }, + "3661": { + "83542": "person sitting on the floor", + "83543": "person in a grey shirt and dark pants" + }, + "4831": { + "62137": "person sitting on couch and playing with a dog", + "62138": "bald person wearing jeans and brown hoodie" + }, + "2214": { + "50208": "a woman eating a donut", + "50209": "a brown hair woman in gray sweater" + }, + "2266": { + "51661": "a woman holding a purse", + "51662": "a woman with blonde hair and a black shirt" + }, + "2477": { + "56429": "girl talking and looking at another girl", + "56430": "girl in black" + }, + "5005": { + "99824": "girl eating and looking at her plate", + "99825": "girl wearing a pink shirt" + }, + "2919": { + "66832": "person riding a bike", + "66833": "asian person wearing black jacket" + }, + "1850": { + "42078": "man placing his hand on another man's shoulder", + "42079": "a man who is wearing a red color tie" + }, + "3757": { + "85761": "boy holding a cell phone", + "85762": "boy in a blue hoodie" + }, + "524": { + "12089": "a zebra that is not eating grass", + "12090": "a zebra on the far right" + }, + "4363": { + "100914": "elephant holding up its trunk", + "100915": "an elephant in front of another" + }, + "2976": { + "68306": "girl eating food from her right hand", + "68307": "a girl in a black flowered top" + }, + "838": { + "18887": "man leaning on bike on boat", + "18888": "a man not wearing a hat" + }, + "3044": { + "69755": "man rowing boat", + "69756": "a man on the left side of the picture" + }, + "2426": { + "55424": "the baseball player facing towards the right not doing a high five", + "55425": "baseball player in catcher ' s uniform" + }, + "2113": { + "47984": "person that is dancing", + "47985": "person with the thick beard, glasses and a hat" + }, + "2327": { + "53376": "person bathing another person", + "53377": "person in a floral print dress and hat" + }, + "4727": { + "39103": "a bull laying down", + "39104": "a white and brown bull on the right" + }, + "859": { + "19350": "cat sitting on a luggage and staring at the camera", + "19351": "cat infront of another cat" + }, + "935": { + "20809": "cat laying down on a bag", + "20810": "cat behind another cat" + }, + "1105": { + "24654": "an elephant stepping on a large log", + "24655": "elephant on far right" + }, + "395": { + "8819": "person placing her hands on one's hips", + "8820": "person on the far left" + }, + "771": { + "17614": "person holding a child on one's shoulders", + "17615": "tall person on the right" + }, + "2942": { + "67334": "person sitting on another person's shoulders", + "67335": "small person on the right" + }, + "41": { + "961": "a lady pouring wine in a glass", + "962": "a lady in black tank top" + }, + "885": { + "19926": "person feeding another person with a bottle", + "19927": "person in black blouse" + }, + "4862": { + "69276": "person drinking from a bottle", + "69277": "small person in white pajamas" + }, + "1246": { + "27831": "person holding a laptop", + "27832": "person with curly brown hair wearing jeans" + }, + "3346": { + "76051": "person filing her nails", + "76052": "person wearing a red robe and has a towel on her head" + }, + "3657": { + "83493": "person holding a bottle and listening to music", + "83494": "person wearing black in headphones" + }, + "540": { + "12381": "the woman is swinging the controller", + "12382": "woman in brown top on the right" + }, + "3364": { + "76757": "the woman looking at the camera and opening her mouth", + "76758": "a woman wearing a brown hooded sweatshirt on the left" + }, + "1880": { + "42973": "man looking ahead at the tv", + "42974": "a man in a white shirt" + }, + "1949": { + "44400": "a man looking at his phone", + "44401": "man in black t - shirt and cap" + }, + "1620": { + "36248": "person playing tennis", + "36249": "person in red tank top and black shorts" + }, + "2902": { + "66297": "person sitting and watching a tennis game", + "66298": "person in blue top" + }, + "397": { + "8843": "giraffe bending its head down", + "8844": "giraffe on the far right" + }, + "732": { + "16725": "baseball player squatting and watching closely to judge a play", + "16726": "baseball player in black top and gray pants" + }, + "1173": { + "26074": "a man swinging a bat", + "26075": "a man in blue and grey" + }, + "2920": { + "66854": "a man reaching out his left arm to catch a ball", + "66855": "a man in red uniform and helmet" + }, + "1643": { + "36762": "a man smiling looking down at other people", + "36763": "a man in a grey suite wearing a pink tie" + }, + "1454": { + "32177": "person in putting hands in one's pockets", + "32178": "person in gray shirt and jeans" + }, + "1725": { + "38835": "person crossing her arms walking with another person", + "38836": "person in a black shirt and jeans" + }, + "2338": { + "53733": "the person crouching and placing his hands on his knees", + "53734": "person with a black shirt and dark grey pants" + }, + "4249": { + "97957": "a baseball player reaching out his arm to catch a ball", + "97958": "a baseball player in green top" + }, + "3917": { + "89675": "cow looking at camera", + "89676": "a cow with an ear tag with the number 949 on it" + }, + "1156": { + "25761": "man sitting on the couch using a laptop", + "25762": "a man with a hat" + }, + "1998": { + "45619": "a person watching his phone", + "45620": "person wearing glasses" + }, + "3571": { + "81719": "person looking at one's phone", + "81720": "mature person with blonde hair and glasses" + }, + "292": { + "6707": "a zebra lying down in dirt", + "6708": "the zebra in the foreground" + }, + "3367": { + "76808": "a zebra standing in the zoo", + "76809": "a zebra in the background" + }, + "2069": { + "47212": "person leaning forward on skis", + "47213": "person in blue hat and jacket, black pants" + }, + "4050": { + "92834": "person standing straight looking at another person", + "92835": "a small person wearing purple pants" + }, + "2953": { + "67711": "person who is looking away", + "67712": "person in a suit" + }, + "4280": { + "98813": "person pulling another person's tie", + "98814": "a person in a white shirt" + }, + "1743": { + "39371": "a person holding and looking at another person", + "39372": "person with bald head and glasses" + }, + "4598": { + "13717": "person playing with the remote controller", + "13718": "small person in red shirt" + }, + "3380": { + "77052": "a person cutting a cake", + "77053": "a person in gray shirt that is not striped" + }, + "3439": { + "78305": "a person holding a spatula getting readyy to have a cake", + "78306": "a person in striped shirt" + }, + "3355": { + "76309": "a man swining his bat", + "76310": "a man in a baseball uniform with a brace on his left ankle" + }, + "3409": { + "77608": "a man holding out his arm to catch a ball", + "77609": "a man wearing a red vest with red shin guards" + }, + "711": { + "16184": "the man holding a cat in his arms", + "16185": "this is a man with thin rimmed glasses and a black scarf" + }, + "3764": { + "85913": "person holding a remote and smilling", + "85914": "person in a black t - shirt and not wearing glasses" + }, + "113": { + "2741": "a sheep being fed by a little girl", + "2742": "a sheep on the right" + }, + "518": { + "12021": "a sheep eating grass with its head down", + "12022": "a sheep on the left" + }, + "3158": { + "72128": "a boy crouching and placing both hands on his knees", + "72129": "boy wearing white baseball helmet , white baseball uniform with orange writing" + }, + "3223": { + "73555": "a boy pitching the ball to a player", + "73556": "a boy with the number 4 on his blue jersey" + }, + "914": { + "20478": "a person standing on a surf board , riding a wave", + "20479": "a person on the right" + }, + "3568": { + "81669": "surfer laying down", + "81670": "surfer on the left" + }, + "592": { + "13643": "person sits on the floor watching tv", + "13644": "person with a black hat and a beige shirt" + }, + "2856": { + "65208": "person sitting on a chair watching another person play video games", + "65209": "person in black shirt and jeans" + }, + "4879": { + "73469": "person playing a video game", + "73470": "blonde person dressed in brown" + }, + "157": { + "3682": "a woman holding a plate and reaching for condiments", + "3683": "woman wearing grey button up sweater" + }, + "1774": { + "40317": "person being held by another person", + "40318": "person with red hair, wearing a pink shirt" + }, + "2354": { + "53948": "person with child , catching a frisby", + "53949": "bigger person in white t - shirt" + }, + "174": { + "4179": "a lamb eating grass", + "4180": "a lamb to the left of another lamb" + }, + "2369": { + "54196": "the sheep that is looking into the camera", + "54197": "a white sheep with a black head on the right" + }, + "4247": { + "97897": "a woman holding an umbrella on a bench", + "97898": "woman on the right" + }, + "1014": { + "22621": "man receiving an award", + "22622": "a man in an orange and white uniform with a black cap" + }, + "1080": { + "24100": "a man offers a trophy to anothe man", + "24101": "a man in a suit" + }, + "2272": { + "51815": "the baseball player catching a ball", + "51816": "the baseball player in dark top and helmet" + }, + "2495": { + "56804": "a baseball player swinging at a ball", + "56805": "the baseball player in white uniform" + }, + "3511": { + "80309": "person holding a cup", + "80310": "person wearing pink shirt" + }, + "3955": { + "90542": "person holding a remote control", + "90543": "person in orange shirt" + }, + "2409": { + "55054": "a man adjusting his head band", + "55055": "man in orange and gray shirt" + }, + "2775": { + "63273": "a person holding a remote control", + "63274": "a tall person in white striped shirt and black pants" + }, + "996": { + "22281": "a woman holding a baby", + "22282": "woman wearing a black shirt and green apron" + }, + "4789": { + "52629": "a person holding skies in one's hands", + "52630": "a person with orange mirrored goggles" + }, + "1028": { + "22786": "the cow standing up", + "22787": "a cow in the middle" + }, + "244": { + "5666": "a man holding wine glass", + "5668": "a blonde man in a white shirt" + }, + "3538": { + "80923": "the man throwing the ball from the picther ' s mound", + "80924": "the man in front" + }, + "557": { + "12739": "a baseball player getting ready to swing the bat", + "12740": "a baseball player , wearing a white and blue uniform" + }, + "4982": { + "95870": "cat sitting in front of television on a stand", + "95871": "orange cat on the right side of the picture" + }, + "4570": { + "6638": "a woman cutting a cake", + "6639": "a woman wearing a long sleeve pink sweater" + }, + "1698": { + "38093": "a baseball player swinging his bat", + "38094": "a baseball player weaing a white uniform and blue helmet" + }, + "3182": { + "72616": "the baseball player playing the catcher position", + "72617": "the baseball player wearing a red and white uniform" + }, + "846": { + "19100": "a man holding a toothbrush in his mouth", + "19101": "a man wearing striped shirt" + }, + "671": { + "15227": "person petting a horse", + "15228": "person wearing a red jacket" + }, + "3254": { + "74216": "person sitting in the chair", + "74217": "person in the tan shirt wearing glasses" + }, + "3318": { + "75539": "the person who is smashing cake in his own face", + "75540": "person with a fake tie on its onesie" + }, + "1424": { + "31548": "person watching another person eat", + "31549": "person in the green shirt" + }, + "3926": { + "89831": "person eating a sandwich", + "89832": "person in orange top with sunglasses in one's head" + }, + "862": { + "19444": "a man driving a bicycle and pulling a cart behind", + "19445": "the man is wearing a pair of khaki shorts" + }, + "2932": { + "67140": "man standing on bike", + "67141": "man in blue jean shorts" + } +} \ No newline at end of file diff --git a/mbench/__pycache__/__init__.cpython-310.pyc b/mbench/__pycache__/__init__.cpython-310.pyc new file mode 100644 index 0000000000000000000000000000000000000000..ba75a41767355cf5b9a0080ccb06a0020adaa102 Binary files /dev/null and b/mbench/__pycache__/__init__.cpython-310.pyc differ diff --git a/mbench/__pycache__/ytvos_ref.cpython-310.pyc b/mbench/__pycache__/ytvos_ref.cpython-310.pyc new file mode 100644 index 0000000000000000000000000000000000000000..e43e0c30c8d976806a11ba5ef516cc5d3a26bc66 Binary files /dev/null and b/mbench/__pycache__/ytvos_ref.cpython-310.pyc differ diff --git a/mbench/check_image_numbered_cy.ipynb b/mbench/check_image_numbered_cy.ipynb new file mode 100644 index 0000000000000000000000000000000000000000..fb6b9768105b44020a02e8e65a23fde0fb26cd29 --- /dev/null +++ b/mbench/check_image_numbered_cy.ipynb @@ -0,0 +1,522 @@ +{ + "cells": [ + { + "cell_type": "code", + "execution_count": 1, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "/data/projects/yejin/VerbCentric_RIS\n", + "/data/projects/yejin/VerbCentric_RIS/ReferFormer\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/yejin/.conda/envs/t2v/lib/python3.10/site-packages/IPython/core/magics/osm.py:417: UserWarning: This is now an optional IPython functionality, setting dhist requires you to install the `pickleshare` library.\n", + " self.shell.db['dhist'] = compress_dhist(dhist)[-100:]\n" + ] + } + ], + "source": [ + "import os\n", + "print(os.getcwd()) # 현재 작업 디렉토리 출력\n", + "%cd /data/projects/yejin/VerbCentric_RIS/ReferFormer" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "metadata": {}, + "outputs": [], + "source": [ + "import sys\n", + "import os\n", + "import argparse\n", + "import opts\n", + "\n", + "import numpy as np\n", + "import cv2\n", + "from PIL import Image\n", + "import json\n", + "\n", + "from mbench.ytvos_ref import build as build_ytvos_ref\n", + "import t2v_metrics\n", + "\n", + "import matplotlib.pyplot as plt\n", + "import textwrap" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 1. 데이터 불러오기" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "skipped 57 short videos\n", + "\n", + " video num: 3471 clip num: 3414\n", + "\n", + "\n" + ] + } + ], + "source": [ + "if 'ipykernel_launcher' in sys.argv[0]:\n", + " sys.argv = sys.argv[:1] # Jupyter 추가 인자를 제거\n", + "\n", + "parser = argparse.ArgumentParser('ReferFormer training and evaluation script', parents=[opts.get_args_parser()])\n", + "args = parser.parse_args()\n", + "\n", + "#==================데이터 불러오기===================\n", + "# 전체 데이터셋\n", + "train_dataset = build_ytvos_ref(image_set = 'train', args = args)\n", + "\n", + "# 전체 데이터셋 메타데이터\n", + "metas = train_dataset.metas" + ] + }, + { + "cell_type": "code", + "execution_count": 16, + "metadata": {}, + "outputs": [], + "source": [ + "with open('mbench/numbered_captions_gpt-4o_final.json', 'r') as file:\n", + " all_captions = json.load(file)\n", + "\n", + "with open('mbench/numbered_valid_obj_ids_gpt-4o_final.json', 'r') as file:\n", + " all_valid_obj_ids = json.load(file)" + ] + }, + { + "cell_type": "code", + "execution_count": 17, + "metadata": {}, + "outputs": [], + "source": [ + "# 색상 후보 8개 (RGB 형식)\n", + "colors = [\n", + " (255, 0, 0), # Red\n", + " (0, 255, 0), # Green\n", + " (0, 0, 255), # Blue\n", + " (255, 255, 0), # Yellow\n", + " (255, 0, 255), # Magenta\n", + " (0, 255, 255), # Cyan\n", + " (128, 0, 128), # Purple\n", + " (255, 165, 0) # Orange\n", + "]" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 2. 시각화" + ] + }, + { + "cell_type": "code", + "execution_count": 20, + "metadata": {}, + "outputs": [ + { + "data": { + "application/vnd.jupyter.widget-view+json": { + "model_id": "9547108f20a94e17a36012d3e8fe3a6f", + "version_major": 2, + "version_minor": 0 + }, + "text/plain": [ + "config.json: 0%| | 0.00/1.20k [00:00 1\u001b[0m clip_flant5_score \u001b[38;5;241m=\u001b[39m \u001b[43mt2v_metrics\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mVQAScore\u001b[49m\u001b[43m(\u001b[49m\u001b[43mmodel\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mclip-flant5-xxl\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m)\u001b[49m\n", + "File \u001b[0;32m/data/projects/yejin/VerbCentric_RIS/t2v_metrics/t2v_metrics/score.py:25\u001b[0m, in \u001b[0;36mScore.__init__\u001b[0;34m(self, model, device, cache_dir, **kwargs)\u001b[0m\n\u001b[1;32m 23\u001b[0m \u001b[38;5;28;01massert\u001b[39;00m model \u001b[38;5;129;01min\u001b[39;00m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mlist_all_models()\n\u001b[1;32m 24\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mdevice \u001b[38;5;241m=\u001b[39m device\n\u001b[0;32m---> 25\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mmodel \u001b[38;5;241m=\u001b[39m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mprepare_scoremodel\u001b[49m\u001b[43m(\u001b[49m\u001b[43mmodel\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mdevice\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mcache_dir\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43mkwargs\u001b[49m\u001b[43m)\u001b[49m\n", + "File \u001b[0;32m/data/projects/yejin/VerbCentric_RIS/t2v_metrics/t2v_metrics/vqascore.py:15\u001b[0m, in \u001b[0;36mVQAScore.prepare_scoremodel\u001b[0;34m(self, model, device, cache_dir, **kwargs)\u001b[0m\n\u001b[1;32m 10\u001b[0m \u001b[38;5;28;01mdef\u001b[39;00m\u001b[38;5;250m \u001b[39m\u001b[38;5;21mprepare_scoremodel\u001b[39m(\u001b[38;5;28mself\u001b[39m,\n\u001b[1;32m 11\u001b[0m model\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mclip-flant5-xxl\u001b[39m\u001b[38;5;124m'\u001b[39m,\n\u001b[1;32m 12\u001b[0m device\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mcuda\u001b[39m\u001b[38;5;124m'\u001b[39m,\n\u001b[1;32m 13\u001b[0m cache_dir\u001b[38;5;241m=\u001b[39mHF_CACHE_DIR,\n\u001b[1;32m 14\u001b[0m \u001b[38;5;241m*\u001b[39m\u001b[38;5;241m*\u001b[39mkwargs):\n\u001b[0;32m---> 15\u001b[0m \u001b[38;5;28;01mreturn\u001b[39;00m \u001b[43mget_vqascore_model\u001b[49m\u001b[43m(\u001b[49m\n\u001b[1;32m 16\u001b[0m \u001b[43m \u001b[49m\u001b[43mmodel\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 17\u001b[0m \u001b[43m \u001b[49m\u001b[43mdevice\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mdevice\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 18\u001b[0m \u001b[43m \u001b[49m\u001b[43mcache_dir\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mcache_dir\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 19\u001b[0m \u001b[43m \u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43mkwargs\u001b[49m\n\u001b[1;32m 20\u001b[0m \u001b[43m \u001b[49m\u001b[43m)\u001b[49m\n", + "File \u001b[0;32m/data/projects/yejin/VerbCentric_RIS/t2v_metrics/t2v_metrics/models/vqascore_models/__init__.py:22\u001b[0m, in \u001b[0;36mget_vqascore_model\u001b[0;34m(model_name, device, cache_dir, **kwargs)\u001b[0m\n\u001b[1;32m 20\u001b[0m \u001b[38;5;28;01massert\u001b[39;00m model_name \u001b[38;5;129;01min\u001b[39;00m list_all_vqascore_models()\n\u001b[1;32m 21\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m model_name \u001b[38;5;129;01min\u001b[39;00m CLIP_T5_MODELS:\n\u001b[0;32m---> 22\u001b[0m \u001b[38;5;28;01mreturn\u001b[39;00m \u001b[43mCLIPT5Model\u001b[49m\u001b[43m(\u001b[49m\u001b[43mmodel_name\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mdevice\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mdevice\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mcache_dir\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mcache_dir\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43mkwargs\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 23\u001b[0m \u001b[38;5;28;01melif\u001b[39;00m model_name \u001b[38;5;129;01min\u001b[39;00m LLAVA_MODELS:\n\u001b[1;32m 24\u001b[0m \u001b[38;5;28;01mreturn\u001b[39;00m LLaVAModel(model_name, device\u001b[38;5;241m=\u001b[39mdevice, cache_dir\u001b[38;5;241m=\u001b[39mcache_dir, \u001b[38;5;241m*\u001b[39m\u001b[38;5;241m*\u001b[39mkwargs)\n", + "File \u001b[0;32m/data/projects/yejin/VerbCentric_RIS/t2v_metrics/t2v_metrics/models/vqascore_models/clip_t5_model.py:166\u001b[0m, in \u001b[0;36mCLIPT5Model.__init__\u001b[0;34m(self, model_name, device, cache_dir)\u001b[0m\n\u001b[1;32m 161\u001b[0m \u001b[38;5;28;01mdef\u001b[39;00m\u001b[38;5;250m \u001b[39m\u001b[38;5;21m__init__\u001b[39m(\u001b[38;5;28mself\u001b[39m,\n\u001b[1;32m 162\u001b[0m model_name\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mclip-flant5-xxl\u001b[39m\u001b[38;5;124m'\u001b[39m,\n\u001b[1;32m 163\u001b[0m device\u001b[38;5;241m=\u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mcuda\u001b[39m\u001b[38;5;124m'\u001b[39m,\n\u001b[1;32m 164\u001b[0m cache_dir\u001b[38;5;241m=\u001b[39mHF_CACHE_DIR):\n\u001b[1;32m 165\u001b[0m \u001b[38;5;28;01massert\u001b[39;00m model_name \u001b[38;5;129;01min\u001b[39;00m CLIP_T5_MODELS\n\u001b[0;32m--> 166\u001b[0m \u001b[38;5;28;43msuper\u001b[39;49m\u001b[43m(\u001b[49m\u001b[43m)\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[38;5;21;43m__init__\u001b[39;49m\u001b[43m(\u001b[49m\u001b[43mmodel_name\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mmodel_name\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 167\u001b[0m \u001b[43m \u001b[49m\u001b[43mdevice\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mdevice\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 168\u001b[0m \u001b[43m \u001b[49m\u001b[43mcache_dir\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mcache_dir\u001b[49m\u001b[43m)\u001b[49m\n", + "File \u001b[0;32m/data/projects/yejin/VerbCentric_RIS/t2v_metrics/t2v_metrics/models/model.py:27\u001b[0m, in \u001b[0;36mScoreModel.__init__\u001b[0;34m(self, model_name, device, cache_dir)\u001b[0m\n\u001b[1;32m 25\u001b[0m os\u001b[38;5;241m.\u001b[39mmakedirs(\u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mcache_dir)\n\u001b[1;32m 26\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mimage_loader \u001b[38;5;241m=\u001b[39m image_loader\n\u001b[0;32m---> 27\u001b[0m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mload_model\u001b[49m\u001b[43m(\u001b[49m\u001b[43m)\u001b[49m\n", + "File \u001b[0;32m/data/projects/yejin/VerbCentric_RIS/t2v_metrics/t2v_metrics/models/vqascore_models/clip_t5_model.py:192\u001b[0m, in \u001b[0;36mCLIPT5Model.load_model\u001b[0;34m(self)\u001b[0m\n\u001b[1;32m 188\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mconversational_style \u001b[38;5;241m=\u001b[39m CLIP_T5_MODELS[\u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mmodel_name][\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mmodel\u001b[39m\u001b[38;5;124m'\u001b[39m][\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mconversation\u001b[39m\u001b[38;5;124m'\u001b[39m]\n\u001b[1;32m 190\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mcontext_len \u001b[38;5;241m=\u001b[39m CONTEXT_LEN\n\u001b[0;32m--> 192\u001b[0m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mtokenizer, \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mmodel, \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mimage_processor \u001b[38;5;241m=\u001b[39m \u001b[43mload_pretrained_model\u001b[49m\u001b[43m(\u001b[49m\n\u001b[1;32m 193\u001b[0m \u001b[43m \u001b[49m\u001b[43mCLIPT5ForConditionalGeneration\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 194\u001b[0m \u001b[43m \u001b[49m\u001b[43mmodel_args\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 195\u001b[0m \u001b[43m \u001b[49m\u001b[43mmodel_path\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mCLIP_T5_MODELS\u001b[49m\u001b[43m[\u001b[49m\u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mmodel_name\u001b[49m\u001b[43m]\u001b[49m\u001b[43m[\u001b[49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mmodel\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m]\u001b[49m\u001b[43m[\u001b[49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mpath\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m]\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 196\u001b[0m \u001b[43m \u001b[49m\u001b[43mtokenizer_path\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mCLIP_T5_MODELS\u001b[49m\u001b[43m[\u001b[49m\u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mmodel_name\u001b[49m\u001b[43m]\u001b[49m\u001b[43m[\u001b[49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mtokenizer\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m]\u001b[49m\u001b[43m[\u001b[49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[38;5;124;43mpath\u001b[39;49m\u001b[38;5;124;43m'\u001b[39;49m\u001b[43m]\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 197\u001b[0m \u001b[43m \u001b[49m\u001b[43mmodel_max_length\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mmodel_max_length\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 198\u001b[0m \u001b[43m \u001b[49m\u001b[43mpadding_side\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mpadding_side\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 199\u001b[0m \u001b[43m \u001b[49m\u001b[43mimage_aspect_ratio\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mimage_aspect_ratio\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 200\u001b[0m \u001b[43m \u001b[49m\u001b[43mmmprojector_repo\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mmmprojector_repo\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 201\u001b[0m \u001b[43m \u001b[49m\u001b[43mmmprojector_name\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mmmprojector_name\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 202\u001b[0m \u001b[43m \u001b[49m\u001b[43mdevice\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mdevice\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 203\u001b[0m \u001b[43m \u001b[49m\u001b[43mcache_dir\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mcache_dir\u001b[49m\n\u001b[1;32m 204\u001b[0m \u001b[43m\u001b[49m\u001b[43m)\u001b[49m\n", + "File \u001b[0;32m/data/projects/yejin/VerbCentric_RIS/t2v_metrics/t2v_metrics/models/vqascore_models/mm_utils.py:110\u001b[0m, in \u001b[0;36mload_pretrained_model\u001b[0;34m(model_cls, model_args, model_path, tokenizer_path, model_max_length, padding_side, image_aspect_ratio, mmprojector_repo, mmprojector_name, device, cache_dir)\u001b[0m\n\u001b[1;32m 108\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m \u001b[38;5;129;01mnot\u001b[39;00m model\u001b[38;5;241m.\u001b[39mget_vision_tower()\u001b[38;5;241m.\u001b[39mis_loaded:\n\u001b[1;32m 109\u001b[0m model\u001b[38;5;241m.\u001b[39mget_vision_tower()\u001b[38;5;241m.\u001b[39mload_model()\n\u001b[0;32m--> 110\u001b[0m \u001b[43mmodel\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mto\u001b[49m\u001b[43m(\u001b[49m\u001b[43mdevice\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mdevice\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[43mdtype\u001b[49m\u001b[38;5;241;43m=\u001b[39;49m\u001b[43mtorch\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mbfloat16\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 111\u001b[0m image_processor \u001b[38;5;241m=\u001b[39m model\u001b[38;5;241m.\u001b[39mget_vision_tower()\u001b[38;5;241m.\u001b[39mimage_processor\n\u001b[1;32m 113\u001b[0m model\u001b[38;5;241m.\u001b[39mrequires_grad_(\u001b[38;5;28;01mFalse\u001b[39;00m)\n", + "File \u001b[0;32m~/.conda/envs/t2v/lib/python3.10/site-packages/transformers/modeling_utils.py:3110\u001b[0m, in \u001b[0;36mPreTrainedModel.to\u001b[0;34m(self, *args, **kwargs)\u001b[0m\n\u001b[1;32m 3105\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m dtype_present_in_args:\n\u001b[1;32m 3106\u001b[0m \u001b[38;5;28;01mraise\u001b[39;00m \u001b[38;5;167;01mValueError\u001b[39;00m(\n\u001b[1;32m 3107\u001b[0m \u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mYou cannot cast a GPTQ model in a new `dtype`. Make sure to load the model using `from_pretrained` using the desired\u001b[39m\u001b[38;5;124m\"\u001b[39m\n\u001b[1;32m 3108\u001b[0m \u001b[38;5;124m\"\u001b[39m\u001b[38;5;124m `dtype` by passing the correct `torch_dtype` argument.\u001b[39m\u001b[38;5;124m\"\u001b[39m\n\u001b[1;32m 3109\u001b[0m )\n\u001b[0;32m-> 3110\u001b[0m \u001b[38;5;28;01mreturn\u001b[39;00m \u001b[38;5;28;43msuper\u001b[39;49m\u001b[43m(\u001b[49m\u001b[43m)\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mto\u001b[49m\u001b[43m(\u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43margs\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[38;5;241;43m*\u001b[39;49m\u001b[43mkwargs\u001b[49m\u001b[43m)\u001b[49m\n", + "File \u001b[0;32m~/.conda/envs/t2v/lib/python3.10/site-packages/torch/nn/modules/module.py:1340\u001b[0m, in \u001b[0;36mModule.to\u001b[0;34m(self, *args, **kwargs)\u001b[0m\n\u001b[1;32m 1337\u001b[0m \u001b[38;5;28;01melse\u001b[39;00m:\n\u001b[1;32m 1338\u001b[0m \u001b[38;5;28;01mraise\u001b[39;00m\n\u001b[0;32m-> 1340\u001b[0m \u001b[38;5;28;01mreturn\u001b[39;00m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43m_apply\u001b[49m\u001b[43m(\u001b[49m\u001b[43mconvert\u001b[49m\u001b[43m)\u001b[49m\n", + "File \u001b[0;32m~/.conda/envs/t2v/lib/python3.10/site-packages/torch/nn/modules/module.py:900\u001b[0m, in \u001b[0;36mModule._apply\u001b[0;34m(self, fn, recurse)\u001b[0m\n\u001b[1;32m 898\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m recurse:\n\u001b[1;32m 899\u001b[0m \u001b[38;5;28;01mfor\u001b[39;00m module \u001b[38;5;129;01min\u001b[39;00m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mchildren():\n\u001b[0;32m--> 900\u001b[0m \u001b[43mmodule\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43m_apply\u001b[49m\u001b[43m(\u001b[49m\u001b[43mfn\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 902\u001b[0m \u001b[38;5;28;01mdef\u001b[39;00m\u001b[38;5;250m \u001b[39m\u001b[38;5;21mcompute_should_use_set_data\u001b[39m(tensor, tensor_applied):\n\u001b[1;32m 903\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m torch\u001b[38;5;241m.\u001b[39m_has_compatible_shallow_copy_type(tensor, tensor_applied):\n\u001b[1;32m 904\u001b[0m \u001b[38;5;66;03m# If the new tensor has compatible tensor type as the existing tensor,\u001b[39;00m\n\u001b[1;32m 905\u001b[0m \u001b[38;5;66;03m# the current behavior is to change the tensor in-place using `.data =`,\u001b[39;00m\n\u001b[0;32m (...)\u001b[0m\n\u001b[1;32m 910\u001b[0m \u001b[38;5;66;03m# global flag to let the user control whether they want the future\u001b[39;00m\n\u001b[1;32m 911\u001b[0m \u001b[38;5;66;03m# behavior of overwriting the existing tensor or not.\u001b[39;00m\n", + "File \u001b[0;32m~/.conda/envs/t2v/lib/python3.10/site-packages/torch/nn/modules/module.py:900\u001b[0m, in \u001b[0;36mModule._apply\u001b[0;34m(self, fn, recurse)\u001b[0m\n\u001b[1;32m 898\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m recurse:\n\u001b[1;32m 899\u001b[0m \u001b[38;5;28;01mfor\u001b[39;00m module \u001b[38;5;129;01min\u001b[39;00m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mchildren():\n\u001b[0;32m--> 900\u001b[0m \u001b[43mmodule\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43m_apply\u001b[49m\u001b[43m(\u001b[49m\u001b[43mfn\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 902\u001b[0m \u001b[38;5;28;01mdef\u001b[39;00m\u001b[38;5;250m \u001b[39m\u001b[38;5;21mcompute_should_use_set_data\u001b[39m(tensor, tensor_applied):\n\u001b[1;32m 903\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m torch\u001b[38;5;241m.\u001b[39m_has_compatible_shallow_copy_type(tensor, tensor_applied):\n\u001b[1;32m 904\u001b[0m \u001b[38;5;66;03m# If the new tensor has compatible tensor type as the existing tensor,\u001b[39;00m\n\u001b[1;32m 905\u001b[0m \u001b[38;5;66;03m# the current behavior is to change the tensor in-place using `.data =`,\u001b[39;00m\n\u001b[0;32m (...)\u001b[0m\n\u001b[1;32m 910\u001b[0m \u001b[38;5;66;03m# global flag to let the user control whether they want the future\u001b[39;00m\n\u001b[1;32m 911\u001b[0m \u001b[38;5;66;03m# behavior of overwriting the existing tensor or not.\u001b[39;00m\n", + " \u001b[0;31m[... skipping similar frames: Module._apply at line 900 (4 times)]\u001b[0m\n", + "File \u001b[0;32m~/.conda/envs/t2v/lib/python3.10/site-packages/torch/nn/modules/module.py:900\u001b[0m, in \u001b[0;36mModule._apply\u001b[0;34m(self, fn, recurse)\u001b[0m\n\u001b[1;32m 898\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m recurse:\n\u001b[1;32m 899\u001b[0m \u001b[38;5;28;01mfor\u001b[39;00m module \u001b[38;5;129;01min\u001b[39;00m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mchildren():\n\u001b[0;32m--> 900\u001b[0m \u001b[43mmodule\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43m_apply\u001b[49m\u001b[43m(\u001b[49m\u001b[43mfn\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 902\u001b[0m \u001b[38;5;28;01mdef\u001b[39;00m\u001b[38;5;250m \u001b[39m\u001b[38;5;21mcompute_should_use_set_data\u001b[39m(tensor, tensor_applied):\n\u001b[1;32m 903\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m torch\u001b[38;5;241m.\u001b[39m_has_compatible_shallow_copy_type(tensor, tensor_applied):\n\u001b[1;32m 904\u001b[0m \u001b[38;5;66;03m# If the new tensor has compatible tensor type as the existing tensor,\u001b[39;00m\n\u001b[1;32m 905\u001b[0m \u001b[38;5;66;03m# the current behavior is to change the tensor in-place using `.data =`,\u001b[39;00m\n\u001b[0;32m (...)\u001b[0m\n\u001b[1;32m 910\u001b[0m \u001b[38;5;66;03m# global flag to let the user control whether they want the future\u001b[39;00m\n\u001b[1;32m 911\u001b[0m \u001b[38;5;66;03m# behavior of overwriting the existing tensor or not.\u001b[39;00m\n", + "File \u001b[0;32m~/.conda/envs/t2v/lib/python3.10/site-packages/torch/nn/modules/module.py:927\u001b[0m, in \u001b[0;36mModule._apply\u001b[0;34m(self, fn, recurse)\u001b[0m\n\u001b[1;32m 923\u001b[0m \u001b[38;5;66;03m# Tensors stored in modules are graph leaves, and we don't want to\u001b[39;00m\n\u001b[1;32m 924\u001b[0m \u001b[38;5;66;03m# track autograd history of `param_applied`, so we have to use\u001b[39;00m\n\u001b[1;32m 925\u001b[0m \u001b[38;5;66;03m# `with torch.no_grad():`\u001b[39;00m\n\u001b[1;32m 926\u001b[0m \u001b[38;5;28;01mwith\u001b[39;00m torch\u001b[38;5;241m.\u001b[39mno_grad():\n\u001b[0;32m--> 927\u001b[0m param_applied \u001b[38;5;241m=\u001b[39m \u001b[43mfn\u001b[49m\u001b[43m(\u001b[49m\u001b[43mparam\u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 928\u001b[0m p_should_use_set_data \u001b[38;5;241m=\u001b[39m compute_should_use_set_data(param, param_applied)\n\u001b[1;32m 930\u001b[0m \u001b[38;5;66;03m# subclasses may have multiple child tensors so we need to use swap_tensors\u001b[39;00m\n", + "File \u001b[0;32m~/.conda/envs/t2v/lib/python3.10/site-packages/torch/nn/modules/module.py:1326\u001b[0m, in \u001b[0;36mModule.to..convert\u001b[0;34m(t)\u001b[0m\n\u001b[1;32m 1319\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m convert_to_format \u001b[38;5;129;01mis\u001b[39;00m \u001b[38;5;129;01mnot\u001b[39;00m \u001b[38;5;28;01mNone\u001b[39;00m \u001b[38;5;129;01mand\u001b[39;00m t\u001b[38;5;241m.\u001b[39mdim() \u001b[38;5;129;01min\u001b[39;00m (\u001b[38;5;241m4\u001b[39m, \u001b[38;5;241m5\u001b[39m):\n\u001b[1;32m 1320\u001b[0m \u001b[38;5;28;01mreturn\u001b[39;00m t\u001b[38;5;241m.\u001b[39mto(\n\u001b[1;32m 1321\u001b[0m device,\n\u001b[1;32m 1322\u001b[0m dtype \u001b[38;5;28;01mif\u001b[39;00m t\u001b[38;5;241m.\u001b[39mis_floating_point() \u001b[38;5;129;01mor\u001b[39;00m t\u001b[38;5;241m.\u001b[39mis_complex() \u001b[38;5;28;01melse\u001b[39;00m \u001b[38;5;28;01mNone\u001b[39;00m,\n\u001b[1;32m 1323\u001b[0m non_blocking,\n\u001b[1;32m 1324\u001b[0m memory_format\u001b[38;5;241m=\u001b[39mconvert_to_format,\n\u001b[1;32m 1325\u001b[0m )\n\u001b[0;32m-> 1326\u001b[0m \u001b[38;5;28;01mreturn\u001b[39;00m \u001b[43mt\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mto\u001b[49m\u001b[43m(\u001b[49m\n\u001b[1;32m 1327\u001b[0m \u001b[43m \u001b[49m\u001b[43mdevice\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 1328\u001b[0m \u001b[43m \u001b[49m\u001b[43mdtype\u001b[49m\u001b[43m \u001b[49m\u001b[38;5;28;43;01mif\u001b[39;49;00m\u001b[43m \u001b[49m\u001b[43mt\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mis_floating_point\u001b[49m\u001b[43m(\u001b[49m\u001b[43m)\u001b[49m\u001b[43m \u001b[49m\u001b[38;5;129;43;01mor\u001b[39;49;00m\u001b[43m \u001b[49m\u001b[43mt\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mis_complex\u001b[49m\u001b[43m(\u001b[49m\u001b[43m)\u001b[49m\u001b[43m \u001b[49m\u001b[38;5;28;43;01melse\u001b[39;49;00m\u001b[43m \u001b[49m\u001b[38;5;28;43;01mNone\u001b[39;49;00m\u001b[43m,\u001b[49m\n\u001b[1;32m 1329\u001b[0m \u001b[43m \u001b[49m\u001b[43mnon_blocking\u001b[49m\u001b[43m,\u001b[49m\n\u001b[1;32m 1330\u001b[0m \u001b[43m \u001b[49m\u001b[43m)\u001b[49m\n\u001b[1;32m 1331\u001b[0m \u001b[38;5;28;01mexcept\u001b[39;00m \u001b[38;5;167;01mNotImplementedError\u001b[39;00m \u001b[38;5;28;01mas\u001b[39;00m e:\n\u001b[1;32m 1332\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m \u001b[38;5;28mstr\u001b[39m(e) \u001b[38;5;241m==\u001b[39m \u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mCannot copy out of meta tensor; no data!\u001b[39m\u001b[38;5;124m\"\u001b[39m:\n", + "\u001b[0;31mOutOfMemoryError\u001b[0m: CUDA out of memory. Tried to allocate 80.00 MiB. GPU 0 has a total capacity of 15.63 GiB of which 43.75 MiB is free. Including non-PyTorch memory, this process has 15.57 GiB memory in use. Of the allocated memory 15.42 GiB is allocated by PyTorch, and 2.51 MiB is reserved by PyTorch but unallocated. If reserved but unallocated memory is large try setting PYTORCH_CUDA_ALLOC_CONF=expandable_segments:True to avoid fragmentation. See documentation for Memory Management (https://pytorch.org/docs/stable/notes/cuda.html#environment-variables)" + ] + } + ], + "source": [ + "clip_flant5_score = t2v_metrics.VQAScore(model='clip-flant5-xxl')" + ] + }, + { + "cell_type": "code", + "execution_count": 18, + "metadata": {}, + "outputs": [], + "source": [ + "def checkCaption(idx, all_captions, all_valid_obj_ids, clip_flant5_score, color_mask = False):\n", + " vid_meta = metas[idx]\n", + " vid_id = vid_meta['video']\n", + " frames = vid_meta['frames']\n", + " print(vid_id)\n", + "\n", + " first_cat = list(all_captions[vid_id].keys())[0]\n", + " sampled_frames = list(all_captions[vid_id][first_cat].keys())\n", + " imgs = []\n", + " masks = []\n", + " for frame_indx in sampled_frames:\n", + " frame_name = frames[int(frame_indx)]\n", + " img_path = os.path.join(str(train_dataset.img_folder), 'JPEGImages', vid_id, frame_name + '.jpg')\n", + " mask_path = os.path.join(str(train_dataset.img_folder), 'Annotations', vid_id, frame_name + '.png')\n", + " img = Image.open(img_path).convert('RGB')\n", + " imgs.append(img)\n", + " mask = Image.open(mask_path).convert('P')\n", + " mask = np.array(mask)\n", + " masks.append(mask)\n", + "\n", + " vid_captions = all_captions[vid_id]\n", + " cat_names = set(list(vid_captions.keys()))\n", + "\n", + " for cat in cat_names:\n", + " \n", + " cat_captions = vid_captions[cat]\n", + "\n", + " for i in range(len(imgs)):\n", + " frame_name = sampled_frames[i]\n", + " frame = np.copy(np.array(imgs[i]))\n", + " frame_for_contour = np.copy(np.array(imgs[i]))\n", + "\n", + " mask = masks[i] \n", + "\n", + " all_obj_ids = np.unique(mask).astype(int)\n", + " all_obj_ids = [str(obj_id) for obj_id in all_obj_ids if obj_id != 0] \n", + " \n", + " if cat in all_valid_obj_ids[vid_id]:\n", + " valid_obj_ids = all_valid_obj_ids[vid_id][cat]\n", + " else:\n", + " valid_obj_ids = []\n", + "\n", + " for j in range(len(all_obj_ids)):\n", + " obj_id = all_obj_ids[j]\n", + " obj_mask = (mask == int(obj_id)).astype(np.uint8)\n", + " \n", + " if obj_id in valid_obj_ids:\n", + " if color_mask == False:\n", + " contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE)\n", + " cv2.drawContours(frame, contours, -1, colors[j], 3)\n", + " for i, contour in enumerate(contours):\n", + " # 윤곽선 중심 계산\n", + " moments = cv2.moments(contour)\n", + " if moments[\"m00\"] != 0: # 중심 계산 가능 여부 확인\n", + " cx = int(moments[\"m10\"] / moments[\"m00\"])\n", + " cy = int(moments[\"m01\"] / moments[\"m00\"])\n", + " else:\n", + " cx, cy = contour[0][0] # 중심 계산 불가시 대체 좌표 사용\n", + " \n", + " # 텍스트 배경 (검은색 배경 만들기)\n", + " font = cv2.FONT_HERSHEY_SIMPLEX\n", + " text = obj_id\n", + " text_size = cv2.getTextSize(text, font, 1, 2)[0]\n", + " text_w, text_h = text_size\n", + " \n", + " # 텍스트 배경 그리기 (검은색 배경)\n", + " cv2.rectangle(frame, (cx - text_w // 2 - 5, cy - text_h // 2 - 5),\n", + " (cx + text_w // 2 + 5, cy + text_h // 2 + 5), (0, 0, 0), -1)\n", + " \n", + " # 텍스트 그리기 (흰색 텍스트)\n", + " cv2.putText(frame, text, (cx - text_w // 2, cy + text_h // 2),\n", + " font, 1, (255, 255, 255), 2)\n", + " else:\n", + " alpha = 0.08\n", + " colored_obj_mask = np.zeros_like(frame)\n", + " colored_obj_mask[obj_mask == 1] = colors[j]\n", + " frame[obj_mask == 1] = (\n", + " (1 - alpha) * frame[obj_mask == 1]\n", + " + alpha * colored_obj_mask[obj_mask == 1]\n", + " )\n", + "\n", + "\n", + " contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE)\n", + " cv2.drawContours(frame, contours, -1, colors[j], 2)\n", + " cv2.drawContours(frame_for_contour, contours, -1, colors[j], 2)\n", + " \n", + " \n", + " \n", + " if len(contours) > 0:\n", + " largest_contour = max(contours, key=cv2.contourArea)\n", + " M = cv2.moments(largest_contour)\n", + " if M[\"m00\"] != 0: \n", + " center_x = int(M[\"m10\"] / M[\"m00\"])\n", + " center_y = int(M[\"m01\"] / M[\"m00\"])\n", + " else:\n", + " center_x, center_y = 0, 0\n", + "\n", + " font = cv2.FONT_HERSHEY_SIMPLEX\n", + " text = obj_id\n", + "\n", + " font_scale = 0.9 \n", + " text_size = cv2.getTextSize(text, font, font_scale, 2)[0] \n", + " text_x = center_x - text_size[0] // 1 # 텍스트의 가로 중심\n", + " text_y = center_y\n", + " # text_y = center_y + text_size[1] // 2 # 텍스트의 세로 중심\n", + "\n", + " # 텍스트 배경 사각형 좌표 계산\n", + " rect_start = (text_x - 5, text_y - text_size[1] - 5) # 배경 사각형 좌상단\n", + " # rect_end = (text_x + text_size[0] + 5, text_y + 5) \n", + " rect_end = (text_x + text_size[0] + 5, text_y)\n", + "\n", + " cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1)\n", + " cv2.putText(frame, text, (text_x, text_y), font, 1, (255, 255, 255), 2)\n", + "\n", + " \n", + " \n", + " fig, ax = plt.subplots()\n", + " ax.imshow(frame)\n", + " ax.axis('off')\n", + "\n", + " frame_caption = cat_captions[frame_name]\n", + " if frame_caption:\n", + " wrapped_text = \"\\n\".join(textwrap.wrap(frame_caption, width=60))\n", + " ax.text(0.5, -0.3, wrapped_text, ha='center', va='center', fontsize=12, transform=ax.transAxes)\n", + "\n", + " #calculate vqa score\n", + " frame = Image.fromarray(frame)\n", + " score = clip_flant5_score(images=[frame], texts=[frame_caption])\n", + " else:\n", + " score = None\n", + " \n", + " plt.title(f\"vid_id: {vid_id}, cat: {cat}, frame: {frame_name}, score: {score}\")\n", + " plt.tight_layout()\n", + " plt.show()\n" + ] + }, + { + "cell_type": "code", + "execution_count": 24, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "00917dcfc4\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAnYAAAIaCAYAAACtYgDYAAAAOnRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjEwLjAsIGh0dHBzOi8vbWF0cGxvdGxpYi5vcmcvlHJYcgAAAAlwSFlzAAAPYQAAD2EBqD+naQABAABJREFUeJzsvXe4pVlV5//Z+40n3nxv5arO1QlamiAt0EhoEJEfKnkQMAA+qAyOPOooCBjGYUwYRkAfAUEcQgMOSgOiNDlI6CZ006m6K4ebT3zj3vv3x97vufdWVTfVNqiDZ/Fcqu+557znDTus9V3f9V3CGGMY29jGNraxjW1sYxvb//Mm/71PYGxjG9vYxja2sY1tbN8ZGzt2Yxvb2MY2trGNbWzfIzZ27MY2trGNbWxjG9vYvkds7NiNbWxjG9vYxja2sX2P2NixG9vYxja2sY1tbGP7HrGxYze2sY1tbGMb29jG9j1iY8dubGMb29jGNraxje17xMaO3djGNraxjW1sYxvb94iNHbuxjW1sYxvb2MY2tu8RGzt2Y/s3sde+9rUIIc7pvUIIXvva196v45/rZ+7Pefxntre97W0IITh48OD9/uw73vEO9u/fTxAETE5OfsfPbWwbtm/fPp761Kf+e5/GWW08DsY2tn8fGzt2Yxvb/bTPfe5zPOpRj6Jer7Nt2zZe/vKX0+/3z3hflmX8yq/8Cjt27KBWq/GIRzyCj33sY2e8rygKXve613H++ecTRRHnn38+v/3bv01Zllve1+/3ec1rXsOTn/xkpqenEULwtre97aznKIS4158nPvGJ35H7cDa77bbbeNGLXsQFF1zAX/7lX/IXf/EX/+pjPfGJT0QIwc///M9/B8/wX2+33norr33ta/9Vzu5/NvtOjoP/V+x3fud3eNrTnsbCwsJ9Bprvf//7efazn835559PvV7nkksu4Zd+6ZdYX1//Nz3fsX3vmv/vfQJj+89hr3rVq/jVX/3V79rxkyTB97/7w/nmm2/m8Y9/PJdeeil/+Id/yNGjR/n93/997rzzTj784Q9vee+LXvQirr/+el7xildw0UUX8ba3vY2nPOUp3HjjjTzqUY8ave/5z38+733ve/mpn/opHvrQh/KFL3yBV7/61Rw+fHjLhri8vMxv/uZvsmfPHh784AfziU984l7P8x3veMcZr335y1/mj//4j7nuuuse+I24F/vEJz6B1po//uM/5sILL/xXH+f9738/n//857+DZ/bA7dZbb+V1r3sdj33sY9m3b9+/9+n8h7bv1Dj4f8le9apXsW3bNr7v+76Pj370o/f6vpe85CXs2LGD5z//+ezZs4dvfOMb/Nmf/Rk33HADX/3qV6nVav+GZz2270UbO3Zj+zcx3/e/q45XHMfftWNvtl/7tV9jamqKT3ziE7TbbcCmw1784hfzj//4jyOn6V/+5V9417vexe/93u/xyle+EoAXvOAFXHHFFfzyL/8yn/vc5wD40pe+xHve8x5e/epX85u/+ZsA/OzP/iyzs7P84R/+IT//8z/Pgx70IAC2b9/OiRMn2LZtG1/+8pd52MMedq/n+fznP/+M1z7xiU8ghOC5z33ud+6GnGaLi4sADyj1lqYpv/RLv8Sv/Mqv8Bu/8RvfoTMb2+k2GAxoNBrflWOf6zgwxpCm6feEM3PPPfewb98+lpeXmZubu9f3XX/99Tz2sY/d8trVV1/NC1/4Qt75znfyMz/zM9/lMx3b97qNU7Fj+1fb9ddfjxCCT37yk2f87c1vfjNCCL75zW8CZ+e2ZVnGL/7iLzI3N0er1eJpT3saR48e/Vedy9lSH5/5zGd42MMeRhzHXHDBBbz5zW8+62eXl5e57bbbGA6H9/kd3W6Xj33sYzz/+c8fOXVgHbZms8l73vOe0WvXX389nufxkpe8ZPRaHMf89E//NJ///Oc5cuQIAJ/+9KcBeM5znrPlu57znOdgjOHd73736LUoiti2bdt9nuO9WZZlvO997+Paa69l165dW/52yy238LjHPY5arcauXbv47d/+bbTWZz3Ohz/8Ya699lparRbtdpuHPexh/O3f/i1gHdzXvOY1AMzNzZ3xTO7rs5vtf/2v/4XWeuQQP1C77bbbeNaznsXc3By1Wo1LLrmEX//1Xx/9/dChQ7zsZS/jkksuoVarMTMzwzOf+cwtKde3ve1tPPOZzwTgB3/wB0dp7Qo17XQ63HbbbXQ6nfs8l2oenO3nRS960eh9Wmve8IY3cPnllxPHMQsLC7z0pS9lbW3trMf9x3/8R6666iriOOayyy7j/e9//5a/V5zJT37yk7zsZS9jfn5+NA7O5frvj93XOKg4gR/96Ed56EMfSq1WG83Lt771rTzucY9jfn6eKIq47LLLeOMb33jW4z/1qU/lE5/4xOgYV1555ehZvP/97+fKK68kjmOuvvpqbrrppjOOcdttt/GMZzyD6elp4jjmoQ99KB/84AfPeN+BAwc4cODAOV/3udjpTh3Aj/7ojwLwrW9965yOMbax3ZeNEbux/avth3/4h0cOzbXXXrvlb+9+97u5/PLLueKKK+718z/zMz/D3/zN3/C85z2Pa665ho9//OP88A//8Hfk3L7xjW9w3XXXMTc3x2tf+1rKsuQ1r3kNCwsLZ7z3z/7sz3jd617HjTfeeNZFd/Mxy7LkoQ996JbXwzDkqquu2rKB3HTTTVx88cVbHECAhz/84YBN6e7evZssywDOQCzq9ToAX/nKV879ou/DbrjhBtbX1/kv/+W/bHn95MmT/OAP/iBlWfKrv/qrNBoN/uIv/uKsCMrb3vY2fuqnforLL7+c//7f/zuTk5PcdNNNfOQjH+F5z3seb3jDG3j729/OBz7wAd74xjfSbDZHaOO3+2xlhw8f5n/+z//JW97ylu8IivP1r3+dRz/60QRBwEte8hL27dvHgQMH+Pu//3t+53d+B7Co6ec+9zme85znsGvXLg4ePMgb3/hGHvvYx3LrrbdSr9d5zGMew8tf/nL+5E/+hF/7tV/j0ksvBRj9+4EPfICf/Mmf5K1vfesWB+10+7Ef+7EzUpNf+cpXeMMb3sD8/PzotZe+9KW87W1v4yd/8id5+ctfzj333MOf/dmfcdNNN/HZz36WIAhG773zzjt59rOfzc/+7M/ywhe+kLe+9a0885nP5CMf+cgZfMqXvexlzM3N8Ru/8RsMBoNzvv77Y/c1DgBuv/12nvvc5/LSl76UF7/4xVxyySUAvPGNb+Tyyy/naU97Gr7v8/d///e87GUvQ2vNz/3cz235jrvuuovnPe95vPSlL+X5z38+v//7v8+P/MiP8KY3vYlf+7Vf42UvexkAv/u7v8uznvUsbr/9dqS0OMYtt9zCD/zAD7Bz587RmH/Pe97D05/+dN73vveNnCyAxz/+8QDfdV7lyZMnAZidnf2ufs/Y/pOYGdvYHoA997nPNfPz86Ysy9FrJ06cMFJK85u/+Zuj117zmteYzcPt5ptvNoB52ctetuV4z3ve8wxgXvOa19yv8zj9M09/+tNNHMfm0KFDo9duvfVW43meOX3YV+d244033ud3vPe97zWA+dSnPnXG3575zGeabdu2jX6//PLLzeMe97gz3nfLLbcYwLzpTW8yxhjzvve9zwDmHe94x5b3velNbzKAueKKK856Ll/60pcMYN761rfe5zlX9uM//uMmiiKztra25fVXvOIVBjBf/OIXR68tLi6aiYkJA5h77rnHGGPM+vq6abVa5hGPeIRJkmTLMbTWo/+u7uXS0tLotXP9rDHGPOMZzzDXXHPN6HfA/NzP/dw5XePZ7DGPeYxptVpbxsHp3zscDs/43Oc//3kDmLe//e2j16rnf7Zx8ta3vvV+PY/KlpaWzJ49e8yVV15p+v2+McaYT3/60wYw73znO7e89yMf+cgZr+/du9cA5n3ve9/otU6nY7Zv326+7/u+74zze9SjHrVlrt6f678/drZxsPl8P/KRj5zxmbOdx5Oe9CRz/vnnn/UYn/vc50avffSjHzWAqdVqW571m9/85jOe2eMf/3hz5ZVXmjRNR69prc0111xjLrroojO+a+/eved0zZUtLS3d7zXsp3/6p43neeaOO+64X981trGdzcap2LE9IHv2s5/N4uLiFiL/9ddfj9aaZz/72ff6uRtuuAGAl7/85Vtef8UrXvGAz0kpxUc/+lGe/vSns2fPntHrl156KU960pPOeP9rX/tajDH3idaBLdAAmxI93eI4Hv29eu+9vW/zsZ7ylKewd+9eXvnKV/L+97+fQ4cO8Z73vIdf//Vfx/f9Lcf811q32+VDH/oQT3nKU87gPN1www18//d//whJBJs+Ox3Z+9jHPkav1+NXf/VXz+Azfjv5mHP97I033sj73vc+3vCGN9yPq7t3W1pa4lOf+hQ/9VM/tWUcnP69m5HBoihYWVnhwgsvZHJykq9+9avn9F0vetGLMMbcJ1p3uimleO5zn0uv1+MDH/jAiO/23ve+l4mJCZ74xCeyvLw8+rn66qtpNpvceOONW46zY8eOLShTu93mBS94ATfddNMICarsxS9+MZ7nbXntO3H998fOO++8s87DzefR6XRYXl7m2muv5e677z4jxX3ZZZfxyEc+cvT7Ix7xCAAe97jHbXnW1et33303AKurq3z84x/nWc96Fr1eb3RvV1ZWeNKTnsSdd97JsWPHRp8/ePDgdx2t+9u//Vv+6q/+il/6pV/ioosu+q5+19j+c9jYsRvbA7InP/nJTExMbOGCvfvd7+aqq67i4osvvtfPHTp0CCklF1xwwZbXq7TMA7GlpSWSJDnrIvlAjl9tPFX6dLOdTgCv1Wr3+r7Nx4rjmA996EPMzMzw4z/+4+zbt48XvOAF/MZv/AbT09M0m81/9flW9r73vY80Tc9w1sA+h3O5TxXP6L5S6/dm5/LZsix5+ctfzk/8xE/cZ1HI/bFqM/9255wkCb/xG7/B7t27iaKI2dlZ5ubmWF9f/7acuQdir3rVq/j4xz/O3/7t326ZB3feeSedTof5+Xnm5ua2/PT7/VFhQmUXXnjhGc51NfdOd0rOO++8M87j3/r6z3YOAJ/97Gd5whOeQKPRYHJykrm5OX7t134N4IzzON1Rn5iYAGD37t1nfb3iJt51110YY3j1q199xr2teIGn39/vpn3605/mp3/6p3nSk540ogaMbWwP1MYcu7E9IIuiiKc//el84AMf4M///M85deoUn/3sZ/kf/+N//Huf2nfctm/fDsCJEyfO+NuJEyfYsWPHlvdujvw3vw/Y8t7LL7+cb37zm9x6662sra1x2WWXUavV+MVf/MUzuIv/GnvnO9/JxMTEf1ghW4C3v/3t3H777bz5zW8+wxnp9XocPHiQ+fn5+833Ohf7hV/4Bd761rfyile8gkc+8pFMTEwghOA5z3nOvRaRPFD7u7/7O17/+tfzW7/1Wzz5yU/e8jetNfPz87zzne8862fvq+Ly29nZeIv/1td/tnM4cOAAj3/849m/fz9/+Id/yO7duwnDkBtuuIE/+qM/OuM8Tkcdv93rxhiA0XFe+cpXnhU1BP7N5Fm+9rWv8bSnPY0rrriC66+//t9Ermls/zlsPJLG9oDt2c9+Nn/913/NP//zP/Otb30LY8x9pmEB9u7di9aaAwcObEGHbr/99gd8PlX145133nnG3x7I8a+44gp83+fLX/4yz3rWs0av53nOzTffvOW1q666ihtvvJFut7ulgOKLX/zi6O+bTQjB5ZdfPvr9hhtuQGvNE57whH/1+YJ1JG+88UZe9KIXnTU1vHfv3nO6TxWi9M1vfvN+b3zn8tnDhw9TFAU/8AM/cMbf3v72t4/I+E9/+tPP+XvPP//80ffel11//fW88IUv5A/+4A9Gr6VpeoZg7HeqY8kdd9zBC1/4Qp7+9KePEKnNdsEFF/BP//RP/MAP/MA5FZBUKNTm87vjjjuAc6vUPNfr/27a3//935NlGR/84Ae3oHGnp50fqFVjIgiCBzy3HogdOHCAJz/5yczPz3PDDTd8R5D5sY2tsnEqdmwP2J7whCcwPT3Nu9/9bt797nfz8Ic//F7TLZX90A/9EAB/8id/suX17wS/yvM8nvSkJ/F3f/d3HD58ePT6t771rbMKh56r3MnExARPeMIT+Ju/+Rt6vd7o9Xe84x30+/2RHAbAM57xDJRSWwSGsyzjrW99K494xCPOSBlttiRJePWrX8327dsfsObcu971LrTWZ03DguX4feELX+Bf/uVfRq8tLS2dgRZdd911tFotfvd3f3eUTq6sQkPuzc7ls895znP4wAc+cMZPdY4f+MAHRnypc7W5uTke85jH8Ja3vGXLODj9nD3PO+Ma/vRP/xSl1JbXKg7c2Ryec5U76ff7/OiP/ig7d+7kr//6r8/qLD7rWc9CKcVv/dZvnfG3sizP+P7jx4+P7hVYTuXb3/52rrrqqnOSxznX6/9uWoW0bT6PTqfDW9/61u/o98zPz/PYxz6WN7/5zWdF3peWlrb8fn/kTs7VTp48yXXXXYeUko9+9KMPCIEd29jOZmPEbmwP2IIg4Md+7Md417vexWAw4Pd///e/7Weuuuoqnvvc5/Lnf/7ndDodrrnmGv75n/+Zu+666ztyTq973ev4yEc+wqMf/Whe9rKXUZYlf/qnf8rll1/O17/+9S3vPVe5E7Btg6655hquvfZaXvKSl3D06FH+4A/+gOuuu25LSu0Rj3gEz3zmM/nv//2/s7i4yIUXXshf//Vfc/DgQf7qr/5qyzGf9axnsWPHDi677DK63S5vectbuPvuu/nQhz5Eq9U641zX19c5fvw4YJGOSvvvF37hF0acosre+c53smPHjnu9rl/+5V/mHe94B09+8pP5r//1v47kTvbu3bvlPrXbbf7oj/6In/mZn+FhD3sYz3ve85iamuJrX/saw+GQv/7rv77Xe3Yun92/fz/79+8/6+fPO++8M5C6xz72sXzyk5/8tk7ln/zJn/CoRz2KhzzkIbzkJS/hvPPO4+DBg3zoQx/i5ptvBuCpT30q73jHO5iYmOCyyy7j85//PP/0T//EzMzMlmNdddVVeJ7H61//ejqdDlEUjXTXzlXu5HWvex233norr3rVq/i///f/bvnbBRdcwCMf+UiuvfZaXvrSl/K7v/u73HzzzVx33XUEQcCdd97Je9/7Xv74j/+YZzzjGaPPXXzxxfz0T/80X/rSl1hYWOAtb3kLp06dOmen6FyvHzYQwO90QcF1111HGIb8yI/8CC996Uvp9/v85V/+JfPz82d1wB6I/e///b951KMexZVXXsmLX/xizj//fE6dOsXnP/95jh49yte+9rXRe++P3Mk73vEODh06NAoQP/WpT/Hbv/3bAPzET/wEe/fuBSwv+e677+aXf/mX+cxnPsNnPvOZ0TEWFha+qy3/xvafxP5danHH9j1nH/vYxwxghBDmyJEjZ/z9dLkTY4xJksS8/OUvNzMzM6bRaJgf+ZEfMUeOHPmOyJ0YY8wnP/lJc/XVV5swDM35559v3vSmN531PM5V7qSyT3/60+aaa64xcRybubk583M/93Om2+2e8b4kScwrX/lKs23bNhNFkXnYwx52VpmH17/+9Wb//v0mjmMzNTVlnva0p5mbbrrprN9dST2c7aeSJqnstttuM4D5b//tv93n9Xz961831157rYnj2OzcudP81m/9lvmrv/qrsx7zgx/8oLnmmmtMrVYz7XbbPPzhDzf/5//8n9Hf703m4lw+ezbjXuROrr766i3yMvdl3/zmN82P/uiPmsnJSRPHsbnkkkvMq1/96tHf19bWzE/+5E+a2dlZ02w2zZOe9CRz2223mb1795oXvvCFW471l3/5l+b8888fyeZUY+Zc5U5e+MIX3uvzO/27/uIv/sJcffXVplarmVarZa688krzy7/8y+b48eOj9+zdu9f88A//sPnoRz9qHvSgB5koisz+/fvNe9/73i3Hqs7vS1/60hnndH+uf3Z21nz/93//fV6jMfctd/LDP/zDZ/3MBz/4QfOgBz3IxHFs9u3bZ17/+tebt7zlLWeMw3s7xtnGyj333GMA83u/93tbXj9w4IB5wQteYLZt22aCIDA7d+40T33qU831119/xvmeq9zJtddee6/PdvPacm/vAcy11157Tt81trHdlwljvk3IO7axjW1s/4Gs1+sxPT3NG97whjOEa8f23bNbb72Vyy+/nH/4h3/4jgmJj21sY/vO25hjN7axje3/KfvUpz7Fzp07efGLX/zvfSr/qezGG2/kkY985NipG9vY/oPbGLEb239YU0qdQWY+3ZrN5riibGxjG9vYxjY2Z+PiibH9h7UjR4582+ra17zmNVsazY9tbGMb29jG9p/Zxo7d2P7D2rZt2/jYxz52n++pdKnGNraxjW1sYxvbOBU7trGNbWxjG9vYxvY9Y+PiibGNbWxjG9vYxja27xE751SsELBr4eMszH6DIAys6g6gtKIsNb7nk6Ypa2urxLWYiYkmURRRq8UEgQ9owKCVxxdv+iE6vbOpbRsCP+PCPV8gjodIKRBCYLA9/lSpMMZgEBw+/lCSdMKdmxh9fjP8KNhQdZdC8dhHvIv9532BqfYSghKFQUiBEIDWCGHAGLQqUKoEUgwKYxTGaPK8pMg1xniUSlIaH6UUQgiklKRpSq/XI89zPC2IwpAgkERRQBQHhKGHkCAl+L5PEEikB74nKHOFLgXCeGhlqDca1BoN0rwgyVI0EMY1Go0G9XqMH9hHJ6W098gYjAFPQOhJfM9HSonv23+NMWhj0KIEz35udNeNGX0eIzGmOp5AKw0IjBEIo1FljjGGOI7xfR9jDEq556IlQmxVkA+CAM/zCEIPPwY/2PiMKkvyorDtkEyIMT5g7HMTIIQEDEppSqUx7lkLYZ+tqc4djRYKhB0nxhikGxMGAYQIEeB7IcYIkmGOMYI8LwGPYyeXWV1b4/iJE5xcXGJpaZlef0ij0cAPPMo8I88LPOkjhKDI7TNvtlrUpxsID4qiRGtDmmSURYmUPtoo0jIhSRPq9ToXXXghtXqdZrPJqVOnyNOUWhwRRzGTE5P40qffHYCBxcVTTLaarK+vcezECZAeRko0kJWKeq3G/kv2MxwMuPOOO5mcmCDPc5L+gO3bt7Fnz3kEQUCSJKytr7O4uIiUkqIo8H2f9mSLNE1ZXFxEa83ll1/Ojh07bEcNoZBCoZRCa01RlAyHA9I0RQiBj8fK8goCuOTiiyiLnOmpSa684nJ27dnDRz52Ix//xCeI4xgjBEmaUpQF09PTNBptup0ea2tr+L7P7t172L//Eoqi5Otf/zq93pDAD9FakyTJ6ByqNcjz5Wlj3iClJAgCavUm/f6QPM/RynZpAOHGkUAKnyAIEEKgtXLj2h4DsSEnVr1mx5pESjAmx5jSrnnK3huMOxfsvIsiHz8A35cEgYfWhsEgoVQgvBCwHRbq9TrNZgMhJForOuurlGWJMVDkCpBobceZlBLhxt3GWic2zhNNWRYA+NKj0WjQrDVoNJqcf94+esMOvUGPw4eO0uv18P0AEHY9VRqDREqBH3hIKTDGdpvYs2cPqiw4fPgQrVaLqakpkiRhMBhQFAVCCOIwBgNFUaC1Hj0H3/dRWlOU5WgdMMaM/haEAXFdUpQpSpX4voeUdi2sxpsuqnXFPoM8L8iyHFVqwkDwkKsexFUPejBf/cpXOHXiJLVajZ07dnDxxRcjhOCGGz7MqcUl21VDSLKiwBgBxu1D2iCkx9z8Art37+HkqSWOnziJkJogsPfbKIUUknqtRpHnGKXRCqQnEZ4951qzztTMDFdecQWB1By++07yPMdozfzcHJEfcuLECU6cPIGMa1xy6WVMTk7S7XbJ85xut2vHkhQI6VMqxYkTJ1hdXaVer7N//37m5+f5+k1fYXnxFJfuv4zve8hDWF/r8IUvfJHVlVXysqDQGmWqvc6n0WhSrzdG916jmJhsIoSh3oiYnp7g1OIJ+v0uUgqk9Bj0UzqdLkoZpPDd+BQIIibaLcq8YNjtoosCoRUL8/Ps2bGNuekJ8jLnrgN3srrWYdfOfTSaUxw7vkhf5yRFQZ4Xbr8CYzKMKAlDjziOqdXq5FlJp9MlzxVGuzEuJNKTeJ6H1trNETdfN83Val5Iad8rpUQKH6VAa+XGlsBueXZeSx8836csSvKsQJUKtHB7kQCP0X4jEXhSEng+vu9RjwS7d86jlO0CMxwO6XZ7lKpESg8VhvhRRByF1Gs1mvUaWTqk1+uSpjmBH9NsNJiemKTVaEKpufO22xgOEh78kKvYvWcPjXodz/NYXVlh0O1x4sQJ8jzns986t5aY94tjt2fnnVy5/5P4vk+v13eLXInWEMc1er0ux48fY2p6gomJFnEc0G63aE80AY3RGq0Vu7Z9nS9//UkcO7nRI9S4/+v0F/jW3Y+9P6d1zvbRz/wkN37xuTzlMX/FQy79Jzzs4i6RCCnBVE6cAG1QxiAkbnGXhKGH0SVaCYQIEFgnphpwQgjCMLSLsYLA9wkiD6SgKEuUUYBBeoIgKBF+jdgLkJ4AYZ0TYQwGQ6kK0iwhzQuKokAZ0JUjKg2RCUfnBZsdGtCeh+fZBdMIg4ePFMI6QFojxMagBUbur90sN7U4MgJPuqbaQtr75I7hed5oc7UboMAgqXxsY+zxPM/+SIFzzDVa2UmqtR6dNxQYXVJNvI1rMxitEaf9z563vVcKhTEFQtjzswtZdQ3guUValTkGSSAAKYkbPp4XMDW9D8T5JFnG8soqJ08tsri0Qq/XZWVlhfXVNVQkUcqgSoUIDHNzc0xOTuLVQvrJkJX+ir1mrYlC+2yUglJJhDL4COpxjYvOv4AkSbnrtjtIkj5Fo0ZZb9BqNChVRq+7zszMLJMTbULfY25ujvVul2Ga2nFRKjseoohSK/wwIIhCjIDp6WmGUYQfhqysrbK2ukaplH32xlAUBUmaUq/XCEPrPNXdAjIxMUEcxyRJQlHYoCfLCrI0QxvtxoVEKRsAKW1IkyF33HkXke9z4MDd1OIa23fs5pJLLuHOAwdY73QolX3mUnps376dsjQsL62R54pGo8W2bdvx/ZC77z7I8vIKvhfgewHG6NPabRn7xN2abqoRYOyT1kqTZ8WmnqnVv3LkDGlTUirjxi3WkXHBoBiFhGbTGMT9CBfweBuD2zmU1skEKQxB4OEHAs/HBVMa6fmEvket3hgFWXbNsBtdo1Gnvn2B1dVVBv3EbUweZanJdIn0IuucjC662tBcGLtl/uGcK58dOxaIayHdoSKOQ+JayGCAc2jtOXiev3HVLrDT2iCl4J577rHzyxjyPGd5eXnkZJdliZQSpRSe9EabqnWYNVmWId36UK1NG+uLQZUlUsYYY1us2XXCOoVSglIaVWiMgSAI8TyfoihHK1UURezZswfP89izZw/Hjx5jfX2dHdu3s7y8zMrKil17PR+koNQG4RxQe072uQhpx8n07DRGCk4snkBrhVYQBgFaCupxzMzMFMP+gF63R1kWSBFgUJRaoXVJEHi0J1psm5/BUHDyxAnSYcJ6r0uapJw4cRwMNHyfoihI09Q6f8Y4R7awT1WUrHc6CCGo1Wq0220ajQaDwYBut0sQheRlwdr6OgiBH4YgBdpsODjVeNdaue+w47zUOZgm2tiAScopd78rJ9+gtSKKIowRqNKgKk8Ru3ZrrUHYcaeMpjfos7SyTBR5hGGAAZTWpHlO3lmnPxySihIjBGEYuOdfYvC3jAkppT3uGaSwjT21KAoXqJ1p1fivfuwn3cEEDiACz6vADG3nfXXfTv9iIezYMAYpJFKIkcNYTX/rdAYoDUWpQVhARBuDlAajc8IgolEPKYuENOmDLvGw+5EwUBYFWimENggpieOIyckJfM9zwal1SqdnpgnD8H6pP9wvx87zAoQI0Bqk9HErCZ4nMFoQRzW72XkeSinK0iPPC9KsoF6LUaZkOBwyOXEXT3jUAdBYFAkbSJVKc/jY5dx864+SpBvH0SM0yYyewb4dH6NeW8EPBL7vEcYR0rMPxPe90YMJjEEIw8mlfXz+qz9Cljf4h0++hEMnLuOhl3+YOEpZmD6OlCDxQSiEkRj3cKQUeL60g8JIfE+RpSUaH6HlaGJWyF0URXaR0/Z8tTFIJMZgIyEpMEaSFYq82yeOQpqNOlpDXiowCqHAVyUmh7IoKcuSQmkKrdzA0YAeDTazaWJ7UlIYhVICpX0MhjDQeL6PMRqlSgtOuIVXOMRhA/Nk5DZZYMBtGAiHgm2gHJujJexY3/i0W6yrja9C18q8RG126Iz7GqPcdxkwAqTGaDcRjQYjAemmq7EojNvlpTEYYZBo+6/EOZjWBZTGun8Gu2hXG74uc7T20Ma3Eyvw2LV9ku3bJsiK8+xzLTV5kjEcJmRZzvpah7W1DvPzCyilWO50MSpnKU8ZDlOUsRuP79uFLvAkE62mjcqVYn11leXlZXwpmJps02w1aNTq1OKAXqdHWeY06jVqcYgn7GZUr9cojV0wS5Xjex7tdpMojgHDzOwMg16foiyp1esAnDx1kn5/MAo0yrIcLY5ZbiPgIAio1WojtCWOY8IwZDDoY3RJkqQMk4RGvc7c7By+77G2tkY6HFKUJdrA/MICu3bs4OabbuLOAweYmZvjsisfzIMf/GA+9alPbUHbgiCg1+04VEATRTEzMzP0ej1OnTplHQXPA6ExaIQ0ILT9qcw49LgasUZitEAZA85Z2uzYVc5K5QpqrRyCB/bUqg2BkZO40QjAOgEgq8HtzDq3ldPn+5I49ohrEX4gHCqhyRPlAiicU16S5xlZlpPnOVlWEkY+k+26DbikRd0CPwIKl00wDskybvOrkLpq/tkgSLjpKiW0JhpMTDYZDLqAZmKiZbMRwqCVXQ89z3fzyWzZZIPAH40HrayjnCTJln6yNjgUSCFHPV7ter8JoQOk+4xSijAMR2ipEJAmGXmekacFxmg8T6Ij9/xNFRhu3HPf9wkDQwE06nXa7TZLS4vkeU6r3WI4GHLixAluv/12UJrJyUmmp6bIioIkzVClIvQCjDAYIfGDACMEwzThjrvuwA8CavWIdFgShT4TEy3QIIVAFTlaFS7TIt29tGOsVAWrqyvcfPNXedjDr2bX3j1EtZhup0uv22VpZZnCXT8I1tbWRi3H6vU6WZahlMIPQ7RzPu364TM5OUkURSwtLTrnKCJJU+45eA/NRmuUhamcemNAujGS5wVlaZ+T5/v4gV230yyl3oicU14FGaV1prUmjmPiqEavN2Q4TB1iJcnzHCEgjCNKITDKozSa7qBPkraRgSQtCkpj6A77ZFmXNCspffDDYLQXuLCEsrTP3Tq6CXmmNs2/jVkIjPyAzUCCMdU+sBnJ3sgUGaPQDqE1m6AAxMZnNtJ7Z/Zr3sga4TJfAYHnWR8EGySDzdRUgY6QFoEPBTQaNSZbTUJfMswKfGEIayGlb/BEhI+0e2FR4rvgKK7FtFpttDEUWYbRGt/38X2fZrN5vwoF75djJ4TEkwFaa8Kg5jx9NbrJUloIOE2HlKUmDA1FUTIYpnh+gNGGJM0JAx8pDaDtRWhNWWrKUjE382Ue9wNfQSvN9PQM62sd0jQnTVKKQmG0TcV6DnaNaz5xLSIIffwwIIwD/MC367DWBFqB0ezb+Vl2b7uJg8eu5os3/whfveXxfPWWxxFHQ668+HNcfdlnaNa6zM8cxxM+SoMkt06UMW7x9PB9CVGA0tJOfDc5iqIYLXKe52Fc6lgIgxEWVtbG49jiI/nWPc9BG2+0MAe+Z9OIbmPz5ZAnPPRPmZ46CUKgVElZKiSQC+j3LfLpuzSn3DS4RRhYSF56dpIL0MJYpM1NCgngNooKqdic4hxBFQgEcpTK0rp0TtjGZlB9FkC6Abo5chptjGhQCqM1pvp3S6RUfZ8dS2iBGW3ogg1sZQO52HAswcOAtolXhHNChTuetpuajV7dVxmNlAZNgTA+QluHwQiJ0QYfgx96eHGA14rAtJHSQ2tI0wzfC0jTjKXVDt3tc2ybm+LIkWOcXFoiLwp8z8MPAvKipBY3OH5ikaOH70YVCSsrKzTqdSamJqg36mAM62srrCytMjM9Qz0O0NqnLHOyTDE1PUmuStI8wxhDs1VnYqKN0oowCNm1ezfHjh5j2O8TBgH79u4jy0tWV9fQxhBFEQgbTSutKVVJmqY2ZeYavXe7XWZmZvB93zodaUqeZ2AEg0FKu6244IKLGA5v5dTJJfq9hG3b53j4wx9BvV7ja1/7OoNhwoG7D7LnvAvtZuHQHaUU0rPrRr8/IPADfD9gZmYWzws4eeIUvW5/hNIqVTkIFTrlxoGQW4LrrYu8dS6kdKkYacMRrSuHTYzQZDsmhXMeqrHkxl01FEcpWosm+NKnNKVDH+0G43k2fdhoxjSbkUsTG5QqSYbWiRZufciyZOT8lGVJXigb7RcFSZpQi2ObHhSgVIEQhkYjtkip2Ei9Vu+p7osx0iGyGikgikNazTpKFax3Vqm3YoJQok1p055ehOeFNvAwoI22m7YL2MDSN8qydIGVHjmT1Xpv08+CIAiIoog0Te21OsSuQu+q9bAsS8Iw3HAOBWRpSppmaGXcuQt0qS3C7j5XHacaQ57vgxDEtRpFUbC4uMjRI0dJBkPiOKbX6zHoD5ienGTv3r0kScbS8grrnS5GG7TGBscChJR4viTNE3qDLtu3b6fVrlNkA6LIp91q2hRslnPsyFG7uTZqFMreLyMFZaEoy5xev0P3zjWUznn4wx/K9Ows7XabwwcPkWYZRVnieR69fs8h5nXq9TpSStbX12m1WjQbDZK8oNm0QWCF6rVaLZaWlvB9jyAMUEZz5NhRpPRJhplFxAUIKZDGol9Fbh013w+IoniUARkmQ7rddVrtHQCEUTRyvKX0CUOfMIioNxokSb55ogHgez71VhNVFgyHA4oiZ5ilJEWOzH0KbZH+3mBAlikHAhm0lg599RHSOIfSoyhLsiwjyzLAs/PR7T+j/3bzfCtiVs37DYBBKYXvb1AWLOIvXQBkUWrp2XkSRSGloyJs3r9Ge9dZSg+qIE5r+/xPLi4RRxFZUSA8H096+G6Xmmi2qNdjhNGY0jpuyrNAROj7ePgYbQGJWhi5rIZhcmoKz/dt1iTPCYPAZgqDEK30KCA4F7tfjl2el2RZ6VAi6Zwdy8uwkKoh8ENKv0AKm7ZJ0oxCa7SBWhwhPR9tBEYZm5JTmqxUlu9hwKZMIIhidu3di/SOs3RqkU4ns3C8cWkVz0cb8AtJ6WnnoGi0LghCC7uKEdIHAs2OuW+ye9sd7N1xK5+/6WkcPnEZadbgS994Il/6xhNp1LrMzxx1i7rGoBAYrrz4n3j4g/4eKazz4nlghMBzA6LRaIwWNKUUQRCAsdwX7SKhte4+vvCNX+fE8sNcSufMKGHDDEm2wPOf8jKEzC2nQyuEQwJsmhZ8VTiuXuBSozZ1KaR0KeTqniiLPHqWe2D3hSqZZUetHdCVQ+aQOUZQm5s81imoFvjNDpxw8HV1Llo5p7/6n7abiNZ65NRtcezMZtxwAzMZ/SadE7rpI6N3uw1p43Ux2nyEEDZKl9UBq+/Vo2/wRmk+6wkLA9K4qE+ZTTwvgSd9Qj9AYIgjw85t0+wSc+y/aC+9YcKJxUX6w4RBkjAYDimLHM/zaTQCyrJkZek4QkCrOYWgpN9bZ2lpmbWVNWpxnfm5WUAT+JI81y5F5WEcV6Ra5KI4wg98NAbf92g0Gw4RzdEYolpEa6I9SnWEYYh0EL8QlvcmhSDP7QLe6XQ4duwYrVaLWlxjOEjIc4XveRRFwaFDR5hoT5EmOWvrXXIFV175IC7ev59vfP3rNNstQj+gPTHByVMnufXWWymLwkW2dkFdX19HKZuC7ff7aGVYPLXE0tIK9XoTIcAPJEWRu7SL2eTk2TFipN2gK0S2GnubN4Lqmo3ZeMbVONpw8kYEhC0OXnVUI6qgwSHAGISwSLn0LCVCeoZa7NNq12g0a4BGqYIkHZJkCaoUhEENEJSqGJ1XFIWEoXVYsiy3Dp+yHE2lCrQG3wsJghDp+S4lVs05467NnbsWm+Y95HmGNiVpNkQIRb0ekWUJeZ4QRpZnivFotVqEYUy31yVNUwwaaSxtQjgkztJS7LzyfX9EwahuUpUyrJzVyhETzvnyg2CrM+icvbIs0KVCFQqjDFJ6dj+Qyq48no/0pPtOixiCoFarEwYhvktp1uIai4tLlHnB/PwcuLTdnt27ufSSS1lbX6fRaHDk6HHCMCTNCtIio6iQHgHKlCxsm2Nmfor13ioYReBL4iigWa8Rttt011bJ85xdu3agDXR6XVbX1yhLTbPZIq7XOHbsOLfccgtxFPDgBz2I6ckp9u7Zw+rSMl+7+WaSJEF4isFglcnJSYIgGKHoFfcw7w8YDAYjx24wGDA9PY3WmrheJ45tWjpbK8izIVqDFi5udc5bFXBHUUi93rR7kzEUZeZSySVRGFrnpszw/QAhMpsqxfLqKh6lcYCGJyziH0UhO3buJEkTFhdPogcGXWYMkoS0yMiKAj/0beZCVQCBwRS49dkQhJbT6SFRWlCUVfZGWydfS/deu59UFBLf94miaHTPTs8WbbZqPbCBj0YID88T+J7ED0KEFBSZclvHBk8XI5yvINx+s7Enaq3Rwp6rViW9vg1MEBaZ9jzPZn2iiGa9hgCKNKcwdk6XuV3PfFEh+uAJSa1WY319nampKc47/3yq1HFRFNRrljLj+9ZNu7dU9Nnsfjl2yTBlfa3rvGdhFx4pMSOui8DzfIIgBDSq1C7VKijVgCzL8KR1UsByp5TWFMpyh4ypNmSJ74eEYY1Wu83qyipKK7Sx6E+FxkgpQUtMCaWxi4TGkAcFcS0iCiOM8RBG2zSENkDJ7m1fYcd1X+fE8l56g2m+esvTOLF0MYOkxT1HLz/juo+eugyB5KFXfBC5xQliFCWMoghtScLGKJQKUUpy4PDVfPamX2CtewG+n3D+7q8yvzBHvd5wzqp1Y7rdNQ4fbbK0eh7dwQJaCwJHxC61QnobfLrNHLVqMR0VRDh/TLv/Gbsf4QmbPpenAWVVqgght7hWm4tPjLb5kYpX5Pv+iGdX/XieNyJCg+VhVU6hxvKgtFabeHWbbKsn55zNzX83o9+NMSPnbQPF2HzuAqFdesrYQMGNOAelb/5KA9pOGO02S4sceTYSdjevNGo0qQWluxZA+Hh+SLPRpFYPmZxqUmhNXpYkaQpAnmVcfvlFSCFYXllh4HhFgzRnMEyQgtG4jgIfrQqKXJPlCWliI1oL+hrCMCCMAldckNp7EIbUHFcObajVapaX5DbcWq1GEARMT09bJKDI7RhSakQjWFtbYzAYsGfPHlrNFp31PpgUzwuQ0qfT6XD33YdI04SiUDSadfaedz6d9S633Hor/f6ABz/4QezcuZNDBw9x8uTJ0XOuUNiVlRV8L8bzPMIwpNvt0u120Vqzbds2BoM+tXpIp7O+aUCYjSIql1YV4rSxA6O5Z0Z/O3PBN24MnT72RvN3c6BuNv5WodkIm4L1HK/J9wVx3SeMPKTEzUnlnDON70fW8VElOitGY0sIwdTUFGmasrKy5gotbHBblsYFGAoo8AwYF7RUc7wa9/Y0bYBt+bX23lh+VYLSBWHoI33JzMw0GJ8iN3Q6Q+r1BmEYkWap4zhtOIxVSjwKQ4wL1MIwJM/zUcq+XqsTBRFRFNliMc9jZmbGIpBJQrPVQp+WxrVOjOX1qVJZmklR2mKTMEAg8aRH4PvgrrMocvI8IwhskZHvBwwHA44cOUIcRgSBT5FlgOU0yxgW5hdoNZusra0ThRETrTZhFFMUJf00YZBnKKMpihzP+Hi+x9LyEt1eh0azzvTUJI16jSgKbDJel0gpaLdbDjU0rHXWqNdjLtl/MVEcU5YFJ44f56tf/iqh9Ljy8iuYnJjkvL37uOfA3SRJwuz8ArffecAWYnneCJVL05R8eYm19S6D4dCmTNN0NC/n5uZoZy3iOCJNc7fulo4vaSkOSmk8zycMQ+q1JpG7V74fkKQJhhKllStesWnhssoQ+T5RFKNKw2BgHcZR4A7oUlEWObLVJI5j1tbXGAyHKKPwpU1nG6NRWmGEhzIaLWwhnI3oS4y2YItvfIT08aREKg8bsLhAXzNCy6pUv5BiS4FiFEW0Wi1XpJiO9t3Nc1k458nyRT0L8kiBNtqN44w0TRB4bt4LpLFj3+5zjPYJIXBOnRg5dqXjqeOyA6N9UBqUgSTJqMcRHgGlypHGIwpitCqtv6IqKoMgSRLa7Tazs7M0m/a5BZ5PMkxGRYnaWJ7rYDA4Y027N7tfjl2vP+DEqVOjG1xxcgLfx/fFCGKNojpal5RljlHCVvx4EpUbWyCAHi2cSoNWkjJXbhNQhKEPRnLsyAnC0NtYZZ2rUaVpKi5NUZRILSmVQAsDRY42ljMXBSFGeBgUCIMyAkoNFMzM3s3M7D3s3PFNOp151rs7OHD4ahedGzxZUKo6dx66hhs+/fMszB7i/J23bzg87skLKlK3QKFARBjg0Mmr+OLXn8HRk1egdIDvJTz+mj/nec9c5BGPuAYhPI4cOYYxGt/THDl8J397/aV85FMvoShjVju72T5/2H6VKTAuDSOUxmiFFtKiX84RkUIjhLL3wEUeWlqOTllY9EkLy6yRQiIrzoCxTpB2xR026pN40kdKiy4aYRCeIPBCBJa3UU38KvXp+RLhAUZhdIEqM/dMzUYytULsqudXoWjgPE6XkhXWtRxNWHsTRo6oC8nYeJcbG9jnYBx/ygj3VvQmp27DtRPunDAV58ql+n27KAhsQYqQoEebvKE02iIaGFc5HBKHMSa3iKonNI2ajzEQhYK2qIEQTE23LOdNKcpCMeinJBddxGAwpLPeQRjJoNehVqvTbjYw2s6XUmWuGCciCAKyLKUsNZ7vgVFEYYhSgna7Tb1dh1MGRUlWJJSmwAslMzMzFrnMBJQ5mSoBRRB6NBo1Aj+gLC3KNkwsj67RbGJ5VikrKyuOwyqYn5+ls77Gl77weU6cPAlGMz09TaEUx46fwPdDSqUQpU2hKa3I8xKv5niwQWArcLGpNz/w3boSjwKEDc6NIyZrgIr6sVEUQbUmmCp424jERyic2MQLFWKEWFcDqhqLm9P9QtqiHxtPuap5DEJqpAE/sNQMrXObVnSIlud5BH7gKvMq53kjKArDkDiOUUoxNzuNMYb+YGA3ELeO2AUdhFvUy1JRQYv2Ox3yiMT3AoKwhu9ZrKPXHeCJFtOTMzTrTQxw8QUX0esnZJlCyjWGg55FULVy1cp1yjJnMBxYnlAYuoDDOkxhGJL5GbosacQ1Zmdm8KRgbW3dBipSkmYpZVmQFymlijAYijIBWaCUJs0LlA4JQ+vwYgypsI5FGAZIaWzwEkQgBHlhg1fPD5Ce5yokJWVuuPPOA7RbLRASpQ3r6z0a9RplUZCnOXa62zWmXq/jhwFZVmAkiMi3tAah6Awyjh07yvz8HFdefjmBMoTSQ5XWaQn8gEa9Rq/XY6LdQgQB3X6fer1OGEVMTU4RRJGdr+1JAulx/PhJykIxMz3D0aNHWVlbZ++evWzbsYO77j6IcmlrIeyemWUZeb8gywv8ILRjNLVczLK0PM1mo0UQeKyurJGlKRjwPYmWlSPjE9diwjBGCOgPenieT7PZshx4owh8n1bbIfLDxFZsF9o5Pz5aVWCBwfMlsQztGC4EWZkxGPZZ76zS6awxHPYt5yzwScuSosjBt9ST0rruLn2pHSADiMJRJQy2GlsipEWGtTYIpOOVWnBHSuF4a3pU+FKr1ZiamnLzKqfKwFTFP2aEXrqiCemyd0JYYMnzUKokTwuE1PheQBRGTqXB8nn1pvXDCFtAqLHFlHbf8my6WHgI33dcUjsfi7zAM5LS9/GlN+LmeZ5nMxF5iSqMLejThl63i5iYYH5hgTzPGfb7ZGlOr9MjS1IG/QGelHTW14iimHO1++XYlVqRZHazTrIM2e/bRSqKaNbr1GqxLULwLKQbBIFdlqUG5TYhwMppOBjVaDwj8ZEUZYHSmkwVBLJkKV0kDH3SQUKZlwR+QBjGZFmGKQ14hqAeEoaBfdBl6dgzEiMkWkg03shJENLytirHwhjtnJSS6amjTE8cYt+OTyAoCXxFLeoxSPdw4MjDKVVMkbdAe3hIhDRIoQEPT/ogLIl7da3F+z/+C6z3ttHpz6NUgO/nxEGfx/3A2/ih645wxYMfyaBf8I1bvsGxYyfRRhFHIEk5b9dH2DH/cI4vfh8f/tyreNH/919BpwhdUGqFJwVSW+KpkM6xqxxMB2kr4yM9GywZjUshWVQr9A1SKIRbUKXw8TyXejWGXNsFxfN84riGdJV91nnzkMJVu424DHZv1AJKSotoaU1Z5GiVU5U8CCQKaXlwRtsCDlFNRoP2FEY6fsMWroNz25SD5ytnTzDa+DdORzhHFbs5jt6rHU0cl543G2iSsOksjHEVya5Ew/EALfJYjqI3gbHIjTRIo9HYDVerDIFP5NubMRhkdPs9hJNGEEKg3DOL4ogw8IikT9NvwkSTolBotYuiVGilCcKIvFQsr60iPeh01xlkOY1WiyCOUKUizyxnJ6pFNJpNClUwMzfB9t3znFg8ShhLeoMMrUqkZ4jikCD0EUIjSgOmAOMxNztPs9kizwvLn0kG5EUOAsLIbjRRLaJQBUIL5mam2b1jOwfuvIMTJ4/bSDOMWFpe4eChwywtrSCE3YiD0Ka8hIu6i7JEO2enMmU0J06eRClFq12349Jzz82TCOPZlKDRIOyzqJDzrWYd+urZGlOlXu0gFcKM5n3l1slqE3GI7yjF4+EqusHzzMjpl55xm4ZHEARujTNobXlqYRCT+wFDnZOXOXlWWqkeY/mdUkpE5DvukbaOsxYkSUFpS8fRBjzpOfRMo0qD3vDrKF2Vse/7NOst9u49j9nZKfIsJR32SZIBvojYPr8LiSJJE0IZ4puMTOXUwpDeeofVtQ5+EBLFERiLQhSOzF+Pa07yyUn5KI1RmjzLWeotMuz2mJxq0ev2GQ76+EHE0tISGoX0IF0eIn0JKIzIUaZAl4K8yBBS0owj6rUGShmCICIMfcoyZW19GWFsADnIEianpslVSb/Xoyhye36Fpbj0e6dsBkgGrK33CPyAPM1ZX++hlCH0I3wvI3LprMAPMB7oLEH4kBYJ9Wad/Zfu56ILLsA3Aq+0RU533nknvTRl586dtFst1tfW0EoxMT3F9Ow0MvDIcuuwR55PXGuwsBBwxWWXk6YpX/7Sl/jaN29FCEFSlPhxTKvZpNWoU5YFnhCEQUAcRZR5jhAejUbbIol5AcLDIMkLhe9HeFJQZCnpMCEKwlHWxhcSZQzKVaxKD/r9HuvrHaTwyYuMdruFNoogDGg0GhgjWF1ZYzDoM0yGGBRlsUE5GQVY0sPzQqSI8AKPwaDPysoSybBP6ElLTfIkQaOJpxVlqehnaygMngfSt6u371s5lSgSBKH9vShtlq4sXWYHV8jnSNAGM0rFbvAALTJdSYpVjtzmn4qDWhUwVQ6ipQBZOSqllUXrlAWc6vWGk1bKLCPIBXaj/QE38TyB8HyE9tCqRGEBFSUs7aNUJVIZtDCYsoRQ4lUZTbBOuxao0lYKZ0WOMpo0yxBCWHkt4VOWmnajTVHk9Dodd/6KNP0ucewqWNAYB28IKMoShI20ZGBL7aV2JH3PcT/YnDJzJPVNvC2tGHnlWmsCLP9gOBwSRy6SD0MaDQtVrq0pirziPVnpiU6nQ97tuqrRjZyKxmqaSWlRAa1L8FxVjpAj+SptNqJ+S7USGDwMm/lwVSpFOqfOIntS2mrUQbLAB278ee4+eikAUZjwfZd+ikc+5KPUahnn7Qu55geuJYxiPvOpz/O5L/wL3d4ApUra7Yjd26dAHeW87Z/j+OJVrPV2srx+IXNTd1CqAl04no1WLkUIqiwppRyVz3s41EJYXp2Fin0b23sSlEEIS5YtipIgCAkRbjOVBNJHO6gYF6FU6MbmKqzqZ1TZKmxxhVYFuiwxWjkxGEYOnEBY50lvRFkbFUobxHBh3MzclJs9W/rs9LFZ/Wu0TUGPeHuySsXrLU7dGTwNhwQasEiZENYJRbshIMAdVwpcCZqt7M5dFN2caBM5tCNJBpafJavKLG3RzNKif9JI+yM9vEi6giPfFaF4xITEjW202y0mJtr0kpQgrmGMYGlpmVOnFlFlysnjSzRbTfzAJ5mZQhc5C3MzLC9N0ut2yfKCIs+ZmpxgmCQM+32CMKAoPIZDu3lnma38DR2Zt16vYYzl76VpiudJsixFa83VD74CoRV333UX/U4PoQ2pHNJZWyNNMwoNvh+QlwWei2g1tlJPqxKjxZZ7b4xhfX3dyRpYor0FqDSeJxzBfmuqdCtq7+a6C3I2j4Ut7z8zOws4Z0tayZLNqJh0chhS2s/aylXh5pUlg8dxhDElxlgCtd0kcqqUplIlpQKbajcY7JzLM0WeK6LIyh6Upa3W1dpyKEO3eWdZgd50vzavbVEUccn+Szj/vAvQuuTAXXdQ5BndTocsGdCoR3TWl0mShHq9yWCYsN7pkSQ5eepoMVI6RLEcOcG+7zE7O8OpxZOj4Ne4tJ/WBVmWEMc+jUadNM0IwwhtjCV3S00Q+rYAxGmH2SpcOQq48jxnqd+zPEI/JAwVtdo0E5Nz+KGlIlTc5PmFBdI8I00Spqen6Xe65Moi7K1Wi8l2m8VTi2RJNlqHjh49yokTJ2i1raMUxTFpmoIQNGhTnDpB0ktRWjEzM838/AJxFFEkmdVeDcNRUVG9XmdmxiJvyyvLzCwsUItj+zykR6NeZ31tnTAImZ6YYjgc0uv16HS79Ho9i6DX65xaXGTf3r1Mz0yzsrKC9Dxq9Tr1RsMiSUFEWGuQpCl5lrtq+DpKKZJkSKMek2mD7wfEcQ1jNIuLS8RxbAPMwgb7FbnfpkYLut2OTREqW8ARxxFJkrC8vEKWpwyHdo2q1tparU4c1yhLRVrkCJFTi+1cM0axurqCVhpPCoy2HGCLrsvR3BMC4lrkguaSer3mpH6EW0dsJWyW5hSFcSnTjSI9uy5IKp3HSjfSGMNgsMFDrPiEm+d55ZhWmR/7I93aIMhzi/x5nu+kmzZpWW7aC04v2KhSwfazAu0JW4QjLHKv3N6sS00gpc3iaIP0LPhS8SCd5zjipLZaLXzfp16vMzs7iy98MNYRTbOEelIjDAOKImNpaensC9hZ7H5WxQq3QSqHcIBRBVZKQoO0pM0g8Amj0PFzJGiNdhWt1vs0GzwtH4pck+YZSHvjS6VACIbJkDCyZd1hFNFstfA9n063hzYF2hjq9Tpzc3OUSrG2vk4Vi48etrCRgB/4ljBa2KSgdmKCaMvLQxtKY7lgNsNjHTshBL6Xo1TIgaPfx0V7byXwXEoQy4UxBtZ70/yfD/08B45cytzUMR60/zNcdsFnWZg9ifQkzdYMF15wObqUfPGrX+HTn/os3/zWHeS55ZhMTtSYmWgAkqsv/weOLD6Swycewg2feTk/8+P/jVDlKGMrDYXTl6sGWjVQrGMHRlpkSFbFDE7qBGN5YVJW6VaXEjdi9HylJwmDgIo4KoUtJtBa2wpbNhy76j5vkNutFp2xJA07+e3d3oh8Ru/dmIzSOU0GO6aEwFW4bnLmRmnUDWdga4n7RoXkKJ3mCLsYMyrcqBaDzVW9G8jf6ShQlep111xxvRxPS2ORR0sutjy4dGj1jQJfsm/PTqQwDAZ9kixz1ZAFlLm9FiEpNS5NLdz88NDYwg+Fhyc8ZqZbTEw2Me79RanpLMzx1SIlGXaQaAbdDn7o01ldZnnxJJ31NdqNOjNTbVZX1/AE1OOY1eVlhv0uQaNhJWwQDAcDjBkwHCZ2Ya/V0cYWBSXJEKUUExNtK0mhFXt37+abX7uZpD9EGkOZ5WSOr5MXJaXwbESa5/gYPKxUS1EUoKWVEzJbnXtbiVkwHCY0GjHa2PFcRdsbTlzF2NtIxVemKvR68xMcpV/P7tdt8EMtOkcl2OtJF5AY/EA6xKwEY1FrT9px6/ueRcYNo1RJWVTpYrvWaeV07cDx6DRJkqFKQ2e9N+Kd+n6IUsMt/FWtMwqn6RbHsdMes1SYffv20Wq1uPvuA6ytr7K2skS9FqF0ieeFpGnC2uoaE+0205NTCCSd9R7DwRAQBL7vAljjKu9L4jji/PPPZ+/ePSRZzzlxMaooKfMcpSR5pomcY3f48FHSNCUInd5ehaSLivO4iYesFUpBmtoMTFkmSHw836c/GDC/MI3SOUFgi4Ok7znNNssj23/xJQhtOHjPPaNK3N27duELiSkV05NT5HlOv9/na1/7Gnv27qXRao409TzfR0qYnZmh0WowNT1FqQqGgwFJvY7v+F1parlbrVZrVAw3PT3NcDhkMBhgjKEWxwRRTJamrK2uMTUxycREm8OHDlsuqe/TaDSIomgksLu8vGIzH45obytzfVSSMOz3mGu2mJ2ZoVarsbS0hDGGbrfLkcOamalJpz9pqQoCgVKGLM1BCifAa7nuFmk1o+c6HA7xfc8JykOSJCNeZDUHqiVZCIlWhjTNKEtFrVanVCV+4FFv1MmS1PJ8A9/y7jxJWeQUzlHxPEkY2vS1dchsAGTldaAsFcNharMDulr/nVNnKlqEpSsYt+9sduCSJBmNp81r9eZ9qKru3urcnfZjcKufdDSKCnyw877i8Vbc8UoyquIk2ip5hdYK368h3XdbHUSBKjUmwF0XaGXXqoquUV2D53lMTU1RliVra2vEQWy1PH2fMIxcgClptRpnWb3u3e6XY4cEI8yokME4TRiNQFFSDkpqKrbdJmpWM0j6Hr4IGBTlBrwpJcLziesNYleBl+QZ2hhKrSmLzBYLSLt6KqWpNRtMTE0CgmB9ncEwcRWBtuQ+jiKrXac3OR4VhUsIK+QaBOiysPCw9CwJGoNEUAi7+ZdaIYW29bDGp91Y5fGPfAsf/uTP89mbfpQL93yT/ed9zfEjLPrY6U3zfz70i9xz9FLmpo7yvKe8noWFwxarlCFKgZQBx4+d5NOf/hduv+0OvnnrLax3BxgkUeRjdEan00WiCMQxFqZv4fCJh1AU0WiDq1KBgo0NafOA1lpDqdDSpo+k9J3TZB1vYSoC+kbqUrsqvEquQEirl1RtIEIItLLEbm1AiQ3UoNqUR5WxlWOGI6UZN0FMtdi76Mc9k+o6qtc8Ti8337QVb9rUK9uI1Ay2+ve0rVs4WQs2p+ZO2/mrQ4/+NVtel8Lq4ImRs1hx+ZxunuN4SGyQM+z3kNJuVvMzO2k16ywvLbHe7TBMNNoVdtu0sHYLih5drVEClEX0jLRukSqtPl5RVilByWQr4iFXXcoF5+9gZXWdk6cWWVpeQeUpd3zrFopCOX6HoB6HSDQrSyfJhn08QBUFvpTMTs8gpUeeFRRZRpmXFIWVVlGqcDxMmJubo16vMT8/x9z8HP2BdQQbzTqe59vFsXKwHeFZaY3KMqTyHH/MWDV/hypvHj82Ahd0u13C0BvpnoWhbxExVyAz8seNcQKzG+NQb3LsTg88xGme3ebAwC62AWGIQ+nsOKgcSs8XhH5AX1oX3Pelqx61YqOFSxUJISgK5dLq2sozGWG7wHihowyUTmw3HfEZlSoJw2AkdVGR66W0XQ+oWRS30WiMqinBivuuLN1Cp7NOlmdEgUfg2cpiIaEociYnJpidnSWOYzyvP9Iy9IOAIAwpVKXor0dFE1NTU+S51U+cnGzYStY8Z9gfINBkib3+sigZDIbOialTGkVepGhdOsfUpgYtslkjkTmDQTrKzAjhIZyE0CBJWFlbwxhFs1mj1MpSTTD0uj3WVtY4ePfd7Nm5i20LC6wsrxAEATu378CUFh1p1OoMh0OywXDkXPmOSjA9M8PE5CRRLSJuNWw6O5D0h31UkTPo94n9kHI4pO9EgicmJpDSVi7u2LEDz/etaCw2iDh+9BiLS8uUSjM5McnJEyc5dOgQSZIwNzfHRsFIMCo66A8GCCnIC8tnlJ6k1+/RGwzxgogdO3YSRxET7TZFYZH2TqdDmRcETopoamrKVoZqgRaWBygDK3yvnMh7pdAgpBXDtmPPrlxZZvmgtVqNslaj6jZi1zY5KsSIoprtHmPsM2w26gzCPhjF9OQkWZaS5bntJBJHNJtNstQ6jfV6jSxLKQq9qcAQW1CpwVIrqoCcTbQbYTmjfkSpihG3bnMwX93TzR1oNhfkWWfQHssY60yOHDdRdWGyf9fakGf2nuEK7Tab1ppGo+GQ08TxZK1Wri4UeVGgdFU0VeIJRmCJ0cbtuY7qI+xaIHxLU9Nas7q6iud5bNu2zQbGeYFxAueRK3JZX1+n0ajRarXO2Lvuze4nYgdIXKWlVeGXbvfz8VBGYaTBj2zE1Wg6voyWI1FOgU0NRnFMFMfMzc/T7fQYDIdknsfq2tpIb0t6Nj+tMbQbDQutK01cqyG6fYRnVZkXFhYoioLjJ07QGw4oypI8zwnCEBmEyMAjrsXEQUCWDK0T4ZAom4rdINBX5GoDaOOjjeTS8z/Htw48hnuOPpj/e+NLmZ9+LdOTJ90W7/GpLz+De45eSauxzgv+vz9kZuKY1bkTEqMlRQkH7znEysrXOXr0JMYIGo06XhCCsJVf0hMoVRAEgiQZbiltrlIkphJuFVs3rsopq9BRg7TVgdpVHhe5TZX7HsYI0jQjimx7srKwG0lVQh74Hr70wHOCxlqPdJG0EBivkkLYiD48z7NVTmyks+39NQ7329AJq5BAN5s3mUNLGYlWVKNu0+A7ey7NTmjtUqhgNiGQZ4NqNjsU9jZWPKwq4oORE1cJH7u3OUWUjetxY8jC7MoiwFqjVUF3bQ0P24miWW+MNlXl0E+jDZ6AUhn7XMGiRNXXo0B4WAkWQeR7dgIa0Kag3QiYntzOxRfuQxtJrzdkaXmZuw8eYr3To9cbkA0HRJ5HIGHQXSfwJK1GjXSYkqYp01PTRFHNfoe791qVZHlGt9el5jTDanWLFl162aUcP3mcQZows22O6akpG2laj94uokZiBNTqNfKyQHgSXRoKpaj6l2x2oDcL5A6HQ8qyTavdoNlsUCrbSgphx2Ll9Bv3HO2mIUepmrM5/xuUgTNTtJX5no8txqycTBcMuB4mnifxXCopDHyCwEMKS/OwGQBDnpeo0lY0ao1D1QObufCsk5rnGUk6ZDBInTNquUmNRoNdu3bRbrcZDlNmZ+YoioL19S6qFE7QOHPH1qMIn2qOiQoRUARBSL1esxqJtQjhSfAkUb1GoUsKo2jU2xg8MlfgVPGYkiThW9/6FkLA5HSDnTv3kmUpPdfOUWtNq9Vk+/btBGFALY4J4zq1WoNhllCUtkJVSkmz2bBOpjC2+CLd0CcUTrk/CCIne1USx3WCUOJ5UJQFfmCd3fW1NTxPsnjqFFl/SL1epyxL5mZmGQ6GTLTatBpNhDEcOnSIHdu3jwR+S6NZWlqiPTGBUiXZoMQLA6K6RVelEOTKVujiK/pra6wsLTE9PU0cWw24Kp2qjcEIm7ouSs2p4yc4fPQYUa2GLm0LtW63S5ZlTE5OEoYhfcdDr9Vq9IdD+smQMAjIioK0sOjieq+LdBSYZDi0zkStzkD3rdaaNgz6A9Ikt+0AW1OAh9aSdrtNrR6Dn1sZrDR36PNGCrF6bmVZkiQJvV7Pps1FtXfYzlFV4UTVKaZet4FEURTUajGzMzMsLZ0iz1KrOhHZuZcXJWVZ0Go0aNRqCGPwpUBJj1JUaLBFqlWprUQP3igFXFWvSum7ceE7gfetiL4FOKRDt/3Ra6fLgFiJHTFC+6uCqlFGx2zQgcrSZrBOD/yFsIFjJeQehqFryefRbk/TajU4sXiSQhe2e4qp9mW7JoR+gCes7JfNfMlRla90iJ3npKTW1tZoNptMT09TZhl5bjb9XdHtdojj0OqRnqPdP8TOefzKKMf1Em5Tt3IcwoDONV4qGaRDmhMN6o06UltEIMvWKJW2hGQ/QAiPyckpZmfnSNKUxaVFSx5VJVLJUTWdMZbEHcURCEmr3WJ1rUPVm7DRaDA5OUmtXmeQJnguZaaNsS1XgGazSej7NhrTdrJUfCylNlKN0omOVmif1h5hMOSxD3sn9xx9MKudBXqDNlHUozec4p6jV/DFrz0ZTyoe+eAPMzd1lKIw5MpQGkVZFKysrHPwniMMekOLDES2V98wy1EK1js5SuVID1rtBt3VVQqnLyYqmF1LfM/DaDmqaq0GceXYBUGAVlZ7SgBKlJTScgv8QNgI2aEja2trVlOs3hrB3VrbdG1ZlHiyQAgbxWeul55yjt3mFPBmuZVKZ66SgpGV00OFULEVhBs5be4FYzib+zZyuc7u12HxM7coubSY7THpfjbxku7t8/f+u3Hpdke8H6XprVnSvRlltC0x34CRrK+tkiQJtXqdIAyJaw3yUlFmNhUrhbQMTmmdO+s52g4ECBCesKkyI5DaBiLGtfcSGELf8iU9SsIgxm83aDfrzE5PMxim3HPwMHcduIvV1Q4SCDwXRUpB6PuYICAMAqIgJPAC0omEfn+I0cZVKQqKInPpkwFTU1PU6zW+/KUDFEaz+7y91Gt1kiKncORhLQW+9JlbWGBh+zZ6/R5Ka/qDAceOHWfYHeL5HkKJjTHHhrOV5/a1MAip1+v0B10MerQJ2LFlJ2jgW623mkNqylJbhBG2LNQjx06cXZDUc2LSnoRKdsRyrGyFdFmUCGVpCVEYEla9r40hjkOMUZTKtnDq9RJUaR2XIAwI/NB2OQBA20Ic465HKKIwYLo+xcTEBNPT02zfvp211XXW1jqsrKy4zXBDpHezzFFlviddMQl4vufkE6aI45jllSWyQY+m55GWBQUGIyUzC/MUuWJ4/KQ7ntqyNkgpQFp0sdFo0VnrIoTPnj37mJ+dZWFhG0eOHCHLMzq9IUHYs+0LgyqdJqzWoi+xCglq5JQKYR1/K88RWdSk30M6WZX+sDsKRPvDAQhBLY5tlkVIUuecxGHEyWPHaTWb7Nq1i7Io2LdvH7t37CTLMpaXl4nqNSv3E4ZkWU5n0MNIC0aIQIKEPEvxDRDHVl9Ra6ampkaIW9V2Tykr51EW5agIqywKavU6/X6fKLbyL1WAAtjqX1wXDgGlKhFS0B9a+a+u44UHnjcKEkPfzsvSVWtaaaPCodUetZpFUWdnrNhxrR5T0iPJqvHewPQGtqBHVO3tqs4n+YjflWeZQ9f1hlNX9Ut1KfRRazwpqDfqNJMGq1liJYmMZseOnbSk5MjRoywtnaKqHs/ShNJxTNPUtieznTB8hLBt8ywfDoyjM1kHyAayxuCkcPwRUl1VlAOjPtIjSZBN86Ga/1pX60Almm3/pt0eoZ14efW+zcH8ZjygKAqHeHuUpaJeb7Bz5w6W11YgGdr92QkgC8OGE4e0cltVbYE7oEKN1p3JycmNeoI4RheaMNgYR2C5yUmSbmhInoPdL8cursXUm3WyIh9JY1ghXDHiXmEMwzRhZX2VWqOGH4TMTswikfT7djBrY6tXDOAHATOzs+zavYvFpcVRqkFXGykGJLZ5eqs1QuyCwGqydbtdiqJgYmKChfk5uv2Ks2J/pGs6PTExSZ4kttrMadUY5xRpY5+i1XOyvD8hK69eoLQh8IdE4YAsb/Av33wCnf4sBw5fZTdZqXn897+fax/2f8mygqJQJIViZa1Dr9fn+NHj5Lmi1WjSqDfwAp+4VWMqmGZtvUe3t0oUB0SxT1wLkFMT+MHGQ7Tl2j6aECk1JnPyIZsQCFswUaVaK06SRhTCtvAylYipde6yLOXYsR4LC9tt0/VNVUfVAuz7VfVVYfuHGoFylX2be8VWuoZVStIO7q0umrBI98hn2vxXB5JuMCA2smH2nAF1Fu2yjYMzisA2JCM2xFJtJas9aCU5Ud036yht+s7TzSG6uLQpArsxiIqj4dA/rBugMHhCWrqCEgyHtt9vq93G9wPqjRaF6pLlObHvW4UXYx0KO5aqMn/Q7nvseeIcSCuDoZxz4EmJJwzCNagPPJ+ZqQm2b9/OwrZtbN++wIED93D8+Am63Q6+ZyvIfRky0W67vraGtuOvHjp0iLQsALPRXN73qdUiwijg61//GgfuuQdtNKvr67ZfpgA/CpHGh8wSooPA54ILLqAoC9Is4/iJEywvL5MHOYEXYLyNtjybx7FSmk6ny/bt81ac1VjeXa9rU35VyiYMQlqtCaanZ2g0mhw8eJA0y12Te0YL/iiN49L1p/NDgRHabTfQzHKUXBpeG0OqckpRIIEoDGzHZK0xEibabVrNJgcOHmZtdZ00LdzY891ztXIl0hOUZUGaDTFGEbo1aXZ2lj2795HntqF4mqYsLi6zurI2ShFLEVIJ/VbXVV3bRtcZg3bHjePIjrssZb3fI45jVjvrdHp9m/2YmmR+2wJpUnDy5OJoqI/a/xk7xow2ZGlBuz2F7wWEQcT5519Iq9HE8yRFUWUKxAjd95xsTbvVotVskWZDizqxIUlhx7TlUlWIa5WlUMojGQ6JaiFGK5aWlyjSHKkNKozRSpFlGbXYisCurq5y8sQJAt9n985d7Ny5gyiKOXXy5AjV3b59OwZoNJsgBYM8ZZAMWV1fpVCFFRx3Gmc7duzA9zzbjizLOHLkyEhrrCwVwmUrwjCkUW8w0W6jte2m0Gw2CcKQY8eOMRgM8HyP6alpGxA1GqysrlC6NKIxttgkSRKLPHs+gW8LcDwh8T2fyYkJpJD0BwO6nSWKQjHRnqTZbDmB6cgqRAhNmtk0eqsVWWpN4TjkWAemCgqq7kB5nuP5kh07thNHNdY7HYaD4Sjb4Xk+eV7g+xtortWN07ZgSCtUaTVYA99namKCNE1dlsYW9W0ggKU7B5sVs+iybWknnf+glLG6ei5FOhwOybIErTe0NsPQolZRZB3eTqczCnJOp16M/DSHluEAe5vVqRw4Rp+pNqbN+0C1PgyHw00i29BsNhzoo/F8mzKNwgCVpejSPofAFT/1ejZQiKLISQh5lC47VhVNVF1TVldXQcHM9MyoP26S2L14bW2VKArvZZM60+6fYxfHTE1OI4VthZLnOVJI1zJrg79VKntBnW6Xubk5Gq06zUadtEg5cvgoaZEhU5+oVmO922V2YQcXXXIZJ08t0+v2SZPM3nxtKLUiiAL66YCpmWnKrGQlXiWSAaooKYYZSbdPc7JFs1lnYrJJt9+j1AokBH7ARHuSIAjprq2PetJaBEqT28QySINtVu8hrUwNxmDbc5mCiYmDPO7738yHP/Xf+PIt143uSbu5wkOv+Gce/bAPM8wMw6FtoZIVOUma0+n2yIoCg8aLPLSnmZhssOu8PS63DydPSozKEKIkiCWeCEZVaMZJPAjfII0AIzGl1W4SxuoXKWNQIiAXEUIYPFIEII3tZ2t5Fz5GaKsnqDVh5NHvd0mGPcLWpNVqM9pKUZgC4SlKnaO1oSiceKoBbTyEEfimQu1KAl9gPJtKFFVbL+0mE2CoxIM3nLNq0d08iUZQttz8rur/9aZPbzU7YT1sGYwYTWrtPElhNjYWnCPmebZKyx5UbgHpRnPbwfZKWx21amWwyKNDLD29AUU658u+R4MpMMZDlZKeLgjDiHqrjZyYYGV1jbKwmklaa1vyXzkdlX6a1q762sr0aFNSaj2qSNcKyiIhFzblJ70QIX2k52NyQ82HfbsWmG43WNm7g+PHT5EkGYP+wMl0SFSZMkiskG1rok6z3aDodigKKxzq+R5RFNCesCmfpdUltHYCtlFEWZQMh4nTbfMoUGiZ0umvcejwAQTSKt+nCaYsCHyr8WYdFYveqFJtiFmLkmEyYGllmUndtmKq0iB8LBpkrFSI9CzakqQJnW7XIjujcbSByFW0AZvm3uTsCzFK4RhjbKVqnoxEnaVXBQCVZpxGVM/foai6LOh2uszOzlILaiylq5Spck56idEZOCpJWAvxPHutk1MT7N9/MfPzs4RhxNEjxzl48DCrK2tMTkyitWHouGsYYaeSc/610U6Gx14PwkNr62jY1z26nT7pcIjnS4amgCBCqZwky9FSEAQSJTSNVt1WUqdOdoiKE2TwhSTLC04tLuL5HqUuGeZDllcWOXD3HWBA+iHaSpdaeRik43b6aNdBoNWcJAglaZrS7QwpS5u2LnRJFPtMTrYoioL+oJJ0yB3Pum/vvzEgrV5kVg4ZFODjM9WcQGoByn5vPa7Tara55Zu3cuTwYbIsZX5hgSlX9CCcckCz3WbQ6TFMh8xNzlCr15icmnBV4UMCT1CrRRitObG0yJdv+hozU5M86EFXEsU1t67YwLperzE7M82Ro0dpt5p24fAkhdMBFJ6HFwZMzc5QFgUCjS8hDjyiwKMWBQwGBj8IqDdr1GoxvhMulsKjFscIIen3U4yCQHrMzc2CW8+CyCcvM4bDAeuddVcgGLnUYeQaBcBgmFAom1FTqiDPM8tdI6DdbNNuten3+lTVmGDBjDTL8JSt5C/KgiQb0BusocrCOhlakwyGeEqSdRPqcY14MuLYieNkeemCPs8GuRoKXbXL0/iBRZl9h/CDTddaYX/HwVUKozRJf2CxNCnodDpnTcGeTq8wtkpwg24jbPAhEKMUa9V2zAYmzikE16JPjVDF3PGNwXY26aUJJ+5cJMkzl9YzCKXI0xSpDLVaSKMZ01lfp9tddw62naOe7zNMLZ9edLtM5wXb5ueJ4hqrq6sUaUIQCKbSNr7vkWUD8iJBG8/t4+dm98+xi2IWFhaYmZnh+PHjHDlyBN8PUFTpDbvrlS7nvra2BtiS8Ua9Dp5kZW2NbqdHVuYoY0iyHKUN23ft5JL9l7G4uMja2jrDYd8+QAG5srykiclJiqSk1Wxb5CG3LWgazSaTk5NMTU/TXFthkA6tTAB2Y2o2G4RRSKncYDMaIwUKQYFGegI/8EcNng02Vev5HlrlboNXzE7fThT2yPI2QmgeduU/8NArP0y7uURvIMhzzanFDoPhEG0yer01VlZtWiOKAqbmbHpkanqayclJhsOUTqdDLZaOh2Oh+tBVsoJdbNM8AaEpq1Rg4BwoLewP9h7ZCh2BMCXSTU6tsdxHodFCIo3nUtsBU1MThIHtcFcR+RUFaJuS9nxDqWzFsjIG4YVuwy+JwsBWDhqJL8GXsWVKO2fOagVtoHCVI3cfuJtLmxqnvbf5D1Clvs5uFXxftZFiw8ED5whtkGstV8P22URgHeZNdrrDudGPbNP3m+ofl0KlOmeD0MZq4RnHWdOaMrNOc1yr0aw3GA4SOkkPXZZoo/ACie9Jl4Y1tvBIuwkqHAvQ2DIl4apxMRqjLE6ItFGV9ELbo1BrjIJISuamWkw06+xcWCArSrI0w5Meg8GQ1bUuh48co7O+SlEWBIGt+Czz1KawjZX+aLUalmxf5szMThGFMe2JCTzpWb6Qk5uoNQS+tvplBw/ejcAqrYMAZfDlxjOyKJm9r5bA7RwyaQnDRZlR9V6t1Xx8L6AsJEbb1OdgMKDT6bmWVrYfZPXoqmKGjedp16bNjl2FflVplrzIyV00XQG1FuH1bbU3llytDSNx78NHjpIXtnpwamraykhkpUuh2k3CCz1arTZhaCvzH/rQq2lPNDl58iSrq2scOnSY5aUlhLCFC8vLK4BxyKGoMsgbBPFNkYcxhsAVXuRpgioV3W6fQbeLDDx0JMHrEQQhaW77lvpBYFuPCUugj+OYLEvp9roWiRY2kClLxeraGmmWua4WcPDQQdbX15memmJhxy6CKKLUCVEtcik9m+kYDlMmSo3v4yRb7D21FYHWMY7jiFo9QvVtYFG1LbPBVGkrzt28sgLhGiUUvrDC8wBTExMkScLqyirDwZCjR4/S7ayjVEkQhkxMTVrgwTkCRmv6vR5Hjh5FG02tXuOS/fvZvmMHCA9jcownkJ7r0CAMR48dx/M9Lr74YvwgHvUFbzablGXJPfccJEsTJmamMZ7H1PQ0QRDYMeaKhvqDAe1mk2TQd1QhQ7NZJ81TDBYJ9n2LplmEyhbfCGEdYU9IZqanmZ6cYn19nfXOOnEjxnf6csNhQhD6rkuNGIEGVVcRbawOYa/fG8mFBPU6nvBYPHmKLE1tNx2XwaAo8X2Fwq4zItWsr2Pb5bm9WZeaYWfInm17qQUx2TBl+44dGATHTp2gyFIX7Ppu/bVqF8KTIO05SRmO7pXWmc2gaIt0YyxfrQrvjTaUphxJ0WxeqzcHc9W6PCLMjFBlF6QJXEbMGyF+VquumlWO1uDJkVRLhawqVXLo8GGQgloU4ocRxsnzWF0/QRgH1Ooxax0odYEvbeOEMI6J6zUKD9Z7PQa9Pv3BkDzPWZibJwhCVJGx3lmlvd6k3W5bsMJUdLGN6/52dr8cOykl27dvZ2rKckKqdkCFViinvAxOAsAIOutdTi0ucd7e8xDSZ2FhG7t2dbgzuZM8s61UMicD4Xke+y/dz7Gjhzl27CiDYZ9K98X3ffwwIoxi6pFvhf6kh5Ee+AF+XGNyeo7m8gpxrUEY1xjmtvuEH/hEtcjqIwmNlpqkSAFDYawaehD6+DIijGv4rr1PkeeQW6FdISRCS6anDvOkx/w+n/3yT3LReZ/j4Ve+F61TVtc0SVqSZiXd3oAkyTBkZHlJXiqiKGDf+eeza/cuGvU609PThHGdrFi2wpGRRxT5BHFMqQ2eDPB9S+7V2opP+oHE047K7WmLYmgw2kHNpUZ4lpellY/CwuFGWQdXS4UnfALp5BqER61Wx5cbi6nWyrVkEk6f0ENpS3pXWhPIgCRJSYYDGvUazUYDoTU5thjFC0J7fClHHBQ7Uazpe3XMNsbXhg7Rho0m9r1jdg5N28DbN6d5K/OElRgwFSa/qUvBlqNt+l2c5ZwrbmC1eBihR1zCjS83I41E26fQR/q+VUsXFu2aaNuUSZ6nIAxBIB0PzHLtPCHwcJwlDAplhVBcb1CPqtOHRRyFtueiUQhlK9DsCdvii1ro06zFhLOzgEV988LyZHr9If3BkFPeIloVlEVKmmUYZQg8gSc09ThEqxrDRkwcxYShrXbc7i1w4sRJiqKgGbfIi8DO7WGCEN5I1b0qYFDaRsNWAkEhpXEEZkaSMs1mk3ojZn19lTiq2aCnBFFKQFOWNrLPc+s0SHsjKHU5Sh2dIV+ziWO3uaK8ElKvJB6kcNIkWmM83LGl60BiezLb3pABa2srrK6u4vsxQrqOLLn97iCUGG1pJLt27WLHjh2UpU2v3HPwbhYXF8nzjO76ACHEqBVctR5W1Bbl+G+bU7CjOSMgiizPWKDRxq5dWZ5icoMuPMcTa1BmOZ4rBlJFRqNZ4/IrLiUIAg4fPkz/jt6WOaU1Vi80tg3lZ2dn6K6vURSKKLJ0mFo9dl2IaqRp6nQIbU/PTqdDt2s5o/V6jWajSU/3CfyYPC9G/LOytNqFVduyje+3e4qHoOrGUZYl9cAK4U9NTbOwMM+BAwdYWl60yK8q2b59m0XVpN3akyRhecVKjczMzDBMhuR5RqfbZXBkiDbGVszGkavEVfT7fZI0QUpBkhZ0Ol2U0uSF5c5V3LeJiQnm5+eo1WpWw7Db3XQNMOFSlIuLi7RqNbYvbGN1bZVhf0AYR9RrNZI0HdEBbEcFi4IbA0EQuj6tEXv37qXRaHLXgTsxGHbu2UWj0WAwcPxSqR0IoqxeJDYYtLxT67ClmS2asAUWgjxPWV9fxwt8whCyIrcpdu0+57jqeZbT6RRoXZBmOWVvSJGVBPj4hOzZuRshBGmaMjszw1pnndJokJYnXJbWsSy1pQxY2SABKILAIwxteroYSQVZmaD7sjMzPmcG6FtUGzb9W3H2qgrhrceznwvD0HI3y5IjR46MPp+nmRWZ9wNC36OzNkBLaLdaRGFEEEWEtYjJ6SnWux3KwgaiSEFcr6E8Qxj6DIUhz1O63Q6B59Fu2xT7yRNHOXr0KBdddBGNRmNUS9But+/7hmyy++XYDYYDstxWxFx08YWsd9Y4evQonV7PtVUCsJ6xzUcbet0BCI/J6SniuE5eKE4tLnHixEm6/QGNwZAkTdHGMD0zzbYdO5icmmJlddmlpiSt9iQTE9PUGi3qUZ2de/Zy510H6Xk9puYWaExM4sU14kaTuNHGiGWMCIjiBnGtRr3ZoDU5QaPdAgFxw7az6QyG9PMCvAAZxMigRqPVJIpCVFmSD4YMuh2ULtBlhtYle3ffzPzsq6jHPdI0oz+wvTONCNDCJ6q1ieoCpRIK1aQ1OU0Q+rSmptm5Zx+eZ5tZGyNpT05RbzeQgaTWqNFoTjI7M8lUu0X9i1OjQej5IXaOaYzxUSqwvRT9EN+LaU/M0Z6YwvN9FhdPsrx4jLxIba5OFzZjoyRGGXwj0VpQKO3SVGw8O8FIY8mm1BXS89EGkiTF4DPVnqAWWv6LdJtNWZSkJkGUChPYCEwitnpVxvEW721wmQ1xybM5d7hU7dk/6iRDKsfOAurVaKRy/EZyG05TzFTozWkryOYF4myO3VZzKNpm/qCpuljYOWC5RB7C99COq6KVJowCJiYnKIqMLB06pLh0aTyrgu+J6pzAF4w2K0vOFRiky8y4ilkqJ08RIFxlOVjNvBKpNaY0CBkhEYS+YPv8DNsW5kmSjLnpaZI0ZWlliWPHj3Ps2DE8NL4AVI40JfVaaIuipER6hjDyrBNjBDMzU3TX11F5Ab6h3xtaEnUVPBibJqmcI/toLa5rjMHzKycrxfMt98bqYQoKXbD5MWtXJWu0otDlGTI8ZzhCm9CuihtaVdwpZSgLjRgJkjtZBGVZBVJaaQ7phyBxxWIG6YUM05zAFxSlRSQstQNX/V/j8isu5yFXP4ROZ51bb72V48ePsba+Rp4XhKGHUTa1p0pFmiYjHbXTN6rTf7ePtXJ4CozWZGlBqaxAbV4UKGWFZKWjJURBgCdshXSzVmdqYtJyx+wEdEEDI4DcOpoaT2ikkMRx3UrRhKHLEHhMzk4B0ukeWpHrLM/JCytePDHZQgho1FsEQcjaatdqnTVqlGVOnqcjoVulbEWnkXLEyYKq0tHxraQmK3LWOutorTi1dIqqYlKORJdzVJ5xz8GDVtQ2sZzDew7eQ+wUGXzfyvSsrKxY50oKlMnxPEmn0yMvFTNz86yu9qg1GszNL9Dr9UcacFU/1/n5eTzPcgOHgwHJcEiaJERRxOzMjKMsQZ6kzExNMzc7y/LqKoPhgEa9btEy1ze3Kl6wem0BRVE6jqZnq4GV60EtsGoQZWG7xLi2e1aGy0Pr0nY9MAJlFEIKAs/H8yS1WkzkKj3X1lZJ04Sa17T7Qb5RdCSdUwY2A2MKW+0vqn5CRqCMYXVtjXpcZ+e2bRYR9jx2bN+OPnkcbTTC88jLkjIvkEoR1WpEcYwqCtJhQlHk+J4PxnYI0hVcXtkWlPrsdjpv9vS/bV4TfN8fSYdUciqbK2It/846f5OTkyNNQXD8cinxEPhCUuY5RZ6T65LpyTZTk1OooqDT79Fst2i1J1hdXScrCwbJkBl/jobfoNVuUeQ5w8GAfq/H9OQkrVYTiaazXqPT6WCMbb6wvr7O1NQUe/bsue+bsMnul2PX7XW4447b2blzO7t27eLBD74SYxTDA0PbGWF08RvN4YfDhH5viPQCJienUMowv7CNpeVVlNbkRckgTVBaE9VqXHDhBdxx5z6OHz9Grkqa7Qle+IKfYufOXTadEdS46JIreMzjnojA6uvUG3U63Q79W25FGQky4LnPez4PfdhD0drQajcJg5CHPPRhNgIubbXQHQfu4V3veg/GGGrNCbSBBz3k4Vx55ZUIA742ruk7qDJnbXWZW275Ol/8wudJMkNWQtycICjhOc/7CS7Zf4UlmyAwJseTMEz6rK4uc/vtt9LrdfACiUZSb7TR2DRpEEdcePGl/Pgzn8/uXXuJg4Av37qTT3zeInZZUXVBMERBjV4uaLWm2X/pVTziUY9nZm6nbZdjDN21JT75iRu4+atfIBus2r50vrFpRzy7USlNFAbEcd02JNa2CCYMIkTVPF7lYGzHjrhWIy9KojBiYWEBYzRZMkSVhU2LFU5vSGl0aQneoedvCGC68WP5gmefeLZi19syQTf+2x7k3usnnIhwhaBpAyhXYOIOUAlObj6gS2Wd7rxtWRzEBqR/xkY7Oh4bx6mEkIx18JQukYBWTnNJWI6c0gZtSmq1mDgKKfIEjE2BG+M5Ho+VQxECx6OsUopW586mOCRGCox2rXicSJ6jvVqH07WkEdKmpNEKbZR1Co3EEzZdU695NHYt4Hk+RbmX9c46B+6+m2Ga0KyFJOmQMk0Ifdt5RQqBVhllXuBLg/Yg9AVzk1N4ytAbDOgUXZsCo9p0rMhydZ+llPieE8UxBj8QeL4ldxdrOQi7EBeFpixsGyLr1NnCJu10/SzCs7kCbiPlOorIHU+ger6W5Gz1LYfDhLK0aXcb2FTFScbqXZrK+WLEmbHoq93g8sIWGGljb75F7zz27NnDxRdfwnA45Kabbuaee+4hTRNX+OJ4fu5+BA612DzWvh3KbQsqCpJkQJ4mtuOLZ9PdWpeARyA8WvU6nicZJn0rP5Gl9LtrhIGrFl1fJQi8kZMthHUIszwhSQdMTkyQpAl5ljA1NUUQOPQZ2x0k8ANMGDqCPviehzY+VWVpGNrWa0EQIqU3et0iX8p9ty1m83zbpql6Zlpb+SStLTc6JaHTXadUFp0cJkPnbFqdN+F7ZP0MhKC7vEyaZ3ZMeLYS1PM8avUaca3OxGQ2Govr6+v0Bh3yIqcsCpaWV6g3W0xMTZDlOd1ej1azxdra2giV63Q6I/kVdIFWCoxFIYMg4NChQ4AlxJfDIetra+x2G7SQglarRa1Wo7PeY2VlhWazzezsDFmWO0fWZrTKvOSuu+7Cdw51vdGg0Whw9z13W6mWShYOiTH2flfzP81tv9m4FtmuGaM0p2TQ75MkKVGthpRBtXRhjCFLM2TgUxQZusyw/V8NnrQ9ko1vxcYLpVhcXkIA05OT9Ls9WhMt5opZ1rtr9iSMxvcEWVZaDc16nWa7TS2yhT6Z6zNcjT2Mpip5ss9na87mDDSeM9Oym/978zogpZWJkVLScZqFW4PCjbUpSRKSJBl9zvf9EUVAFyWD4YA8zTAohmnKjBRkRcHq2hq7du4miEPL4jeQ5rnVAVYFcRQyMzNFWeSUeU5epARhwM5tC8zNzvCVr3yFU6dOsW3bNqclaL57nScC37bkWltbY+/evVx99dUAdHodFpeXRovuCC0REqUMq+trJGlKGMdMz86wZ+8+TpxcIk0SVtfWOHLkCOefdz5zC3PMLcyzf/+lHDp0kEOHDiI9j/mFbSxs20EU1fC8AN8LmJxxy7kbvGu9AUtrXbqDBD+oMTu7wL59F1Jo2/bEcp1sNGH7e8L6MGeY20jfj+uApN6cZm5hj5UWyQvKrCTwfILQZ/c+zQUXXUFRSP754//I+nqPMIpptSbZvmsvey+4BIEd6GWRWyHDQCJQ7L/8Kr70pc9z22230J5oIzyferOBAuJanV17LuD8C66iPbkNhCaI7CTTxpDmBXFohTZDPyQMAh716B/i+x99HXFrHqN9dFEiPY+5hUme+vSfYGpqng9/8F1IT7kqXygLQGsa9Tq+9LBNl3GFGi71IY2D3wVlkRPGMY1GC+kFeDKwzbF9SRRGGN+2/8mcA1yWJbq0KQrhVPg9W/ZkhZIdifV0qyaWcGXisLUzRJXWNGd+1H6erVwcW7VoFy+L6m9FCkdKaA7yN67Cuzra1lMUIyFce6jTNlrjBJWN2SRHUjl8TnXNaHuPlVXE02iX0rOcDCHEKG3ue05j0Y1rXWmq2QE8Ok/heDojp9nRFkZuqHDFLM5rkMJYngsVf6zEGGkRNCyPTBgJUqKVTxxEbJubYaJVJy8LBsMhpxYX8aUhrFvV/bJUNjWfJ6g8xUdQpintuM1Ua8IKxg4SBmlKUZZkjlhvjHISPVbPCTYWVulVEgi2QtaYjZaDVmjUOlLGYJFKNhZu27rKt8KrTtphS3tBYx2/zQt5HMdOb2yIwKvuLpVYoT0PJ4ukIc1yhGf1zQqlKUpDEIBBUSqbegrjCCl89u7dxxVXPIilpWUOHLiLgwdtNXGFipmq0tpY+kqRW00s3w+Q0nXeEJUkOaPr3DL8jEu/lrnVwxTg+5Y7GgYBQVRj27Zt7Nmzm7X1FfRSRpoW5NmQ4cBndmYOKQy+hEatNipusGLxKVmmsHIlMQZFoxHTardsmyRtkeqyLAjDEF9aDmGv16PXHxBGIUWRkqQD59hJVGmrTxFmdM5KlwTCt7+XuWsBKUbBrJuFowgxyVKSfrJRne8J4lrEzp07uevAAcLI9k5Os5S8LMjyjPbEBEEY0HXZgCAIraBunhHFMWVZ0h8MOHDgHjr9Dr7n4XseM9MzbNu+naXFRW6//XZ27dxFVVVaOabVWEsS28tVCmElbkrF4omTzM3PMTkxQXvbNg4fvIf1tTWarZbrLyNsBW5SUGQJnucxNzdPUSg6nS79/orlzRnrhGhRBRZw6tQpjh8/Plr4jAZtLNc6imM8T6BUOeKyWhHhBskwQRUlQeCPUsqWtyZHeq5KKYbDIdL37DHLFCkhDKzGnPA8G8yVCrQtbllZWSEOQ5qtFqbU7Ny+g2azzurqCmhN5HvkUjDs9UiHQ6ZnpplotWi5dHJnveN6ZVt+tvOwRlSc+2ObJbk222Zdv6orSOWkb3YCpRRkWcbJkyfp9Xq2zV8Y2nuZF+RJhlElSlm+pTZWCQDPdrnKy4LFlWWyLAPXTm+YJqyurxLHIUIK2w7OjeEkSRgOB/i+x4UXXojneZw8eZKlpaXReOv3++d8/ffLscuylOXlJW655RtMTLSYnX0Yu3fv4kEPvpJbvnUr6+sdikLZnHJp0yR5XnLs2HEWF5fYu3cfjUaLiy66iOPHT3LnnXeSpRlHjh7hyNEj7Ni5nUazye69e9i9ezcrK8sMhkNuvfU2lpbWaDbbpGlOXigW5hfYs2cfYRwiJSytrbO63mGQZCA8tCvV8j2PEycXOXnyBGmWkeUJSpVobK+9JC+IopjW5IxdcL0ALTzy0vBPH/kEn/nkZ9i+bQePefSjecj3XU6zNccVD344//jPHyfJS3KV4QUlpZaOWK342D99go/c8I/U6zUe//gf5NGPeSTN9iwP//7H8K077qLbT5maapGllqwdxg0uvfz7qDfmSbs+0tcutWYjlVJpjLDiGqpQLCzsZP8VDyJstOn3M/75Y//IPXfdzQXn7ePRj30UrckZpmd3g6yhypTAWO5Glpd4Imdmao4oCuxmVdoeszj4XwYGPwxRRjMcJjbdG2iGSYonSkIvACz/y1bSGtdntgaFTWn6vo/vbaC2o8okp0Xmplg1b5HSCsPahs2bpUi2TuZ7Q+Pt2ypHxv7fiHRrbMGJ2PL+zcd1SJvY+P2+cP+ti8WGdp8LKUeoov1XOM6OddCMNrajCRKkh/ADm0rTtiDFqAJpnGhtnqHSlMCzCJIytqJ5owAEcOr+go3Nf6NHhoU4tetpbKQtsrDk6OreOgdGSMBHSocAaoXKrSPkYWjEEXEY0GzY/rFeGBLGMWmSsra2zmqtRjOOKYocoSX1KGZmahojBGlW0B30QXouHVaS512yLHeVyVWPSXc6xkn3UKFylbNsOV8b2lMWXaseZbUYh2HI5OQkvV6PoihGFXRaW2Ra//+0/VmQZFma34f9zrn79d1jj9yzKquyunq6e3q6ZwYgFgIwcCDQSCMJ0kRSzzLqSYuZ+CCTZEaZHmmUaXmRRIgymWgUQRsCmIEGAGfIATDTs/da1VXVlZVVlXvG4uHh+93P0cN3rkdUd0PofuBtK8vszIhI9+vnnvN9/++/2KvOO3AGuEopR0fQrqC+JrwB4S8p8eeaL5ZkeYbvaxpTCvrVtMIrJxTr9DjYP+L+/TdYrTa89957TKdT2ROvMQza9x0GPkmc4Pse6/Ua8em7Qg2ur8efWLtKXPBbPCOKYsIwoC4LvDBgb2eft994i6PjA5Y7Q1aLS4pshVKWusrRypAmkcsQzWUUaIyzD2qojTMc9iyWil5/xGDQZTabOR9BH7XNYa6om4rlcklRVBweHTKZnJIXwlULgwjlB3hhiLENdV1tlY2+iz1UCvzQp7EeTaOlem9FL664s9aSbdYEgc9oNCZJIjrdDrt7O3z25HPqumYwGLA5zcRSRynqpiYgIIpj4aI60nzo7DOqqmKxWLDabFgu1ygFSRSj1Zxef0DTGMJIfO6KoiB0ebJV1RbjPovliizLpDCRTc2NY3eJooj9vT3WywXPnz+n1+8RBgHz2YxNnpFl5da/rPXOq+uG8/OJUFOQYksUtz5VVfHJ409kvaC2z01Z1UBDFEVYlwSinSmuFOE1WitqDFm+AQxRFLgiVfYsYySpSM6FxsVENigl83nfC/C0j6c8vNAHU2PKmizPWCwWjEYjlBWUdW+8Q5FtuJxO0UCv06Hb6VKUJfl6Q7Za0uuLbVEcRcxmc1artRMK/Avmr/+Cq01vacUWrdFw44RX7b7RehTCVUGoHZre+hq2HFBpshW2FvqHPEcVVjnniLomTGK6gz6rldjZNG6/MmXBbD5n1x/SSRM8ranqEk+BtQ15vuHZs2esVysGgwGz2czFwUlyR2sP9bNcP1dhJ4HesoA/f/qMB28/5OjGDYySkeKjjz9hPl+S29KhINIBzOdzXr58ye3bt3jj/gPG413u3LnDJ598ShCELFcrvvf973NwcMA777zN0dEhX//61zk7O+Xp8+f8xm/8BmnSoaxqTk4mKDT/w3/33+fe/btYLNPLOb/3+7/Hk2dPiaMQ2fAAK6aIf/on3+Y3fvPvc35+xqvXr6hr8fDp9jp0uz1u3bqNhyg8m0oiY9Dw+Wef83v/7PeJwpjzk3MevHmXXjdhb++AIIgJAolRuXnrBt1uFxAz0/fe+yG//l//PRSKk9Nz3nzwBrdvHxEEEs9ycXGORgQWZZmxu7fPrVtvoFUiRsb5AsuXAUEq8rKiqkNi7VM1DXEnZjDqo7Xik48/4v/8f/k/sbic0e8kfP7kYwbjPr/1G3+HKp9wfDhwkWXO9LERSwExUQSlfGeQW3F5Ocd4a3qDAXVdUxQl/iYjSbUokkxJXRaEgS8PnhJzVOlufQido7zWDnXSXxhz6haxs2yRu/YgvX79tIJu+yc/1rjJx3zlLt4mKIgJGcJbs/984YVyo83rL+GLv/9igfmTIwApjJT7N7SWR+rKjNk6lVeDQXzuLJqmrkR4UpXkmw2z+YxQKxrfQ5uGqszQTUNpHbqkRR25VUUa4xA7sYeRf/3qTlllXVKJU3k7ZGcr8wdQWlJGVFtcy/c0KKo8l5/oLDqMtYQubxInjkkCn2h3h3G/T35YslwuWS0zbO3T63SYLZfkWUZT1/SGXUY7O9QNpMkF5+cT8kLydVGiBpeCs8bFSroxq3JI25Xh6NaIuh2tus/FYh2HKCHLMkFEdTs9EGRSNXbLQ4rCCN/zRQ16bWlu5/7WoXzGiMLeU9hG/LssjXP3dwrkSIqzw8Mjbt+6Qxx3ODk55YMPPuLsdLIdUbYoYDvy0W4ct7u3y+R8SlmWRFGM7wfucNM/wTm6vh61xlEe5HMNAp8o9CkDj07aoddN6aQxge+xt7tLGsVcNobQD2jKitVqKZMQLZ54UsDK4+NphTEK3/NI4giNiCDCwEdZQ+D77I7HpGmHoihZbTZk2ZrGVKSd2KF04vuVpilaexR5Jc9U7TxE3bSgTfwA8XTzGqEIfMHkyO0VrcdjgyXuJOzv7wtiVhYknYQgCNjb3+PV6QllXQkiZwyrzUZoB06QVjcNi8VCRnKzGa9evaLX75GVOUWWsdlsKItCJi9hgPY9KufP1vIgr4tGrLF4KGpraSoRwPQ7XTpRgmoMy/mCs5NTXr54ye7eHp0k5WIy4fT8jDwv8YNUdiRrSdMuWZY5r0CHUuNMvS3sDofMVvPtvRN6g8txVw1xHMkI1RjCMMALQofCrfE9nyiOZKytM3wfx9srZZKglexZGDBK7DyQYPuiqbAmI/AtnhLLKO32maqpOb+YMBqPuX3nDqvNiiD0uHF8zHq1YjZfgNJoz6PjRCObbMPk9JRik3Hjxk32d3exdSP+b7BtWK/33W0L+8W/uPYFXPmrep5H4cbvcRwzHo+3Td9yucIaQajl57r/KcnEHgwG3Lhxg/Pzc8qykJ9thcqC2xfqpkZb6PYHRGHE5eVM+MctpcmhoeJ1KBtbHMdYI+kZ3U6HIs+2tJDFcsnTp08ZDYfb2LG6rtnd3f3vL3nCGKiNIisbnj5/zdMXJ+we3eLO/QeEcYgxiseffIoxM5omd9JixWazZDI54eTkFbdu3qbT6XP79l06aY/pdIqyiuViwXR6QVWWhGG4zTdUWBaXlwy6XeIwZfDmXd588y3+jX/t1/AxlNmC/+a3/j7f/fYf0Ot1GAx7ZOs1mhxlK2zdUOcbNrMZe70e4/Qe0+kp2Jq0G7OzM+Th7Vu8eXSDyfklrDNC02CUJWgyEl0QekBzSRxZPF1RbObopuFovMN4t8doEDHoROC8glaLOav1JYP+iM16TVVUaKUxdUGVz1nPX7FRM7Jqwd0bO+zv3eXG4W0wio8ff8DJ2Quq8i3ApzGWxaKgn8aEXflgG0/RKOHFHeztcO/GAZ+spli75jd+8z+nIqPcLBl0IxrTw7ooduUFBAHkeUNT5+KiH/o0tSWMYuKoYlNsMKWhm3bppT3KsoKqRjcNeZaxtgav35WN39N4yjgvIg88QRe0ltxXdzK6Q0n8kBQyclXbGqQt9KwUmg5FknwN1zliv1BtbVEx9zNUI+kLjoHmzkDZCJWD8MQu5McKRvczPdXamcjVGjW7VU8bK6W13o6UWx6GddYk7du4HjeGBWWUqLGVdQWo2VogYCuyTUJZNZRNmwNr8EwtXm+eMxY1tTibt2/cArZG4cKwjQX0FR+Fa2Nr22CbBuWIybodFWsfrDijSx6uqNaUVtimpiwb0BrPenIfPQ+sR9OAZxW2ljVtG4M2DbGniUdDdgdjtJcSRjGLR0tmswsm0znx5VTsUfzYqc2OpHnISzabjPliLpmLjWRJN8YKcuXQM3lPejtKNq5x2yKVbsO1iN9fYypZKK1XCGIZoT3tCjufJE4xjaUu23G3cJHEbF3TYLbJN56FhutGqJ7z79SIgEVRlg337r7B3t4Bnzz6lI8//oTZ5cIpdGXhtvGAYPF8iVe8decmd+7c4dur73I5mxPGEMWiHNVKgUtuMM73z7gRrTGGMIlJ45D1aoXvKcLIQ9HQmIp+PyXyG0KvgTqjqSBfrhkkfTk0lCUOApS1GFOjPeENNbaShBnlkwYS6N6JegQqJCTEa4Rk35gciorSbFiul0xnl3hBwMHBLovVkvPJKxpTkIY9xJS2AVVT1QVV2aJ8QtnJstw1TULHiFQg0WJNJSpvC8pqNJ4olQON0lJoaYfMPH/+XMZbntyjohSOWNLrknRSZvM5q82GyPfRoU9WFpxNJmzynHWWkXZSTCNoeV27MavxWKwkHeLiYoLGsjMe0+32CF22649+9DFZlnPz5i2WyyUvnr8gr3J8zyfxQqp1RrXeUK/WzCYXlFlGvlzSG/TB1DRlSVNXoArOJicslwu6vSGrZebUpG7/0x5+EDIYDhnv7LDaLKls49aowfc94sRnvc7ZbNYyImwUfhK6dS+ef42paIoKz9PEaUxVVBJZVxd4fkAb46mc0lz8Uz0wCmMNpQHT1HiexffAd+kRBIpaGawPSTfmcnnJ5ck59+/d496duzx+/Fi42FoMmOPAYzQYMJlMWC6WnOpXfPndd7lxdMiHH3zA+XQmlii05ZqjjsjDj/V+fD/HraerTFl7rZEKw5C9vT2MMSzmK8q6wjoeubWeezav0UJc0kUYeFSlRTv0Unz4FFiNb4W33o977Ozu8unnnzNbrEl7XazvUxa5NOUKPF9AkPUyx/c9Qj9i0B9ytslEgJEX3Lx5k/V6zceffMJoNCKKIlIXzjAcj/lZr58PsfM8ojDEDzzKMuf1ySvm80t2xiNu3brNarlis5YuQ6wIZHZdFAWvXr3i4uKSoixIUytd6u6OFHbuQ1jMFywWC3bGY+GF3LrNyckJSsHe7i5HRzcYj8f88i//Ct1OSlVVfPjDH/KHf/gtet0uxzePSTsJn3/6qeNoyIe9v7fLV37hyyRhCDSs1zMW8wuOjg/Z2dnnwf2HeCri5OWpFGcI1Ww46HN4uM+dO3f46//KXyOJQxoMH3zwQ6YXEw73d1jML6lNxWx6we7eLZQST6Ld8Yijo2N+5Zd/icFANray2HB+/pr1ckYZi4GFVnDz5k16XQl9/vjRR7w+eclqtQFSFIosL1lt1nQ6Eb7nsbicMZ9O6fUOODrc53/9v/lf8cd//Id89PH7fP70E07PX9DvRMS+ck78Wrg9jgTu+QFJJ6Xf6RCFIefnZ1ijiJOETZltixqtNIEvsH+aJGigriryPCdNYjcGFOSkjSRCSQDz1kOoHVG6R1POQuW6UNiOA9vCxAqitT3I7fWefVutXP1/e41nRovk8FO+5yevdkSs1U//upY8b7HbtdQWdVtifjsC1tcsNNx3KQAtaKdtGhFAGOcVhUVZjzzL6PWHhMfH5Os1pizcSFsKEuOKQQGRrjgg2/tghbO37VTbewzbCnirDOYq29YaV/RY8QczzvkdC42SAq4uRRXtBSEeduuP5/mSm6o02KbGUw1JEtHrDYiiFKtiPD+gMRXrbMXnz57x8vUJF5NTitKgdECaJC6qKSCJAkwnkRgm7d6rUze2vnHWVezKvZ9W82y4PjoXLsp8Pt8iKl8s5tUWxQuCgCiKrtz/EfTT9zyiMKYsHV90e/++uJ7ahkErCS0fjfocHh5yeHgocWDTKcvlijzP2T4lthUEWfxALI5SZ3/U7XZdLmdNnhfs741ltFYU1MUaawQxwYpfVrs2B/0+/X6Py+kFg36P2mWs3jq+wWDQx5QVVVmQZx5xlBCFEUns0+l0iTsJKtScnp2zXC4py3p78Hqeh3Z8xd3REF8L0lVVNXEY0oac+85serPesF5t2D86YDQekZeSRaz11TjsStlaUpSy5to/b73hBKWwV3Nqt961G5lFcURVVgJVKsVyLePP0Algbty4QaffIyty0Bo/DNjZ2+XoxjHvf/BDZvMZgS9FXV3XNNbI1y4VcRrjceUlB3LmFQ5sCMKA5WwmpvudjhgAKyl2dnd3efjOQzbrDdPJBXVVijuAgiKX+Kv1siIvcrrdLovFgiCKZP3Hsex1XgBWsclz6mZO04hLQTvKs8j/7/cHBIHL8nb2JsrTW6suz1MUZY7nslfDIET5ojY2VU1RVBIb6PuSmuCHmMbgVTWNQ8aVewYdHL7dA3Gvw7hRvXBp3D5iJa1ouV5RVCVJknD2+hWPHz/m5s2bRGFIVZYunUKak063y3g0cvXBBS9fvuSXf/mXiaOv860/+mPmy7VDEF1LZ9sT5ycnMF+gqXC1dtp9OQxDer0e6/Wa1Ur841r1a2uRdGVmLtYt5+fnZFlGXVWgRfylfFHIYy2NKfGU5vjomOF4xCeffsp6syaIIzlrtRjne0gcoTWWy+kMT2viMGLQHzC7uKCuhQoQRRH37t3j2bNn1LVEqtV1TRTHHB8f/8R7/uddP1dh1zS1c2fXlFXO+dlrNus5o2GXXrfD3bv3ODubcHk5I89F1YO1GKOYTC6c+fAl/d6Qfr/H7du3ePrsqZB5y5LTs9NtYbe7u8v9N+7zwYcfyI2ta27evMFbb73NzVu38DyfJ0+e8Lf/9t/m9dkJ77z7JQ4OD+h0UiZnZ1vYMgxC/tyf+3N845d+SQo2bXj+9FP+29/5R9x74z474z1GvTEfffCITz75mJ39XayxaF/zb/6tv8Wv/dqvkXY7pGlCXVf84Hvf5e/8l3+Hy8tL0tgnqxboywmL5RylJOnib/3b/xa/+ue+SbfX48EbbzIaD6nrgu985094/MmHxCEsk4CkE5DEHW7fvo/2fFazNT/60Ue8eNXh0Wfy+uM4IY47FGVFYwzQcDm54Nt/+EcMh0d0B/vcf/sN7r19l1W25PPHH/O7v/vbfP87f0qxmhNFCXEsqtaqruXoVz6rVUaeFezt7FA5Enwch3S74gRflhVx7Dk+UrDlJ9SV2CoordG+RInRlhaNFCQK5YwtXSGh1DYwHWfTIVdb1G0HiF9A3L7437/4su2orv2Z/3++tX3gxUvJ/NS/275G2o3tqrDajvjc37dfbrEOtXMby9aWzqksTftdDpWsG3ztMRj3yaKIzXJOuTGYKt/yP35c5dUiG0q3rupsC0qlEBsXd1Ovl8IyXXToo1VXna/bI3WLKNpGhBTOn9JzKQ/WaFANRVHjKSUjQ08O/MAHbEm2qTA2A6XpJAG//Etf4913H/L408949OljLqZz4d3Nl0w3C9KkQ6fT5XBvTFX1OZ9OKKvK8Qmv7qmsJbu94+3G3r5PkOK6qiqm0+mWK3Pd8qT9fWtKmiTJlvzuBx6mqel1uxwd3eDk9Yls/Pbq/mxryPZGu88jCkPu3LnDw7ffRinNjRs3ieMOWvk8efKUPM+p6xJjKlBiIeJ5ir29PbrdLlEUbT09fTfubqOTTk9OaMUiV2tARvvWWkajgZhKe5pOmrKYXzLuD9nb23VGzlI0bdYZWvv0en2q2pDnBUbBapbz/MUr1pvMHeBqa7QaxcIL2x2PmF9eghXUPg4Dty49MDJyTJKUOMtZLtZkRbVNGxB+UMsZFMSx5WFbe2W63P4q5rqWxmTUTe2KeVnknqeIYikqUR5oTWOgMRCEMffvv8nu7i5107Bab+gO+myqgnWeMVssqJ0IySKjx9qhfRYBIbI8xw99YpcZK3uKoSwKOmnK0c2bnEURURwTJTFaeyxWSy5nc6pawuLH4yG9foc83+B5mrIsxN7INBR5xny5YDAcMF8uUUFAWTUUVYPnhdRWMlQ9X6KnpMCGQpUo5wMZBiFJEl+7Z+BpD+WQaOOypvM8c4Wd7B8amUw0OEFWY6iMxdcenW5fPCWVom4sy+XapSWJg4KMgK+ER8rtL57WaC2NXrfbJY5i+XNfs1wtSJOEwXDAyWvhtyexmJrj0NR8nVHWxoE8uyRJwsXFBZ9++il3795lNBqxdg1aS8don7/r7ZysrR+nzFztlZ7nbcfnl5eXrNdrh3CKsru12Np+va/o9/ukaeqy16WJkqQct6+2v2rxKPV9UWQHQUASJ5LdbKGpxRbM0xI9hhWLlRo5Fw729plNpzR1xWqxZHZ5yd1799jb2yOOY3Z2dphMJpyennLz5k1+1uvnQ+y0lm5NQ5SEFMWak5MXHB7sgUkZDgbcOD7m2ZNnLOerrUKysUJMPDs7ZzK54MaNW2jlM94Z0et1mc+n+L7PZDJhMplw+5aYHR7sH3B0dMSZ85Hp9/scHR+jXTzMP/2n/5THjz+hN5RszJs3bqA9WWTbA1EplzEXgrEYUxGEofAn8oLZ9JJ8mTGdnlOVuXSmVkxJgygibdWZCrwgYGdvj7fffpvLi3M8TzPuDQmiiMFg4A5ZxdsPH/D22/flcEEzn13y7W//AX/nv/jPKfKMXkceAs/z6HSG3LxxB6zm4nzCi5cxf/hn/3M2WZcksfwv/mcNJy/ucDF5IogKkGcZv/2P/xGrZcFf+ut/k+Obt/BCCU3/8te+zp07d/nvjm/yG3/374jVDJKsobTHZrUi8AJGwwGmqcnLSqD79Yp33nlI2ou5dOH1TWPodrtcXl4ymVyQJilhGBDFgePriXkqxvlgcVW0aWQxa+WsLBDPIxlPuiBw28L9stta1RZRVwWdVVcRYXzhML9eCjqbD9pG/2pEp7bfJQ96q7bdFksKF8Ukm0b7XdtMWWdOe10q34Zjt6T7rVt7W8xZHP7j7DdoU2W1e0GuMNaa0Pepi4J5VWPqGmuF5Iu21LVLP3E8i7aglH/bbebuPjVYqWxRqHYQ7qoe7TgzLWKHu8vWCndOuY3K8zys1pjKQKAJAvlslCdIrHxMCtOUFFVFXUqxoJWmrnJWyxl1bfH91BWevqzLyOPLX36Ltx/ekxzlvOCzz57wg++/z+npBdlayMIHB4dovcNkekFR1u69iAdbi9zKyzdcn0pv35FDpbd/8mOF3Y8jR0mSbO+n73kYT5PEEQf7O0wvLrZIp4KtWlttN3XreHoho9GQw8NDHn3yiLPTC9588wGlMyne29sjz3PyfEOWrynLAmtlonF4eEiaplhrmU6nrNdroijEc6+z0+nSGjy3+c9X71jeaxT6ZJsNvW6Xfq/LerVkZzxmNBgBltnllNVmQ9OsePby1bYYKOsGWzXkRU2Wl1R1jXXFukEOUq01g+GAKBIbE9sImdz3BBkKwpA4jun0uijf5+zigiLL8JuaKI6IoliMk5VyfDo56MqipK7tdg8AvkBwb5pGEkCQDFbP8wUpcSTIIAzxPEGsDLBxfnJ7u7v4QUBWFBgrBcN0PmMymXA+OXfpPMIFs6VTWhsxjsUa5ssldV0SBB5JFG0RE4WiyGW0eufuXUFpfZ9NllNXDXmRczGd8v3vdzk+OhRLKGMp8py6qdz7rbDKkHQ71NYVkqdnLJYrGbn7CqPEIy3wnPJUeTS1obGGxokZWgup2WzmBAAiAFGejENrl/NsTC08au05MQRurC/omhQdtSDK2qNuJNw+DjRNLRZfddNQG4u92raEeuIaQM9TEsk27MqaGw5lopPlGGvIi4IoSbh99y7Ty0tmy4UzWe7w7PlzzHrDZiW+gKPRiCQRfuSTJ09I05TBaMDrybkIbDQOtWvPidbxYPu4b5/3H98Z2md8tVrx+eefU1WVS1yJmM9LjKndFEWKO98PuXfvHnfv3uXJ558znU64mJzTVJVYIrW+kEL6RWvNYjGnnluiMEJ5irTbxQCr1Wq7bpu6Jm8yND4KKZp3d/ZY31gTBwHPnz9zBt8hg8GAbrfLwcEBs9mMp0+fsre3x896/VyFnYQeD8nLjGyzYXpxwfNnz3j3S+9SVxVJknLn9m3OzyTz1TSGoiygUdRNw3KxZHoxpSxKOp2QO3ducevWMZeXF1t10ePHj3nj/j1C3+Po+Ijd3R3miwX7+/u88847LrRc873vfpff+q3fclJzcXPv9fp0ex1iFxJsTENTWx49esz7771HVRRUZcbr18/46MP3UGjeevNtjnYPuXV8zOeffk4ch9BAUxl+6zd/k9//Z/8May1/6S//Bf61f/Pf4O4bb/Dv/nv/LqvFjG4aEHe18FzS2I0RDR9++CFa1bz98CFYzcc/+oj/7D/920wmL+j2I9IkdV17wP7eEYPBGNPAk8+fcDb5VTbZG+zvW/6r/zLjl7/R4f/5t7/MH/3BK5pG4buYkyxb8Nv/7T/id3/vn/GL3/gV/vJf/WvcvH2H4XDMYLDDX/1rv8YnH33I+9/7M4osI05i0Jq6bsizCZ1OD2th+uqUxeWU1XIJPGK82yMIBf7NsowgEJXhfL5gk2fOa0vMWKUrrzB1JV2+48doLaR86w5Mb9tNWhrT8oxARlnWxWhJOkJbqMiDKj5r1jZXo017zdvLtukOdlvYtV8j/Df3sP8Yctd2u+5HoJzisS32GuM83viiH9r1EV77tVVZC/rg/mdM4xSFrq1spNDytHTNBot2lgta+wTKI9AeaI9NLYkoSivhEzklWyuJb1WSyhXAbRFj3WhDqXYUKx5V1lqU9rBKMFVlRShhGynEa9OIea12CKLSeL5H1QhPDS2ogVIGtBD0jZFDwzY1dVOTF0vhEiWp/F0jr0FQB4uta8pS7F2SNKXfSTF0GPYTdsd9Pn38OS9enHAxueTk5TMG4z32d/ckuzPLWa5FIae2pMHr/lb22p9fwZM/zdjXbpGfq1Fst9ulqiqCwHfK0mBLOPe9a2N6Bb72RLncjsXdek+TDndu3yHPch59/IjpdM7p6RllUdM0grj7vhjDisBK0BylpVFVSpFlOa9fv2a9yQj80DUE8nkmSUy2Wn6Bp6e0wlOKMPSx1CwWM7pph2G/z3qx4mDvkMAPyPOM+XLFarkgDGOsVYxGY4mr2mzI1w3roqCqDRZZb17ggzFUtUQ3DQYDqjwTE1zTYEzIZrNGKU1RiOo4TjrEZUVZuKmA9qgrQxB4Yp1ipDA1jSXLCprGoLXnDHiveFDXP6uyFFsliSkUvp+nfZfK4+MHAUVeUNeG5y9eiut/nBBFS4nui8SEuDENnz15wnKzlsQTpbbpM9K8Ns5yB6q6YrWqSOMIZUUVK3FrBWUumaxJJyWKIoyC5XpNtpYc1k2e8eiTT3j54hlpkuD7mtw2rFZLGeMpRdrvYD3Zg1VjqBpDVlZo7bMpKrknpsGaEu1JAorv+wRhgK+hLErhhy0WXF5OnD+gJ+cdhqISP1kwTuEuCRNNXaM9hXGNqGqRUmOomobCjd/jOKbb69BUFUWW4bUTFC38XmkYpej3PZnWxEnI3ft36Pd69Lo9lLVML6Zi8N9Uziy6Io5joUcAd+/do9vr8Sd/8qdsNjISXywWdLtder2e+KBGIQedA568eM561ci6344hrk92vjhh+XGz4XbPbPf8zWZDVVUMh4Nt4gxccV/btBMQhbukwXgOsRQxZhsXiZH86Kqqmc3EisY0Bk97JFFM0u0wmUzkXoYBWEkaCn1p1uazOYv5kvVihe52CbyAi8mE2Wy29a8LgoDRaMTZ2RnvvfceP+v1cxZ2fXrdIV4uVgFN1fD0yVN+9OFHfO0XvkYn6TAaDHnz/n1mFzPyTYayllIbmqZgsVjxySePefDgLe7eTRiNhxwdHfLs2XNWqxXWWk5OTri8vKSbpsSu4xv0B7zzzjt0Ol2CMGQ6veDXf/3Xef78OVEcsrO7w71799g/2CfPs22tXlcVWsd8+OGH/Pqv/zq2rtlslmw2c8ajHmVRAdqFLwtCod3iwML52Tnf+c53ODs740cff8hXvvZV3nzwJrdv3+HOnTucnz534wSJsrHWUlYVv/M7v82LZ0/4D/+X/yF7ewfcOLrJ/u4+i/kpvU5Cv9tHnPd9Hjx4m05vjLIB73zpq9y+0/Deh4oksXz1qzVhFPPgwZf4vX/6O8wXGeNRlyQOiToJWbVkMj3lt37r7/NP/unv8gtf+Tp/62/9O7z91rv0Bzvcvn2PP/7W7xGHsmnHaUIY+qxXa77/g/c5OjpgPBwSeAFBIJFtTWOZT6bUdc16vcYY+MpXvsJisZKQ4sYQGIljC3wZl7VKqnbsKvfPjQqUxrj4s8bJ5ZVq+TMitFBGoz2F1nZbtLRXazv8hdbsJ66rsWn7AEs8qUR0Ka2E68YVkfbKSVwsaq6g++sbhSgwr3z21FZpJd+roVHY2nHhrMHDo6zLq6xSIz/PU1JUNQhSoZRA+JEf0E07+FGEArLNEj8IwQNdS/zO9UNv68PU3kd3bxTXfR3d+7DKpYO0m54Um0YJX64NJfc8IRB7ngRid5KQyPOpG0PdGBE1VBXGyqgH0+BrTRCGhH4oyj2rCDxPhCSmAmOwjS9GyhaaxpKvSspARmiBH/LWm7d5895dFvMVP/rRY771+3/EZrXkrbffJggj5vMFjx5/yuVsjuf5NO2yucarxCHF0r2r60vnanX8GHJ3fcQtvBpBiqypqKuK+exSfq4zSQWFaRo8pWjTRLQnyuAvf+lLvPnmff7423/E2fkFWikWiwWSYCH2JW0KTxj5xHFIv98nisW/L4oiptMZs9mMbiclihJACvmqKp03qKwZMYhVtL6Ljak5efWS+eWKg71dPM/j1s1bpEmK7/mEfkhdNWw2OXHc2T7jgiCWMpaziiCIqYuMMIzZ2d0lCAMuL6eksSCaq/WaqqwI/SuPySiKKIuaKIolLzgvJMmhLAQVqyrnO3itYWpEBKK1RxBEbhRWbcdg7WcFYK1HU4vxMVZTV5DGCWGY4vkBXrBAlZUzjoairJhezjC1YWcwZNDtC9K0s8vZySl5lmFMjecO8zZhp6mcsb4xeMZukSGFot/r4fsBZVFS5AWnr0+Iugk3bt7EMz7rzZrXJ6essw1WwWwxI9/4VL0e3U7HZaCKTZjSmn44oLaGMs/pDYfUjaUy0iRn5YqmFuV63YDnNW5i0MZfyX0vi4LT01OKMiMIAjznYG6aSrKFsfiOIuN5ArVtx9xO9IB1/ohaO59M+W+5XDDs9Ql8H09dPUsNVmg3yvmRIlFn/UGfvcMddnbH9Pt9PK1ZL1dU7rUEvo+nQxaLBUWR0+v3GAwHWCw7u7vcvn2bqnqynUY0TSOTt5sSlXZ6eUEYiWvGluLiHvOrPU39xNqR9SMo8NWEQ1Djlkcnxt41YuIt+0g7ajam5sWLFxhjmM9nrJxqXka2zXZ6pNTVVEqswnxp7puG5XxBY4R/nSYpsR8Q+gFVLckdge9R5iUnr0+4vLjk/OSMIPTp9BKKPKfX6xGGIXmec3R0xJ07d/j93//9n3r6/bTr5yrsBv0hvc5QpL+BwlKzWWf88P0fsjfeo98f0O10uXP7DrPLBa9fv8ZaS9CA5wfUVcXJyQknJycc3zhkMBhw89YNPvnkU4Hoq9L5CS2p9iuCICBNU37lV3+Fb37zm4RhQFEU/Nb/97f4sz/7M/HLUQLX93pdQIq5OJb8QpSgGu3sO+31KIoNb775JjdvHLC7u890ckF55zZRHODpLy6QqqooiuKKWGkseB5hIp5T55Nz9sMRWVkIMuk6iqZpePToEz768GMO/vIxBwdH/PW//mtMJi9YrS7ppBG2suynKQcHR8K70opv/eEB//h3FHFs+Y/+dxXdvo+pc9548y163RFFMaOuPbK6Ju13eOPtt3jx/BUvnr+mzBt+8J3vcO/WG7zz8GsARFFKtskIvPiKoOyHlGXNq9cn2xGUNYYoTrAoF58i8H4YhGzWGz755DFFnrNeb1Cex40bx1RFTllm+J7EnUnMSsu70NCIF5Z2RZ61AukLP8JuHwxjJCfUGEFctGpHXQ6XceP0n8ar+GKt59z2bWuLIX96VRL+dNsSBQ5RvDr0282gtdZouzVg67vVKqa2RO9rP7ct/LDWjUtx70Neh24xJ2uxdUO+3hBa6HY6JHGAbUryzYJGSfhzWyRe9/hzUCPbYfS2IBZEolUlK2Ux6to/3yKTrVJWu4gc7bhVCtAeVstno1qrFCPZrBZBs6uyAuPyTfG3CIwglhVKW3BG4KjWH844ZFFTVw1NVREGCYf7uwSez2ePP+XZy3PyLCfwA3zPIw4DNykzW29Ctf08r9A6tcXxfkpl164Ae1VktChBm1Pqex6N+6ymFxOhEigJcW/vkdbKFTUBQRhw9+5tHrz5Jqenr3n18tW24Pa8QBTO1rrDVorqqi7IsjVRFNDvd+Ww63XF9qDbpdf18P0Qhcbzoq1VRafTwfM0UeTTmIq6ztGecsrNioP9HfrdLr7WVI1hdjljOBiJm7+LcJrOZmA1FkVV1RRliR/GKE84Vg2WtNORcbgvCyHQHufn50zPJ2LrgDjxl4Wik/Yg9AijmE6ni7WQpl3KuqE1ptfakufFFwppcMrXayh0+9y0YfBlWaHwiUIxes7zXFTAqcZFWOP7IahckoHcz63qhmfPXnD8tV12hyPQiuODIzarNZfTS3yrxMg9DH8C2UnTFN/zoK4IPI9umjLo9d0IuqHIMs7KgjjrsLu350Z7622hoLWHbSoZ52lB/YMwQLuzI/A8PN9jcbHAWkV/MOByvsIPQrSn8IOasjA0jSDiTXNl0ux53rZBzPKcXq/D7s4Oi+VMhBJFTm1lBCvFXkutaLm/RvZTpyxrDYut2yijJKZuasqyYLlaEmh/m/SxJT8YEfoFcUQcxSRJzO7eHnuHu8I5jCJMY1hvNiwWc8IgJHTxklEcMZvPJBat0xHFcpETxZJkdHFxISNhixPcaDrdDiMMcRzRFqtV1RrJK9fUthMV3J9df/btlsLSrrXrl0S2eSRJsv26Nl4MoCxLXr9+TVmWTgkrXoBSFOMsYNy5hhTPyohBudaKPMtYrJZi9dTrE2qfJIgY9oYs52uSOCGOQqaTC5RSdDodjo+PUZ4ly3OCptn66w0GA7785S9zcXHxU/a2n379XIXdaDxib2+X1WaOqgoqF3U1nUx5+vQpR0dHblzb487t23y8d0i+qdB1DWgq5VHmFReTC/J1Tjft0Ov0CH2Pui5RxpBtNpyfnXH39m2iqGFnZ5+33nqLJO2g/ZDF7Jyqafjmr/4KCkUcRdy6dYthf8js/IJss6JYLaG+yso7PDrmrYcPSeKYg+ND3rh/h16vSxp1aIqCTZYJWTjSaFWjaDBGSYix73F8+xa/9M1fZufgEKs0WV4wWyx58eI5QQxe6FOWGVbVYguhfJaLnD/9k2/z9V/8JsNhn2/8yp/jW9/67/jOd18SRwGmAwdeTLe3g1Wa+eyCH35YUtc36fUK9vZ+j+fPD7l5dMzh8U1u3LrHo48/wNiE/uCAv/k3/1XefvgOH334I/7rX//7zKYLorjD8c17KC+mMQWL1Qrl+4x3d/GUGB2XWcFmvSJNEuqqJsty5rMZSZLQ63WJUzFPTBxxdL1es8katIqwymezyVgs14SBh1IBfuAR+DJq9KzBcyMqtNh6qHYsai1XcWtXD6DadmEao6T70e6BbVWbV+f3FX9CWVwerfs5Mkzc8qGusaO2hZBxFKX2YFFaorSsEsFHy8/bmh0rtRV8CGojP7FR0pU1TYmpGmdHwHbU53vCO/tieoZcnrqyJcEIalZsVlhTMxhJXmDTVFR5RqNKV9yCbR293DhOb2+Kuhr7uverrL4aW9htuSf/JBLDVTeizNXuLnmeB+61KWXRpkZbg4cVlK4x0Bbq2kMFDhGw0JiaxtSCFHjI+KytM63zplMyirbGZbRqX4qeRrh6vTTmK19+h7qylJs1ufYIfJ+D/T263R7K88izkvU6Y7Vay0jPmKvRvRI+pkHEDp77420SiFKCxri1WJQ5k8mZMzTuU5eFM4GtyTdr+r0+oa+oCoOvfPxQPLu6vS737t1lOBSBwny55PMnT0R8FEWUpfAkrWmbl9asQUZftRGvucFgyHi0i1Y+5+cXLBcbh2SJuW4cBcxmC4fOGZI4ZtDvMZtNQGn2d3cFDbSK+3fvCfnd2dBsVivKomB2OUcrj06nR5afs1wtyKvW0kILeuCLIXAUR9sYqvVqTVWW1LZhvZpTlQXKWOrGkhc5WkG330cR4JcFYRQxGo85OT+XSY6LBqvqZtuApI7TVFY1dV245W+2z4g4+0f4fsBmXaDxGA13KIqCxXxJJ03RXoDFw1gIA29bOAd+QBQGdDsdcmcg3ElSirKkEye8ff8BH3340dYnr5Omwil0I8U4jhmNRnhaU67XhL6Ppz2qSlCnTkfoPXlZoHyNagyz6SXL+VzGrG5P0p7GCwKXr21J0oSqLMmrkso0FFXNfLliPN6lqGsuplNA0+0O2GQlVemMebdUCihLi6VBu6O6MTUHhwfcvn2TH7z3PfJ8Q13WeL7B9zTGOuqEUi18jzXuKbcKjKKpxT3A9wLS3oDBWAAZa0T8VxYl+XKDbSQbtvWPVJ4iiWIODg4YDIUDNhj0GA1HgGW2nJNlBb4fob0A5QVEaYfb/SFl07BcLKiaGpSIO4qi4P79u/ha8+EHH2CMJd9sqPOS+/ffYHi4y/379wGYOwRsMV9gtVBCtNv+r56xK453G63YmFrOldBNTZAJTZZtGO8M6HYHmKZhNps57msj/obLhiAPBMVDYgWF1iPBC2IL1UIUOMN+mXwYC5WxeAYGSYdxf0BdVkRhyHgwYjVb4gG3b95gvV6zszPmxo0bjEdjXp4+53x6DqoV+FSSZFGV3Lh54yfOk3/e9XMVdjduHvPOlx4yX04lJsTJrauy4vT0hMvZBQcHewRBxP7BPuOdPZ4/PyXwxOzGk4hf8k1OUzXQINmiVYW2lqKScGVPe470Cf3+kFu37hL4EdZCrzfg3/sf/ftbRZPnPsyqzHn/ve8xeXXCxckp+WolB4n2+It/6S/wjW9+49pIzXFk0Dz55DFPPv2QnWHKeNzD0zUYSa/4V/4H/wpf+sq7jMdjbt26xWA8pKkbHn/2Gd/9wQ+YXc55+gQObx7S2BJrc1DtKMXnRx9/zMeffMwvff1rjEYjvvaLv8R773+XPG+IYtg/vEWvv4PB8pv/8BX/1//0LgDZZsr/4T/5j/nX/7W/yP/kP/gPiJKYd979BX744UcUlcd4dJMbN96i0z3ka18/YLz7Bi9fvqbb7fHwS1/GCyJOX7/m+z98HxV6dPo9bF0TVhbfq6j7PQepi1N9rz8QvkaUsLu7RxiGZNkGdMBop09diaO8VZrG5EwmUwb9LkmcoJw1hjUK3CFkted4W2wXPlqjlIxB2mJOXkH7wMhoQIix2iE7V0iUNQ5ychFMreroahAJLVqlLNjGCD9Pvgnhh7XKJ+1QJJcxivg14bzcauu8+XwPpURZZi00Rg4SXRuH6NWo7RvkC4iadW/0x73zvoDuAcqIz1yxrphWGUEYiiIyCKkaGfFoX7pnq9vRoHyzNjJKE87MNe4hYLUUbVvU0YF81mqMshD4IurQCqU8V4y16KhB2Ua87eoaWxu0EfWd1RrraVQoPDXf96lNLdFQVoyvt52zFSWr145OnchGNZYwDgnTBGt9N5IyfO2r77K/d8zzF6+oakOYpOzu7rC3f8hgNKauDYvZikePHvH9997nYjoDFI37DCQGS0jdCmk02kLVWsDzBEUGjKmYzS5Ik4SqrCjLgqYqZK3YmqoK8JRFW0sax+weH3B0fMxgMJADu6p4/PlnrFYrLi9nBEFIkgRyANWNa14cKqIMlhrJsVXEccL9+2+ys7PL69evOT05Z7VaI5y6hOFwiOdrsnzFermkWG/QNBzsjoiCkJtHR3z1K7/AixfPefnsFavZGu1pet0eSRyTpimTyYRNtiIrCjbZhunsQsaAGCgDtCcFSKgkYnC/t0uSxILaLBeslkvKYi30FK0xdUMSC/JflBWTyylxkHI+mTDe2xUVM8Jnamoppuu6fd4Ep/b8EK1LGpM7c2fHSbWInYjvEHBEvNbt9JwfrBzaKLG/qcoSDQS+hsbD14rhoM94OGB+MeH84pL5ckWeSf7yjaMbfO2rv8ifffc7zDdLiqIQHlcQUBiDpzVhIErffLGS7PKmIl5nHB0dcP/eXcqyFFJ/N8VDcfLylVjRNPWVsMrzCKKQKIllOhL4FGUpJt9YlpsNVmuKqmJyMSUvCuI4odPpUNcNZZlTFO1osHGNVkNdW7Qy+F5AGAfEaUTa7Qh/zYlOVGNIohCrPSlmsG5v0EhQgOe8HyVZwjSG0WDA3Tt3uHXjJsPBAGstk4sLfvTRRygkkg6roDQ01mIbS5okDEcDeoMeSRKTpAkKxXR66dY+hFFKGEaknS5pr0+322W8mKO0IHYnZ6dcuKisIluzOx5ydHjA6etTmqLk5dPnzC9m/NJf+FUePnjAzmhEUVbUTc3nT57w9NkzVpu1+FZuhzraIZK6PVW2e4JS8tr9QDv/UEjTiJ2dsYtVM2yyNXmxAWWcytijKJ1lkqmF2x/424GAVm0co9la7/jaIwxCPE/hK01VlaRRSi9OyW1OmqSkacJoNOBiMuHs/Iy33nrAL/7i19nZGfPy5UuCMGQ4Grr0Fkk4STsdLmcz0k6Hn/X6uQq7bqfDjRvHjEYjOfiBqgJja16fvOLZs6fcvHnMcDjGDzRR5OH7MtIJAh8wVFWx7XQUlo0jBrdQaRAEwkGJImazGavliiLPiZMEbS1hFFHVMm5tmgZlZIQ0mZzzyaNHNFW55UQ0TQOmxvd8umlnC0Uj5wBNVVPVFU+fPUNzyMHhEcPxDnhC5H/w4B5vPXzDqSahKjc8+tHH/L//X/8Zz58+IfYCptM5YRKxWq6omwpjPcpiiR80bLIZf/onf8BbD+7RH3T55jd/hd/+b36LV6+eEkcBw34fpeBHP9L8b/+jL3M584ijEx4+/E9I04LXr56zXs/pD/q8+eANfE9TVhVPnz7h23/2p/zyr/559g6PeOPBfe6/8YaM0Axczib85j/4u/zpt/+YYT/E4O6bqQi1Ymc8Jk0SVqu1oGtOwfX61St8T8yh1+sN1hoGgz5VXWFMQ3/Qw9p4uxnj0BFRPhuMqeQw1Mb5V12NB6+g8isxwhU/QtG4B+VquOjCsdrRrJbPREsIA9o9oMq0sgVXuIDEr2npXl0FjzvN3U+++h6t1TVI0G0KDtoXPyfrlGDtSML8BNG7FUtcH/X9REHnrquix42A3a9VXbNZl6g8J4oitKcpqhJj62uCifaH4DYtGY8K901eL8pDGckB/rFZ9Rf5KFzF59hGRq3aE7WWRJhJMSkqYI3ve+JNZxGlnGU7hmrFMK2K0FM/9nppPxzhoBbrgk5t8XqBG+E05Js1Sgcc7O+iUDx6/Cknr6YY5dHt9oXPF8Qc7h+wMx6TxAnf/f4PuJzNJTDdyucaaC28I1zx7WxBPF8SVgxgalEM5vmGPNtQlyWmMYSB5/atiCSJSZMOWgUcHd1ifLhLGEUsFgsuLi5YrVY8ffqUshTFo/aQGKtNTlVl1266rDjtUhw8zyeOI3Z3x2T5hpcvX2x5fnVd0+8H9Hq9rUCn5bNFUcTB4QE7OyN2RiNRjjqKycXFhZDs/QCttYs16zCfz1nMF6w2S8qqAg1VVWKVwbeKQGuapiZOYvb2djDGsFqv5JHRkjRUFDJK1UhB2tQ1ZVFxOZsRejmr9ZrnL19y6/YtucduXH6FfvMTz0279ttnpq4lAisIfTbrjM16TRwlW0Tv+vgcLJ5uCAK5j9YYQhdHZppaUkfKnKqpt16IB0eH/JW/8leYLRd8+wffpSyFA1sUxdZhoSgKgiBgvVpRFZKcFIYhSSLJEqenp9RNTRhGbLJMDJVRYkviGof27Rkr1hjrLKMsS9l7q4rLy5lwu4zBlqVbZwmdTkoQhNu4si/ujbjnUJpKz9MibMvXFKUgOp5WLi83AW0pykxSboyWhq8VrDkuYVPXJEnMnTt3eeutB/Q63StbD2vZZBLFFsXCufY84XVaDbu7O9y4cUzk8k6xls8//5zpdCpRY55PEIQu2i7aWve0nnCPA4+Liwn9bpd+r8/l5SW9To+9vT3qshZKVl6SZxmvnr/g+OYxt49uYBXUdcPd27e5uJjyvR98nxcvX7BZZ45L92P7q7Lb9CG0iMcMZjvxCIPQcTxdJrPWVJUU1VEUov2AsiioqhJcQxb4nnvP16YE7vNR7tmTqELJlW2BJN/z3Yhccmm7/R4Gw+Tygs5Jhzc3D7j34D7LzYpFtqBDymazcSr5iF6vR1EU//0lT4g5Yp84jrckcmNEcFAUOWdnp8znlwwGfelAqg3aa428QCuBNIXAaajrivV6JXNrLRuqKMiuLB5evnzOH/7Bt8hy4bApVx1XdSWblQvoBsPzZ08Y9fuUZcWHH37EYpltY1q2G1SbYYpiennJk88ec3b6nH434sEbb1CVJb/727/NepOxLpa0DhXGLeAPfvgBL16+wNoa30/BKlbLDf/kd/8Jn3/+jLKCD3/4bfJsShHDo0fv83d//f9DGITMLi+4vJixXKzodgJevXrOP/wHf49/8nsPef78r+LpjL/wK/9T7txZ0+keYpuC3/3tf4gf+Jy8fAW2wdqaIl/yO7/9D3n//R/w9W98k4ODYzq9PkVRcX5+zj/4B7/J7//+76BsRpJ0XPIBLLMManFWD4KAXrcnKjwLgR+itcd0OmOzyVku51RVAbdvcnCwz2q15OzsDGNKej3xLWw3XhGcaIHfhQwiXnK2LeSgjUbSDhVqN90vXLadlbLdJdV2xNmqFJ2ZhyuonI/HFhlQRsadyhXnSmmMqt1LcSiBdaNbra/9W/KzlNLSsckLwtrWtuSLthnbcHklvk1tgbt9zdsC6uo9Wtxrdt+3tfCw1qEaxtmn+FJsFwWWekue1t4Xg0Zb2w6LcqkMUtAIrdFu0dD2/lz3cbNcjcG0RbwJUeB5eEo5paByE9hWNCB8TG3tdtzWHta+71/ZwLRFOT+GzrrRFyqiqWpW8zmZL+h44GnyMsMasVNZLWf0Oj3u3HuTvcNjkrTDk8+f8fjjx4zHY9584z7GNDx58oxXJydkeSEu/I4OIKNlCJOEfr9Hp9vdqh/rstpyS6UJlG56NOijNXR7HSdw6NDUUNWGTx59wnR2SVmWW9J/URS0b1IKvGaLFMh1ZVHSfnK+p4mjiKLImU4vuLiYkMQxm/UGrCUKxe5kdnm5zYw8PjhkMOiTpCnrleHjR4+4vJyiFezvHGyR2izPWS2XvHr1SoqVsgRl5f14Xdb5htyZ0LYqQM/TDAZSSC6Wc/J8Q7fb4eBgj9nldEsiD8OQbq9PscnI1hlFXlAasSW5uLjg9p3bbvxab5MQWtNyIcYLYtmuc8AdxoLaJUlMGAQsmwXaU6Asi+XcWXpYqrpktVriBz5RqBjGXdI0AWuIg9BRGFpKQIQXhlTVmrKuOT875879e/yNv/E3OJ9OeH12QlO35H6PqiyZnJ3j+z5FnqNRjMdjer0eSilWqzWrlZhNLxbL7brvdDrUBqrFgtZEvG4MRSWpFcvlyjVQHiDWIgZ5rgMftPaF3+mLQ8JwMKAqSvIid9zd7fKizUvWGlarJS9e1BSFIEpBEOBrs21QPe25grhFRY2gqBisi8M6Ojri1q1b11SfohhVWrvGXviDnu/jO0N1L/Ck6PEU2tNiqrtaslgsRFHb6YnCd7ORSZ5TPBtjuLiY8vTpM87PT9gZjzk+PHQCDxFt9Xs96r0aUxuKvGC13vDk089I04Rf+MovsDPeoXTZrXv33yAJQv4Iy6NHn7h74yY7bozTmhq3hZzSbn81oiqvG0NdSR5tWZYUeen4jQ29foAfhgR5IAIL53VZlAWha148d76Y2qXAWPncgyjEVx7dbpd+v4+1luVyyYULYhDawoj9G0dkWcbryRl//J0/I+l3ODw8oru8pCxzyrLk8vKSVlTS7uE/6/VzFXYASSLdoDyY7uBQCo3HarUSqe7REVXdUBQbUI2E3CpZocr3wDTC2wBxo3b5e/raQe/7sujPz875/NPP+PyJqGd6/R61Mbx8/UoWUCkGmffu3eGtB28Kd2W8y7e+9UesV/8tdW3Y3d3D8zzWmw0vnj/n+MYxaZJyeTnjcnbJV7/6LpezBXg++XrDB++/z5OnT3jy4jF5mTt1qNmqbJIkoTMa4KuAssxoKsPv/De/Q9X8I4yBKEpIkoAin3N29oL/6u98yIvnLyWY24M49jjTOf/oH/4DJtOv870P/x3AcrT/z9jpv2JvuEsUexTFmj/4Z7/Ns2fPWSzmBGFIGh/gEYLSPProe7z/g2+T5SWNgWyTU5Qls8WMKIRO1yeOfJq6oGrExV5bqMoKT3lMpucsF8JfUSiKrGA2XxFFEgru+T55njGdXlA3JefnpxRFxr27d7Cd1BFLG4km8318JcP2dk20xZlx40Cp9axTSUoo+7YzVdewPTe6a9WzLW9CntlrFHkFVm8zLZxdiqXNi5XCzhV3WLSTrSvHmzNONaraMez10YW9xt/6KVcbAO4pBG3wPfE4ai+13VNcY2Bc8XR1aVrEUPbwIPAkkzUUNCIrV1vbCfGLu2700d7eKxRUt8Qymm1B2Xqg2euFoAtW3/KbXEHXXuJhJmR2U9au2JSP1BhJqDDXUErP87YNmXhlNU6Rrreu7u1N0Z4PVnzUqqqmqTPCKEUhwXe2qRiPunzp4QMOj27RH45ROmSxXHNxdsqr50+ZTSe8+eAtppNzVss53TSm10nZ5BLfc3CwT9yJ8cOAKAqcmax2QecNdVXTSRN2xztUpVhI7I130RZm80uUtixWK548fcbkYkaW1yycYW2rrgNcwd36qykuJlOJ4Lv6hORQ2a5Y8Q5M04g827CYz7ZZpFtwQUMY+igMd27f4tatW8RhxGI+59Enn2BMzenJCVWRs7uzgxcGhHEsorE0cWbqKavVilevXzNbzCXjtZNQ04hxeO1ENUbU4Gma0O11WCxnzsetQ5ZJkoRWnqClYUgYRlR5iUXJmFVr0k5KVuQ8efqUxWopNC7rFr0EU8mYDEPTSE4siKLX9zTOHpD9/X035i3wA48wDMiyDavV0n1vLaMxAgI/EPuYXofA90jCiM1mRZEVrNYr4rRLXha8PjvFGEmWmK+XvPXwbf7Kv/wv83f/3t+jqWppMizYumFVSGastopumnL//huUZc7Jyck2Y7ksRcUYJwlxnDIc7nA5n+Npnwb3uWvPKX8lFk8r8e9s3HPlu+dBkjagLKutijqOxJD24uJiK9rbNmSCDVHVDfPFJVm+wpiGMHQNFVIQ+bGPI5OilajUjYLGlPLcG0OaROzv79PtdlzCiMd6PpPM2iwTxbeW6VBbeHl+yP7BAYNBDxDxw+XlJWeTc8pKhI7LxZLVKiPLMnZ397dJD2VZslousdbS7/U5OjwkDEO00sS9iNAP6HZjwiCiLmtmlzMuL2doLJ9+/IjYD0i/9jXSTodFVeMlmuP9A7709tvMplOmsxlV1cYBth6pBqW8lh6NxXmuwrWCV/alshBD/sb57iquULamqamskeKuEn8/PxS7HR1KUah9MXcuq5KqjgiiAC8M6PS6IrAD8E6J4pjZ7JK8zhntjrixf5OyKfngRx8wW8752te+xnjYx7oC9sWLF7x48ZKjoyP29/eJ4/innkU/7fq5CrvNek1dC7m3rSK11ltbhOVyzWqVieKtaej1+kRRjGoqmsrlhGpNGAY0dU1dymKrnBrW90UBtdlstrBmU1VMJ+eslwsxO7x9i26vS5rGfPLJYzKz2do03Lx5kzfffJN+r8fJq9dCHvY1ewc7HB8d8/r1a2azC27ePOb4+JjXL1/z4kVAr9enPxhjUMRJyltvPcA0JcvNBXmZ0Ulilqsl/f5gq4as8pr1MnNdlOsODPQ6HXZ2xhhkkeSbFefnr1ktLwl8jzTtEIbi2P765CHvffIfU1Z7JPGUv/Ir/3f2dhXdsCHQBVHYECtFs9ejHyuUp+nFmlCVpGlK7HXZZAUX05z5Yk2oLWGs6CYjklgTJx5p6LO4vORg75jhYMD84pJ8k0sWbLcvBbXyUbZhvd6wWGV0OinWGnb3RttOXinLzs6Ily83LJdLdnd3qKuSqiwwdU3t+/jOvy6MQqJA/AYb02DrNiLLmfRuxzSusNNXhF8nJnTwvxR5rVhAuQqoVctad3S049ctr80dtMKlkyIOI15q27zVtviUnlrWprI/VkzK79sIMGBbzFRVJRJ3LGmaSEalQ/LaKL0wCKVghK0C7arratE/hwBajeeQsDiOUFrUbo2ptvD+tjzaIp6+jBoVKJeXabFUrsO0jd1K/NvX3j6zLRxwvdDc+vtZ4xz9LWXV0BiwyMjpOo+vfS1b1bgVZEqZBpciTlNXW0VkO9JrrEVbQQrBytcrhe9qS+1rbh0fEiYR1kiDePL6Fdl6RRL62Kbi5NUL1osZtpZCYzAacXx4gPY1u3u7GAzT+SVnZyfb5my1WtPUjVgbeT69bhdPe9y5fRvfwnRywYuXzySb1/c5v7hkvSlAibk3KGeTIEhU5YpXN+Eiz8trRWy7vnGCILnZGktTV6yXC6YXF9RVgWmUqJJDz+Uua7761a+QxAllWfLeez/k+fNnLBdz4ijcjhx9Z+ZrseRlsY2+aowhdcbA1jZUVYMxEUkcYbWGvEJ0DYaqljFkksSMRgOKYsNmI9ZGm7WMG3u9PnEUobUnIjZ9KV5wGIqypJrNqJuGvCjEeNvTW5cA2ZuF11w770OUpa5LOp0+4/GQ1XJNt9tBKU0UhQS+T+gUskohNIDWjspZTpRVQeInsvcinnR1KabGKvD57OkTXj1/Qb/XxyrFn/zJn/DxJ58QRAFRFLJaLfF0KKiSsVv/MdOIsXGv1+Pp0wtOTk/pdJJtc1TXjRunJ04kJUpmUYw7bqExeMp5eQKVs31pajEEj2NBvqqqEXWmFxD4IcrCaDAg9H0uplM2m812FF03taO2QJatUUr4WrL+GmyDKJ2jQJoLpUFfUWW00jRG3tsb9++xs7NDXTcy8rNrzicT5vO5s6mpGQwH2zQUrRVpmnJ4sEev13UFeM56veT8/JzFckmn0yGKYs5Oz8nzAq0F2bp37x7GGGazGaPRgNu3bzMaDlBAt9uhG3eoy4pO2mNntMPF5GKb+tLpppRlxaNHjwjCkPtv3N8mgnR7Xe7ducNms+L9H37I5PKSoqq3e7VC4V3zvrPu98qp27UnBXBZFmRZTllWkohSS4EeRRFFnlNVJcbzZE9sGuJIENbGGIY7O5JWoj06aUeMnbV2n5XGDwKiWHiIcRJz//59njx5QpatOTl5zXKxIAh8Dg72GY+GPHnyOSeBz+2bN+n3++zv73N5ecnp6SmdTsfFE/5s188ZKSa8jtaxvZViS1fmk2cN89mSpjEEfsTe3gGff/YcUxgnD9YSs1IUFHlGGPgM+30hZV8nfm+5F7UEOjcNWiuSKOTtt9/i6OhI4kcmE/I0Fu+tTspwOGR3d5cojHj33S+zXK3Jqwwv9Lj7xl3Ge2Omiyk379yUKnh3j9FohB8FJJ0OVSPmsd1uhwdvvolRJWfnZ9R1zWx2ibVsx00ns1MWq5kcbjVgYHe0w3gsNgOLxYyyqphOZlxMLkUggs8mv0tt73B6+Td5ffFvU1a77A4f85e++X/j/q0lYRATqpLASKRL6CvCcYdB6kwSMYR+RagLotQj8ny0iQkoqWrJ6kvSLkGgULrGU5Ch2B2O8Iio1yXzi0vJjIwSVuuVdExph7KoGAx30Z4mL9ZbDoj2LHm+pt/vbx/01sHeWkFwrBXtVt4UxI0lClOJ67FKUDVrMdo6byauCHEOemuVqluzIjdmtdeC3OVL1RfWiLXSkbbMPMM1hNBa8a9Trb2xjHhcBUjrkWevCQ/atAHPIY6NaahNvf134crgNggCTCPmzOKJpNxGa1zxV+Ah4gbaw53rhVFrZKxdASA8EqWsHBpaU1ZSlBnjo428fmstynpYI8WGdqikHOTtuIVtwVWW5bb7v7KduELRrvMCt4iesU4sYkB52wKm/fcMrc2D3n6fGPtq0jB0WZb2yh6m5Q0BygkYPCXRVZ7CxZiB0gZlaxQepi7Js4rZfM1yNsc2hqYuWa02VGXJcNDjwYM3CaKIsqhQKC6mF3z66BHT+ZR1vmFT5qDBC3x87RHEAcpAkkQ0dUWchpRlzocf/JDlbEGWbyiagtCZ2wpn/yrho7XoaGkIsm8px2VqP98rSoH4FYp1irWKKApRwKtXr5heTITvVYOnZfzd1BWmERPTp08+4+T0XMQkVbmNyuqkMb1BX2wqTCPB7HUlfmrIe802GecXE+q6IAh9h8yleFFDXkwxjUQqWWtZLBdk2cahcgEX03OKsnBpG5o4TkXxW9SslrK/a6Uxbt00xjCZXqC1pjfoi3lwWQgK6/uueVHOx06a+TY+7ebNGywWS8AyGPTFNDYAX/tIuoF2CT2dbdPUrquiyCmLgqaqqOrScX8Np2dnvHj+kiYvaKwhikKWyyXvvf8+fuhLEpLjmlknnsDz8D0PP4jY29sjCGSkWJUVTRxx3fqoVeBXrlDbcuGscSR/Q+D5RL0EUzeUVYPne/R6PWaL+dYUuc1Tz7IME1poDGmScOfOHaIo4vnz55Jj7KgaLV/T8zxHd6qp6hLbCOpkjXHRVvqKbuKeuSDwCAKfe/fv8fDttynLgvl8JpzJqmK5WonheFngeT694QC98vCDAFNJFnSSJni+R5bnZIXwSH3fo65r4jjm7bcfcnhwxNOnz5jPZ4xGY3Z2djg/P6eqKw739omiSM6LpiEIJC0p7DsQwO11dV2z2WzodFOiOGK+WPDpp58Spwmn5+cslwt2dne4decm7zx8SLfX40+/+12ev3hNY2osYpSvrrkSKCt0lS1v2+13VVULR7dp1dkSu5emKXmWSVKME31lmw3GRFisJDBFEYPhkMVsRtJJUUY+z7qpKaqSxXLBYrVkPp9TVhVREnPz5k2qMqPbFTSvqiq63S737t3D8z1OXr7iyZMn5HnOV77yle3YvwWUftbr54sUc7yVNE1dkPHcjWcUni+xL0VRU5WGdBjT7w8E8akXW7TCWhkJlmWBUjLOSNIYb67dgyymnkWRkyQxvX4Paw2BG/NEvs+tmzeYL+bs7Iy5mIq3S1VXLpOx5vjGMffuvcHT58949NkjJtMLyqZivLfDzu4OB8eHHBweUK5zijznbHohiw2I4wijgabHgzceYI3l1cuXRH5Eluek3ZTJ+TlZtkYHUnN00i5J0qEqa6Ig4tXLE548f4KlYb0eUxR/gdr8OS6Lv0ozf0BtrjLf3n3wp/zrf/X/yLCfEwYJ2ArqAs8Kv0srjR8oIj8S+wCl8LVFaYO1Bj/S+P0YbUrKskYpnyDQaM9sya2BUpSbjDSJGfWHXE6m+DpgMBww6A+YzyWzczAYUhnNYNgnz9PtSMEa4zyzark/7hDXymXCukv7PjrQ+EEoEVfGUFuLdQWFmBC3KNnVeEoAOLE6sa3pWhvxcm1saJVDm67zxZCizBoZFzauQxWUSYHRWH3Fa2vHnliLdgRK5YQCxj3AMmJTbjTbuKIJKc6cIaVyjY3GYK0YfLbFT1sDNi7CSFnhp3pey91zl23FF3JAVG0oeZYRBOKvprcTUrXtvo0RixVVC/pV1RVlXUoj5HskaULoh9K1+j6BtZIz7FBSYwxKu1K39cpqhRRtwYKlbkewgrNuUUuuRUGBNDstsiCo4VVE1HWOn+dpbJve5sblsmnJYVnXDdbIRiZ+cA2z2YLvfu99wkg2wtFwyHKxJFuvGY3H3L51k26vj7VwenrKxcU5UejzpS+9w6bY8P6HH7LOM/zAYIwUUL1el5s3bpImCWmS8PTJM168eEEnjGQjV4ayKrFoojhCKUlbsfbKx7AVNrTmwaZpFXhymwUsVq1gDqWE+5TEERrFxeScMi+IopCk32U0GuN5HovFAq0UT5885fHjTwjjBIuVQi4KWS8XTo0svmhlWVIbsWgQ5E2a7nY/DAIpqIwbJ5Vu9NwWTHVd88knj6iqnLt379LpdPD9QJoaJ6rxPI8ojLi8vGR6MZVIrTCU1aA1xho284w4TQjCkMwhC3KPGhmJKUetkMeIxvnP7e7usNlkTCbnblLj1rlttvYkaZpw69YNirxgMpnQ6yX0+6nwwIy8r6qqqatKKEDVGttYIpffaRwPTdb3ldq+9UZEKbTvMx6NuHPrNm+99Ra+r7f3sarKq6aokQ/XGEuRF64okLNDKYnmqusGP/UZjcf0ez1u5AVRHLHKVnz0o49ocXJpspz9Tl5AY8izjDAIGQ6GLOYLsZ2pJMsYBb6vGQz6jMdjptMJSvlYz8NWbTZvQBhGrLINnpI8X9+XZ3s4GnBwcEBZCRf7cnrBerkiSmNBgH0fLwgIg4CwRaaaBt8qFxEXCfK9WVM3IjDpdjqgNLt7eyggdmH1H3zwEUEYUhQFL148x9MeQSA+tGWR47u1l2U5OpGJyXq1ljWcpq4uSPEDQcesgtV6jbGGi8tLnr14zmdPPmPvYI+DG8f86q/8Kn7wPR599imNEa6ruZYBbhqDMrLPWQJKh0zKEnYNstb0ej12dnZobLPVEVjfJ/DFnilJE5JOSuAHWISjujU90RDGIWVpmc0kyi4vCqwxDAYDMaQPA/qdmN3dHcbjHbTWLJdLfK25d+cut2/c4Dvf/g4/eO+9bVbsbDajNf3+Wa+fq7AzVFhKet0OoR/gK5/aKnzM1n5is9yQrXP6PUunkxInIUrLuLU9SMpKxBatAaLnKYJQzPiwlixf0TQSzruzM6SqSwaDnizIs1NsXXP/zi3eeesB3/nekk2WsVos+eCDD7h75w5hlHDj9m3SbockTtmsMyZnF/zCLxyJp9M6J/BDklHM6eSMy8/OKYsbJJEriNCk/Q5+JOhGr9vj5cuXvHz5ktVyRRwnjMdjZqtLiWnSmul0Rr/XJYpCxuM+nd7bZMUuf/Bn/3vW+TfcHZTKQinLzaNn/MVf+cd87Z0f0E0DwY5sCVhsaWjKkqauaEnrGoWvhPyJ4Qox8TSBrQlsjlIN6BJrK7QXUlvI8gqsz3qzIQ677O3vslwuCCPJo4vjiPVmjfYUhwcHnJxOScKIQa/D2dkrmrKS1IiywTYV/a50GU2dOa+2BmMVURjhecI50qFPZeSxaq51Tdoinm84RMptrtiWV+dsKVzRYFtumLUoJUiW4Wq0Y60Y6FqrqHEjU1dIas+Bghawgiq3dUmbLqIcj85TMhdseXuef7X5e35IaJttIdmWo8qNaZUnXBq4QhFpf77nSVHpxsO1ag8StxoaqEuomloyK4EgDChLGXVYZdGe7xAxQQu0F2CtT2MttbVit2ABT/IzjbFkRS3os9Y0tqGyohpTjqujPYVqHIJnZbNrX7+x4ldmtW5jOTAKrHLUglLWEy6H0vPaGDnxddIOz2hqxy9TUDYl2lMkfkJtoVFalOcusQQlalytFVUjSG0Y+3iBR1VnnE1eMRrtsbN3l/037zAcD3n+/AXZZs2njx6RZTnvPHyH0bDPeGfIOltydLjPG2+9yXh3yJ9959tsNhtXWMXcv3eP/d09Nus16+WKfLPh8GCfhw/fYjaf86NHjyhr4aGGUYQfhMIJrGtnS1EgYHAgyKJtldNsO3/xYpTGoTaWBkvo+yRJj2F/TDfukEQh+3u7dPoDmsbw7NlzoiBC4/HJ40/Z3TtiMBzw6vSUmJC792+zXi5Zr1eu8K6FlxcGKE+zWC2J4pjhaMTx8TFNU3E6eUWeZTSNZb0uyIoSX8do38f3Ahf3WHN+OmU82uXevbtcXi5ZLDZYSpqmZj5fEAQhmzxntdkQhSG9Xl8yhJFmY7laSlyS51O6ZwuME0wZtGofyMY1Cj5VYVgtMhbzFcvlmjDe0Oa2VrUUDr5vCX3oJxEFloVtSIKYTjJks5aD2SioMBRNQ+2EdFopwjigbEqsttSNoHqRF255oe2vocu8ffjwIQ8fvsV4NGS9XuMHEqrVNMInNABasSlydCAK8bKptqhpy7X0PZ/RaIe3335Ir99jPr+kKArmzxYkkYycFVrAikCEODL1UKzynJPzM8Ay3hkShh6npyck3ZT+oM+w3xdkUYGuDR4KPwggaagqKMqaMEqxq4yyrghcURcEAXEYs16uOXt9xuRiwnw+wxrDu8fvYmlE3GEMkR9LQkddE4ch5TonywouL6YEYUAniqkaTV1V2EGfsJuSlzmPPnvsplohNQ1+6HM2OeHs/IQkjWiaivVyxaDXp5d2UQbqsmFRrnj16hWr1Zput0ucJujQ42xyzvHxsajEg5CqKAk9nzs3bvL4s8/46NFnfPrsJXcmM95++JAbxzd58uwFphC02GuR1K2JvGtRjXL3P6IwkgShlYenAtK4S687YDabtuMfZw4egKrRvmIw7DHsDwBLka/YP9hhd29IHMWYumEynXJ6fsZqnbFar/G0x/7eIcP+iFnVMBx0CDyfKi+4dfs2NIazkxOGvT41EHV7+FHCp0+eUVaG09cnnJ9Pt3nVP8v1c45ihR+RpDG9bpfNaoPGUBrn/2Qs2TpjvVzT7BvSNCFJIsmrc5EotP5O9qoCDaOAOA63svPVSkYiOzs7HB4eCGfNGC4uLnj9+hWXl1N6vR77e7v0ul0Hzyvm8xnPX7xgPN4jTmJu377D65PXbDYbzs7OyPOCpm6Yz+cise+E5GVG3ZRsNis26xXj8RAdePidBM2Afr9Pv99ntVptRwIouJxP+dHjjyiLGt8LGY1Sdp2Bc3+Qsrs75v/xX/yPWefvopThYO+Eo4NXvHnvQ+7dfsH+eELgX6KoUTjfsNZvyxUVLbN4a0CrlIucsVvkyBjjIrEMvuPNNl6D8ixJmIIKWS0Lylq69TTpsre/x3q9lvg1tyG9fv2awWAoUSdFDgRUZcHl9JIo8t3oNibpiEgm26xBKaqyptvt4fs1RV6hlKbTgTjW11zZ9ZUgAhcIXjeEfiBFhXKF3bXCCNqJbMtza7l2soYE/ZL71RZZ7maxzU11I1qz9Tu5ulokqdWSKiXJGFtORoskcqXk/XFlrLV2S86FK1Xs9Z+vfO+av13zBY6aQj6/qjbUTSOHR1ljlUdVV9T2SlVmrXh7tYIlgxZStG3FDvIe66akKQ2hS0doLDRuUUVBQOgOknayYxFeHw1IMgQyvsYhBKEHWgmaiPjPYQPnW3hFmfBokT9vi4A2tkF7wkWzlSVJEhpjqI3wZ/0W9XT30vMUjYSuyYjW04zGA3Z2R6zXK6q6kLHF/bv0B33OTs7Js4L1asWf/Mkf89aX3uHw+IhNkWFMQydN+Jf+pT+P73t8/70fUOYFN24c0e91eP7scxbzBb1ej9FQ/N8Gwx79YY8gCsjynI0zQRYEJGe+WKCAuqzFb8xc8S+1J6p/lBwk2o2DIjfe7HY7Yri8u8fBwR51XpBG4vd5fjHhk8ePmc0X7O7tMb2cEQQRw8EIg9zfzVp4x2+8+Qar5YLnz55h8gbfj+VgV5JKsN6IgjMcCT8WK0a5YRSzWmVgpSD3XbJH0QjZvChKLqcz7t5Vbo+TcZ7n+Uyn0+24vW4aPGPQvkccSyZ3lmXbwq3T6YgitOUYcvVMCWdODkpfh2A1i8X66tm04AcBylkm5ZuKbjdld2dEka1pqppeJ+Vo/5DKNQ9BGEsigOdT1sJt85Ql8HzhglsjtkG0yvMv2hLFsRju9no9vvSld+gkIkRJk4TRaEj8MnKtivBD2+xTyUkuaZWoxgqqrZVmb2+fd999l8OjIzfpaJheTpnPF86WJqQoSuI4od8fSlRjlVM3sMk2nJ7V+L5mOOgTxSHD0YA79+5wfPOYpqqZnJ2xWa6E3qM1eJY831BUBXlRMBgMMAbHH5QRv28Mi/mc+WzGcrl0U4GA4+Mj7t6/xw9/+B7GGIbDIZ1Ox+1L4lG7vJzjaYV1U4nhqM94NGKxWNA0JU0FRV4wm80Iw4ggqOl0UgYDyUJO00TujRWx5OHBAd1Oh/lsTpBGzlHjnNVqhdaSqRwEAcYYdnZ2iOOYi4sLqlJ8btM44Z2HD2l8n8efPeHzz55wMRUFqXVcSWVdQgRqm6nd0nxMU9PtdBkOh+R5hmkat04lm1kB6/WashSfQjAEYYr2UrrdDvsHuxzu7tNJUxaHByzmC9Ioptsq77VitpixznKUywZebzLqsiYMQrqd7paqYo2h2+3ywx/+kF6vz7NXr3n28iXTiUwiV2tRKg8Ho+0U5Ge5fq7CrlWVxZGYaL548RJrrqKOUILEZVlG42DObre7HcG2h/YXFHpY4jDC1x7G8yGw5JuMy4sph/sHIvc/Pubk5IQ0Td0NFzRvd2eXwWDA5WyG74esnbij7VCOb9yQTENHZn/27BmLxcJ5PM3I842TZjecn5/zejSUuB/nIxX6vmyMSeIc6of4vs9sNmM+n0snp7RT63Y5Pj4mijw8P2G22OHk/Aiw/NJXv82/9a/+ffq9FUorosAn0JBtAkwt/lm2brCmoSpqTFW3JzaN81JTzsDNtkaM6G1cldKGIIjdIW3Bl3GoRWOV+CZpT5ShZV0yGo9ZrVecnJ2SJglKK4y1rNYrdx9LFsuK9WZFUSqGwx5xEgr6cO3+WutQKC3u7etVxmKxYL3OHBoYb9eGUtL1eu4Qr92IR7u0iC3d7p9ztR5LLV/vC/9dMeiumGMtn0tr4VfYn/iJV1/jkieUdgC+kRGQdUWix1VW7HW7Eym+5TC/biXyBYsR99pbde6WB2fl2LPOLBnlUTUN+WaDn4sdjXKKX2tkxNtYHE/RGTpbhVb+Fm20gPi+NjQNUBlxRzeiRve8UMZseBSbjfjvufvQdoOe5+FForpujEEFvhTYTS2oaFW5uC3f5SRqjJIcVeU4aFopqqKWOClPbYUtlePttbykdoS7LYiVmEK3RbyxJb1+h6985V3+6I//jFevX3B0eMzuzh5VWbFarun1++RVyfe+/30uVnO++otf4+DokKoqmV5e0u11ePDgAdPplLLMuHv3Lk1dk3ZixqMBN2/cYDgcIb53HuPxmC9/+R2quqGqG9ZZRlFW5EWFUh5RFLNcrJlezCmKmsVyyWKx5GJ2zunZGUVe4fuK4bBPnMTs7uxy6/YtaUCzgpfPn/Ho40fYpqHf7ZCVOS9evuTFq5fs7x8yXyzIioqdnV2stUwmE+q6Ic8XnLw+5Y379+n3erx6+Yoiy7DWEzGWlqJjk+d8/vQJz58/I9tsWGULKVDihKKsqZpCfCBbRwO57dRVycXFhKdPn1KUOXEcYp31BLDNvAW2k5b28G2ahjAMMC5VpP0a3XJqucrtVO4zjqNY1q22JElMVRUyMq7FjkI7JDkKQ+qq4nS2oJMk3L51i8F4zMvTs+14VOgGrW+hvLY4ien1+6zWa/p5jnYjs6qWdKAwjvF9Kf5GOzuMRiPyouCzx4+5ffMGDx++zfHhEZ8+euxGdqJqt00Dnoz26qomCCNqJVnCkioScHh4yNtvP2Q0GvDixXOePXvG+eScqnDjPyvFYZp2nIihFlWmqUUYUhZkmwpTlcRRxPHRETeOj+gkCfPikuVyQbZeY2zjkmwEva2qCmxNWaZ4nifncCP7UuCENlVVba1MOp0ON27cpCwqFosVYNlscobDIf1+j/F4VzxnjaCJeVmwWq2YXJ7z1a9+hf5ggM1XqErQzzRNhCvXNPi+R+qSN+qqZrNec3xwSDdJybKMIssoixJfi1dip5OwWi9YrReEUSAxa37AzZs32dnZ4Vvf+haLxYK33noLYwxBFJEORxhjmS8XZOsNjTX4ng+I32Hb4AsH1rr9XZD0qiq36RetLY/nScNZlSWLxZzlaok1tUtX8klT8Z9MoxgPaKqKbpKShmLV0+v1wFouppeMRmOZLgU+HhJd9tlnn1GXBZu1iA/FI7EENL4fUhYVSZKwt7fH/t4ecRRT5gVllvPgwYMre6Wf4fq5Crs2yzWJUtI0FTWRI1i3B3dZlqzW4vmjPU2n09l2e3BliirBwAVhEDDo9zk5OXEkz4DNZsPJyQn3798niWL29/eZzWaAFJeTyYTd3V32Dw7Y39vjYjrdupxPJhNWK7HsiMLQ5cmqbYF5enpKHMd8/PHHWySw2+ny5MkTXr96yeIXv8Y3vv51kihCex7a9+j0urzz7pf49NNP+fjjj5mcT7hcTNkU0gFjFHEQs1ovKStNkkT8+j/417mYjjncf8W/+tf+IYPuSryzrMS0+H5AN03JNw22LgUdMkriNWskFsqCsm6coQXBs8pgPYVRYo7pBx46DLBK0ThfqRqEe6E0SdIhjYekUQfPCo/RDyTyZjabOfVyj9F4RBAEYrypPOrasL+/S6cToZSlMeLOX1YVYRAx3BlT1+LVtF5vCMOIXq+P1jIO9J3fT3t4NI4wr7TCDwPhAaNorKBE3k8uty9crURducQIz40olWqzTGu2QWLKedrB9tctPwxXJCq1ffC1FbSlRUntF1Sw11+D+sLvW5uW9mf+hCmxAmj9pKQQc44DIu5oLKaGxmqswh1QStzkjWh1hbDdctE0PpLUUDfiTH+9YPQ9jzAQSbzSUqhWRUFeZJjGkq0lZioMQqxpNz9X/Dr+k1UKzxWaxlqKssIAZVU7fy6Db8HXBt8pcb3rI4JriKdVSIRYEKB9TV1LGoj2BBptrDMMdWxmz9OEvhSUrWw2Cn3u3r3FBx9+QF42rLM1cZaw3CzJ64JOFDDe36W0sMoy3vvh+3zjG9+g3+/x+tUrZ767y+2bN1HasLe7Q1VV3L5xTCdNCYOQJIqcnUVOHPoknY7wKz1NI2JurPWpKlGOJ2GEspbVaoPvWbqdgKKcU/QS4r0xo+GIO3fuMnRGwufnE3709COyLGO1WFDmG/Z2d/DDgIvplM+fPiWOY/qDASdnZ+R5TpIkzJdLzibnTgikWK7WTCYXbNYrsBDFMdoLqK1rmnxPnv+qoi5LlIIkjtkZ7zIYDcnzgiwvnbio3vp/tmKfsix59eoFkRMLyEF3JbaxTojQmq1qLYd4m8cZBB5FUZDnOU3doMOrZuh6IS8iix6D/oC002E0GhHHIReTCxESNGK6G/oevbTLZrnCNA3dbpfRzpiziwkvX74EIEzEU9WWdssJU8oj7Xbo9rqcn5/TXXbd/fEFcVRqa+IchuGWM/7+D3/I8uIC31p2RyN2hyN6acchki6w0Jf9uCwKyqIQ1wdHH2nqmsATkc5iPsc0FU+fPOHs9FTEIlr8KS0iHmhKQbp3R2MUilcnJzR1JSk9WKqqJIkjhsMBvudRFhnT6VRoTNbg+R5e4KF9Tb/fZ+SN3N4ii3a93mw/n1bB3XJDy7JktVozGPSZTCY8f/6CNE1c/rUhimLStEOv12caTKiKgs1mw+Riwnq94eDwgLt37tDrdgmNIFGe1lxMplxezkjjhPVqyfPJBfPLSzzt0e10UAZevXhJv9slSRImkwnWWvYP9oUSpCX3/Y037rNcrRntjInThE2RM5vN+MVv/BJBEDAaj9lUFetszcXFBWeTCZezmVNjC51DsJA2xnK7OdE0DavlglOtWSyWzuNWBFXG1Ewm56zXS2wjdkA4WkFdNsxnc2bnF3TilCSKZZoD9NION2/cEBEFiuFwQAMUVYnGY7GYc/byNUkUMLk4pdNJ2dnZQfviDRwlMdr3CIJQMmLrhjt37kiROZuLC8Z/X3YneV5QV/UVRJrEbFa5q9DbkQpkm4yqqqWSDcPtaNFauzUJlXFoTZqm7IzH+FpjfR/rOsDVYkHllFPtm2q7jcViAUCaJOzu7ZE+f85iIcXcq1evOD8/p9frEQTBNmRYO+PFtgvWWtPppOLs3O9zcvKa6cWEvb1d3n3nHQaDAWVdE0YR2ve5FYZMLi7wgoCsLFitM4qqoKktyiqKvMDThp2dAXv7YzaZfAi3jl+wv3vhNkhBdMqiwDMl3ThGhQGr5RpfufENWg4/3CjW1ltER2kPLxB5O0qB9tAuwkR5HnmeURnH79HiE6R0SODLyEQO2ZDFakVZ1ySdDr3BgEG/L1YFriDyPEXTBPQHKf1+ymJ1iTEeZZmzWpVEoTil13UuSFLdMJ8t6HVH4k7fNHheIIHmSjnFZO069FZNLXYASilMmyn5k7Da1Ui6Daz3fBdH1PIVXSKFo9CprU2KcQeyS6ngyum+9brTTu3Y8um2pOoWwlfK8S/0dv1e95aSF3jdwoRrCKVDstspk4U2Y9AYqOuKurJUldi8eL4vfEkvoM4zitJJrZFDHZRkeepIlGylmBeDoGyBH4BtBRtSmAahfAaBb6VzrivqCvJsTeALcuL7Ytkg3az4k3l1gwq87TjGOCRYSMZaslOVEIqtcaN2uWOOTwja9wiJHNKsHY1A1qx13CQf8b7zAm978CstYfONMSjPAg1pN+IrX3mXz5+9xFpDXuTCgQs8CDyObh/z8Mtv8dlnTzibTHj24jnf+MYvsd6s+OTRI7SCO7dvYW3NYNAX9MiN1/IsY13XKAVB6FEUGVm24fnLV2zyjH5vwHA0ZjTaI017VEVBr5PQVF2qMsM2mtFoxM5Yws2jKGazyXn16oTPP33M2fk5m3VO5bKrg8Dn6GCfu3fvMJ1OeP78OcrTDMZDqrpmvVkzHO+QVyUvXr5gsVxu11tRlLx6dUK2WdPrpNy6dZOiajg7F6sKtCbyfRE8BGLrkUQBWvuUZe04kZ4TP9VIGIEoKQ/3DghCn/6gR5ZnrNdLlJUUj7ZQCMOYbrcDWOJYFHrtFEfWuGW1WslnGfg0zZXVDbDd+xvTUDc1myIjTmNniLsE21BsBE2iMRwc7DHs92mKktFoxDsPHwqfb7Fgcn5Okqak3S54chZUVSXjR5errDzNZDJhejGVAiSKaPKc1h6mrCvCMKTTE9+707MzbJ7z6uVLer0u49GY8XhElondSVlVhHVFXpYUZU2elwwGYp3TrqdORwrB737nOyRpzNOnT7i4mJCmKYHy8ZV2vF5NnmUs5wuSJCEOQ6IwINtUeL7H7niHOI4Y9HukccT0/FTEBqulMMWcB2AQBlgFsZbzZr2StIs4Tp0aeL3dl5RSkvRiDE1jieOITqfLfCUcyn5/6PZm4UpXVUNRiBq7bGqasmY2X5EXFS9eveL2XVHvaitj+DDccWKJF+zv77NYzJmcn1MWBcP+gMAPmJyeMbu8RBmZkswXc1dg+wwGPfH0U5avfOXLnJxN2BS5jCG0Qvue8AajUNZQVZDGEXY8xCKCsMViwXqTYYx1FK8ELM7KpNkKJrI8Y5NlWCNoJqit8fj55EyKudY6RXnEUYSlYTI5I1AeZV6wDgLqqqYuK/q9Hp1eF6sVo/GIRsPkckbTuIxvLSrae/fvYqwhyzacX0z5/ns/xPd9ss2GrBC+5sLxVdfrNYP+gK997avEcexe5892/VyFXVHkZHlGNxWfnyRJKLKKMAy2h1DT1CxXS8qyIIoDWbRRTLHJrw47YLPZbMn/nY4YJTZNQ+3GWbPZzL2xPoPBgCAItiTXzWYjizdJ2N3dJU3F78b3fZIk5fPPP+fevXskacrBwcHWPd33fQ4PD9lsNtsuzQ+02C603IlavJDaDasdN2ituffGG8wWc168eCEP2UZUUaZ2bveqwZiCIIQ2Zklr7TyZJHqtJQ9jGkxTi0lvY6lNReh7RH5I07SiApfUYS0WD60DfF9hjSjbamPxagPKF1Ko8iT9QftoPwDPB+1T5CWr+Qpf+eyOAtK0S7fblQioQIjX0oVrTF1Q1xVxIjYQWW5pmgowJElM5uJ0LttFayGOEynos3x7CMkmIlY0dV1TVsKbskiBIAkgbgy75SVdXW2Xf1VAXY1AW06WdGJGRtU45znLVQTYtvZqSdsOrWuRP8cvbDdl7ThA8nOv84O++Lray1orcVzX0i+uI3fGNFgthVnTGGkClJDWtfJBidoLN1ot6prc5Vhux7eCc7oRQkgQJBhT0jSlQy4lRq6uLJ4vj7Snxd+vqQ2mrrFW4ekAFXh4WkYyxhZbPyftxmciiqkJYkuAh5aZE0qBj8ZoT6xD8ARtdCp2rQS1q6tKnPvdCFl7YpcQhBGNsaKWbD8bpYAazxWXIlRxNANXYGvPgidUhbv3b/H67ExEEL6PF/hEnRh8UKHHN371m2RlyaOPP+Hjjx8xGPS5c+sWN2/eII0T4iAmSgJBFiItXN75gsViIb5pUYCylsIU1I3hxfMXvPf+B2jtkXZSbt++x80btxiNRi61JWUwuEe2yZheXvDi5Ws2m4yqari8nLNabpjNFmw2GY1RzgcPoijm4OgIPwx5dXLCdD7j4PCQtNPh2YtnNMayf3DA6fk5k4uLa8pbifTytM/52YT+/buMRzusspyyrrmczcizjChJQCnms5n4oNUhlkuiLKOsKnzfo7FyYLcTF5Rid3eX/qBL2k159eolZZnTVJbAuzpMhi74PctyPE8Up639SPtMtiPbOI7J82scW0ffUEpRbSqm80uCzQrP9xiPhhR5TpHldNKEOIzQ1nJ8eERVSGzaYDCgdnw+Yy15UVA3DfuHh3Q6HU5OT1mv17SJGlVdU9ZiO2Gcul/7PsoVCWVdSTNlDefTC3ldpmE0HDBbLXj/ww948OAB/fGIuJDRXNFUBL6s56Ao2Wxyjg4PSZKUp0+fcnZ2RuQHLGdzXp+8Ju3EklfbWCI/wNMejRaVfeD74v26WFBVMg0Z9nvUZcHe7i57uzu8eP6Mi/NTOmmKNWICrD0t+6RWoAOUL+PnLegxX7m9N6HX61OW9Re8LFsPxiDwt8ka1ljeeOMNjo9vcHEhCFq2ybmczvB8byvuwFo8XxFa4c2iwPNFkKSwLBcrDvb2mF/OhAawWok9TlXTjRI2qzXr9XpL4Sjy3I3oZd8cj8eANAfTy0uev3zBq5NT3njjDYQHfsK3v/1t7t+/L+Nkazg9P6PX77O/u0uv1+X1yQnnkwnrbIXWQolIkpT5fMlivnRnuo/CI9vkNE0tEWBRhB9olBbjbhrjpjjCw96sloShj4crprWisoasLIQ+EwZEScJgNMSiKE2Dp4WnrJ2VVxDHDPpD4m68dR9omoYPP/yQk5NTPvn0U27evkXHGUdfXFwQBaEECPz4QfQvuH4+g+LNRh4sZ3S4M96hKmqKNlPNbcjr9Zr1ekO31xWvl0Gf9XK1LepaHkaWCRdrPBzR63RZNAsJNK8bsvWG6eSCTpIyHo/p9/vkeU6apiwWi21u4GAwcF2S5P7dvn2bi8mU5XLJzu6Y/f19RqMRIFmOg8GAMAy5f/++GF56UBfFFuZUSmEa6c6CMIBGki2STsrAGrTn0TjT2datuiorep2UMAzYbGRzad/r0+e3+YM/+/P80lfeIwymzlIDaAzG5dB5SgvB3+Ak2R4W7TZ1EQtYJ57wPB8ivY3vKRuDUZI1aZQG7aM9H88PwdNY5REnPqYyrBYr1psNw9EOo52xI782bLJMOi/fY3d3l6ouWC5n1E1BY8T/qK7FSmF/P2S1Wm8PBmtFLt40RlRNnZ7YVkQKT/sOTYqI4oRGFduipygK6kqinQI/wOOKi9Z+DnCFgGn3kPz437c8Oe1IZpbrKqj2MldFnSsIt7w6x7kQtO5qjGut48/BFXHvp1wtP63l1l0VdSJqqW1DUxs8Z0KqVYDWAWBRugElyuHSEbxrY8VvScvP9bSPp320Fk/A1Tony3KyrMRTasv/FEXeVUGsFVSV3GOl5P74Snwktee7wkrGbeLk7zEcSsdeFJVk2KK2WbwgLvqeU+hUphIrG2sFOdZyfxvT0Bgr69QVrJJlKwWuJJA4BbIxwkuinVoLQidcZxkPi81DQxJHHB8fcnqypDaNuL1rQQfLumL/+JCv/OLXOD+fUJUlH330Edl6zVe/8guUZclHH37IbDEHC7s7O7Ln9HqEfoS1uBG2eJqlacKv/fW/wc54n8effkbdNERBAFbsWKqipio3kkCThkTRHt1eJONH7TvLp4aLyYyLiyln5xdMJpdorRmNhtRVxWeffcb08pIwFHW6BNMbdg/2GY3HnF+0Nk6NmBdrJQ1yUeL7AXt7+zLOdmMzKbgyOr0unudxfj5xJvCVCMUKCaXv9rskpJyfn8lY0hMuZ1WXpJ0UYxuyfEMrdBBbC5m0LFcLGlNTujGvoCD1Fm29XsRZR65o/87zvG0OeBiFPD89obEN6/WSk5PXVFVBnIixeSdK8JDmdrNai+UMbLNUs03mrJ3UFnGUCDPjGgrNZiNG6ihNY2uCUHwnq6Ymc1FcbaRhVVWMRiPxNG0aNusVnz99RhBFQiXCUtY1FqEKdTodikrsiG4cH2NRTKdTJucT6lq8/ooil/Xre+7z04SBj6lbo35pjjarNYvZnCAKidII3/N45+HbBL7H40c/Yr1cYpuaJA7ZVCV+4JN2Unw/cJzpysXb5dtGqx2TdzoJeV64WDa2KTBhGNLpBCwWC773ve+hPI8HDx7Q6/V48vnTrV/gbDYjigJCX8skxghaVjWG/qBPluX4oUfiRdR1zWQyIU07vPPOQz799DNmlyV1VWFqw2q9Js9zbCOK5dLRsLodSUoxLtO525X1u1ouePnyJY2F3f09lFb8/2j7s1hZszQ9D3vWWv8Yc+z5jJkn82RmVWVW19Cl7pZabbEp0iJFQ7J4YUiAbQm2bEM2YFA3Bgzd2DBkWb4wbAmQDRvwIFm2QMm0IBmiSIikOPRAdherqiurcjqZeeZh7x1zxD+vtXzxrYiT2ZTpLtiMg0TmOblP7NgR/7/Wt77vfZ+3ahpevHpJ1st59uwZWov2c7ns471lMp1yNB3jcdTPywMy7eTkGIn+FB1hFMWcn10wny2Yza5R+jWyyVq531Qq9AGlpHGw221xLmUyHeO8YrMtZAwfGcq2YbZecr1acHH7JlksyR7zxYqr2QzbClHAxDHXsxlvTu5hraWqd0RRzN037rFcbb7WFDg+OqIsS2bzOeboGO9eTzv/KI9fqLBbr9ZsNhvMHRkZHZ8csVqtcK7DWhXiejyr5YrFfM752SmxicU1GdqIEi6c0tmO2XzG2fk5/X6fk+OTQ9G478osl0vefPNN0jRhPB6xXq9wzoowej5jOJLO4cnJGdttwWQy4dbNmzR1y+XlJRc3zuj3+5ydnQVXbMVgMAg6hZosG5ImEW4w4M033mQ+uyaOkxDibIm9gD2ttWy3Wz7++GM+/fRTqrKi6yxtcDZlScqgl8v4tt4Rac33P/g9njy/x8urM/7tP/9n+Zu/+wP+xX/2/8B4eIlRiMDdyWmAvQbfAz6kL2gpjBKt8V1H55VgNbwijsWpJfEnPrg2NZgYjcGHjl1Vd1jXMugPMMOItu5YrJboSLqfvYFoTOqqJs8yuq6ltTW9XoZ1GbtdE1x1GU0t4zzrCAu1dJ66LqQsQyhaIvr9jCiKKUuBWBqjMUmETgJaw3swhhgOY9ivWii+qst5rWVze+VWKGAIDlgOXbevGif8AUQsD/nPrxaF++HhVxxvSAGk9H5su3/CPQrF76NpD8+zBxITvv9hnOvVoav1WsAr3USJtgkjSiMuUnmRcrIXJ5ZCWbCtp/MtKIe1jqqSNIA0kVNyHCfE8euRt/dSKFpn6ToZuZgQERSOn6ItjIXDpLSBKMJEMTpOhES/KcAFXmDIudojPfYFsNYiKJZRLnuG9KFg9OHzkYzFLsS3CcFdCs/XP7NCgLeCPd4bS5CRWmAESrf9jNmswCHRRP3IsNpu8aEDc/PmDW7fvs1yPicymo8//oyT4yNu37jJ6fEpw8GYH//4x3z54Ev++B//44xHU9q6YTmf05QNZV0E+GrNd777Xe7cfQPnlWQ49nuYyLDHMBoleZmEbnqvd4THi0s1SlEqom0sTdOxWKx5+vQFxkRoDVezaza7DUkq8UPOe5arFf3BgLt336C3j+sL2toodPybVnJEh+MR9997jyxN6bjC4knTFKUURVEwGAw4Oj5iuViK8zwyWOeIlKLf64GRonm9WtPUkq+6XMy4e/cWi/mcq6urYH6T63u/4dV1FYxo/vU1jmiZ9vBcWb9VkGMY0Tqn6QHofXR0RK/f4/GL56GT1B3SBKbTKS6kB+Dh6vJSmGZJTFGV1E0jBqFIoM8KaJta0k20QXmI1B4gDdvtTrTJCFC23++zXC1pgrtyn0dujBGWWGQY9nJ2dUXZtiy3a/JBj6ZtuZxdU+x2HE1OOD4+JUmlI/7syVMWqxWLxUKkFAFgH5sI13WHA8h+DN+2LRK0Iu9tWRUydqsK4kru49PTU9qmpmttEP2LNKGuKrxP8P0eeZoSJQmbYiua9rKiCwaVwXDI8fERURxTBWasB5IkobOvu+Sj8UgSRsqS27fvHKDIvV4PawVRprWil8v4vbU+iPtPOL+4kMlW09JUDVVRslmvmF1fc35+QT9P6fcy7HjMfLYUuPV2S1mV2KajLiumR0dMj6cordlut/QHA46PL9jutlxdXuFcx3ZbsNtuOD4+ot/PyLIM7z1VVVLudlJopxm7zZbj6RHH4yneOq5evqTsOpq6JtKGyXjIYp5SVyVawb037vLm7dv89m//NnFkMBpa27B3bWNFKSxM1A6lPCWO05MTqk4mi74VhqGKIpbrDR9/9hnT42OOJ8fEccLp6Snj8ZjNUuQUjW3ZljtJFSprHj95wmKx4Pj4mO99/3vMZjNW6yVXV69o65rvfOc7aKXYlTvmixlFUfxRS7VfdBRbs1zM8FgwhunpEPtFhdcyrnHOEpuYcltQrAt848ninDTLiNIE23XYxuGUonVOTkFKnH3j8ZQnT56hlAm2ZM1mvQuATOj3M7wX3ZHWnhcvnnHjxjlaJ9y4cZOrq2u22x0ez42b57y6fEFdv3PgFNV1zatXrzg5OeGjjz7iyZMnpPE9UjNkMp7y1r23mV1fB2aRcM+aEHm2Wq558uQZv/d3fp+ucZwcn3H16ppempBEBoXm9OiIs6MTLq8aXNvxj3zvb+Kt4vd++o/x4tUFnz96g9/94Q/4M3/sPwl5qtL9015BcGEKo87TKU+LFe2YiYhVjLM2YCsgURBrg04lskogiRYNxFFEUckY19eSUKDSGG8bBsMxVTtnU2zwRdAo9k+ptzWqg9FgQLVbcD17SRKL2y7PEnpZivaWoqiomk5MG0lMlvXBaylYvEKrGGOikHlqA+BYFv39z2KiiKooibU4kLyRcSG4r6BHgv5N74Wvbk8VkNqBffSVlGdBtYLDHUaw+47eYWQbBPqHjrZSIf7IsHevqr0mLohuvRdAsvY+dE5fR5gpdBhl7cXn8p7vY38wCq1jYp8Eh6WTTcg3KB2TJilOR9igYfJosBL/1NZBjG4MkRGunYkSTKTReQzKy+EgvJqqrsJ4VRhSKA6IFI8YV1AOp5WkV2iksE5i+fw8FFXHZl3RVq04apV0auNMNHBN21A3Fa11mNAtwDq0kfdUeF4S9K6NFLBa6cDFkwIzySK8BTrwwRGpvQ1JE1IwxkkcYuWCFcZZlLZ460giTRQbnFYcX1ywLmuoHc4Y6tby6c8/Zj2fc//eW3zw/vv8+Ec/5sbZTU5OzullGcZorl5dsl6sMFqCx9OTlCiOePDF5/zsk5+xWCw4Oj5mcnLCdDJhW2yZLa4ZTu6JCSR0suKgZevqBmtrlO5Qobivm0a65xich+Eg5f5bt/BKirTx8ZDZeo65jPBasd7tqDtLb9hjPJ2yKwrm8wXOSncBkTayKbfUtmEw6FPZliTKyfIeVdnQNh1N3WImCdPpMcPhhOurK5T3XF9d0VlB51hrmQwHZElEV9e4rsU5S1XuqMpCIL91Eww0cijzh8xlcK5D8CilmF0SuUfbVth+zsrd0XWtSEJ0xD5Vpm0byrIQgKz3GCdYEu8dymiBDNuOcrfDB+K+VY6yrSQlgpCeYQzDfsR6U3L14hlpZMiMIVWGLOvJ/tI1bJdbfCcYJec64kRT1aWYc5TCOIfznsurK+I4ZjKZkGQJ67Kgtpaqa6m7jqZrcUIZp2paLq9Es13XNbtdwauXL3He0+v1yPNcOk67bXhP/GEMbWIT2H/CvcOIucgqS+c860XBeDQCZeismI6iOCXPBwyHGVVVSIcUBCKcpTRtA9ax2mxJ04TRaMT5jTPeeec+TdPSuZai2qGUMDWddXSuQznFcDzEe9g+eiKFVb/PZrNjs9lxdnbKnTt3adsGE4kufLaY895773L//tsMh0KWUCaibRxV1VJVDcZolqsF3jv6g5ymqokihXMNu3KHjiKZdHmF14aiboPhpmR8dEScpaxevuDhk0esl3PZI7Fc3DzjxsWp4G000hxwntPpEadHx1y+eImdFpxeXGB6LdXZTa42GdvligfFJ0ynU8b9Hraucc4xf/6c2zdvc340ZVeVJJGmblp2XU2epSjXyPQwIKq89zSV5XqxJkpSrNd0jaxLvf4I7zVl1TCbr2gqy2AwZDSacPPiJg8rSZLw2mAN9McDTGK4aC7YVjs+/eIzBpMhP/jVH/DJRz/j04+XfPTzDxkN+/zyL3+fKIpYLBYs14s/cq32iwGKQ+eqaWriOGIwGJD3cqpSXCX7Nry1oh+ztiMN3JZ9q95E0cFFtd3taNuWLErFGZKm4aQXHbpk2+2W4bAneYVZJgw0J9mA1jnyTP7ufhxVVRXT6ZTHjx/z4MED3nnn/oEvs1gsmE6neO95/PgxWRIzff99Bv0+WSoxZGW1OzyPxrNuWz799DN+9KMf45znN37jN/j008/45OOPGQyGOOvIkpRer8+9e28xGKTgO4bDAb/8wV/m1/+hv8O/9m/9K1zPz5gvT9lsjxgPZ4dFNgpmgLptRNwcaSxiCHCtk/Dm4FB0zgWOm7TTnTdIQWgRp4D4HLV3dE2L63zo8kFkEtJUEADWWubzOQCj4RAfGEdVUaBoKHZbppMhWZYJyiBOJKTcQ+cqkjRnOjlC61g6l40NOq6MNM1CwbF33FmapqLpGjKTYTvRyRStJU9T+mkuhbSz7Ht/e76P5rXOTnRZX5+J7ms0rQI2xHPo+LJ/Hi+4j7/f47V+4XXH8JCQ4ZFoGhd8smqv5pNOQNd2h8LTKwTn6KTIUkq6rG3nROtiHdYrTATGxFK4eCUu17bDNjJWaataHKe9HqPhgCSVr7PO09UO61rRHKIOubBdKzFiLoU4kS6e0yJXEPOKdDG02cd6KVwHIIkMvnN0VoTCWksx2HUicm+2rRTnRnSStpPrTUUBXRPCswEZIet9dJkJRbZ//WGxH4lzYBh2XYv2iiSVMa9zFr8fbSvpCmhtxGwDvHz5kk5FqCQjSjK6tqOk5PMvPqeqa771/vv8qT/1pxgOhigvztA8z9HKczSdMhrJfbtneU0mE+6/c5/FVjJPLy4uAGGq9Xo9fvjDH/L02TPu3bvH+fk5g34OIO7TTj5/g8Cqm6ahKGrq1oaOluiJvJXPRWnF06dP2G7WDAcDqrqhtS0OR5yacOAQ0r9DNo7pdBycph0NnrJQbNZrWS+7DoWnrRsiY+hnkqZhjGE6HrPdbLi+vpI1ra54/vw5ZVkwGPYP98neTSp8yvI1yofXUgjYp55Ih27fmdvfNy50iJ114TN0RCpCun4Sh9i2EhWltEB88V5G0G2Hs5bNekPbNLiuIzaCUGq7jqppxPDhPU3bkucZJtbEsaIsQ3b18SmTyYReb0A+GPD48UO2mwqUO7h4T05O6PWfUndb0T7FEV4JIDxKYi5uXLArt5Rdg4pF83q1mLNdCwQ/ihLazvHixUt0eD5PJ91uLwgOlBI9GoROm8VoTZbnxInB7yT+Te4hQVApo/G2Ba3Iezl7cW+W54HXeszd2zdBeT7/4nMWqyVRWZIFV28UxUSR5Nfu90ljIrpORurHx8dY6782EdtHme11ds+ePWM8Hh+uh2984xtcXFywWMyZzS/Z7bYYLSPbe/fu8eLFM5qmYzxMUM6TmoTz4zPyPKVpa37+0c85mk6ZTMaSSbvd0bQNvbSH0po4SlhvtrSd5+nTp+R5xjvvJGzWWzabrRwmtGYwHFBUBdOTE771wftcz+dsd0WYaEnqSWQkIWS72zIeDullGXGe0l8MefzkCbvtlkG/z3gsWe9d25FnGcvlgsFggMOj4zhMA0Xrrq07pPHI/qJwVhBOceB0VrtCJgnn5xxPj+jalu1mgwuEjslkItKw2TVlWcrB3AQ5WhRxdHxM/vw56/Wa1WolOv4371Hutmw2P+dHP/oRt27d5O2335botfQfUKSY957FYsFmsyFNUwaDAb1ej+V8iYk0zis8Fuc7OtvS2RYTa5I0+Zomap8ruVqthGGT9hgOh5LPVtjRveIAAQAASURBVFWHhaUoioMlu98fkCQZcSwV/mKxZLvZkffGr3UdQbx79+5dmqbm8ePH3Lv3JuPxmDzPmc/n9MMHvFqtePLkCW/fu8doJA7ab3zjG1R1cXgNbXD4/OhHP2Y6nfLtb3+HOBIzgjERrpOT8ve/+33apubGxQVHRyN+/KPfQynJr0V5jsYzruen/M3f/3WevLjDv/TP/VscjZ6yWRc0UcQwz4KRwqKNIVZiLPBaRq+t9TgbOlDO4bVs1kp7CYq3jigSt6H3kKU51iqauiSOEnEuGtlk95tjmqQUZcF8NiPNMkwUUey29FIjhUGSShQNLrDH5GJUOhDHPYeCUiK4ImIjYFO8w0QawrUgO4TwqnAOl6Q41cniE9xRsvjb1+YGHwT4et95C1lUIHFUoYOAl2+pdNAofqUQ3OsVJN7s69fxVx+v/04wX+x1eBCePBg8vJPxKVJEeu+Cfiz8vfDLhedyyuOspBHYwCRsO4t1GqU7cBpLQEKEysdog0rTkAYhiIu6cXRW3n8bHNHOAyElzDvFPnvMOodxjihJZcyrAiA4mEyMMcRpRNqT62KzXpOYBI10tk2iRL/UScqJUl5E+0YHo4eMoER2IciMOJJBuolk1Oi8/cp7C3Ec4ZyIuI2WvpZ1DjrpvoPBuL2+VTZwZ8H7ljwzQZoREUfiTvvZhz+j/fBj7r37DW6/8SZJEvPsyWNevHjJrbNztNE8f/mCXr8vAu2gYdyu1yiluXnzFlprdmFhlnzIIe+88w5aa87Pzw/cqOFwyHq94fmLF8xnM27cuMH9t95iOhkTGeEylmWLiqT4buqWYleE+D+5j7UyFLuS3kCwG08fPwY808mYpu2YLRYo5SUUfZBzdnbCcvkO4MjyjJs3xGH4xRdf4LsW5WKePX7EoJcTG4O3HV1bk0YRvSwlTyR83FrLOvA2xewgXTVrXViDLYNBn7brKMpSir4gaN9jMb6KLIKvQrjlHvpqhvDrr/2KjCL002U8Kqa0JIxm97rXpmmoquowatJero+26yCOZe1Siq5p2BUFVV3StFKMea9CDmfOYDSmqTt6PQmkl+g8QvEjW53zHp1IUdmFomDvuNRxzG5eYb0nzXOqpsGjKOuGxjriROQsVdsSA22QPqR5flgTu06uc6/kkOOAxET0+n2aVkbZTVsjoGiFpGtEoDX5ICFOE7589FAK2zxjMh5zfuOCo5NjjNH89Gc/4+rqmqKqSfM8jFhT3nrrLbIsp+vErfvllw/Da7H0en2c85J924pTuW1bOSB1MppdrVYsl0sGgx5RFJGmqXSZvDhNr6+XMjrvRNNY1w0vX76EznN+fMKoP0SHDlekDbcubsi4G8Obbwrgv9yWKK/o9Xss5yusg/fePaeXD7DOMp2cMp9fc3pyzny2JOvlDCZDltsV89WcwWTErql4Nbsm6+f0EpleXM+uubqeYTvLxdkpSZqC8mJ0ShKeP38OCFPXOcdms+Hi4oI86xFfppg4omoaYhOJTnbfUAC0limTTHTkgKKALIppEKLDqD9kNBqyuJ6jPSRxRF1XvHr1Qgrzs1MWizn9fo/hcMBms+HVq1cHDI33sFwuef7iBf00CcXgjAcPvuCHP/yhJG+kKb1e7+9TnX398YsVdng2mw2LxULa1kly6JTtcwf3egxJNZCT+f5r9iO2/cKxN2O0+eDgUp3P54eva9uWoiilWMlyBoOBIFdCSPDLV684Pjun388ZjYbM55Ig0XUN9+/f55NPPmYdTrZJkrDdbnHO8cYbb/DJJ5+wXq+Zz+cchcQI5zrarmY2mwlj5/KKH/3ox9y4cZPvfve72M7x2Wefs9sVnJ2e8fjhl8RxwhtvvMHzZ08BzfHxMev1hmfPnjGZTMA3/PN/9t/h//jv/3f4/PGbPHz2Bh9/8U3+0e89x3nParUiizWRUXROtEaYAFQ0QTzvQDuPdo7OO5bLBUZpTk6PSdOMqqpFmG7F0NF1NUol9PsDer0RRVnS2oY4jrCNoDJipUlNHDYhucDSNCXvJ/T6mcCSO4v3lrTt9uq0AHDsDgu7BE8nGB2F3/uDE9Z7i9Ii6E3zhCSKcLaDNMMZ6UbspfkoJTFW8JV4MUkhkLGjP3zPfWct/LXDa9vrgeTPXxd4WikZpez/tv/6f38NnO19SE7wQuk4zG9FU7TftKQAlIJKORWKvADb1RqLx3We/al8/0tpjdKRjBodoENhjMZ34sTy+zw0pXFO4bymc9JZ01GM0gg0NPyZ92CUPnAS9yYFHTZWKZrlfVNoDBFplFFXFcWmROWaJEpobIvy+hCLJUYfTaxk3I6W9+Yg0MYFjZ0nDpqlsipomoo4TkniFB82/iiKiNOM2Ghs29A0YuqQrqXDOU3TdJgkCsYLT9s4rEXi55C/f3F+gdExm10BzlHudlSl4pOPP6YoC5SRkPLnz58L0NPKZ1uuSz786YcsFgvR4a23tPY51kOe5wzHAwAR3AP379+n3++jlBLEh/ccTY/IkpQXz5+zW6/RWjHo90mTCO0USvtgCNLkeY8s64k+sXOMBgM6KyP78XiE2m6J05T1ZivGpF7OyemRGLqU5xvvvYv3jiQyjAY5R6MhdVFQ1zWj0ZDdesVmMefi/AbKOYxSZKkgM7IkpZflbINoPoqks58kotVLEjExKKXo5Tmz+ZymbQW67mz4TPzhc95PW/YsNLm/dMAPvY7mksOoFBpFUaJCYWudD92QmrqugwTAE4eCq2rK15y1cP8TTBd7zEMTUorathUmppaC2fM6uSTNMupqg3eOJEmw1pKnGf3+AKU0aZqSpSktSjJLG9FIoRTTI9FIWh+kOEpRt+KsVU7SW6xzlGUFaHq9AWmakyaJFJdNTecsdUia8BASXDyN7dgWW8FFlTu61obkFTl0xokmT/ukqeT8Pnv2lEG/z+RownQ8ZjKdoLTmyZOnrNZrqrolTltm8znWeZq2YTieMBgMubx8xWw2I8tyJpMxvZ44LOu6+dp6twcYLxYL8rxH27RcXr5EMq9jtIai3FGU0lzZbLaAYT6fc/nqipcvL3n16gpXW7SF0WhInvXYbtesV2tunN+gPxjQOWi6jpOTU64uryk2O5q6wWsVklE0TdOSZSmT8YQHDx6QJDFpmvPmvXsMJn2atuPlq5dMjoT7lvUyer0eqVdcXV5SLObkvYzFasGHP/85SRJjgdHZ8eEa2l9fw+FQzCRakDxH0ylaazbbLXVVkWcZ/bzHfHYtulQTkcSJdPWiiOFwwJ07bxDFMVevXrFcLukakV/hfcj5HbPdbXn16iVaa27duslmsybPBVH08IuHLJdLtNYB7wJN09A2DdlkRJaKC3w47PPll494663HnJ+f/4PDnSRxckhpuHHjBnmeB02BCPxDuBpKCVyxbWuSJAqxOpIxundIRSGgeb1eczSakiQJeZ4fisT9Zrvb7kJHKqbfH/L8+Uucg6pqmM1m1LXgFfI8Dd9T/rm4OOPBg89YLpfcu3eP6XTKixcvADg/P+fy8pJXL57zs5/9jK5refFixHw+w0TSQn327Bmff/aAt99+m7ffvs9mveXRoyehgzjhRZTgnOfkXETZxryis5Ys6+G9bBCyMcDp8Zb/7j/37/I/+zf/HNtiwKvZHZJUYkWWXUvTNCSxIo61IB60QHOL3Q7vFWkWKnUvWA8TxmlGGyKT4J0+hHtLkaVYLbeYyDPoTejnA6q2obONjBU7KyOqNKW1lqIIDr9Bj/nVK4yBPJOxQp7n7EunJMlQOmLRyOkuy3o0jcXoGmH9jIhMJN0kHG1Xo5Sn6xqcb7FeBNSRifHK4LUUEJKA4GQPDsDifbyY8uCUnGwP2jmlYF+cHYou9zXzxL7jANJ003ydQ7d/fDUpAghZtn/o4aV75ThUIkjDTEwETok+Dh9a9k66e6IVkwLQh85fFEdEUYr3irYLrlnr6JqGpm4OfL8kSUSfpGOqtkLpgLKJpHNmfSMdOR1j1D4zVwTPdVXR1o1gCBT4fTfMOZqmpWnERde0Ej9W2Ipad4JjsO6gCZLDgsXVEp3mEONH29ZEUcSwL9flV8Gn+268tRYXiQ5GatS9S1I4iVEsm7hEh+1j1zxGR8Le86Ka1ER4p6jKmtQb7t6+wx//Y/8l/tJf++usVisGwzEo0RzduX2bpm2pm4ZtUbDbbmmblq5p+fTTT7i6uqbf61NUFVdXEmFkvefOnTvEtRiKBoMBu92Op0+fMg2xXL/+67/O0ydPsJ3laDplOh7jrLgfnz99irUtJ0dTRqMxo8lItHSR8NHquqGuGmrn0DpiV1ZMRiOurq8FNaOgn2dc3Djn7q1baOVZrxacnZ3TzxKq3RYTa47yjDdPT8jyjOn0iPlsRjWf4QcjMqCvFZ1T9LVmGEdEOBZVyS5EIXZdGyQuMsYfjUbkWcZwOMR5wYN06zWSYiAH7yiKwnX4GjT81W74/r7Zj2W7TlAe4/FYRlZxLLzL1mJDBJfWQECW7A9idV3jnT+s/cp7TGRIe5Jpi9GokJ7SeSeIKKVBebSSPyvqigFj6q6hns0Ojv8kkTFlr5cznU65ceMm/tUlXSO8O9u2xCYiS1K26w3bjWR7ioY2RPkBymvquqWxluGgz/HJCZOAvolCd2u9WdE5KUBFOmODREmx3mxwvqOqq0PiS5wkaO0xkZapUZKx2xXMlws623J6ckTWS9FGMV8uefjkCbuiZDgaifO3lMgr5zzPnr2gaR6x2+04OTnh5OSU8/Nz6rrm6uqKxWJxSFkCDl27sizFEeodm+0apSHNEqLYsFttefLkEavlGq3FCNN1jmfPnvP555+zXCygdSyvF0yPJrxx9y67oqBtOrJc4MbeRHg8ddVwfT1ntVljO0uWi5O7KHYUhaRoPHv2jEePHnLnzm2cs0ynR5xeHDFfLtntKmzXYLQYgCaTCT0jsZcPHz6kl+dMshHr7UoO6ErRP54cOsJd14WCVzq5l5eXbHNxXI9GI+I4Zja7JktShqPhAUNzfHTC3bt32VUli9UydODOyJKU08mUVy9f0rWCLbNNQ12WgGiyd7sty+Wc8/NzJpNx2KMtH3300YHwIWlZNuBjFEmcUFfyOeV5zvn5BUdHR2Ef/qM/fqHCToeCS3R2zaHL81pYaw+Ld1WV7HZb8lwgxaPRiKIoXkMqQ7zJHl2SJAlnZ2c8efIECDl33rMrCkDRy3sM+gPpSLUi4BfsRkXe73F2dsrjx49ou4a2axkM+kSR4dWrV9y/f5/JZIK1khPb6/W4ffs2j774nAcPHvDixXPAc+vWDb77ve+QJAnPnj3j1q3bfPDBt7m6uubRw8fUdcvNm7eYzxdcXgkR/vbtO+HEIzon7yFNMpbLFUdHRyRJRK/XQ+tr/uk/+R/x7/3H/zX+8t/6x3j//kd89xs/Zjgaol2HDlFR1rbMZgviNJMCyEsRY62MaU0c00uOQm5jt/diorVkP0aRnIaLqMaYmGInJ+ckT2nqCh0bWRidJFjsdlu2ZUne79F2LVUt+sk8E0SFDadVrcAoQqu/YnY959atO/TyAaAD+qDC+yggNcQg4rGYCJqmpGpKlFLS6Y2TrxdYSHPFO48maAmVjDpxQbwmVxcuDHj+f318FU3y+rEvEPdg4P23DQWk/C/2iSsiefSHcbVEce01djocdV5Di/GatgujWS8glsa2+MAT8wFtI40/wd70egMwCY0LsV5YrBKnqw73nnIdPiSa+JAEIeYVFRbz1w4vvMTheOeIdCzXrZa4MhAkiuTxRljXha6nIUsTkjSmrktms2u265VworKUKHTifdDwHEwwxoSMTRlnyDjWkyT7pUcdHIrOeXzdoVRMZCIiE6HQuE7gvNZpjgaaN994gzu3b1N1oUMWR/L7Xcl6tWa93XB2doYHFosFTV3z8Sefcnp8yiTEEGVZzqeffkbe6zEeT7DOkvdzvvnNb9K2Lf1+P5ymFTdv3uRb3/gmn37yCXjo9wT+2s8yIq3Z7TZsN4Xk1hYFw9GIrOfYbNasNxuqsmbQH9IfDFivl8RxxGQ8wsQJPQ27Vy39tsUv5viq5pe/fMbZ9Dk3nj9nsFjxx2fLw3ukg+mos51EWkU/wXsvjlHviR+9II5jOmP4S+cnPF4u+dvW8nmsKdo2EAzEPbmH1e6jteqmCeR+dYDH73VJbduKzs9avtrxlnW8O9xDSYiuEizIgCzLWCzmNE19MPQAEBATe8ZaHMe03nPj4oLxaMSm2AqXTsG22IVuugOtgvsTQKQGe7jwaDyhs5bNakVZFn9ozCvv33g45NmzF0Shq93VHWkvJo8TZrMZXVVLEalEvqFQRNrglQtaz4goTuicp6xqNlvpivb6fUxsKCoB6IskQyYwSSakhaoqw+E/Ay9YlljHtAG23ev32BY7UJ62a8h6GVESUVYFD774nMvLK5TS8vMrRYwY0qzrgqtcjC4nJ6fcunWbLM1ERvD8BbvdNmBq1OGz3xd5+8K8qspDMWptx3q9YnY9w5iI737nOxwdTzk+PmK9XjGfLWRtcZ7VWgq2wWgEeKI0RZtIxuXGkGYZbduxWq+4vLrCe7h1cZM4iXC+I81iqqrgydOHONdKRGZiKLYbsuSCUb+PUQbXdnRNQ1tVaO85Op6Spd+kaWt2252wX5UPHTtZ9/asRaUUi8WCKBJvQNu1zOYymTs7PePk+ISHXz4EQs7waIKODLfv3OHs7Jyirsh6PbxzvHz+AqwjS1PWyzVNXRFHMW3d0EQ1V1eXYTqj2G43gGhJl8sl8/n8MNnc70H7CFghCNSsViuiKOJb3/oWb7/9NquVFKtnZ2d/5L3tFxvFBnt4VVUB5tuFdr2m8Xt7tHQmZjOJ9poeTUlTAQt/1am4bwcXYbyQpq9NEPsFxDnHdrOlay0q1xwdnZAmGduNmA+ePnkmreS+dJuUhtVqSV2XxMkJWS5JFLvd7gBUXi6XvPfeeyileOvtt7m+vOTdd99hNBpycXHOaDzgd3/3dzk/OxfNXVnjrHC53n77DSaTKT/5yR9wcX5Bud1hjKHfHwgPrijo5T1u3brNw0cPZMENLhy85Tvf+DH/j//0n6Gqc+pGYnviKEY5D14E6nEs2goXuiVRlEqqghfnUT9OGA6lq+CsdFLkvcupKymGkygmTQY4p1ks1hTljrP8DOUVbS3C3aquidKYPO9RNg1NXbN0HTfOz2RcqsF1HWVV4p1w1/asjzRJoK8Oi7IxYuWX/Em5ubx3JKmMapy3tA20jXSMvBXtIF/RXXqtgqtVijqz7/76vdbtdWH3+u+qwx/xCxZ6f3gEe3goHzQWQesXIszEhCE6IbkZ/cG04MOPE6RGUhAjRR/e4Gz4eiudEZl0Kkwco8zrMOhDV8QF3E4sPuG6aTGJwWuN9ZYOsAhORfzQjljJOFb4hTY4AWVzsZ3oqfYpGcbIeBWNJEsoiS1TSoVRsaSceC/6R69kA6dtg+7EMJ1MaerqoOFz4bWbKCIxorlMkgSPcOwEt2LEkKvlObTWtE3HblscNhzXWtrWkcQZcZxKkek8rnP4SIrT6WTC977zHX7vxz/FOUeapGRpQi/rBcZZQxRFrDcbfvrhT3HWYqKI0XjMaCwHvDTLefe990iSlO2uIEoMcaoOYvLVakVRFKFL0YHz3L19J4xqpaC01tLr9ZiOR+RZjveOLx89FOTIsM/1fMZsPmfQG2JbWR+69YbzsuJXHr2gaRr+8esVvV2Bebwk+uEDlHMcVc0vcDVXX/9t0wKCGfoX5uKiWyrF00jz/8wSLo3ir5WiZ+v3+uy2OzabDdY5MUs5e8Bm7GO39rGQZVFydX3Fcrn8WiYs/vV1led5KBzNQQIje0aNMeLA2mtj92ujcw4ikezcuXuXX/mHfsDnX37Bb//2b1PXrze6/aRHhft9f6iRMXFzMNRtNptDASoHMAGxt4GbaSspDlxnyaKYk8kUOku53tLV3UH7Z8KhI1IGFSW4TsZxWmtmsxmvXr1iz3S9ceMGx8fHxNvoIPlxQQgr70PMbmcZDHqSyrDa0rYd/X6fqqpo6po6lY5OFEfkPZmGbbdblsslT58+Y7WSxCVlNK4TmYo2hrqpSU1Kkqbcv7jgzTfu0e+JrOnJ46dsN1vqpjqsc3sWH+yBxZDnGavVSjr+dc2TJ495/vw5zltu3bjD97//yxJF6VpevXqF91DXDVf1TDJfI8PlbMbNmzdQkWG921K2NeNoSj+OSfMwUu9aelkPp6Bua2JlSdMYY8Qlf/+de2L8mY54/vwx1e4Wg6wn6CgPbRxTbrdciaCR6XTKzVs3efL4iayhsoSj44SmrkMaTHrQs4F0K48mxxglEODlYsGg1+POzVu8fPmSo8kU7xWX19e8fPmKq+sZcfoag1YXBcpZ4iimDkVdpARbFWnNdruhtdL06vVzOtuK7lh5tpsNeS5otL1JczzuMxqNWMzn5EmEtx0nJ4J/++STT3j06BHHx8f82q/92h95VfiFCjuFAgu7TUFXWwyGxCRB4N9hlYi3tY7YljWN9VivZdFMDCZ63T1QWi76otwdfvAkjcnylKIwSAi4Y71dM1vOiNOYfNAj7WWUz0uSJGGz27DbbQ+bYlVVLBYLrmYzTk5PGQ6HvHzxkmfPn3Dzxk1OTo/4+KOPca4jTWPu3rnFqJ/zzv23GIbRxOdfPCBLM371V39V8mxdw/n5GcvlmrOzMz788CMW8xXf+9730cQMh1OcVzSdZblaYSLN6fkRT54ZnHfUVUFR7sjTiMEg29cytE2D7zp0cAy6wB4zCrI4FW1VcGJqbciSiKYrUHaf6pCTpT3aRrIL4yhl1xWslmvyvE9kYuKkR2ettHuto98bUhQbTJpRrDf4pmE8ndDLe3J6jIVLZpUshsbE9HspRquDSUNBYNWlaK1wrgsbgkMpcYCJYDkm7wkbr65bwewZTWbS1yOdMH5Rej/2sKFMEdGzVsFf65GUCLkI8UghuP8FClS4lMOY1vmDLxfYF15SrB14eARWnZfP4OubqXSvVIC0BU50KHIcKI1HNEXWiv7Ghe/mkVGstS4kNxi0SciiiCSGprF01qExB5OEBTCyadV1Q1mVEGco5WlsTeQMWsvoLtERtrW0zsr95CzOaLLYiJsWT9tUONcCnrKs6Dphd2VJgkkMzodAd4RP55UiG46k/6s8WnnqxmJdGwraDtUqkjQmjqSr18tT+TycwzuLVw6tZE3QOpIxunutixQ0isY5OfDI5tCyXEp+bZ73xLWmWrw1RCbFAp0VvWeMpq0taZTy1t17/OQnP+fzTz6VezfvMRyNSNOco6Njrq5nPHnylKcvXlLuCo6Pj+mPBsRpTOQMSsPFjXPSJKGqtux2EtTSWYsNY5tnT59yenrKoNdns1pilCbWGte0cs1ZR4wmjVLSJMU6RxKnKK2YTk6YTk64nb8kVpq4avjGT3/GO4+ecb5Y02var19vtoOmwylF0ctktVWwHQ74/N23D+uGDy1jF4p/ax3r9YayrA6ojSiKiJzj2599jqkb+t7zQWv5oC1pgH9TKf72tmB0Khncm/VadLBJRKOh9R1GiRzD2o7Z7Jo8y4ijmEGeU263EmmlHJ1tUUqHMb6XzlyQTOynLj5kbbpwc6hwD23W2/DfUswopaibGusdne3QRtN2jaCTbNCoKgSwHdYhvz9RKc1qvWG1XNNawabkvT7HJ6eMxyOOJhNWix1GxRxPJqwXS+qyZnw25GRyxHw+oy5KkbpEsaB6rBRPcryJwAueC6Wo6ybA6EULG13LHhXHSeg0i2uzsw22abBK2JPj8YTxeMJ2XYMXqUGe9WmbBlxHL0+wsdxby+VCzIrrNdvdhqquRLbgY4m6VBF1WVNWNS7z9IY577z3NicnR1xeXfHxZx/z+OmTwzQtjkXulCYpo9EY23mqSg4GWgmapmsdZVHx9MlzNpsNcZLgkY4kyrNeFVxdX4nUxDva1qI0tK5jsV5xcn4KCurOUrUdw/FE8rE7iSV0XpH1M6zqKOodupP1OksT0iji4vSM5WpJNh7x5WcFT798xDe/9T5ZlOC1JjYJCsXsenYwznSuQ0ViqovjmKqoiRIx5jkvkOjYiDGl3++H7nPBvTffpCg3LGYzlHacHB1TVVv6vZzGOZ6/esmzZ8+ItGEwlEi6oigoNhuSwAuMjEF7kQ4lRsxdtW3YLtfMmwZ7fkaSJvT6fSbjMcpDXVRo5YW/iOdoNORkOmbU7zHs9Sl2Betiw8sXr0jTlPNTSVfZ69j/KI9fsLDTKK9pq1a4ZBgiHaO06BwIrhHxjRg6p/AqxkSeNI2JIo21e4eeorMN2+2K3W5Lv9+TPL04EnaMs1jbst1tWG/WnJyd4vGkeUrnLU25Y71ZUxZFOAWGxIuioKhKWmfRscEj4d4uMPAEFSGMnc0i5q233sR7x4vnz+T0VFZ88P4HVGVFZAzvvHNfKO7WkiYZjx895eLiFu+99y0uX14DsuH2+n1W6yWL5YzRZBjAoxbnLev1EpvH1E100P/HkSGKNM62BzyGDokDSaSxLbRYXBDtazRZlKFDLm3TWGynaRsnnQ0tbkKlFev1BqUizs5EFL7e7Xj+8iXj8ZS67Tg+PuIsybi+vsSjyBLByGilWS5XDAY9uWHjCOdClJeKwIBthWMFMko5PjkKGXsdXdeErp0iihVpGtN1zUE8751sRM55tJfwemc9Xlkpnmix3qIjGTE65IaxeIz3rzc3nIxn95WeEqevCiBdR9C4yFdyAAx/paA7yPREBMe+stt3le0+/V05MGE3UkqCt6MIo2Oi1tJaT1HV+LbFutdmkM45KXatoB1iLbmtzils24ByZGlGmkU0XUtVh4RLrSV8vuuw4XWaWOLHlPKkkYwnrbF0XiCyIEJyrRNQRoCaUYTSDu8cJo4O4+HOebRDRtxK0WHoEKakLQoRFRtFYvzh+vXe4V0nUGG73+hEPJ+G0HDBCkq303YOtJxQHUpEjvhwapWid7eraNpORtOtw7mOKHZE0p5GY3EZ4Bx124UEC6jKBrRhMhjx3r23+bu//we8fHbF2cUpXWO5+8Zdtpsdnzz7jMvLS+q6pbWOqmm4ms3oDweMBgOatuLZs6ccHU04PjqibWuUHknXUCmm0ymb9Yb51YzbFzdJej02qxWbzQYF9LJcRPpRjEZQCG1nGQ5GjJqOWw9f8PYXj3nzD36OqWtBQoRirtOa9bAPKH7/3h1WsSFNExHi5zk/fesuOhZphTeGOtnz89TBxCCdFk1ddzx//oLVasVwMCSKoyBKh/9tZnj47Am/3Dn+RD7gNy/njOua/+FiR/k7P+avOs2/M86D27HhxsUdYuOpy3UA/jrWqzXFriA2wjXUKLJYdLwYS93IwSVOc6paxl5xHBMniURQltIRSlLh/skISrr/befCbSUJGlFkePriGb/1u7/NbrvBB9uRNjqMYqUTKC5b0W0qLy5166TAbTuLiSU2bTSZcHHjFk1d8+WXT2jqhpsXp3z7g2+TZzkPHnwu7s+yZLVcyb0SJZKdrFSIOpTTXBynOGRUVtVN6LzLvtO0LdvdjsVixXAoOdqTyYTJZMR6OSeOJXViOBiT5n2aymJbcJ2iqS2TyYS2q7FNTWw03noW8zm73U5AwqMRWhusu6auWzkshl/eCci+tQ29QYaJFUW95fLqJc9fPqd1FqM01naSFnV8zHazY7XcSHqQF+KCc5ClPYq4CmaQDlEmC0pEB6j1bD6ns5LkYV2H85Y4ioLmETZbSZg6OjqS6Z1VdE0nblMjTR6TaByWunWYRoNzeCuGsbZpcU2LijS3Lm5w+eIVk/ERXilOLy6YTkchj7nEecWurMh7MWc3zijKgsvLS9lTupjOEnSWUtBNp1OM1lzPZrSuJcliOtfSdDXb3YbJZMTkaEJRbanqOqzzcviOlGGQ5jS7Ele3FF2L7ywnJyekUSxa3q5jt92K8ausWK/XtHVDkiX0h4IPU4CzHUVdEZmINDbcf/st3r3/Lm3bsFwsefjlY7bbLb1+j9Pjs4PWt9iU/2AKu/1sHgi5fCKAzfMe8XZDq12IAxJifVWWqCAw31vO9+33vYt2s90EAWF3+BD2v+86OXmtVivhNSlFmgjoeLsVDUbbCjZjuVyxXm+pqhrnAtjTieFDh19t06KR1Iy2bjk6OmY8HPLxJ59w69ZN5rM5vbzPcDBitVrSD8kMX37xkO12yz7PbjabHxAQ+4VsOByxXV9zeXnF+cUUY8RpMxkPKYuC0eBIorZCZWeMJoo0HXJTKUUQK0eoDho6rJeOUtu2tNbTdA7jHd4IWsZVDVXZ4Ck5PztlPBozGo6YXS+Zz5fB+RMzPT6irluGowFxE+OVoj8YBhdnB50K003Dk8ePODs7YTQcYLuOsrQkcUSSxCQ6ovYlTSM3f9e1bLfrIMavGY8lLggvJ8Gvxw0JKcRa+dlFDyIj5q6zeN9J6LshoDAOtVbo3BFGPqBDwaDkN7KohGQFrVRoyfvQzXudIwuvC7evjmL5msaOr4nEnff4TrpvthM9hFKGJJbUB2y4Trv20NGTvyuLrgCAVejieWF97U+7XUvizWtxevjeJoqIvThokyQlSYSp5UPySFGVtF1HEicSQK5E/bf/2buAwlGRQXtNagxZL6dtJAu2Ddo7vUdCa0OUGCmQlcIHrZwxWnh7TpyCWoEJesGyqFBaBYmFSBWkAJRrtrM2JFXsEyykGyvdppCKEUbEr3FFHArEznm6cGN4L0w56xydszIGVYoPfukDvvfgAT/8uz9mORen/r17b/B7f+f3+NHf/RFKh3GftSyX0vn49NPP+Ma7bzMaDbm6uuTly+e8de8e0+Mp2mgGgwHnZ2cYE9FLMx4/fCRpCwHF9OzZM7xz3L/3lgjnowhtLf3LJaebgj/204+5/ekX9DY7Ivsa+2KVYj4a8Mm9Ozy5fYPP7t1FKcXWeTa7DZ1t6QUGnUYRKYiUHGx018FXRp+bzUYyc43BuyDZ0JqukyzYfQ5lm2W0vZwf93rMb9/mL3434gcff84/+/A5x3XDn/nt32f+3hus+zkLDcenxygDRV0EiU2Ed2JsqJqapm5k7J3kKOWxqiFNNb3+gCzvs1gscK6g18tIkpzddseuqHG+C7dnAI8rL3nDfi+DEH3xnpt5eXkZ9g19YJ6+Nm04vBM8UhyLu1zQONIFlhG/vFdN0/Ds2TOqsqQIGiyF5eaNc371136NJE2Zz+cslkuatjkkPDjfhsPra5JDksSw8+A55OPu14q9DGexWIhRzHmyLONoOmXYz3n65BH9vCdarbJmu9ke9s44lnB452X/i1SEd7Bardntdrz55pscH58wHsuC+PTpMyl2tXq9h1jPcNAHHB9//HOB2S7XbDclaZoTaY23kt87mUzYbYsDN02czcGIYpQ4qJVgw+SALiy9KIpYLuc8fPglq9UqGGUUaUCZ9UM0mMSQSaRWFMXUbSvcOe8YDIbkeSZuZudFxtJCZAy73Zai2DHo9xiPR3Rdy8nJKS9evGS1XLLcbNmVNeOjI9qmZTSeMDk5xrqG3jAny4V68eLykixErlWbku12n52bMhwORZvedayC/rWsKlorcXtViBbd56D3ejkusHhjEx1STuI4IskzRqMRJycnB5TabrfDrz1eOZpGZGlNXdN2QvcYjIYM+v2DhGMynhBHEffevEe/3+PFixWXl69oGjHiDAY92TNdx65o2W7/C4x9/x8ev1BhBxwQJ3VdHzbtft4niXLaKEQreWn9VpXk19nu9Qva36B7AaGzjtlsxt27d0PMlwTN1yEMPYpjFvM5VVkKg240ClWvjExEuCsBxE3Tkmbi4kzTjLqRzqLAMKHYFuSZtKLljTeUZcVoOGLQH/L40RPee+89NpstcZxSljXXV3NevbpiPl+yXm949913+Kt/9a8BntF4yMsXL4gTgRT237rN1dVTTk6nnJycsphfM7x1g8WsJO/16Gx+KCyiSIUO5mu52N616For8NhQYAi9P2wSYYxhTEKv16dtPLPZnDwTAGZVlVRNLQzBSDNIB6w2MvMvq4qma3l1dcnR0TQ4iRVt13F5dcVoNEYhXYDkJKOpBTUjY1pz2OxfI0ViNhvJuKuqiryX0h/kYiroRLgcRzFRFIf4JUPXVSKId/vMYEPblrSdJdEGhcF7i7MeF0aEPhRwXgkD7fVAVbpV++Jh37HTWocKaz8/FXftV918X9XX/eH/3ovL98WW7aRgiUxEEkVYG4j5di+IbsJIWQmGZN8EDO+RdBREeqC0IYojOtuEewiiJA4Fzb6hq4ijhDhKGA5HRHHCcrUOiBAZPXVtRxLJ6FUd/mYYdxBQFXFEXdWH7rPWWqLEnDiQZZwtXeJYazmhGgO2JdlrKpUH5TBakcQRWik6OpQWOKwYn/Y2fDGUuFY0gx5CdJgKruIwPgyQceeCdi90AL0DZ0QQjo7oHAyGPXIdiWvTSv6uiUXbkuY9PvjgfT799DNMFPPO22+RJgmvXr0kSaRDlMQRRdtx69YF9++/Tdd15GnC2dkJR9Mxi/kMpeT93Gy3OCvamWF/QJak3L51m/lsxiaYFpIkoW0aYq25UzXc/ukn3PzyMbcfPEQ5hw46nypNuD6e8qNvv8eya+mSmE/v3MClKSqKqduOOI5xSpFnGa4Ts4htWppOuiAukhxXFTrFWknh0bXdIYovtJUwWpEmMbEx4By2bYi0opemXJyfcX52SpJl/J045md3b/AnH7/kv/LZI/75j77kN3op/+nbN3m22ZIWFdvxRDRFXQyuwCjhV+I9sUkYDsY417Ip5Xocj4aYOGGzNWRZQn/QE4c4cmATSYDDBA5hkqYyQuvEeGGtGHSSRACs2+2OPE0xOjqsFUo0GIGhKLKPLMsZj8YizF9taJoqjKvkLiqLQiY63mNb6RrNZjN+/tFHAraNIybTCbuiOJgafCcQZK0Ned6Ta1Np8jzlWB2x2q6pwt731UOioLmEE5fnKWnoYFZFQdc58l6fPMvZbHZCO3COLEkYDgZoJdDvJKB+8txwfHxCluWMRmPiWODyt27dYrlcH2Ld9vfm0dGEd967R5rFlNWO3XYN3tHrpUFHWRyc53vpy95MJXet5CNLtJo8f5alASu249mzZ/zNv/k3AM92tzu4O2Ufk4zVNE3Zx4BKUSeykrazUNekScJwMCRNUtpaiqMszSRRylpGwwFpmshhMtKsN7vQsYzZbHY0dcvnn3/BeL6gbVrOT07Jejl1AyoypHmOiSMubt4gjhNsp1guNofmwt7sqbVmNBpxefmSpy+eo4xhOB7JGqwEMRYlMXfOT7loW2azGcrDbrsVyHJVScMqyzg+PubGzZtSjzhHWVUiDVOO2EQQix5yr1sd9PuMhyOUh/OzMzGIRRFt1/LixQtms1mohd5gtV5xdX3F6dlpOAh34XP/oz1+ocJub2jouk7cZk1DksgGpDHEOg5xLVKsbFYrukYQJ/sLYH8j7J9HJyJE3Xfx8jyXN6ksD8//5NFjvv3BB4yHI4b9AXmasWEt8+qqwVmh32slVGmhbNdsVhu6xhLpiGpXEZuYu7ffINIx2SCj2G7ZlgW3b99hNptJsDYqjJZEY1aWDbPZguVixeXlJffvv8toNOTBg0954407fPbpJ6gwuklTxXKR8MUXD3n77fv87atLlNZMxuNA/e4f3suyKiir3SGiaF/6VlWJclryYL2STbALbClp6eCsiIWT2JHnuaQMOE9kYqpqKaLUPGGxWjAcTvBAWRY0bUeW5xSBLg9jOtsSxQlFUdK2lrt3Rbw6Gk5YOwuulRGxUiHDVLL0nBfgsDaGKDKsVmBtS5pGrFYbvOcQXt91liiSoo0A9O06ydGUzq2TXETv0ZFCRQoTXMI+QD5xYiwB6U4pJaPX/fui98XaV07SWu21e6//7A+jTv5wUfeH4caRioijWDoEUYrWMW1rcRbqtkHyQUP3EU2kVOhAgvdaxpBKwB0qiLE1ECVSJO1J6Pv8Sm3MoQvtnASta+NJkyyQ/UM+bOckVu4rQ2ZQoRAQlIxVChXHOF1Rhs5yZKKAbRGDBEqhvDhshU0moeV0srnhBQlBMD845/BBLySnbge0IXoqZOMi3T9500PHTgf8zP57GPkkTXC+ah3JASBO5JoKxZ1ThjTJwFh826GCWcshi+3bb73J97/3Xeqm4e6d2/zohz9kOOjzrW98g6ZtWMwXPHnyhDffuMuv/dqvYozm+vKS4bBPZBSr5VTcciYiSQXBYJQW13DXkaUpo+GQpq7p5Rk/yPp8/8PPGf7BA+48ekp0YDxCE0e8PD3iD755nxc3z3l+dsLlbIb3ljzPBCDbtKj9odbJQVgjHQWjjSze1gk2o7OHQ82+u2OCjkersE51HoNEDOZxErpYnq72JCbixtkZ4/6AWFRi9Hs9KmP4j6cTijTmT376kHeKmrd/+iX+w4cURvO/eeuML06nbC4u6Gd9Hj9+TF1WofyGyGickkNF6wKPLo5Jkoimrei6Su6R4HBEyc+bGE1vkAUosqUoSqyV6Lk871PXwjeUIiQKBZ8K5jUdGgZyeEgSGVFOJxNWqw1tO2e3D2ZXrxE84UZ/7dZWsFyt+PFPfkKSiKmvbmoxRUQRBovqBMMzGPRIkpSmEb3faDykdZZdURye+6vrSV0LckopGA76FLuSuqoE9OtEOL+cL2jrmjROyNKULElJ44SNV6HbKAXRYDAiSVKGwzHDodAgoijm6GjK5eUlSRojUOuc07MT0ixmMhlynh5xejLlyZPnXF3OOT294MXzlzIiREC4Xdd+zaEs12dKvz9gtVqy3W4OcpuyrNhsNnz66Wecn5+iQ5HkvnLI3zdqZrPZId0CZNJUVi1JnJBlwnXUSuO95ez4VA4vVYsmYTjok+cZu92Gro1o2wYbaBmRickyw+VsTt12oLQ4rvOMzrWHmkMbw3gyoW07GfW30lnNc2Hg7htRvV6P/lA+y3wgtA0fgOtN1zIcjzg+nhLpiOl4xOxqRrndEhmNdzKGrtqWbVnSdFLfjKZTOu/YfrljMh4zmYwpypKiKgUNlqRMR2Mm0ylVVfH48RNGwyFH0ylXr17R1oJkub6+4v333+foaBJYnCVpSJxYLhf/30q0w+MXdMW+1nfsu2og8GCJO9USjq01jZOWc9vUmPg1s2h/M3gvsGPX97x48YLr62tOTk4wxhxsyntYaFVVoWATREpd12w2G9q2Zbvd8fzFS54+fc5ytSZJU05PzkSAuN7i3Gs2lkLz3rvvkSYZWmuK8prT0zPatuXRo8d897vf5erqivPzcz766GNu3bxDr9dHq5g0zXj06AnvvvsNbt++zY9/8iP++//Sf4/f+lt/8/Ca1+uKt++/w7/zf/0/8Zu/+Rvcu3eP3XbHIE/YbbfYrxR2XdfQtFUIjZYl02gBepoA9mzaFh0ZlBXzgNGGJE0xJqXY1axXG3q9IdPpUYCP7sX+QtmeLxbMl2tG4ylnF2dsNltGoxFlKWHiddOy2ayZTEbcv/8uq/UarWPW6zWDfi8UMU0QurfoYCRIs1iwM7ZFe4sxCaPRgM7KSU6AmC2j4QBjYqqqpq6b4HRMKMs6aO6gs440ycRVqMQ6IZmjNjTcQjyYCoFjSkwN2qiAPOCwCH31cdDQqb/nf319DHv4enWAr+67dnvndmREO9rUAn+2nQ/Fi5KbXUlx6vauWWQEC4hJwe9TMfbh8QYTyeep0XRNcF6H3OF9h6ttO7nOm444zUiSlLqR0+7QxOhAQe+cdMBkcdNoneC0YGbiNMUrRRVQQ1ppfNthnfDwdHiztNaBwReyLaP4NfCYAERurXRMlSNJJUavbRu61h1MUfiw/SuRGEhahVD62SuDlHSAkyR0W73oSJXWECWgJFavrVs6v2OgpJPhlYzxvJLOXVHsmE6P+ZUf/DKz+YKry0uePn3MG2/c5YMPvnn4PB8/FgF5kkQUxY4oDnoxhRDo84zr6xlJnNDPe/R7fZIooq0b6SagePPTL/jmzz7j4slz4q+cnJfjIS+nY37vG/fYJDGPjiZYYDgaY7QOkwHpTsdxTFk1hKPKIQ1GeUMax+Lmi2Ks0gz7faqqFtagkxGkU5Y0SYijWEZDXYdVDVkS4yJNEsnP5awjNop+njKI+yRpSmI0iTG4OEY7sfn89fff4nfOh/yxx6/440+umRYVg87yr3z6gu2XVzw8m/Ef3rvDy1jTVA6DIkkMkfZYFFEU46wny1J6gx5Ke754uOPq+pI0SYPZQWQBeEvbVTiXE8ejMCaXg9ZkMuXmjds8f/6SV68uD3iVPTHhq/dinucHNNZ4PGG5WLNcLg8SH0lW0IdRp5Ib+vB5CbOvJdc52hg6a6nDoSqKIjFGNCGerd9nOBxSlgLFR8mo8jWk+fXisnez73FFbSe8x6osieOU+XzJk8cvsNYe9F6DweDgfO3aFq0Ekr3Hx3SdSJPG48nBidzr5fR6OcOhjBvTLCFL49C9l7Hq0XSCVppBr0+WDVAeHj16fCjA0iSlTTvKUugTVdny+PFj8jw/SItGoxG9Xo/Ves2LF1eHcfP+vf3qz74fmed5Tpqmh+6d955Xl1eURcXp6amAor3ItIzS1GXFZrlikOc41zKdjnHOst1WjMcjNusdRVERxxlpnjGeTPAo4jRluy0gjUR2YOVAX+wKBv0eu11JV9dBz+0O+/P+Huz1evSqPq219Pp9lNHsyoKuaTBxRFMUzGdzxsMRF2fnxNpg24bFYsF20yfJctrOUjeCN9pfk4PhEG203H9RzGScEEXyvS8uLhgOhI/39OlTnLWcn52x3W55+vQpaWAEa63p93vcvHkT2PMGpUAV0Pgf7fELa+z2yRHr9Zqqquj3+5yenPGk/4plu5BTFuJ4bOqa7WZDkk8Ob+4+/7VpGna7HavlikE2ZD6fS1JD+D5FUbDb7YijmCxNKXYFTVVTFSVlUdI24tbbbnd8/uBzHj16RFlWHB0fc3JyQlEIVftsfMRkNGGxWDAcCJagrmrKsqTfGzAeT/nww58SRQl53me1+px7995mtVpzdmrRWrg32+2WxWLBfD7j9u1b/M7v/i20Vty9e5eqqji9uOCv/5W/xHe+82fp94Y8fPiQX/r2N/n5z36K61J6WfS1YiLNUtI0ej2KCqfyrutYb9eMhmP2bgETGZSDNM8YDEfYTtE2nrJoKAoZUe8zK7MsZ11sqZuawbBPFGeYKKbtLGkmY5DTszOur6+J4pij42Our6/I85Q4FthxXbUURcWNixN2O0VZrlCtIo4NkRatxv5C29/IcRyzXM0oyh3GiFGm6zp2O+kEdq2D3BDHKbtdSdO0xFEsh4VONC060ijDQSOzH8kSGSH4qxBQpFXoIIWv8T7Q6g/elOAyDj7V4MD9L3rsWWuHCKSDeUI0JEbLiLBtOuqqEQdsR1hUM5QDpSSlwgUNoNEGHxmsVUASNGfiIHRO8pJfRy7JBtnraax37LOS981Ho6VbKMBT0bKhFEYLx8o5J5nC4quVUawVOHRTtmilhCXV60uh5rxw9fb8PR/gzSi0lgLKKAFGt96He60mS1OJDBPZoozbA7i562TUJk7mEKNlwmsOzK0oig+cu32EnFxvHMay+03xoMvzCusVbSeOYxsC043iEFlY1xUnJ8ecn1/w/OULqrrkLDhZ265lOBgyHonbd1vuZO2pKrIslsXUdWRZKh25tI/y0DUN2sPZ1Yzeas17v/t3ufezT+SaVIqHN04pk4S//uYtFmcnNMcTHJ5tUVCVhfxsZUne73N6ekpdl6L5RWC1JoqIlCbPMqq6wTcNygt/UislqQHDEU3SsFyvKLs26LykqPPeY4Oe1EQxw4CuMEZSQ7wVYGoSRcRpGrrEAj3P4hjlJa0gimPKoxF/cTriJx+8QzZf8WtPr/jNB08YtB3ffvaK26+uKU8H/ETD5WTEeJhjIoW3onskaOP23388HrJaLajqgiRJkaxW2Tfq2rLdrekPMkyU0HUNZVljjGGWXIcJTYoK13VV1VRVg5zOFOPxhG9961vcvn2LxWLBixevmM3nbLe7gIaKwqHstWZW7f9RgPeHdUEZ6faXVYn1shG7YNSIIjkgO++ChjyjLCt2RXmI2dqvHV/9xwf1gtYmZEh3B81fsSvo5z3pmDsnIzkFTVmxnC8odgXHp6fglaw1dcN2t2U4mDGdHMua4kU7Ph6POTk5IsszrG2xtiNJI5LYBDSWpaoKtrsNV1czhoMJ0+mUtu2kK64Mcfw6/aVuKpp1QVWVIU5MCpIkiej3exijKYodcWz4xjfeo24qyrLA2u4w3twXq1VVhRQSRRwnLFYrqrJmtd5iWym26rLm6ZOn2Lbl4vyMu3fu8PmDz2iaishIV3W9Xh862lVVE2c5/d6ANM9Ba8qioPMdk+mQSCuKzY62qjg5OcHWHatmQ55nh/F4WQpJI45jyrJktV5hkpiJmVA1DcvViizLaGxHXVR8+dnnGKX5/ve+x8nxMffffovHjx5T7rYMJ1PSvMfl5SWL5RLrLEMzIslS4uARUMDR9ChEmAoT7/LVK168ekXTNLz33nvcunWLTz/9VJzJgRQgRfuA8XhMvy8s3qurq9Bc+Qeksdurm3TAX1xdXTIcvnWwUO91MjaAGdNEiNxxFJGlKWkibJlitwsRHjXOOtRYS7RY2xLHMdPplM8++0zGEl42yu1mw3a75fPPP2cxn7NZr2VhKgqeh/m0Voqj6RGDwYDLy0tmsxnfevsduq5juVhwdnqKVppXV69QCu69dQ/Jv13S7/cpy5KnT5/xS7/0HWznWCwW9HoDJpMjnj17jnOWTz75lD/1p/7LHB1N+ezBJxyfTNnuNnzzjW+J21NpRqMxX37xJb/8/e8IELWtGQyGvHq15nhyxdOXd/iLf+Of4Ftvf8J4cIUOocI+LEBSNNfk/YGc4EOnM80yhsMRm7W4Y3q9HtttRVU2xInAPj2Qppl0AY10tqLY4PAUVUGaSryOiczhQtd6RlGUZGkmJ73BMEQCKaaTKbYrsbZhOplSFruDdX4/etsX6sORnCLbRv6/iSJ00FtpJcwyifYRV5PCSfcKGV+6zsqJTgsQeY8a8F6JK/ewWguIc8+K896jsIcV3CGFmXVWTCFBjvJf9NifO78qE9hHJe2/QnnQaHpZD6VM0MkU0sGLGjAKpSV+yUQGVISxnqZxyBRTouGUls0YJdqLyEQYDCaKybRoHeu2JfI+wD3F4ZikaegCqkNXzQfI9KH4xEgmrg/8rjC2cioYcLAkSFawjiSmbo+vUaGwk3QMHQwpnjgyFFVFbS0x0FhPpIXVtI/2kwxYEe1HsYBcIyOfw4Ht59yhE6m1wSh1EKfv33MV/swpHZJLpINnopjW2mBAcXS2IYqCJUZJ4khkDGmScPv2LW7evnnovi6XS4qiII4jNtstq81KzEJKOHRZkgi3Ds+jh4/wwZjRLVe8/cUTfvM//216mx0eKAZ9Xty5wQ+/eZ8nb9yi9XB1dQXOk7ctrW1p2iZ8FrDerKiamouLC5IkOkw6oihGaU0US8qFMRVV24L3ghpqO4zWDAdD6lhiqtr1ijhOGA2EsVfVFbbtxHiCjJcERO0O128UxzgvWtAojjDBFKOVIkuSg8kmjSJQmlUU8yKJeHQy5W/cu8XRruKXP/mCby+2/M9frJgZxeWi4sPzjv/bG2dUEaQ6ITfSpdmVW6wTJlkca9q2C51KGbeDwVOKhEIBytPahrIuKS8b2tYRRyld12I7ORC07WsdV6/X5+aNW9y5czcI3Bekacp0OqGpW+q6kWg/1Ndu9v1mqJXEe0kWsRSAWZ6xWC6EeJCmYV9rwuHQURQ7kqANU1qz3oihAV536756GNzrbE3o8Hsv39eYSA6eeMG4KMVysTho0JWShJ/OWpRTNE17MMi8enXJZDIV7XlV4r2j38/DYTqiKDYkScSgl5OlCZ1tWC2XEttXlqyXG2ItMVdaGzabLWVbAYo4IGbaTlFVXXivUrIspd/vBSah4/z8nKIoSdOYyWRyKDjKsjho7bMsO6QjRFFEWZasN1uuLi85PjpBIYfhPOuxXiyotgWnp0e8+859fvDL3+fBZ5+wWMy5uDinrsoDG3XQH1DWdSiUYTwa44AHnz/AJIZennB2ckGSRq+ZctrQlNJ02u0K2qZhtVzRNgIebzsxdFDC2ekZBA37ZrORfbi1bDcb5vM5xmjevvcWb9y9K5mv8xmD4ZDR9IjVesVms6VpaqyzJIkAv4tih9FycEvShNl8zqurS3mf0pTj0xNu3rjJdrfDWstkMmW5mNN1ItmYzWYcHx8zHo8Omb3z+fwfpMaupbM1CkXbVlxfv+L+/XvkvYQsT3Guw7r9OE40DkVR4FpLFqcM8gG+83S1pdrKh1WWFVmcH9rE+zij/bi2a1s0impX8OThIz7/7AHXl5fstjvuv/OOwHqLgraqyLKUWzdv4G3HqxfPUQqOTqYs1ws8jsEg5+rVC4rtWkK+q0IE5k3J2cUpJjKSm9p1WGf56Ocfcnp8TJbEGKVRONbLOd41vP3WG3zx+RfcunmTuinQWpISNps108kRTx5/zmZdcH52my8+/4wsn9LrPeO/+V/9N/i//If/Mp8+fJf/1f/5z/Ev/wv/a8aDOQ6HjhASfjumqRpU0KQLRkMTmYQoysKiWJImCVkmIwCFMH4wKX2lccpTVQ1lXeOVorOCy6htQZykJD1Da2ui1DCZjsErBr0+eWSoq4inzx7z/PFTzs9PGPdPmC+uyeIRlW9pqx1xklCWBVmSkaQxznYMhhPSNGc+X9J2Cq08WoWFvu7wrcbriDTOKGip2o48l59Hq0jeY60AYdo55+iUB6uIGoPWnigWbZhAdUUHJkjEcEpXXowWIXvXex8KifCPD8J+t0egqMDts4eRz17PtIeraqvRXmMiIarnOZLIYS0cxt8KbQLaQ0EcCSbAKBVSO15nZWjk3jCYUPSJO9gkEVmSUBRVMFmk8rVOk2Y9XOxou4amE/G08oYoaNq091gsTkU0KDosCoOzjsaLBqVzLZ4WozVxnGEixXa9IdIRkTbyehFney9LUMbgTIJOevgoxQFp1sPbJqRnWLxVxHEmn4sB6y0tLYkOXTsri6ZrpaiJlBb8gWuxtghGj1B8RDGoJMg2CAcd0SbGcUznLKp27PNBR8MxoEIigSdLYjrrDvmQ3lm++OJz1gFRcsAzGEMaR+RZitFyOOlHmmkEH3z4Eb/8uz/m7Gom940xfPr+ff72P/orbKcTnPcY56hLcbnNF3OK5QKMJ07ig9mpaRscHd43RLHggLxXwc0o33/U76GcxecZJkxDWmfxSUR+NGZ3dYVKYryK8MqgjCwISsegW2xrscrjjDDXtNGYRDTKUhTLAc4YjTJKsn6D9jSJI6xtRGuJRjtFqmI6bXnUz/g72zX/wZ0J38vgf/pqx6RzHBc17z58wbdnK/6ND27zIM+J4wi8ZRegrJJcIOafSMc4Q3BdQtYLrynJpGtsYpSJGfSGoAxl3bDdFdhWDmzOK5k4GIMxMavNjt//4Y/p93KKYit6ZLmDJVYvdNflEORRIR5vf+N5H6IArWPYH5LEKdvNFmMUeZbgvKPzHVVbYZzBbkHHhv5ogIoUVVMJSuhQOKow5ZX3FC1rkvibxPmugunLekfVlERaGKSL5Qxr5VASxzLxcCFjtizLAyVCph470HA9uw5EgwF127DeSJLDm2/epd/rYyJF05TsthsSE9FLY+rEQFezvNryxhtv8PYb3+SLLx9TVyVdK+7dohIdp0PkIEmacHRyTBxFLAMUOc9zsiyhKAq22y3gQ0CB5uTkmOFwcDicaR1RVQ1XlzO0gzxO6dqGN27fpvzme1TljqYqSdOUcldxfHRKnvV58eKK05MLUDEmSkiUR5ktWZ6xXq8wUYRrG8bjMaM859X8klfPFdPpiOHonMFwyHq7Ie/3UMaLjKLXx1tFW3c0u5b8YkAUJVRNS1FW1HVLFEb/vUzuw8v5JcSGyekRTnuevnrOttjy1pv3uHX3NpdX1zx8+DltU9HWBW0FsZJMZm0l91ZHBusdl1dXPHn+jLIsGQwlRjTv93jw8AuGwyEnZ8L9e/biOXmW0esP8B7W6w39fo9+f8Dx8QnPn7+g61677P//Wth9tfXhkU3G48IoKUUb8I2MaJqmY7NZC4/Ie9Es9Po469htC5qqka5L59jtdlxdXfHGG28cZvMgnY62Fr1DURS8fPmSRw8fslquUErx5r03eeveW3z00Ue0bcvJ0Rlvvf0WRVHw+PFj0YkZzYuXLzg+OmKxWPDk8WPefustrO344vMvuXH7Jpvt9sBX2253rFZrer0ef/CjH/Hl7Tv08r50Gssdk+mI5WLO2ekJ17M5p6cnPHr0EG3UIXmj6yzT6TGj0YTJeMxP/+BDnIMoTpgMH/PP/9l/m//F/+5/xCdf3ufxsxu8d+8K7y1oiFVMlmcy5lAR1mp0FGNUjNYxddXSWS+RLZ09RLo1lejWjo+P0dWOsq4oyjY4vDrBT3jParVgcnSE1p6i3GK0uPJk3L1jN5cYuCxJKHcFxXbHzZs3SJNUnKw6YrstODqeorVhtys4OppSVw3bTUEc9zFGbkyNofNSYHgv7mhjQlSYQ7R2cYwymqoqBQCbiKtNIaNV5xWdk2KoqTt82TAe94n1XqwfRqBBh+SVFzNnGI1aayEywkdTBBOI0PK13mtCzddEwHKK1hhj6SpLZAXV07mGzsooJkklS9dGktwg76/CqTD6DfeKUYgL1TUotSfnBwaVJxQ9XTDrGIxJMErjjSRLyGiWkF7hqOoKpeU5JeTDh46ijG4dMpY2SpOYGK89dduEwl4OCc550lQz6A+oipKmrLFKjC17529dt7TO0XlC3qMmThLiOKWoK4qipq1rIk2QZ0hhbUMUnHaROHa1lpD6g/j99c/i/B5aakFrMc4EbWSaSREtsWYi0HetvId51vuaAUv4aBbfiqambhqSKKIqdnzx2QPqumYymRDFmiRNGPX6jAYDUqUYPr/k+Cc/50/+nZ8yrFvGqy3Ke4p+zl/907/B/OKUTS/DqhjftLQhyg8vhdx4PKasS+qmPDDXXOcOvM66qcjS/qGrIy5xmWAM+v1wyBBtmFLSZU/zjCRL8VqR9weMWrlWsyww56wTuYIyOKwUcaHLnOc5RVFA25JkGUksjtr9Qdk5KThMrIldjKtD19eIecU5yS2OlGLUH/BoPOZf+6U+39jVvP/8iu88fMk31gX/45884j+6c8JHN0/lUNTUbMsdXnmSNMLoBGMSypVElPUHA3xT0h8MaDonsVtOMxiM6feG1FUbzBNWOIguZGFHcg1oHdO1js5YutYGqc01vV6O1kikXefDfeUPhi8pu1674JUSg0KW9yVmq7WM8j7D0VhiyHY72s7StJ2sUVVFZy1NK7pk7/cd5tfFYlAnHIxwcg27Q+fcek9VV0Suo59nlFUROlDqAIWPkgTr3KGYE2mNvOamaYhrIRAMR32KomC1EnhxWVZ88O33GQ5HzOZXLOaL0C3KwXsmoxFdB3VZst2sef9b32I6PaKqSq6uZ3j22BRzMG/1QtpI0zTMFwvKogn3eMomZA8vlwvatmEwGBzWtL0hyBjDdlOwXq1xoXh9+fwFFyenfP/732c+m/Hl5w9ompb1RiLPnFes1hsur2ekacqzF69EU9y1DAYD4jTl8tUlcRRxdnrCbD5juVsxn8948OBzwHPnzbthUiD3YV2VRCbGOZnunJ2ck8aJQJ2LKngGOrJen5PjE965/zZtXfP00WM61zEejjg9O6OtG168fMlut+PmjRtUdcXl5SvZL5qQZtK1KDyjkThsi7Kke/WKR0+fcHl5Ra/fo6wqdByx2cnk8bvf+S6Ts6l4CRTESSKGr8VCpE3LJUdHEh+6H83+AyrspDOxF1M3XUvnLGmcMhwOSJKYonC4QMTf7RrWmzVt25CH0N/9hUo4OcZxdAgqtkEnEYXYFmMMVbChJ0lycN1orbl9+za/9iu/ytnNm3z44YdE2nD/rbc4Oz3jpx9+yL/7f/8P8N7zH/6//tPD8wpqwQprDbCdEM/3gdX7iLP5esMf+0f+Ebz3PHz4kHtvCrNqd7VjPB6R93qHzs5wOKTY7UJXCIqi4Fvf+hbXs5dEUUKvP8R7RVE1jEZT1sqSNhvUvuEf9EVKGeq6w7lCmk8qIk5zcpORtJ4oyonjnKJqqDtHlKS0TYNF0ctymqajrBuur2cQG+IoARdQHV5cjMZEzOZzXGcZDYbUUSyv2zt22w0vnz8nAsbjO1xcnFMUMnbYbDY0bcN6PUcrKcQnkzFHR8eCgtjsSNMc2zps6+hnQ3q9AZttwa7YymsrKnwnTla6TjqMcRyihjR1VWMATXYYESi1H3ns3ZcGUHStjJ/khOvxrpPiIZKuB1o0WE3ThSQUgzfS+bHeB2OD/NspjVECYNbKERmFjjWRkZFyXTWkxgRPoeRUOi8oXuHRWdCSPGFMjNGGzjpxZgEqMvL82kh3L7hj9/fS/vC/73Ro7Q5CbOs7sGFTDhuybCTSsYqUwXedMOKswIQtFo/gL3QknW88xHgRi7uAybAd2+WCWBlMmoqgWUunq5flRFpGqbFt2RUSO7W1a3ZpikZG6r28h0I0VGiPU2IIAX3QMylES2dMEsChjv6wT94TofY2dCeESqNwNkCeXYKK9OHzV/tNVEns18uXL7m+vmYwGIQsZs0+2FxrzasXL3nw+QOePXsWTFnympI4YhwZ3vvZAy4ePuXt3/+QfFfIJg2U/Zyff/+b/Oi771EeTUSz1XbQSkEhRbINMgcj37/fo2ormqYJ2kxBeXRdx3q9RY1iQB3WoUORF8toaz+m3ee27kXoxhh6gwFNI47/LO9RlqXEhgUdo+ieRbfXy/MAC5ciMYoNaSLjahs2XR9Gfd6L2WWPwRBJhhjcsizj6OgIZRRpltLlGR9pzR/cPePnd864+PRLfvP5kv/Bx89Yf/GKLv6YP//mKX/l1oSmq9kZ0cRGiWCOdBJBpMEaNrsddd1Ap+llfZE2rAqqspE8YKvwXmOCs1VGml1w7bek6SlZlqPXMjZVQc+ttPyc+L93TPpVs5TowUZ4r1ks13gHadIjjnO2uwqUQLcFwyL3cdO04gS1LuhA9x27fVHn2ZMN9k73vdpXHypAMTINxiOKoqIpK0kIShIwMibuQkznvkCyITVoNpuBEpfpZr1hs91QFDuqSoT7eZbz9OkzHjz4lF4v5eL8lF6WkyQptn2dL9w0LU+fPuXOG/dCXJxMBjbFBucdSSLO2OFwTNN0LJdr1usNXStjZO8dVVmS5zm73ZbNZkevZ79iWjGyfvR6vHz5kPl8jkKFkeiOn/zkJ/yJf/w3uXPnDl88+IzhaEiUxPyV//yvsVgvSXs5nXfYuqLuWjonUp20J+aEF5evDnnZSZaK9rHaHeLCdttdiDqV+mO1WnE8kWiurnG8/dZblE3J44eP2CxW9Pt9is2WYd7jzTfe4Gh6hLOWPMtZr1YYFNVkQmQMXdfy6PEjqqqi1++T5Tmr5RLvPf20x/HpCXnQnjdNw2q9pq5rtlth6/b7cu+mecaNGzd48eIlg0Ef68Qour/ntdIHZ/FisWC73TIYDJhMJodR9x/l8Qtz7PZH6r2bqO060hh6vZw0FQq9FG4umCXW4cWJw2gwGAKEE7yMJVD+4H5K05STk5PDxaCAo6MjRqORWOYTiUX55je/yd27d3n+6hXPnj4F4N6b99Ae5rM52wBQ3gZNxNcem7//j3h5dcXJ8THvv/8+P//5R4xHE27cuMnLly+4vLySE8l2S97rsWfPmbA4fvbZZ5yennJ+foPOeZIkk/GVV5xd3KAoN8SJIYo6bGP48MF3uP/mA/IMiZ/xLkTyGJpWonWMych6Q7ROKOotnXPhhCc/XxTHdN6x2m7QYcRUVjVxktE5j1Ky2Q4HYxSGpqnIk5Q0iil2W9q6pmsb+r2cfpZzcnJM09SU5Q6Po2kldiaKIgb9jF4vZ7fbhQzEjM16w2QywaiEumhIsxzlDXhD23ra1tFa6aR1yKihKLagYDQeyYbtJZGgrmu0gjiJD3gC7z3WQZKkDAa9EF7eiV3Ai1tWR0ZGUZEOGrYIZWIZ03mL7Xyo5xReRygXIo6CeF+uxQRj9rocHfSAhiyWrEyUIskyrBMN3B6z43EBJm1QRh2MGlEU49o9nDhsOuyfWzqIJiy61jaHjopS5ms6of3XRlFEpnKsk9OhsoB+DXwWrIPoelzQGmqlUYnkHGpjUEZE+ImJZKzSbGXU7+SetlrTmQirBIRctVWAliqJLuo6To/GZElEZDQ4SRyxvsO6Ds8+TcCIrlBJJyhNc9FOtQ6NGHSaVnKLCXo5Z6V751Fsd1uSrqPfH4rwvmmEJRhYcr1eD6XUYd0wxpDEseg0DWzWaz7/7AHr5YqTo2PRrkUw2ez4p//Cf8aNJy8x1mKNZjvo8fNvvEE9GvLgu99gM+qLwN57wQ1ZC04dxvXWWlxIBjF1Td7L6eW9Q871vngrioLNZkOvPyKOM3ZlGXhbscQdAaPJhKKqaIPGJ4oi8n6fJMuI05TRZMx2J11iFQrzzlk5oBgdHKk5vV6PJI4lBaHYkWQZw9EAXE1ZFdi6lg440t4V9/ZrPen+H+cck8mEwWCAk5uDrm0kOUBrfudkyKNqxE+N4198VTBsOuKm47/90VP+uQcv6IC/OE2o446fHEX856M+DkXbtVR1Tdt2kswwmtA1jqqsKctW7ievA6sv6GWDLlMKrJrdTg69UWQoStEZW+dwnXSJfBSSbNgH28uavO+ASaFn6A8nbHYVu6JGxzFJnmOdp6pqtI4YDlPqug3kh0Zyg3fVQe0Be6OtrAn7Qwf7sWwo7Kzt0MbgGxl5nhyNydJYXLZ2f5ATM6KMapvD9dU0gr+o65rLy0uqqvyaacF5SfTwzvGzD3+G61oG/YwbNy4YDMa0VUMcpfggLcrznNVqxePHj7HBgd7v9xmNJyw3S3TI+d0bIK6urthsNtRVjfeyXpVlyXy+YDiSjt5qtRLuqnMURSEauPGUKIpYzBesVhuOp0ckScJ6s+Thw1f85CdHdCFqrihL4jThi08+oWpqptMp22LHnTt32JUFs+sZt27dluiuKGIynVKUJevNhv5gwNHxMav1UqZk1rJcLfFKAOgeaEIsXZ5l1K5l0OujNew2G3xniZSmLSp8a0lMJBOIKGIyGTO7vCRNkkNetHWOoiy5vL7iBOj1pbnjneP4+JiT0xOqsuL6+lqcx18xFfZ6GXuW3tXVNW81rRhU4viwV2RpFqQC/nDIW61WUtQDN27c4P79+3//wuUrj1/YFevC5qO1ZlcU7IqCXjYM3Tip7OumZF/hr9drdrstWt9AKUWSxAdhuncKnchNvz+leO+ZTCbEcUyWZbR1w/Hx8UGQGUURt2/f5gc/+AFxHPPF51+wXC6ZHh1xfnpG17YHZs9XH3/iT/wJ/vSf/tN/z5//hb/wF/it3/qtr/3ZarnEO8ev//qvU1U1z5494/33v80HH7zPfDELN9sVb9y7xz73ci+ArWsRTD998ZSXr655551vMJ4c8fTZC95//z1a67g42/DP/Ik/z7/3n/w3+It/45/ku9/6Pd68/bngRBBG1z5GzHYQJSlx0gMV4yixvsNbR2sdi9WaprNMRmOiOMV6UCpCa0scqwMnqusc223BdDJlfn3NZrUmiSO6usF1DVkakaeS1rFaLQNRHLbbNXkueYtRpNDKolTMem2Zz6VVXFUN1hLGtzVN5fA2orVecB86xquOjo7GOjEL5H0pCDpLZAwnxye0dcVmsw4k/UwYatZibQc+CaM3R9vuaNtGXGtKkWXCmjOBmu6VJ9IxOpK84a6psK6VUXIAuHpUGJcEd6FORCvnkfgt64LuK6KupMOstEGbCCGYSKfA6BiUOBC11riwEXkEPr1PosDvkzAAxEyivNwjKnQnvBdjBN5J3qTSh3GyNjGHDksEtmvBEzY10QCC5Nc65cWEgNySiY5kXM2BPYJ3nswYXJLQGUErNK047LquJYozhuMxaqfpbEeW9zieHEFn6dqC1XqD71rB8ESKOI2w3qKCWF6c0TFKRcRxilYGp4Q/ZrwElzdNF7rTCu2l6DQhjgo0aj/KVaKBkZGjOVDxkyShaST8fTAYEJvokHgipomYd999V/5fbBhuN/zZv/5Dbr24ou73mJ1O+OlvfJ9P7pxRGul6eQ/ONjIqc+Fz86CcOXQ+6qahqmvKRhAb/cGA4WhImmX08oGMo3QMXjOfz6nKil5vSNM0YiZLEuLQKev3+6RpepgAjEYj7ty5QxzHwt8ajRgV0jGq65ptVdO0liaM1I+HQ/JcIpO89yxXK1CKydGUPEvpWi3je6Wlo/XaJyqd7HBN7Z2MeymMwII9tm3wraRbeOcotzvSOOX3v/UmT371iG9fLjn/4jH/5JMZ41q61P/1l/Lv5csN/5O3jvhpL+aJ7bCtJTYJiUnoastquQ54Hbl/URGtteyvZB+c4CAJJipoYffvhXMWQWvKcyRJjG0dTXARg+BsxITwCq01aSab7Wq9ogpJFcvlisViQVWVxGnARilxcuNhdr2gaUTP+7pbt3e17zuE6nB/yv7mKIp9RrYhjgyD0RCjFVGyRWlFfzjgzp07LJdrrmcz1uv1odA7jObDiPN6dh3eh30XUGOMHDaePnlKW1v+iX/iN7l96zbeWZ48fEpR1NhGwLn7Tre1jtn1NevNBtBMJhPe/9YHfPHlF3JIdYr1ek10nNC1YdrhVSg0BU3W699jMBgemjnAwS08GAwPxg+tFV3XSZKI9hRFye/8zu8wGAwOXdSyrqnblt5wIOk4bUua52x2OxarDcenNa3tiNOEO2++wez6mrptUFoxmUzo9XoC761rAb97yS7v5XlgFDZMh0fs/I4Pf/IHNF1NvSuJnEJZT1c3pFFM17SUu4I4iUnihMlozM2bNw/PP5/PSbKUzlmu5zPOz87pDwcHg9tssaDcFcwXMgpPEtFsTqdTyqpiP7uXg6not8uiYHo+Fk9B0Nh65w9jeKXUAXMzGo0CQeOP9viFCrsoDiT00I5uGgkrt65DaxUy/Vx4YUIUr6qUtpXQ7F6vd2grzucLTCQnxLYTt8e+bb5fYPI8R3tIQ/RLURSHm/Xu3bt477m+vCJSmvtvvcWtmzdZrlbMZ/OvvW6lFP/wP/wP82f+zJ85/Nn5+TmTyYR33nmHf+qf+qe+9vV1XfP86TN+6bvf4Vd+5Vf4y3/pP8M5y5tvvslsfo13jqoS80LT1IAnzTLeeustvvziCwaDAb/07e/yt37rt2hbx5v33ubjjwripEdTWxh43rrzuSxUztA2khkYx7KRWS9YBY8mijPirEeU9thsCxarDQ5NkhmyXo/J8RHPnjyT7mnTYJFA58FoFFiAO3arDRc3LijLkuViKWDnsiKLBgx6GV0rxhDnLHVnmc+vA2eph0eMMEmSoLWiqZsA4PUC5LTQ7w1xDppKNmqtNEVRUzUdzmm0iVDG0tQN1WqFAkbDPv0sDSLeDu0h0kr0ZVZa+7YLObrOEQgobLd7DZwI6k0k+huvvGQDOov1khdpIoEjdw6iKCEK3DCvhJWHcpgoIk/S4MJrDzeVmNgMXmmabu+4dUQYEdU7J5FXXowetmlC81BiuqQm0EC8dwF8ZWwTJA3BuQrusIG5EKWp9N7dmEjBSsA4aI9whRUod7hfTGQwXmGcwnpL5xFHMRw0rip08by12K5BKU2eJrSdaJe00iRZytHRKU3nqduawVDifcrdDh2QRSgtcWpa422HMXK/GsRAIrqh+ICtsdbhrLja9l28OFbEbUBzqMCw04okTekPBjgX0C2Bz7cvjrWWUYXECb4ueKqqIksSbNvS1DVVUdAL2tE3lSG2nu//9AFvvLhicX7M3/hv/Vk2xyO6yGCamp4LsXG2o2ulc9w17UFDlZCB1yHXV3BJRS2b2a7YUVYVR8dHjMcT9nFccZxQVTWb7Zbjs3Osd2x2W+I0IU6G8u80oW4bdmUho328EPXrGq+gPxyQrUvQmuV6Q9W0clhx7iByjyLZ4OumYb3dcHZ6xmgsEw5UBjrGId0LIUV6IRcELuU+Gg04jAC9VLhor4iVpvVBjxQn6PGYrN9ncHTC89u3+cntE372459xrAxnR1N+8LNH5E3L0abgf/npNYtI8+9PUv73Jz0qE9G2jqrakRpDlKc4JHYvznK0iWSkVtUHvZn3e+Cw3APL5SoUPvrw+ewLrK+O5PduzSRJuLq6wnsxB3hlqZsS62QkvStlnQHwjT9kqMqFpw/rwVeTJvaj1v17ppTCKBMi9pSAxbWmrmuSMJL2eOI0k2vdaIq6YjAaMTk6oaxrXrx8KQVB+Az2kGVrLd46sjR5PRnzHWVVUpaldL3DemNMwmx+yfXVjLZxZEmPrpXDl3TONgyGsjcsFksm0ynHpyecnJzx/PkzZrM5XdfR7/eDSeKrOBdZb6y1DELc5mFCBzRNS9s+I8tyPJ7JZIy3IuUZDvsBNbJjt92SxhHToyNJZghSgfV2Kw2cumK93aJjA0az3m3BaM7P5R5arFccHR1xdHTEjZs3uby+EvCys0RpxHA4FHZjmtC1AtWPtOGHv/d7pHlCog39LCOPYnzbkZgI5Ty2sywWC3bbLW/cvcuNGzckZUQrvnz0kKoWTbj1nqpp6AWN7GK5pA0HzN1ux8XpGb0QQShMu0gmAEoxGA7o9wdMp1NevbrEKBO4wNJ0yZM0cGDrr9UvRVEwn3+9rvn7PX4xV6yIwSSa2Xta27HebLg4k7iYNEkk7ztsXsYI50wWCklJuHnzJs+fvwjQ4dez+dcnndfaiD1jbDQa8erVK4qiYDwe8+6773J8fMyTJ0+4vr7m7OyM7/zSL5HnOU+fPmW1XO575Ycb8V/9V/9V/vV//V8H4N133+XP//k/z2az4c/9uT/39/ycRmuurq74/MEDvv1Lv8Sv//qv8/nnX5CmEmxd1TVt14WLWU7hZVny/gcfUOx2vLp8xXe+/8tsdgVta/nVX/k1er1M2rOZ6OS+glpCR7E4VdM4iPQtrZXTaN4b0B+MSdKc5dNLZvMVUZqSWodRmqZz5IMBaZbj0UFYXFM1c5I0ZTyeUtcdTd2RxBnz60t0GJ8ZL05Zox2DQZ+iqAO6IkYpEbBLy1/RtnU48csJdDgcUdd1IKIn4B1t02E7hzFpAAjHEkQfyPJtJ4VTZGS01jVKTt1tS1fVRFpcg9aJlmMPA5VIlebQ0ZTT6msjgrXuwJ1qWnE0Rx4SpUOhFDAeQRcnAF0d2t6e9Wpz6BbvH/Izd+H1B9E/DkwnonUv+bVq31mw/vAc3gfsAmIyEtecwgVjQGQMcZKirMe17eF+8aGDh5cCVxkjVH8ToU2MaxvqppIcWAXGSyEVas4goI+wXmG8CiOwjrppacsOwmfa7/fopTJmqOoG5aVA1UYdNFday0LdGwrgtm2koI90JBge1+HaBmvbYHQRJ6aOpBOEV8GQpFB0KCXvuzVODhEe9iHjURRj4li0dX6/Uf6/afvTGMuyNT0Pe/ba85lPzBE5D5VZWfOtqjv3xG6TbJoiKYmUBJEtggZFGJYFyJQBCwIMD7AESDYB2T9oWJRANAkRIimbRosS2Xarb7PJvnXHujUPOWdGZsYcceaz57X841t7Z163ZN0LWAcI1JCREWfYe61vfd/7Pq9E2IHzfKND/izLMqYvaFhq3I66eKkZi2VZRpjlvPv0kH/xx58QlCVKGyql+M43XuOxbwizlJDn2B7Pc+l02mCgtEXjfDZjOp6wTJdUFZRVSWHJ+/Umt1gumS+WzOZzrl8PGA6HBEHQjFSOz87k+dgorTRNLepE1jvlujKgV4q2/f+tVovhcGjfm4BsNifJMgE9G0MYS5xWfzgEUzKfzcgLEZqvbUhCQJZlZIlIBHQljkXppjqUhcH1sC7NoOGMvqjxcoSZg4NlM7paOiFKgLGBo3C1Zn11ldtXz1uu2IDvXtsidD3+xR/c5u2P77NZaP6N44RvlC77JuHvePBAOUy7bVzfpTRQVJLgsn1uh+l0xvHeYdMFqg8vNaMut51SuU8ReYUdjVb2IFjrGU9PT+n3+4320GDIi4RK5yjXUJQVvvJQrqIspKuvLUJJOS5FVeJ5zzucVVXaPUV+nxQ+siYKmzS011Nd2IlLttWKm05opZ+nNz3efczNG7fodrsWL1I1ex/wU059uTcde9B1Ra2h5dC2zErm8wVlUfHs2T57ewe021363RWm0ymtsMXx8THT6UzWvPmc0WjMgwcPcD2f8+cvcHY2Yjw+wPd9ptM5o9GkSQKpH2EYNF1FmaItm0N2luUWixLhez4rKyuMTsfCx/NFViMGoByFrEUoh/7KkNl0Sl6K1vT2vbs4rsPaxhrK98jKounaBVHI4fGRANJtXut0Lii0tMhYXV+lNsHJBSJxgWEQMB1PaJWxxf2IW3d9dY12q0VVliyWC+7cu4suK1559xbbOzvcvX+XTrfLxUuXeLp3QMtC5IuyICvkdWRZxmKxIFku0VoTt1qsrKxwfHyMH8gEIrHd2MD3G+16kiRMJuMGmpznuej6EnEM1/tQPZ5PrPzgZ3n8XIWdg0NVVPZGE5bVbDa3zCThrIFtYSMXXLfbZ7kUMaBwh1ZwXZ8ojMnIbYEoIy5lL6CaIu4AnXaLPM84PNzHcQyrqyvcuPkSVVXw+PEjqqqUMezmFko57O/v/7fyXuqThed5/NW/+le5cuUK/86/8+/w8OHDP/S9vX4fjeHw6JCtk2Nu3LzB2eiM8WREpTVZltss2DGXL13iwoVLnJ2OuHbtCtt/5hxZljJcWeOX/8ivMTk9Y219ja985R329h9RVBVplpFmRfP7qtIwn6UwW9LtdYlbMdqUZFVOWYpDM8sywihiY32DRZKSpzmlrvBdj/5gSBQEtNtd2dArEV7qStMfDOh1uoxOzjh//jyba+vMJmfkdkSgMJyNTjEWURP4PlEUk2WpiPBdGT+kaYpyFUEUiE3fsvfActQqg1IuRVVQZBkoF23HP1lRiLOsqlgsF/ieR7cdk2c5eZrg2d/raMkRrSz017UbiOMg2BaqJj5M1EI1cLSktGPMoqzAMXhaQaVwjIvryGpdaBlh15Bc10ZXBWHUJJqUdYyN5zXIAY3tHhmDU2oRhDvCppNMVGMLsVpPJ25PAxjnxRGs3Df19ehS87WkQ1f/LBRI+ppFNTgG11OEyifNl+hK9DWea3EWxqBNiTYI/NiIC1lwcqJ19Nw6gqpgNpmQurJZ5WWBQclC6/kEyqYzhDFxK8K3RPtupwuVdFZVVcp7qFwCpTBGND/Ks4WmRZRIE1LcwUqJUUTrSjQ+9tDnatnodGXwfE8g1Y44QL2qIs1ExyubmaLm5tXaOuFiLrlz+w6L2ZzLly4T+D49bfhXf/QJrz47pM4lqZTin33rDT4+v05xItoVY6Qg9TyJLgss5qYep6yurjHsr3ByOOLsdESSy6i3qERTWJQFZVWSF8Ig5N49Ll66xKWLl3Cs082xLL4wjsnKgsoYYQn6PhrJCnZsd7Pb7+NY0G7c6VomY850OqYoMmHDKZfBYMDK6gqtVkyep2JW8H0uXr5Mp9MR6GlRSIJHpdEoojASc1pY4noBoa9ohTbTsyjsmmtRIcY016kUFg6+cjGVdJiVMVRZikdImWcMOh3KqiL2AjrdDpnW/M6vf5PbNy5h9o74Mz/6kndHcwzwPwaeBh7/UX/A3mzOWZLysZIU6DSZ47sOWpcUeYo2NAc8MdVo8izHUOB7nqwDVt5mqkquFSPFcqW1aK1BxvpaoxQsl3OSRJAdrjJ0OhHtdovZbMZiIUU7xqGsjB2F1s2GnzZkyKYo17fneXQ7bYLAtQcTMX1EUUDciun12hJNCORphjIOURhxcnjM6mCVTqvNxfMXefL0qTRBwliQOWUlozocqxUuKIu8OYRFsaxdYSSa2aPjEw6PjhhPZgwHqwyHQykePJ/5bE7gBzbRySFNC8ajMWdnZ7S7omV1EC3d4eFhIzGq7HqjlEsU1ZmyC9I0Q1vts1KKNJUoSd8P8QNxWPuBSzpbkmcenW4HjGgPdVmyTFPwXKK4xfHJacOxHVsqRaffIy0K3ErjLpZoHMJWm/F0RhBG9Not0IaN1TWKIudsMsYUmmFvwEl0iswQZEoSRqF0x9MMENOmH8hY1LOHmrPTUw4PDlCOYmNzg4sXL/Bw9wGur1hbX5X1zHaJ0zRlPB4T1pIFLdxUxxEw/3whSLDBYMB8sZD1y3HYWFtnPpszG09Y6Q8Y9PpUZUW71ebs9BSjNZ7yIJOOdA12dz2f6ewPS8z+ux4/3yjWcUVrgqIoxZG4mKdorajQdHp98rzC80LyLEe5AWWGYCqMg+dJPIoENdf5mtJZwGZDKtdjMp2SpgmLxZxOK8YPFKdnx7Q7Lb7y9ptcvnyB2WzG3v5TsSUP+6ysDTk5OWZv76mIuq3J4//78Ru/8Rv8xm/8BsfHx3zxxResrq5ycnLyU9/T6nZorfSYnI25c+8ub775JjdfucHu7i4Hxwc82z/k8uXrPHm8y3SyII67PHr8DG1cG1HUZffhE1rdLq4X8ODBQ46OD/jyy484GY1wHc10sXzezXd8grBDWeRMJymT6YKyygnjNovZnChcoHFJlwmBH6DwSFXOPFlQlJq8yHBQ9NtdpuMR3Vabi+fPo1yX8WhEtlziAeOTE4aDHv1ujzRNMLqi1Q4xegWlsHEvcpGVZckymYsA1PUkUcA1zJMlWldSlLgVDiW6dKECF03oK/IsIStytOvieAFZnpPkBbkxLPIclSUoBf12h8D3SKzRRcT/leAJMCxmM5RjLIevwCkdPHyUEtFyWZWEYURlHHFTVWDwCL2QyA9wHahMLoJ8izipvxwlv8V1HTui8fAqCWtWtpjNczGzlNq66oyiyAs818F1xemoCXGQbpMgdK1T1na3Xdc6YI0UEZ5Xa0ylEHZcB62lm6dNKTo1BQUlDi5UBVlVEBjrnIp80rRElwVZIaYB5SjpMuUlqtASc6YqcCqr1zNyT9iOZVVVOJ5sUL7jUVrntOcoAuVilIMXOqR5xuh0jIM4OBWgy4rAGBwb42OsycNVkmzgGgejFZU2Vqjv4KBxHYOrHExVgNI4yqNuhdZJE2Iq8VCOT1VqHFci9IwDmhyUoaSU96nKcRXoquTi+fMc7u3x4x//BPB4eXuLP/ud73H92SEG+OEbL3Pvpcv4vZDDi1usKBlbFVXFdDqzt6FDllbMZ4l1jWpc15Misd+nv75K2G1zdnbGwcEBaZaxtOPTylRUBtJlSl4ck+Yl/cEKW1tbhEBlHOaLJZPJhCqvcFsunVZHgNfGgdKBSsaGYdDC8yMxNvghyyRhNj1jMR9R5CnKgTgO2dgYCsLFUxSFaJlWV1dZWVmhKAqCQDbwrCjIygIVeARxhDYazwsYtFusD4e0Ao/T0xOyJBEJRJaD1qJxsw1yGYdLV9d1NKbIcXIonZIyTXCUwlcKFwgcF6c0tIIQP+qwd+sGi4s7/IPhgF/++B4Yw/bhKRfzkv/o7jMAUuA/iD1+p1dRZeKadZ2KwaBDWVb2YFjIdeDIWF85UFVIR9hxMFoOdhX1gcjFKEVeafQyodKCiCrKAkMm65aVUpRFQafdRjkOZTlB6xxtpJvsKk/uXatvqztpSjlWNmVwHE0QKnq9mCxb2K+UPM+IopA47hAFCqUNpwfHTE7HgrqpYDGZc//2XVw3oDIOVS7FZCtqS35oJd0mgQlkGEc6Zdoo6zj2URgwBaXRjKdTTk5HImWoNMred77ns7m+SZYXYsIpKzx1SmzzW/ef7XF2cioxeJVmOZdixDHCf+x2u2xsbDCbzRiPhG2XpaUAmVUoEqxC20Odwvd8KeB0SZ4nBIHC9TtUVUlVVhS65GwyYbpIrGO3Is8rwBXtoHZI86phAC6SDIPLzs4F+v37ZFmBLkviIMQxMOj26Xf6jEZnrLQGvHz5Bve/eEhW5lJ0ORpcKWY936NShpwSFbjgQpkL4gbjkKYpT57tEbZC8jKFpWY6G6GRTqvneyySBUVV4KieXDemoqgk/SnJUg6Pj+R7Lb0hCkOJh+t0xfCI4urFS3Q6HR4/fiwyIaDIK5zAw2AIgpgwLphMxiyTnEr/97g+X6zVfubvBAnejSLSNKWqxLGZJKk4GfEJggit5clVlaGsNPv7h6xvrjY3g4h0X/ypz9vstUaiyEW87FvQ6NOnT1ksFly7do233nqTtbU1bt++zf7+Hnmes7Gxge/7nJyccHx8zPr6+n/na3jvvff4h//wH7KyssI//sf/mN/6rd/iz/7ZP/tT3xO1Iq5cvcqd9EuePnsmI5aXrnP12jXKqsLzfdY3NzncP+Djjz9hbtk+H3/8ie30FOiqlK6Xgel0TF4kjMdHnL+wydbmqmwe9S90FKtrG3iuIk2WVLrgbHyKLjXaFw2P60WUZUGeV0RBTL/XIytzYjvyGY9GIgq1uo7T01Nc1+XylSvi1ssynj19SpII8dtRLuPxGfMZ5HlKGIobyLWaim6vS16kDYZDVxVJKvwkxzG04kjicaoSg4fBIclyGcMpp2mrS+dGOmtRK6bfu0CVCxXdcURDVpQTAeNa56lrx6vLZElhLKrG2JQK17MpDpJKEEaiWUmyDK0LXFxJQXEUVVWHcssOZWwHqRZHa23E1fWCM9P1ZPHPMxHIO3JURTmCWgGa8ZDRjs1ItugSx0FZPYexHUXl1FJwe84w0nF0XRfXsdo5Y+xpUH6ONqCLAlMplCefr+/7zYhnNpsReC5xYAX9rgeOjIOzNKNSLn5kcSyVBaXaYhkkoi6KI9k00wWe54s+0Eg0mud7Ukg7WlyvVYWvRBbh+y5uJV1Rx9js1koKSIxoBJVN4RDMRmXH8g6B56GVsePZ55slRiNxZs81RVprFN4LIzjZ4F1VSzQUhXUubm1s8bWvfY0PP/4UM5nwx7//E64/eMykFfGfv/Mqjy6fp/QUSTJGfzkCHDw/JI5beGFIEEa4SNfZwScKZWyU5zlFUXJ6MmF0NqPT6dDrDSlKQ7G/zyibCnLDsbpYOwI+Pj5md3e30fGWlaYo5T6qpwmu+zz5pdZb1t2gepxX2Mim+XzemAXCMKTTFcJAFIf2tfiNkzUIA5Trktrw+SSV8U0cx3S6z4PQe70eK/0+6Xwq2kItQnnZiAT1IUJRO8K1175WBmOZh00iju/jh4HtPCtB6uQFQVHS6/XodbvM19b5f7zzOnmec+5kzK/87g84/+gZYIgM/O+Skv/J0xP+Zlnx/+y2aLciur0+p2dnLJcLe00ptFI4rqIsnmeBSt6wDaS349Fmd7HXDtaEIEgaW9TZ710uEyaTiRxiPY9MlWLssxq3WlOn6wPeCz+7ftRdxSzPms5/VVUkqUhKtDEkacLRkWz4fiAA5+OTU05OTlGuj3HEVDQY9K3+UeQVwPMRs5UBBFGI5/t0u11cz6OsKjpdSVyq9XknxyecrcveWBQFly9fZpmkFGXFMkl49PgJi8WC3cePMY7DbD5j0O9L3rCNCjRGo7TDxsYGX//61/nggw949uxZM359zkeU97JeG+v7HztVEeOb5P/muUzqkjTD80RTVtr7Q2sZyZdFyWKxJG63JArNccnSlP6FC6yurDA+O6MqK3xP3PCddhvabU5PTpiMJwxWVuS9M6KbrXQpTlQre1hMFyynCdv6HCVi4jg6OaGoKhzP5aOPP2I8HRGELkkqTlzfl+vKVS55nlEbW+ogBa0rPFcmPePxuHG5ayMd3Z6VBORZxtHhIU/7fc6dO9doGjudDotFSp4XBIFDp9Oxk4maD/izl2s/V2FXs1ayLLMGgtQ6YBJakSvdJBtkX1WaqtRNxtlP55z9VGUnb1ApXZWqrMiSlCLPbTSHw8cff4zruly9epXt7W12d3f5+OOPmc1mxK02165dI8syzs7OUErx0rWrP90uf+Fx584d/tyf+3OEYci//+//+/zpP/2n2dzc5PDwsPkepRQra6usb22S5hnHZ6ckn2V84xvf4M233+Lo6JjRaMQySfj+97/PaHTWjNiGwyHdbocw8IjjkJOTM46PjphMz4hbHlfDy3S6fRGf20eaVYzHM+I4pNVqAZqsyFkmKYEvUW25vaEXiwW97oAobqGPj8iyjE67TdnukMzmuFHUoC0Oj44Yrqywtr7OfD5nsVhwcLBHFAcEgU8Ut8jTJe1Oh6LIOD45wXFEeNzr9Qj8QFymRrpLnVaLsmqxXM7RZQGBi/KkT2UchfKlE1uVNY5BTiG+Fwg5X7lyGjeitUjSTLp7eYFyfALXZjQi+jXX9/HCoBmHOq7ojRzPQymPstJMZwsblF7hewFhIBtlWVYUeY7ravl/SlhEZSlxZfJ5VSLM9j3KskIpMEb+rvI9IldcqUoJukNcq9IdKIpSxuQ8j8bSCP3eUa7EXtkxJMh41s5p0RbAiqq1dJ5EWVjMCw4kaUZVFQS6sngPGYH4vicxSjZeSGvJiXWMbNgEUFWlOE4pqR179SYMQGVIyaTo1OBo0RMZjNXbVcRBxEp/i3l7xmJmNWymwlUuYahQ+GAqKQKUg2PcRmuE44NSuI4dX0NjprB2DsvkoxZJibNXKSqt8a1DrKyeM7KMlhGOgxTErnIpKCjygkePHnH16lVef+1V3vriHjcfPGbR6/C3vv02T7bWMVrwJEZryqIUXFChqSqDWUgX3HFcW0gqG7YtXbA0SUjtGnY2mtLr9Th//jzDwTpJ+hPu37snhaHF7GDRHnv7+zx99pSVlRVa7RYLm1VZaYnyiuKYMIrs+EsKiFarTafdJQpj+b5kyXyx4HR0xiJZijsujmh3xEHY6XYpioK4FdPtdZsNNEkTFsmSJEsbCcyLAe21nqvIc05PRywWS+lcVeKOLkpxhVMZqERK4ChHoOOOL1F4rkulZeTpehJn5zi2W2bX+6IoGI8FRxHZ1xrHMfNrA37v4iVaZ2PmiyXnPv2SX/nhx1zKS/7dJyf8cjfmH/U6fOg47C3nYCoUClc5dLo9XOUwHo8sK/D5YOYPjUnrXuwL+lVhrObUIN0ah7JYLOSwVbvbHSlS60ejf33hv1/82WUpMhOJxpSfX2d/15q/6XTKfLkQPXoUCQLo+BijDaYsyQpNHEesrq2SJAv8wJeNXVcoF5Q1G5a6IrCau16/j6OETdjt9yTGyvdxtMFFOITL5RLX7t9JmllDR4DBYT6fU5kSx5VD2qXLFxvx/t7eHmVV4Doyaq05snmeU+fG11Kd2oBTj/TLUrp5nufh+wKZrgu6eo/V+jnWpdbs1Z+J53nU1M+iLDGBHJrmsxmuZb1Np1PWVldRythiSDBIDx8+5LKjWFkZEoahjDaNTHhWhnI/nt4eMZvMGI0nnC+FLnE2nlBUoiMdjUYMhwOGq33QWiRnricJMY6A3B0DWZriQBOH6TiW81sJZcBA87ziOKbT6TCbzYiiqEHw1Ae5fr9Pp9NnMp427/Pm5iYnJyfN4f5nffxchV3NZalP1WmaimgwSWlFvYYU73kBRT4XwnxZNcYIWdhfdBaJbs9FcgyropS0g8WSIsuZT6eCU3AVr7/+Oq+99hpaa+7cuSOZsaMR116SU/F8PufevXu2Zfzf3bGrH1mW8Zu/+Zv8W//Wv8Ubb7zB7/zO7zR/VpTSpbh562Vu3LzB7du3+eKLL/jR+z+2YcoF+0+eEvgBvUGfo9MTptMxw+GQCsN4NiUOfdIs4Ww0YrZY4AUSyWQcl95ghU43RDkabVz+s9/61/lTf+Qf8PWvfCLies/BwbOCZ7ch9dfO1N3Hj9k5fwGtNaPxhCzLaMcteT+VoihLBsMheVlwdHzMbD4jSVKCIODylau2wBGERZbLCW59fUNs43km+ZmOQxD6jaA6jEJa7Yi8XFAWubhBtUb5VgAf+GA8ilKcpp1WB6MkBskPQiYzSfeI27Fo8LQm6kaobpdZOKUsJK7HaG11K4Dnox0Z8wvLrpIRX15gTEGRlwRhaIHWbtOJA4WxLjfHca0YGoxWOI4UIMoRcj9olC+GoJonhTViOJ5Cpr4urhfgKnH0VZWxGjaDqbBuSmEnYd2sytL+HZvVWqNNqtIWk8pFO1AYZPFHdFdBJEkiWVHaOrDEcTyqqgA0rusQRQGeC0WyBGvewDYglCvOuLzKLJy6XkR1I0/QlXDrIgs3fZ5T7GBMRZEl+KELpcfk9JSqLKU4NganKrFkFRnfO3IHK1sQib7WJysLa5AwtlOSiVO3ss9XKRln20g2cdZKLVGLtdMkbfAbOKLdrc0qyhUEyuHRIcv5kq2tLa5ow5/67o8AeO/P/Don3QjHvkeOA624DbHcI8Y4oovLC06nZ1SloSrEMFLnVgPPNxxfNMCt1ggcjwsXLvDOu1+n0g57e0/RDrZTIhFSi2TJ4fExuHJITPOC09FZo9Vstdt4gU+eFdRg4l6vx+ramujgcITZNZkxa7KYe/QHfVZWVgjCUOCtqh5j08Bsz05PKUphqLVtisBwOGzyPOsNeLFYkGSZwM4LSVUpypI0zaQ4KTW+cmnFkXBIOzGYitlsIvovx4jRK6/kkGLNTFmek+YFjpL3bDab0Wq1iKLoOeoqiDkb9sg6MfurX+ej65e48sU9fvGLB/zqdMmvTpc8OTrj/9D2+e3Qxyjodtv0+11Bxvi+jBpV3YlXTTGlm0OMSC18323uWxyBtouQP2J9fZ3xeNz8nbq4qCoDWg5FzzuBUE+Y4Ln+sAbq10VN7a52XdV8j6McSbEoLWOtKFgsBWpdf1YGhR/6KE8c4q1Oh6gVyaEewc0Y+7zjOBbWp6fkms3EwNfv9tje2mbQ66HLiuVsjtGGwBYFi8WCZbIkCEJ8z23MGQ6GKArZ2dmhKHKqSjOfz0gzec6TyYT333//pwT89WtrNPEvuIZFzy6GyjAM7WGJxqQjUG6NMaoZWdbF4ovmyaqsCIOQXreLMYaH9x+A7ZBNJlParTaz2RylHHZ2dvD9iGfPnhHFbfqDPp7rPp9klLKGDgZ9er0+x6MxRSm6zMlkao+cWINOKaN9O5lMk0z0hUqi32oXdpnnNMkmxqCQAlIbq8V25fUVZUleCDar1+tx6dIlzp07x9bWVoNsCoKAIIhJlqLfS9PUSruem2p+1sfPVdj1+336fWmP16fpPM8piwLfD/B9EZ3njgj/XCX5kfDcio6VHL3oQqwq4WoZ64Y8PjpiuVgQBiFlUdBqCdup0+nw9OlTvvzyS5Ikod3ucOPGDaIo4vhYLM+vvvrqH8pUe/nllxmNRj/VlQMa59of5sM4soi2O0RhyGQ85ovPP+fu7Tts7+xw+dIlgROXFbdeeQXluuzu7rJYLEjTlLgVk+UZk+mYk7ORXYhchis90rQAx+cbX+/x4ee/zXd//Cc4G2/wX/z2X+Tyhb/G9voJUeTj+xGYRASqFDY7NCCOI85OJ6RpKm6vMGA6nZLnOS2b3ZgXBfNk0bgqle/ja43r+7RbLZn4oYUjp0tmkxFZXjAcDphOxvYE5jGbzXAch3a7xXw2YzGvcJTGU76MmzWyeKsA8FgmBVle4PkhgS/B35WWzMa6mPCUy3SZkCaJ6C+yDD/w8D0h6D9PV7CCaAuI9V2XZZIxn6f4fkAUxTJGBXpWvxAFMb4XEPgBZeEAFcqpldUCDVWO09DhRfqmpXhy3WbhBBrhf50UoVwx+OTLpYynXMnIxBo9GiebspkiVmytEINDYUprvZcczSiMUZYvp9NURh6uh6PEVNB3PRHO64qizHEyLJdJxuy+6xK4clBylejjxMjiyljI8XAKyT6uylI6qvZ0jalk9OlYN6ApqHRp01ekA1vmGV4rZnXQI8+yxnSCAVMJFd57oaPhur5sFn6A43gERJRoy8arpCtoHPCkK6St5hCw3dDnm2cNIvc874XxWUW71xG3aiqL6XK55NmzfdZWVvA8j4tPnxFmOdNWzBeOfv55e7K4J4mkRhgc8lJMTHlekmYpSZKTZ7pBqNS/OwxDgY4awYK0221Z21yXX/ylX2J7Z4d/8k++w5O9J7Q7bdI0lbgsBxkZ6op2IG4+1/OIWzHtbod2t2OB0SK4DsOYMIyt5smTzz0vhGCfZ9KlCQNx3K2tNmkbdTdIa5EujMYjxtNJA3IPw4iV4YogIIKgQXBkFhasNSyTlOVyQZGlFHlBpQ2udcZ7nsdwfYPV4YAw9MmzJfMkoUizJoVD1Rw8C8sOowiUS5bnohsMwyYdYD6fs1wuCfywQYMYBdPNVX640uNHvZh/7rP7vHs243xe8n8qSuKtiMfXrzK8cJHxdMrte/ctw7CwYvXKHog9KzR3KYsC11PEcSQyBgx5kWFsIeP7PpcuXeLWrVvcu3ev2Rtq/p242EUb6zjPXbe1ZKje02oXubEHpqqsfup7XMsmNMYwXy6o0KAU0/mMoqgotIyJUY6I+LWMDV3PxfOlwAwcH2PA9ULiVkuQLUCpNfPlEs/zaXe6FGVJkqUNv60VxQxXVwUknKRWQiV7reM4+IFPakf8ptKAz2Ixs3IroSAoJU2c2WzWFM4vftXjyLr7VBfuAoUucFXUdJuGQ4nPqh2eWj93+9b1Qf1eKtsZc5Wi027jAHmS8vjRY/q9HlEgY/M8L9jf36fT6dDpdCVXF1gmCe12i7IoSJPMrvMVi+Wc+XxGv9en22pjSs1iOmcxm2NKg688oiAERE89m82tgc0hDCObUARFnlK7durscaOFT1pZE0/ddEjTlGWyFPlLVUnsmOexsrLCcDhkb2+voYOEYcj6+jq+77O6usr58+fZ39/nwYMHf6h++f/1+LkKu7qlGPg+rm2vS2Gk8f2AdrtDHLdZLlLCUFrCZVEA6qd+Th1v00SnlJVUwUYW9cV8LjeY0Xb0NGR7exutNffv32d3d5c0Tdnc3OSVV17BGMOTJ08AuHjxIqej8U/9vr/0l/4Sb7/9Nv/av/avNW/O9vY2f+2v/TU+//xz3nvvvT/0Wv1AKPaLbM79O/eYjSdcuXSZzc0N3nrjDR52u3zwwYe4rsvLt25R6YpPPvmE3SdP6HY7eJ4hWSZkWYFSHmEUE4YtAruAr652+Vf+zHsMelN+/Mmf5OCox3/xj/4l/vK//DdxnBwHCTwtCsmQLfOCyHEsxyiUsZTN9zNaCytJS1dVeS5lWXJweIDjOJw/f16y83IZKbXiGFc59Hp90jQhilv4QSh0f2NYLBZ0upuUVcHJyQnttlD1s2xJ6AXkpsBogaQ6qiIIpUuWay3g1KrC5AU4GoNLXoieLk8TFA7ra+sURU6SLDk4PBBOnALHjkq0rsiylE6nLSkKVBhHBLlpldHt9QnDSJ5np4tBTnZOqPC8oDlpKSUxYaJxFkcciNZTOQpVFzGmkPSFejMubefOdrukuyfygizPAcGBoOvFXUlkVe3cA+n6aTEluMrFi2SEVRQVruNS5qV09zwp5sqsIEkzWCzo9fs2xL6sZXfNGKnf70mxcXSIriqCIMTBbkhGdGJ5llM4mgrLvnNUo18zRhO2IlwtguqqLKRL5jjosgRdErYiTFViyoLI98COFKQMMxQVVFq6NTLOVRit0KVDiaHUKV7goTwPz6s7HRrPUbjKJwg0eVlRlppKQ1FKBqunNY4X2A6HwICXy6UwneyUQCklKAD7Y12b89tdJLz1ow/RjsOPbl7mmecQYfBc2Zwr6/g02pCXJVmWiz44lwSMspACy1hNjlKKzc0Ntre3yYuC2WLO8ekJSinyIudsdIaj4Nu/8C3yIuV7P/oet269QlEUfPnll2hdycg0jhmuDCnKiidPn7Cyukq316PX7wtGphQ3rXJsDCDPdZZ5UTI6GzGeTOj2uvT6fbr9Hv3BwDIaS6pCRnPHx8ccHhwymUxwXEW336PT7tBtdZuNpC6wap1TXuQsk4TJZCrYCuRAFcYxURjiBxHnzp3n4oXzKMdwenrMeD5jlmYscyn2y7LEqTTKMzieh+9KMkjcko5jVVUMBoPmEO26LtPplKooiAIPx1V4yqXbjvEyl8Mr5/n7F7b5Xe3wyz/4iF+6u8t/cDhmvrjN71+5zu9urHHn3r2mQyTQaB8HiR8LgoCizJlXBe12i8GwT1EULJMFTXcbOSS12+3mfamL5DpJQdzkIsOQg6ZDzc2rf0ZdkPi+b9MJ8mZvk86eXMPb29tMJlMB8dvOfZpn6Eq6xzWPEwccJe9bGAaSm11o4nabOApZWR3Sbrc5OTvl+OSYoixpmw7rG+u4rsfo7IyjR0d8sVwSeQE3b9zg8qVLzeFI2+lArQf0Pd8WUhqMIs8zzs5O2dzcZDQ6swW4z3y+bBJV6qg7kLWv/lzLsqQGeDfpLLoijqRjV3cz67G8MGmfv5ee1dPXB6p6bJ8kCeM8Z2drm6os2Xv2jNlkQr/bxXU9kmXK0eExYRgJSN44tOK2FFpG2+IU1lZXWc4WnJ4ey9paadYGK3TjDsUywxQVSotsKHADsjQRNAwV8/mMLM1lGukGguVCU+SiqzNaUmpCP2g6o5XRxJbnp40hTRLaeS5kCcv/K2wCh+/7zTXU63bZ3NhqoMRra2ucnp42SSA/6+PnKuyiKGpOfnErFlK861KUQuWOoxZhEOHgWA2Z02jP6ocxiKC7LuqqijIXin6WZVRlznQykXy2Qi6YXq9Hq9ViNBrx5MkTDg4PCYOA1dVVut0u0+mUp0+fsrq6Sr/f58mzZz/liH3vvff4t//tf5u/9/f+Hv/sn/0zAH7lV36Fr3/96/yH/+F/KGiAF5+jFb0rHHafPuX46IibN27yi7/4i4SBiIS/+tWv0el2+d73vscyTfCDgFa7TZbnHJ0cU+QpUeSztbnDS9dvEscRJ6dHpFmBcj2WScYrr7zE0yf/JZcuxPz13/wXuPf4GmfTHVrxY0xV4VjBv+95GCu89jwfZf89DtrSYbCoDp2XBIFcIDXMWWJxsoaVoxxFUZRMkwWdzg4rKyvsJQtKm9FZad2cpIPAp9frMp/PabdbaG0ospLIbwFKXFyVQ1nJSV25HsoTF2tRVdKKVvbk6rr4rk+WZMT2Bo7jFoPh0I4iS6DCc3z5O65DobUYJTyPUmuiyKPl+VRGSzh1kkpcm9YYu9G5LRddVqKTczSOW3fTXGrmVE2gE56djFpNVTY6uPpmFINEZV3W0lVZXQtJ05zlIqXSWrQuTUFXZ1aKdaIsi0Yn4rkeCkXgychYKRdcF8fziGx3TpOR5ZnAu32PShd2sXSbMXyaSFezLKQQDIKcOGqJxqs2Z7gugedRmso6PGWRq0G+7VaLjh+jXdGeKMehKGUB1FoCw8MowFQlZZZiKun6aTvTLquSQle4OHieoF+0VlSV07wP4rpTzcm70oLGlU1PiixBWIjhUtaCAmMcKm2D0GvzhRKtY5pmEnvXbpMFGbPZDNdzuBiE/PP/9e+yvnfIw801fu+tW8Kx0j5FnlPkmdXyyGJaVtINKyzMWLkeg0GXtfV1GVPmBctkKciFbotvfetbXLt5gy9v3+b3f//3OTs74/K1S5w7v8Ngpc+V61eZJXN+4Rd/gTAMcZS8tpdeeonNrU06nQ6T6YwszwmjkOHKkFa7hed7NplnSRy3GK6siBbNUehKM53OGE8mlFrT7fVZ39xgc2uLqGVHM1oOGkmScHxywnQ+ozKaTtyhPxgw6A/od/pNhmVZlk1H8ejoiLPTMybjCePpFF2WBL5Hr9the3uT9bV1Wt0BW9vbRKHP4cEes+WSyXzOMs9IiwqlZdSvy4rA88BRMmL2fHFz2k5Ora2SZIKObIS6akb5WZFhKpeqLNncWMf3A6qi4vd6XXz/h3zz83u0pnN+/e//Fv65DS6cjfhPA1ciG/1ACgJX4ty63S7zxVSQNa5gLZKkFuzLCLZlOWNlWfLpp59ycnLScAaN1d45bg0WhyiIMEbMcLKHPe/Q19m+rutS5FLMyJ/LJMoPBIpeZ5jKQ/ZEaZQIgaDSGkdhHaIZYSTueYMn0V+9Dpubm3S6XVAOZ5Mx8+WSyXSKo/YEPTadkS6WVGXJ1voG/UG/6ZJ6SpGnmZhEogjHpo54vodxKhxHFo+yLEiSJU+fPrEZpq0XOLPyvOuCOgzDBmS8WCzwLSrIs7iexXJBWVYCFq4k97Y2VkijQEa+RSFpEZcuXSLPcw4ODhrdXZqm6KKErW2iKG6MgrqqSG3huVxmFHlJUZQEQWh5sSE4lWjJw4hW3OKll66z92yP6WyK0YpWEBEoj3yZ4TsenbAlfNVUZD55XuClAnIuixIvcK2kx2BsDVMfMMP6OvR9coyA5X1PIP7IIRjl2EQXeQ8lki1vrr0gCJpJqBi1es068vTpUz7//POfuVb7ubNi11bXiMKIwPVxAghcD1OWKKPxrZ6hftQCwsUixXF8qlJcVqJjUHieoioN/W6LYa9D4Ckmc6E160JTFdJJOHfuPO12h9OzMw6OjgRqiWawtoIfuBweHTAan/Gtb32Tbq9DmiXPHafAb//2b/NX/spf4Vd+5Vf4C3/hLwDwne98h7/xN/4Gf/fv/t0/9BpbUYTvuuw92ePDDz4iy3KuXLnadMoePXrIlStXWVtb5cKFc4zORuRZyoXz51hZGTI6O6OscrrdDt1uD0cZ2u0Irfv0ez0G3QEP7t3hT/yJP0G70+fBky/xvIq88Pk//83/Kf/qn/6v+MbbP8YJxJWHowgjn+lkgqNc2t02B4eHDPMh65vrtOOYMs9Z6qXQsa2+7KUbNzg7O6MoCjwb8u0HPlVZ4hYeeakJ/JggbJNkCYNBD0zF8ekxhc6sEUFuvEoLmyjPFjheSBCG5IUmSUvKKiWMFA4+rnUIVhpcA8YVQwIaWnFMVUl8i+sJ7NNXEpGllQM1GDkMiLttnj59SqErut0uxSIhLzVpkrJYHLG9vUMIzJdzWnGbqlIs0xzlLgh8l8pUzJcLwsAj8IVX9zx7FmpgsUKQH0WVo7SyN4VohbTRknmrHZJFSpkZfD8Q84ORMZ+jXJsSIgkUjtWMORqU8lG4hGELHJt3anUjjiNIiSIXPmAYh0SdWLIpZ1OyIicM/cacYTRkSU62zHCAVtSidD071rQYZMeh1CWmKqV7pxxQsmEqR0DYrl8xmy/QXoEDBKEHSpAGeIo0XYpmbWMdv9/DuFBS4iAq9bLUJEmCUQ7KD5qDm+Mq0SS6ikpL0sxzaHWFLisp5xz1U1+uAsdzbHC3IS0TSl0SlKlwLY3gJIwuZfJd+FReSZnlxGHIynDAn9w/4creCZMo4D9/9ya50riOQ1qkoj1KU4qioirEFFDpirLSKMcTc00YsbW5yatvvM7a2hpRFJEkCaPRiNu3b5OkCSsrK/zqr/0ak+mU9957j1dfe43NrS3miyWe67G5scnW+hZFURD5ITvnzvHqrVfFoe26JElKkqSsr69z5fIV+fyykoNn+8ynE1566SU2NlaJQok1y7MlRwfPmIxGRH7Mue3z7GydY9AfoCsL9S5y0jTh4OCAvb09Cw7vNiMeIdkLWzRJluIwXSx5tveMg4NDxuMxWZISxhHdTodBv8fOzg7b29sy7ooEu3J2dsrTvWfcf/SIs9NTZnPpuIWBRxCEVvC/xsbGJu12i9FkTDaf2Txc03wGGNMUTkEUMF/OJTs2jCTb2a69AK4Gb9Dnv/nVr/N0fci1x3u8/fAp//y9Xf4UsBO4/O/7sUT7GemE+SFUOsFTFZ2WBxSkywllnoDOUFRWqytxmJWWayFJM8pS3JjaiA7X93yw37++vkIUhezuPiZPcwxYTalntW4BaZ5RVBqQDp+2jlCTG8aTGScnZ+S5dNiVI0ggP/Lp9wdiQpzPLHjcUFU5nueQZQXGlISRj+cp8iIjSZRAkqsSx2jS+ZwHx6fiHLXGg36vx/WXruN6LkcnhyySJd24y3g64/j0DOUq+sMVQTlVJbgaR8to1mCYzWdMplOUq4jimCB00aZ2ulcCIncdur0W7XbHQo81vi862LoBNBqNmM3G5EVFp9Ph+OSMqqqEFRnGGFyUW5HlojEergwYjU6ZLya0aeMuHdKFJGtUpXTGQuskn86mJJ5Dr9uj3WuBMowmZ3Q6HdqtFr4nB+coknUxarVYWV9n88I5fvyjH/Ns94CV/gqFm2NUSei44FSCx3FKlJID7HQyocxLQi+wI2wZ6ZZFKfnTVtvt+j5RqyWFX56jXB8HD8eUVIXBMS7duEfoxTjaYIqM8dmEDx7+RNzsQUC/38NXPovplMgaYCI/pN/p0ev0xATyMz5+rsJOa83a6irtVovxaITyfAJPNnOHUpg5rmibHBvGWxQFs9mCqoTMiKZDnDKi50mWCwbdDhurK3iOQ5akuLjkmYgNN4YbDIdreK7P491djo6PSLKU1fU1zl08T6Ur9g/26A+67Jzb5mx0wpMnuz/1vPM852/9rb/F3/k7f6dxltS6nf/WN8X1oKq4ffsu+weHbG9LhNnDhw95+vQJi+Wc07NTvvGNb3D92jXu6ru4rmI2mzKfzyRuJVphfX2dzfU1aamuDilsZt+Vy1d5/0c/YjZbsHPuAidnn/IX/5XP+dt/71UWyxZ/77/6E1y++CXDwRnKc8nzHKcqwXbquv0hWS58m6oSq/Ta2hpm1TAej0mSxMKiaYwlxhjSLCMrUrIsxcFjMp3RbbdpdbqcnUrO3fXLl8jzlOl0QqcbY4wISVu6TbcX4weaJEkBj9Lm2mV5TqUdoraQ8pXWwiZEFklphGk8Xyzwk8lYEhTs6MFVDsbHspcqkqwQgGQowdUaF5MWFIWMWlw/ZLiySpIk0qXzAsoCylTCtTvtGKMryqok9kLcwLejRAPaFmR1kYEBrfAc4dK5rosbCHZAAurlJJ5lBalTEAShdXyLqN7Yk6/4F6Ql7+DiuhZlgUsYtwnCgA5izKnFsnmZk5c5VabBaiI9z8MPA7zQJ/QCGc9ZsbDREHhy4qtMIfgR2/Uqqgrf9whakYjqo4hCV6iFxF0ZbQg8hzCOKbIcJ8+Fy6SFR2kUFGWOHwYMgwBfeRIppRBuoCfUe2MqXFe0eb7v2/9nKKuCIPRxPYcyE81JkVUsF4nVzIixymiabp1y3MYpbCo71vYc8qIizZeN3gmgLHPKPKdKSmYjcY2FQcBwMCA6muIAi9DnsBehygxdSdewKEoZ+1Z29GtPxCCw7cFwhV6vS2/Q5+T0lOOTE9bW1tjZ2eHipUusb2xw6fJlFklCCWxubdHudLl8+QpBFPHs4UMOj47Y3tjCUy5ZmeK7Pr12l53NbRylOBuPefjwEbPZnK+9+zWuXrlGp9Xm5OiY8ekIXeUM+h067YjQl85ztpwxOjokmc/Z2Nrm3NYOG6vrRH5AVQmbrsxTRmen3L59m93dXc6fP8/Gxgarq6vNZuFajc9iuWQ8HrO/v8/Tp0/FMGC1TxcuXuDcuXP0+5KPWWMWyjwlSxP2957x9OlTnj591mTxBoGP8kPWNrebkfVgMJBop9MReVGJBq8o6pWAIs/xXSmGDEY0hbFLUVZkRcl8sWQ+W4ID3XabMIpxWy0+fvMWP7lynjzwubJ/BFnOX8wKvHnKv+cry3MMrTHPIQo9KtehKHLydElV5LgS+EtpbPRVWbLWbTOfL6yezGWhM2oOmzi8heGndcHKyiaHB/voQkTs9fUvOAttDXdS2NWtPs9zbae8ZLkscN3AYpPsIaysWC6X0sVSSviOjsDGjamoKtGnukrQRYvFnDRNKPLc6l7lus6WKcrziOyYdGtzk5XhkNOzE2bTaUMaOB2NSXMpTHNzggFc//nBNAhD6WYvlzyHv1d4niKKAmpcjzGVLejDpgMlI2v5Z1GUuK5MZObzGdPpXLSsad6sBaEn6CpB7Ijeev9gjyxLRKvpiRSrLHKyLOfo+AhjDHG7xebmFnfv3SGMfcJ2xKo3ZPvcFo8fPcYR3wkrq0MGwyF7+3tUWlNUJSrw8F2XuNfGKEiyFNdRBLZIN65H4XlkZY5WJcvF3GqSIfB8axLJGk2dTCalSyn7kEeSpBSFxnMcjFGYysGU4FSKyI/tPqNxjMJ1XBazJekylaLOdamKislkynKxBBxMZfD9kG6ri6/+B3LF1gLJ2t3UCB4tqFG5jh1bPecHaV01i0HtjqtHQpPpiDzLWO/3abViy38ZScfDtrr7/QHKUUync548ecbZaMRymfDSUAqn+WLOZDLh+vXreJ7HnTt3OBudcfHCOdshEvp4kiQox6Hd6RDbomeZJCTLRIjRSJZtu92m3+1y9/Zd7t+7RxiGXL9+vWnbb29v0WrH7O4+Zjabsrq6wunpgK2tTYbDAZ988glFUbC1syNOvUsXabfbbKyvMjk74+7du/T7fbTWHB4e8vrrr/Pee+/x5//857h8zD/4R7/K6WiL/+wf/Ev8lT//N/C8yrarC8ty8jDaWKxK17qLKp49e0bfarPq97oOQgeaBWSw0gMHxqMpoR8KXiIIKKqSJ7uPGA4kpmiZLcjyAt93pdAIfPK8JM9yqkrMFb6vqCKXopK8XNfmIWqNTWdwbfdOxhhOKS36VrtNt9eRBIFcxLvK90jKgqqUokk5Dp3WAM8VrSZBRZnlDAdrTCcTkmVBkorgPwhbYOR5NW1vq9NSnmdNA88J+jV5RMS6DsaITmQ+XzRuSOkqe6LHUy6+L8gO3w8QCU3aTPtrTp/oq6WE1DY/2Q98jAOT6ZTEuvlqkWwQ+pRaoLGL5ZLSOo11JV24rIIiE1Ft4D1nurmuix9FaDzKopRulK7EsWq3Fm1Ep+L5Pm5RUCEid6UUfquFF8UYU2Ea5RwURYWPQ6fdJnB9qwERLZPnKnBdjFG01fMM2hdF06IVUqhSnG/a5kaXZUUQyKZbGySUeR44X1Wayhj8ICAKAwojKCUHSYVwEIOF53t4+BSF5PnWnYHI3s/ixitQFllTf+W5uMCrkuYwVwu1O90Oa+vrkpe5sYHruuzt7bFcLlkul6ytrXHu3DnmWcrB4QHtXpd2t8NgZYgf+Exm8rlevnKFlbU1qqpie3u7EUAXRcF0OuOTjz8mCELO7Zxj2B+QZxmHBwecnp5ijET6ebaL7Tgwn82YTMYYXbK1uc725iZxFOLKaQhPKU4nU/aePGN0ekbo+wx6fVaHQ1YGQzrtDmEYCHg1y1nMF4xOzzjY32c+m1EVJVEYceXKFa5evcJwuEK71aIVxThAmiQkixmPHz3k4cOH7O7uNu7RjY0NXn75Jt1uh0uXLrGysgLAeDzm9PSMxWKJqTP3ULbrqtCVuKId2ykX/podZ2mNq3xOT8/QuiJpLej2+ihXCr/S9/j7v/AO08mY+f4R/4vPH/EXRlMu6QW/udLhB25JFUoKQGkMlakwSrhouA6OJ05o4T76rK2t8tZbb3FwcMjJySlYOUBzUCnLn0KhPHjwoJEFiINc2ftGDl01xwzsqNJxiaKwyQxNkiW+7+HwXCtaoz7qqDClPGTzhOVSQNlhGDSNEGMMSZKQpmmTvFJVmlYrYnVtkwvnzxPFIa0oYjQecXx0hOcqNte26MZd2u0YHFuw2bUCR2Q02giEXGvpyDeuVPtcW62W5anJ/dvt9uh2eyRJ3riT67Utz3OOj4+buE2JZywaN/OLY2wxKAVUVcmzZ88oy4J2u83KygqL2VIOr4HPeDwmCAK2d3YsEszgeT69bo8FM6IoZjadSyKQ41qjg8vTJ88odWVd6AErq6usr2+wGz6hLAuC0EPnJUWRozzpJGeLnEpLZnCt9cNOTmrHs7FO9nqEKqN/t5FGeYE4+JWNWFWOpO7sH+yh84rBoE8QBHQ6HabTSSNVWCyWHB4ecnx8guu6bKxtEIaKdrvNzs7Oz1yr/XyjWCM6u42NDR4/fty4YUqbn6eUjGJqAXJ9oZ+dnTGfS4pBmkqu2nK5YLFY4FngZLffp9PrUhlDUQpXx8MnbrVYLJZ8/vkX3Ll9h8l4ysrqkDffeJOV4SoP7t3H9322traYzWbs7u6ytbHOf/x/+T9y6dJlHj16wne+8x12d3e5fPkyv/Zrv8bFS5c42N/ne9/7Affu3yfNMlZXVvnVX/0jXL16lfl8znvvvUeaZrz88hXW1zc4Ojri8PCQV165RbvT4v79e+zuPubatWsMh0OWyyXvvvsOr78uSBY/DBkMV2hHEaenJ5RlxcbmJp9//jmnp6dsbGzw5MkTLl26ZMORp7z71h4Pd0P+m3/253h2cI7xtMPKYESn07UbZNlcAPBcvGuMaUTmnU7npxxLtbDVcRxOTk7Iy4wLFy+wWKRMZlMqXTIcCpurKDPCICLLl8JwsptIGMZ4fkCepWSZZKVGfoijHWIV4voxxjj2ora/z/PwPR+N0zirlmXB9tYW/X6f8WREkiwlb891iaIW2XwulPQwoBW3rNGjg64qCjLyrCSK2+RFxXQuHZ3QIjPcMEDn9lSuKwpLfm/pFj524RSMPsbmuuaF2N+1KUmTQk5Y2o5SEf2Z1gbX9cUE4XqEYQwGykJkBtog7kJTEYaedXn5FHnFYpngVdZkYF2CeZ43J/0oDol8j8ByxtIit3uctgW502w42BGW4rkLzSgpiEq7wNPoCAUKnRU5i+Wysd57vmeLT8BxMKXFnKCs0zgkikJcAHsfigHG2Mmq/JzAC5vn8KK7XTbDsOF3uUpC0cW9aIn9jovBxSCjbq1pNnfP81GRT17JAeLFDUByFkMCFTRh2xJG3qFlUT/CTitBS1dG9IWCLyiL0uJXXmRNlYynE8FzFAXb5y8wGAw4PRtJFynLCMK55PoWBdPFnHa7zfnLF+Ugkmc8fPyYs5MTjo6PKMqS0PfZ3Nxsirr6sDqbzhgORFsU+gFFmrFcLHj86BGrwz6tOKIVR82BeHR2yujsBGU057bW2Vof0opDMJqkzCnTlCcPH/HsyVOyZcLOuXNcvXyFc1s7dNttojBEa8N4NObo6IijoyP29/c52D9gPp9z6dIlzp87z5XLl9na2qLT6TQFepqkHB4cMh6f8eTpM5483ePo+IRKG65cucq7736Vl166ThgIokVrzePHu4zHU/K8sJmhc3RVNN1mXVaNeaXmBrquhzGljL9Kh2wpPEvXmjAq+/4JGBjSvMCNYuJzO/z1MCL+4iG/uH/Mt/cn/O1exH+03iWrKnRdkDiONUS5YlIIAvwgpNPpMRgMWF1dEVRUu818ngrvUNfOecVw2CfLMk5OTkQX6LgyDhOsADWrr7QuSGXvG2l4iJ5qY2PDImZEVlAfiMSgUUvB63xmWac8T4wgcdxiOBzQbrftOl6gK8PJyRnLRcpg0Ge+WNLrdbl582XJPK1KyiJnPJ4SBCEYQxgErK2tcuPGSyjP5emzZzK9sI5Wz3fp9gQnMp1ORddmC5fmIOkHOE7ZFDC1sWEynpNlaYPjaLVazXVfB9l7nieMTZ5H19UGidqAUZTP3fC1HGI6nlNUUl84eUa72yVqxZyOzsTMZp+j4ygW8yVZVtCKWxYCnOP7OYvFkmd7e+yc26Hd6+LZDlu70yFdJLTaLVKzpMgyCQQwIh+QaYGMlrvdXqMVLcuCqiwbx3g9VSjLkrOzM2bzOZ4f4SnhLkZhKKNnzyP0fZ483gUtcqPA+gTSNGkK4iTJ7D5fSQZ2UWAWcxzH4fLlyz9zqfbzZcWq59TyevGuc9Pqkz3YnDw0WltytfP8pFwz1MqysrBFQ6fbYXtHxhZJkqCNIbf238ViwcnJadPSLYqK7e1zrK0Jf2g+n3PlyhWiKOLDDz/kyZMnvPHGG6ysrDCZTLh79za7u49pt1u8/PJNtrY2iKOQo6NDEc2mGa5SXL96jZdv3sJ1FZ9/9jm7j3YZDAZcvnyZsiz54osv2NraIopjyWlcWeHDDz/AdR2uXLnKaHTGs2dPePnllxkOV0B5zBcLjo4O5TVVBXkcM5vNePjwAdevX+e3f/u3+aVf+qUGQtjtdrl1/RM+v/tL7B2s83d/68/zl//Vv04YYlM9hHuUU+C5XiO6rN/b2pJei10lmDlrhK6u6zJbTFkkS1ZWV9l7tsdiucT3FVHs4/k+4+mEPE8oiooobjWmiDTL5ZytQklGMEo8dFbcX9kCpixzEZ3aCx/EYbyyOiRbLMmLgtOzU+JYHNVZdiJOWCUFw3K5RDvgq0AwD5XQykM/QLk+R8enov0xWqjlXkCe11FcDnGrTSsOcFVfUjFMaTN1n49A3MDF2LGcbByi73KMi+tCHMXCIqps4eM7RFGr0YXJIqaQ8HGJxJNOofSkcAxuEKBKQ1rklMZqQ8KAwJXOW7JcMptPZYGogZyei+Nau78tqusFxXUkHLrb6dLtdlksZhRVLp9zAJUFj+ZOIaLmQV/GT57ClJoSg6lKSexwFNoiW5TjopQhzypBAxgjpgcMjtLg1C5RyZwtC90YS2p3XF3kFUXR8KrqbpzrunieFHAywrIAY9vVEPitHAw9P6TUFa7r02q1m45ovQFWlZYsYusmA0Ew+YF0peWAk1IaTVHIJu25vt1IwFh3cV1geL4I033f5/j0hM+++IJvffvbXLh0ifliQQeE6ei6OMohtXnN165dY7GUbsLR8RG7Dx+xubJGxzLj6iKpBqYrHK5eviKjnLJkMhExtjGGbruNUg5npyd0O22MMczmc2bTCYHvs7W5zqVz26wN+yilWCzmVFnGs0e7PLhzj8VkyuVLl7nx0kusr6zS7XToxG1wECPOySmPHjzk8PCw6URurG9w6+WX2d7aZtjr02m18V1XHMNJynw243D/gIePH3JwdMB8uaDd7XH12lXeffddLl+WPF4Xw2w259mzZ8xmEsR+eHgkgezJgsBz5TrW8lkPB0MuXbhAu91hPl8wny9YzpeCV3FcoiBm0F+hLDOyfC6Q5PqaMdhitSL2I3S7y99eWyf98Sd85ckef3Ga8kpe8e+txHyo7D0V2gNI7bJWirXVFdY3tvB912JFlEwlPJeyhMBiKIqioN/r4jg9qrLg5OQU35dOe2l1mtrIuL+yhonKiBTD8zw83xW9V7vd/D0cwT4p12lGrLJW8wI4wkajlZqo08J1RYA/n8+bjlpVatK0QmtZqzqdHp1O1+6XSxbzGb7vE9v3S2vJDq90xcWL58ExjCbCcNNaMxj22dza4vj4mOPj4yZUwLNTArmX6qmMbzuZmmfP9sjzynLfJFGl1+sBNOiv+hFFUYNRqeUQ9WHP8zwZoSpFHMt+dnJyQpZn1FiAdqfD2voao9GIo+NjkixDOVCWml5P0l0uXDjP9s458ixnb++Abq9LVeqGHbicJ5w4p1Iwr65wWh1T6oo0T9Bliev7VHbSUuk6DcYlCMJmzFx3LWuVSN3hrFl8khRiKPOcwHPxXY8w8Bj0+rRaEU92d6kKzfnz5+j1xbE+mXSed/vyzK6nmv39fT744AMuXrjI5uYm169f/5lrtZ+rsKur8na7TRiGQq22JwlHYXVLQiDTuqLS0imqo5nqWbQ4XspmZh7FQlFP05z5YkGlNXlZ0I0jur0ex8cnzGZTptMZnX6HW7deYWtrm+OTEwaDAdvb29y/f5+7d+9y+fJl3nnnHcIw5PPPv+D+/ftEUcgrr7zCzZvCvPvii8+5fftL5rM5Di4Xzl/k9dffJI5a7O7ucv/eAzwv4J133qHf73Pnzh2KouDNN99gY32dTrfNm2++wXvv/QF7e3tsbm6ys7PNfD7nzt07JMuEZZJxfHJKspgTBAEXzu+wOhjg+z5nZyPefecr+L7P48ePWVlZ4eDggM3NTVYGB/zxX/kef/vv/0kePrnGDz/4Jb7+1u83ekUwBIEh6kVMp1M7on1OAJ9MJs1N1O12mxNU3dnLxjmnp2esr28SxhG+67FME2aLMQBplqMrTV6UhGHMYNinLERjpnFwvRBdlBSFwXElE9RRSvRzpXWFWmyC67nSujca5Ypbd24BmVvbmxRVSV4WolfxpBt2dnrCfDbHdRwhltvEiyiKiOJQtAeOjHqzIoXEMBgO8d2AUheUaAmXRuNK3flCAoI9iTkOjuugXEEM6EoSM5TjWbaXRIVpbVkjKIIgJEszJosJRVHaTpJPaVEo2kiMWt1h0JWSoPV2i26vS5FmwkryNYHNIA1Cv9GyeJ5HpQVA7ColLlTLpQuCgGSxYLlcspgv6Ha7GFOBV4+NpENcFBVZmlEaTZQsUb5nQa6CE2qK/sAVrp3jSMecCp0kDWtQhTXPzgi6xhZRSilcT1FZfWpdvNWSjDousHZC6+bPRHckHTglhVKjwXRsR9Qy5Gz8Uxi2mo6ztp0UbIek7njkeS7B7XNhVxltyNKUwhaBRoNudFKaQlfiPnQcjNZErRYXL13i0uXLPNvf5+neAcbAxuY2rflMCkPP4+j4hFa3xcpgyNnpKTvndiiznGDos76yimvga1/9KnEcNxiDo6MjHj58iDGGw6NjlFJsrK+TLBNGp2cEns/acIU333iDTz/5kP/6v/qHbG9vE0cxy2TJ8dER47MzNjbWyZYzjg+eYrR0PEajMU8fP8KpKi6ev8DLr7zChQsXZBTmKDsW1BRZJuPXvX329/Y4G43odru88eprXL10hdWVFYHH2pilvMyYTqfcuX2be3fucHR2wmyxoN/v8dJLN3jzzTfZ3t6WbmSekWUpk8mM0WgsG+7REc+e7QOGqsjJHYfhYMDly+fZ2dmhHcf4ns9kPGE5mzObTpsMV9cy9jw/wBibElLJ9erZL1BUWtkUkoo8CvjPfuFt/t/Hl/gXfvQJXzsZ858clPzfWz53ViPu4PIYietzrC4qjmI21tfI8pTDw0PSNGkmTa6R9aHTapEkCa5SxFFEO46ZuArfFROWQa5R9YIOTWvJua6d4L1eTw74yKEkiiLL3svtgceajpSD6wm839j90/clGcgYh+l0xnQ6a76/vt9c12nuhbXVdZJETIdnZyMcDGurKwS+Z12uKQfP9unYjlev1yWMI5bWIHT+wgV6vR5ZlnF4eNjIezxP0DWTibDnwjCk05EiREbUS4bDNTqbXe7evY/vB43MqC7qfD+whzvPyltqiHPVdIgdJQk4ZVkwHieMRme2aBKagef7tNpttDGcnJ4KLucFju7W+QsC+PV8Bv0hk/GYo+MjgjCk1W5LR7AoGU8m5EXB+rroIh0F2lRiatOaOPBBSTZtjWiRTpp0/wVMb8ke9jW+qNNvtVoMh0OSNGO5XOB7Hm4oUoRut0O/26UscsrCNF1Nyd+dsljMkSQO+UzraMKykBNpr9dvCuH/vxd2aZpSFIUlSXvNhgRYlpbgJOT0/tyckOfS1et0OlbvIh2P+u+urKzYWJSc8WRCkqa4rmvb5as8fCgnTsdRvPbK61w4f5GyFGhiO4754IMPeP/99xkMBrzxxhsMBoOmMzYen7G5ucnbb3+FtbVVptMxn332CU+e7DKbpmxtnuON119nY32dyWjMvTt3OTo4YnNrsxED379/n3PnRDeztb2N1iWvvfYq8/mETz/7jLt373D9pZdYW19ld/cJ9x/cx6Dodvt4SkKFb395m5svXSeOY+7evcO3vvl1XnvtNR49ekSv1+Pg4IDV1VWUUnz7a5/y0acb/OTTb/L9n3ybb779XerIpfpiqjVzddRYHAsGotaH1Bq7uqsynU5tgkTEIllijo9YzhOyNKUV+USRhC9rA17go3KPyWyG8lza7Q6OayjSAs/1JQ+yMihH9FGmqgQn4jzf2JWSAgVHdGNFlaPtpq2U4vDwUBAsHQufzDOSJMX3XJSCyWTUaAZ936PUcngI4wBj82MdrUjzhGUa4kc2iLqqUEj4dRh4RF6IQrpMYJUSrnTdFHKyz6uSqhIXay2Yrm9iz7ICszRjuUzwPB/Xrf9cwKJ+EOA7HqCtzsTHaMVkOidsx3R7PYpQOqc6L8SUoSVWTDqiduOyYzhh0oGNYm/4UHEc47ueICNMxXg+YbFckmU5Rhu63QFxS8aSWZGjrMatpsvX4E95I6TArYxkvUpaho9jtIRaa9HfVbrCaImI8zwXPwibzaXuINQHh3q0guX/yRmvPvmKzkr5Po4rHKcsK+zIzLXIFAmel8+qag4jsphaaLV9HbV+9Pj4mNRiKFqVZjUreaoc8iy3J2sZJ+EoCl02z11brM/K6ipXr15lsLrKPMlZpikDx0F5Hv1OlwcPHnB0csxXvvIWkR9wdHjEK7duyVgmy3nl1i0O9g/Y2NzEaM3e3h4HBwd8+OGHjVyl2+1x8+bLvPbqa2ysr4tG2VEM+n0C3+ejD9/nk08+4vGjR0RR1IyqHMfhyeMF/69/PLYIIkUctcU5PZnx0o0bvPz6G7T7A8q8IF0K2mi5XBLHMWvr67LJHR5aHmWbN994g1du3WJ1dVXQTeY5BuXw8JA7d+7wxRdfkGUZrU6b1c0Nrly5wiuvvEK71SYvhH9XFiWZldOMRmPu3bvPZDIhy3LLOfVoRQFXr17la1/7Gptr6+w9e8aD+/e5e+cuo9EZYSQ5vbHv4bkOrbiDwaEoEnzPx6HC9T0Z3drCpywdKlNiHIfQ9ynKkt1em//0W1/hL9x5zFv3HvNvznOYH/FFHPBXt4Y81IYqK1COQ+j5BGEAyjAen9lipc18LrqyxXzK/r7c96urKxR5ZqcDrkye6iLOiDwBe01id8G6gTHoD+j1ulSVpDaIFClgMOiRJIk1tFk2scJ2ibB6NekSFUVGkiwoypKNjXVZYzH2z33p2FnJyu7uE+m45jmDftdqiF0817BYJpycHtMfipY9y9voxZxQCysvqFFYdp2pM1tdyyTUlaHISzxX0neU46IraLe6xJFMsPp9SXzq9Xo8efKk0el1Om2iKGoMfC/Kh5qunf13GdOWtklRaxVVU8QdHBxwcnJCmmX4nk+Rl4zHUy5dEN1k5dZJOy5KWdSIlvdL0lEESN5ud/DsdCSIAvIioypK/NBDIz6AOO7gKg/fC3GwkwqbnQzPdZj1a6qL1zoWMc8ymyClybNUTHWOodWKWS4y2+BY8NZbb7K+vi6d+KpCtINes7bO53MePXpk38vO/zCF3XMmmsyH6w+naa0qx1adEklj7J/VmpjBYMDe3jM7ky8bIOFgZQU/DKmYMl3OUZ44dYarq2xubrG3d4DWho2NDV555RW2trfZP9jj7t17PLh3B601ly9f5o/8EdHIjccTPvvsMz7//Ava7RbvvPMO169Lnuzt27d5+PARo9GIQW+NGzde4uWbL+Mql8++/IzPP/+cIAi4eeMmZVny8ccfgzG8+uqrdDpdjo+O7fjM4ZVXX2Xn3A4ff/QxP/zBD9ja2mI4HPLO22/jeiG9/oCqLDBa8/6Pf8jj3V2+8fWvc/fOXb788ja3bt3i+9//fmNGqT9Q34NWvGgWC4NgIeriLcsyHAt6rI0oYjTpE0aRLLBWYFsUkqEXRRGz+QyjjWQVhiHddpc8y1guZxRlLvo4pXE9yWlNs5yz8Zg0E76YuD1DgjBoNGp5KaR6pSTlgrpL5j0ffRrnuaZNuGEuYWBBlznkRY7RFUmypN1us76++lOQyzwvmS0XTdh5bh2dXuDhuA6lLvAJ8Hw55SoMuiokfL02FNSFDQ4YGf1pDEWekyaZ1Wt18TwJcdYvBKNHYSQk8SBiZXWNxXzBYrFsuHcyXrRCbctwrEoDyiEvcrLSokWCgLSQ322Aqijw/ViKGQtvLbKUypFi0VHShUqSpLmX6ki+VruDiny6RUmWZhweHgnqxnY+okg4k2maoo3BVa7tdEogeT3qdbCZrfarLCQKyQtsbirqea4rtWmiPrWaZiGVkY3Q5nGqZgEX+ZxjgcM+jnJBSYdCXKryM+T/PY+Pe5ERZWy3z3UURsl4fLkUzlye5zyKAgpXsZ4V/K++2OV/e3WLPWvKqCPdlOvaLF95Dcp1WV1fI4wj5ssFrVaHnZ1zDW3+6dOnfPOb32R/f18OoMYw6PXJ04xkkYAxPH3ylGF/iK8EpJymKUdHR3z66ac8efKE8XjMysoKO9s7vPbqa+zs7OC5rpAElJzk260Wly9d4OzkgMVyyXQ8EgMFNcW/YjY6IE0zGSlph52d87x88xadOGY5n7O3f8jobESapdbcVLG5tclyseDp0yccHBywsrLCV7/6VV577TX6/T5xHJNnGXlesFwu2N/b59PPPmV/f5/lckl/MODClctcvnaNa1ev4gc+RS7SGq0N4/GE08NDHty7z+6TXc5Oz6SoC2SMfm57k+tXLvP666+zMhyy9+wZn332OY8fPuT4+JggCmySR0vGpr5nO9gOcRmCKcQkYmUKZSXOU+2Iw9OFBgzrux7B9oD/8tJFbm+s8s2ffMbV+ZJbSc7f2j3mH3Qi/qCo+G47FBd1FFCWKWmSEIUhYRiA0Xiuh/Y8kuWCfk+K7jzL8GwXTz4DWe8kj9umueS2SEHMWXEcEYbC0RuPRxwfH5IkKcNBj42NDUajUWN+qLvbtiy0hqOCPBdgcF4Uci0otxnXCRroOQv2yZOnJKkUrp1OR1BMyD2mbdyhq2Q9lmlOTJILn20ynvDkyRNOz8548uQJWSrO4BqQ2+32GJ3NZC1wZRRpTEEUuZw/f57JRJiIEnMm4OE6dKDIC3Z2ttFaituylLWvLojq5hA2iao+HMq/Wxi0PdjWZqY8t9pb5aArzWQy5eDgENdinQZ90SR20wzP9+h2ukSR5CLXusL5fIHWmna7RRRHhFHIrMglai2KaHfaLJOEOI6F1+vUkxv5lIT399xXUEekJUlCFEaEQYDqdfFcT0xIyRKtpZHg2IJwsZgzn4v50nEcyW4ucmukKanNiNpUHB0fMZtNGQ6HP3Ot9nMVdovFkrLQ9kQypMjvo0KPqqjIk5ROpwuVocwKqrwkz8T1GLUi5vMpWbYirtg8BUc+sLgd4bdjnNBHu9IGdVxFUZWsrq0StzoEXoRyfJTjURYVu48f8wff/QMePXrAyckxv/AL3+aXf/mPcOXKNbSG27fv8flnt4miFq+//iY3b76MUh5PnjywJ0sRlq5vbnDlymU6vTYHB4fcuXeH8WTEK6++Sn/Y58sv7jA+m3Dr1stcPH+Zo6MjvvzyS6vru8DqWo/NjQ3+6K/+UR49esQPf/hD9p/uE4YRL79yi/ZWROBJ7upX3vgKH/7kA1pRm3ffeZePP/yAG9deIvCkSA6jEMe1yAxPcfHCPv4HOYcnG/zTH/waf/RXvkOF6Dm0A47RGOVglEPYiukNB/hBwCJZkuUZhXX65lVJ6MX0Bn0WaUI78Ol02uR5TqfXY14V6CCkLCWUWXlKvhQEbkyr1eL09FScmX6EocDzbAyccVCqRqIUBJFPu9tisViIVgwhfxtjcIy4vjrdiPl8Rp6X+L6cUNM0FW1SzydNU1Qm8Wg4JY6RxTIsi+enu6oiWS7xg4Bup4OjHIoyA8fH9zzKvMBo0fbk2giyTlc4DmR5KiNIzyXwfYyuCAIFuJRFRqfdo3QrHO1aaKiLclu4VEQuOF5A5WaUrifjTSocI5u0MQL6TScyKkjShOXsjMnZGSuDIUo5JOmSOAyJwhbJYkaWS3dFG42nHFzHSKxQrnHCCOV5uFqeXxi1MBhOxhOKo1O00fS6PTw/pNPuo7Uh8EKh51eadtwiCzKKrLBcLim0HQ2mKFC+L+9LoQmMQIYjL5YiFQfXkULes0WVmN8d8ByqEhyER1masjnFS8aulog2HCocCgOO41FpT2CjVNbt6hAGkhRSlhXKdXCMg2vE5i8dEIl3kxxaT/SdeU5pDGkhiSHvX73AP90+xy//3nu8NE+5Mluy32tRFQI+d5SSrqQfoFzp4AkiaB2lXE5OziTNwcKUT46PyDPpHk/GkgHdbnUoioL1tQ1GZ2MuXLjAYrEQ92PcotAVWjl0BwOuvfQSQRjy+NEj0cG2IsJ2BArcwJWUFeWAcVjbWOPVmy8xPT7gzp3b5NkCXeTyXKyUYZHKBl9POpJkye6zxxyeHJJWhvkya6QtAn8NWSxn3H9wn/sPHzBc6fPNb36dV195lbW1dQHqosiWOaOzCXv7z/jyyy95siu4iPPnzvHyrVv01jfYOneOuNOVDdVVJElKmqTcf3ifLz77iHSZgAO+rxgO1rl4/gLXr13j2vWXWFtdYzIe83v/5A/45OOPmc+mBL7HysYGYRixtr7OcDDAUaoBLSdJSp6XeH5Ap9vB932r4ZZsWq0MGg3GHnTKklgp2p6H68BHVy7wTz2Pbz054DfuP2az0vzPJkv+EvA3VUn86CmrNknh+OSYVjzi9vqQjZvXeXR8zEw5pGnO+Qs79Hodjo+XDV/OUBdU0lmuKod23EWb0PopDKHvEkU+UDKdjDg63BONqlMJNcBUiA4d6hxb0elXKBe0LljMF+S5LwxE6oxQg6t8siqnLDS+L52kLBVDRb5MaXc6dFsy7nZdF+X6TM5GHByeIMMzD60VnhtSJAKa31jdpMhKnpw+ZTFPxCSGD65HHHRBP58myORCJkapPWzqqmK+WBCGYrw6OtzHMRWtKKDfabG2usKzvb2m+VNVFXEcN5ODWsKRZzkS+yjTHjGaCDPOUa40ECrBaAnEXIIOXG0YTadsbWxYfV5OhSHPEi5euIHG8PTZU5ZJglNKN+30+Jgg9BgORRrlxxF6PiPJMhzXxfV8tFOwSBeEaUir1Ub5Cp0ZKkdT6pLKTjbqCZRjoEgzfBvpSFUxOTu1h3EAwyJZ4vqKIFQcH+9jjOHx4wesrq5ZnXqFH3iUuqTdjel0Oviez9nojJOTE7zwZ6/Vfq7CbjKeMJtVbGxssL2904TTPs9Jo9l4q7JqbOCu25aXZrsm2trGfd8njiKilnQtilJa7LiKdqdNr98XvIR18fm+EM0//OgjPv3sE4Iw4J133+GP//qv89rrr7FcLPnxj3/Cj3/8Y5Jlxiuv3OLdd9+l3x/w8OFDPvjgA/b29tFa0+v1eOP117n58k2m0ymff/4Zx8dHDFeG3Lx5g+VyyaNHjxgOh7z++hvMZnPu3LnL4eERZVny+Wefs7LSxX1DNdDRzY0t0jThwQMpIB/v7vLyjZu0Wi3iuMXFixd59Ogxr7/+Op989BEHBwe8+sqr7B3uCZsoz5H0h4pf/MaX3Hv4KT/84G0+vfM6f/xX/0kzSgtDr9EN/VSWZrvdjLNnsxlxHDftW12P+RCtz2KxILWRP51Op4kailqRHTeU4HhUWpHlFf1BmyCImS8TPFeYPZ4X4PshvuPgFgVZUdHqdFmJWtYRZVBNS93FiQNwjG05y3hjPp+RLJNGaFy/lsKe6uobv9vtMh6PWS4WjTFE1RqsqqRMNVEYYsJIRNeWpWeUIbA8Kdfa00Xr9YL+yxjmc3EVF7mMlctCDBWuH5COJtItMIaT0YjKmCbSKS8zwtBvRmgAQSAn0cpoCiPuvNoFpXAkPk85hFHE2emJ2PFbLXFjuYooFidpVhS4RnI7jTEElvTOisPobMTpyRnLZUq7bRd4rW3WqBgmqrwQQnpR4kaxZS1JAogxWvIhrXtQG2Hkra2sULma0ULGaq6S/MNCF7jKpRW3cAK3MUOITua5OwwMjpFRteMIL9DzAnAkv7Z05Jqtu3Ce75FlBct0QeTEUvg4LqjnGJbm+rWzqzAMWV1bk/WlrEjynA+/+janpyf88x/e5l/fH7PfjvnSOM0I98X1p9vtcvnyZVZWVul2e1aDUwilPop4/Pix1TEaHtnxaN1JuGQjmpbLZQMyFsq9sM36gz6tVotWHJOlKYvFgl6vJx1sJYgPz3VRSDfWcRW3bt2iSJacHB2ymE0oc40pK0pdkeUF84V0XWsw7GgyZp4scD0P7fgYy0arUT2CPCoIw4iNjU2++tWv89ZbbzHoDex4LiBZJsxmSx482uXL219wdHRImhdcu3aF115/jStXrxB2+wRxbDtGiqoUDMvukyc8e/ZMPmPlUpUV3f6Q1199nVduvsyVy1dwPZ/jk2N+8P0f8NmnnzIZj4mjgM1tgbgHYcjKygpr6+tkWcajR49EJ2YEh+QHcs8DtltXoU3RdIm1vRaiWDoqURjhejJ+48J5PtrcJO+0aO/v89XRjGtZwf98nsMnd//QvrbwPU5bEf/xV1/l970x02XKjRs3xBUdBFbrWdqxqVyRRVkKosf36LQ7nI1H6KokCuTeOj09ZblcUFU5/X6fNHVYLOaMRmfU0X4tqx1uEpiqgqK00hovwvUUTklzcI7j+rDj0LW5qMIUDDi3s8ONGzcwwOPdxwD0+n1OTk45ODiiFUUsUxlFOkoyvJXjcvHiZSazCccnJ7bDLfpipVyWy6RBqtQMu1rXV/93nT9dVS6HBwdNyoXRmsD3GyZifehQSjUGoyRJGvRT3cFsuvXI2lxpy/HTz0eh0jxzZEKDoSgLwigkDgJpHKUJricdv6985S3u3LnDvfv3wBh8z+Pk5ISV1YHEoFljVN2By/Mcp6zwg4iiKFgsl03sWxRHzBfzZk0C6T5iu8b1eBarTa6ROb1eF8+X/OfBcCDmQs8lSRJOT0+FiJBKlm/cjhkOB4T2/lBWvy6d4PhnrtV+vsJuMmE+l5zVVqvVcH6g1hk49p+ymNYLez1mlFOEa6ndrojj7VxaOKWmwWzUgdnaZmQqVxGEAV98+QW373xBGIf80i//It/4uixak8mM737ve/zu736H8XjCV9/9Gl/9+tfY3NxmuVzy+edf8uWXd5hOpwwGA7797V/k7bffZjKZ8PHHH/Phhx8SBAGXL18mDEPef/89Op0uN19+mcFwyO7uLgeHh4zGY5TjMNU5pyeHVKXmW9/6liVHDzh//gJx3GGZvc8XX35BVWrO7Zzj0vkLnDt/ge/+wXfJ85x33nmHL774gtffeJ3V1dVm8xgM+tLB6sT0eglgGI0HPN0/x8bqQ6tfrJrxKmCdwyfkec50KvDWIAgYDoeNezlNU1qtFsliztnZWeNequ3njt0wgyC24Mw5RVHQaXcZDtfkM1Uejl+RlxWh42EU5JVoIta3NilyyX+tF6wXtV0YhyzNKMrcCnPF3t/pdIjjiPWNddbW1mi32xKibhcP0ZZFjS6q1Wo11vBaP1aVFZWpyHEwlcZTVpxvZByalQWhW2vCTHNqbjQeus4wjJubtbJjVYMd6SklfDg7GlA2e7XSRYNMqDd/g6BoVlZWWA+3GPSsoNhyE+v3PPA9wjCyIxkPgfiK/C6MYjzHYZnmFEVmGVcZWhvCIMJ1fdGm2hNjXRTXxaV85kkTBTYenUkkT1lCYPAdy/bT2gJBhaGVFjl5WlDmAtE0JfYeNGinJEUyE303aD4jqOogWcGZaHGeBlEIjkulEf2lcnGqkqLUMtKxWptaxwhglCMpFujmvTRa+IRVWTTam8FgwHw2o+aBpUXBP9pe4/jZPv/G0YT/zf19/t3r5zhUsilX1hkbRhKuvW61bq2W5CBPpjOMMfR6PcbjMZubmy/ojbR1cMvnXGtYa72xXAei+1R2DdzY2ODGjRssFgu2dnZs7JTdoOy6KFotbdedK+ycO8fe/jNJRChLlOOwTAQx5HqeoGg8D9fzrZ5VMBR5+bwb8uJz6vf6XLh0lTdee5ONtc0m3SPPU5493efZ3j537t7l8ZOnRGHAufPnefX1N7hy7QpRHAlyR2uL06nI05Qnu7t88fnnKKUYDtYkFknn/NIv/jLvfOUrtFodXOVydHTE937wQ95//33KoiBut9ja3OT6Sy+xtbWJH4ZEkeQ9n41GcnBCNK/DlQGtlkg1JhM5VFX2eZSVZTZak0HNeTNgD5MOYSSi+e+d3+IwcvlbqxP+/GjBnzmdNN8rpiC5ltpFwcXJnP/177/Pt3ttfnO1j68cKgdWBn1MWTCfjDFa3LOFRTrV+cpeS/Ju8yJvAt+FEmHodMR1XUdHzefzRt+5sbGB8DPngjlxvMZQUNMO6jW0qmStWywWZFnGcDgUiHRZsrmxwVfffodXbr3Cx59+wvsf/ITjkxO6vZ5E1i0XlEXOo8ePOTo+ZnNjg26vx3KxaPbuouHwOWDkOp1MJhRFTlnZTFR70K7XmDRNm/27jsiqqkpyaooCOh1OTk6YTqd0Oh3hzlp3b68nWsM4jpvxdD2irY0arqeYL+a4rty7Uu/VcHmHKArlEFna2EH7HOWQFvHo0SPW1tdYW1vj8PiIJEno9noifdGmaSbUxTvISNhTLqEf4HseRVGQJQmOMbSjmJnvk2lpQCmbU220GOKwNY/EoRY2Mk72qyRJGA6HcoC3mvhaM1+jyoTpJwVdFEWsrKw0tdPa2lpzcPtZHj+fxi5Nmc+fs6vqE3t9Wq9FpDXEEOTE7dkL1fd9At8nimNL7rYbsNW9VKYSvRWgPNEtPXz8kMOTI6FoLye4hw6dXoc/9ut/lG//wrdZHa4wGo34yU8+5L3vvcfBwQE3btzkW9/6FpcvX2Y8GvHJJx/z0UcfMZvNGA6HvPvuu7z11lvEcYsPPviIe/fucXh4yB/7Y3+MW7ducf/+fabTKW+8/javvPIKx8fH3L9/n+Vy2RR2vX6b6WLBZ19+SaErLly4wNraOhsb6yjP5bXXXwMHPv34E46PTgg8n8sXL7K2tsbBwSGvv/4Gd+/eYXd3l5u3btLrCzPJDwLKMsNg+B/98ne4++Aij59c4Ld++9f5y3/h/9rohOrWdhiGdLvdBloJ2FxR+Uxc17V4EBGcOxgWi0WzsBwfHzOfz+0pUgwEkjWbsFwsWbYK0kRSLrqDHrkuMQb8KMTxPIq85PjslNJoNlfXUI7LZCxGjfqGF0aTh+v6RHHXuqpysiwlDEOSRE64vu9brUbcAC2F6RbgOKZxY9V6hvpGrG8oe5S3HDp7/WlDqUuUhSaXpWPNHXK9RlGIg0dV1QcTBRbX4zheU6RhRf2e64JXI08giiO80MfxXPIsaxzJDaC7EtSAMUai3Fxxuc5mM0wc4foeKIe41cJRDtOTuRhkdEWnP8RRspG4rgTeexZ/MBqPMJVuXGqdTofaei92+QJjKgLfo9tpM51OKAsBbuZGS4yTo0T/aBl9WldkZQXKA8dDOfJeKhwCR72w+DkY7Vh+3wvrgO1aGTRVaWRca80oOOJKrrSmKIX8rxxh+0VRbE0xshY4rpIot0p0VMZCY43WuLY7VS+acSsmsDFGyg/4bujxlx2HS0nOnzqZ8pvrfUoDVWVQLpw7d46XXnqJdrstGpo4Js9zTk6OiWIxH52cnPCNb3yDGoJcJ4XURZ7ruk0hBeApGctXthtb2M6G67p0ez16vV5zHxgjus7KmkwwgsAYrKxy8fIVPvzwQ8pSN/dPUVrjilL4QYgfBrieOAWxWqO641iPmM+fP09ksSxfeetrrA5WJXDe8SnLjPFkypd37nL33j2OT07QxrC2uclrr73CpStX6PT6IgAvCstVLJnPZhwc7rO/vy9jMi1xcdub53jt1dd55ZVXcV2Pyjjc/vIOf/AHf8AXX36GMZrNjXXO7+xw69ZNLl64QBiEKF90iY+e3Ob4+BhjDCvrG0RRxHA4wFAynU5ZZhl5pclKG/eEgx9EtKK4uc9qlpxgLWRsWVUFcRywvrZCMezxT656/H6esbG5gXbkM3CUIvB9rh6d8fJoxrd/9Cl/4nTC62nBf7J3wG3HEEUxg16fA9+XDT9qMZnOSJOlTJOKgmQuzL76ns9zMUr5gRzcXDfAmKVdu0ra7RZra2vC87TQ5zrBogb4Kov+qjVl2PVjuVjIWNRqNB3g1Vde5eqVqxweHTYd4vF4zHg8xnVdWi1xmGsMRyfHrG9uiGYwz1hmKadnZ4JUcn1r3JI88cVigVym+vkBHWzijBIigz2c1bmugEwCjCFZJmTl88bBcDgkjmNOTk6eH26DQFAwtn6oqRndblcc+NOqoRAIuUA160273SFPJWCgFbeoypzlZMLx8TH93oDFIuV73/s+nW6HwBfI8WQ8BschiMOma7hcLgVjYxsFjWs+DKmKkjIvWBQl0WpIr9PhJEsRxp0ggiqrE6yNMzXBog48iOOY2ukqRbxie3ub2WzWoILqvbzf77O6uvpCwS+A5CAIWCxq3f1//+PnKuyKPOf+/YfcuHGjKRoAWu1WI+yUDtFzJEFVCfV5Y2OjKThacYxSDkUhoec2Va+Zpdt2H8enJ0xHU0ajU5IsQasKH5c3v/Jt3njrTVZXV5hMpnz/+9/nn/7TP2B/b5/z5y/yS7/yy1x96TqLZcKD+w/5+KNPmYxntOIOX3nrHb767tfptPv85Cc/4bPPPuPRo0dcunSJ69evMx6P2d3dpdvtce78OZTnsvvkCY+f7AoUVVfEnY5s8n7AfLHgw48+4fMvbnPlyhWuX7/exOtcuniFp7t7PH70iGdP99jZ3GFrc4f79+9x86Vr7OzscPfeXd5+923CIGA8HbPV32bv4Iy4HdHpJawMT3j85AKLZcQyc4lCg+eqBoPxIvW/ZtXFdjwxn8/Jsoxut9tcxFEUEQa+ZebkhGHYEMKTZUKr3beUc6ch/Hc6XekUhSG6SClyGdsuFkv6XWlpH+zvs5zOicKI5ykPyv4smhZ1UeS2aMJ2Pko53aIYjUbNItfpiL6mPuE6doRbC9JdVzQJ9SbraC3FgwvKsY5cK+I1RpAHQnl30NqG1ysB8+IY3KJsNgbHdfAcGTdKt04KiTqaZj6ZkaSpiOo98ArpOGkrCF4ul804OS8LksUSx3FoxTGeK7E27XabXrcrC8tiSZJkdlQsBVdRSN5rp9PD9zOSZUpVGbR2CIKIKIwp8qzpmNen+3rBDB0PB4Vx6yJWUxbCYRO9tsb1XBxEYG2FPlSOxUkYq4GxCyqOg2tdasYxVNrI99oOpqOU8O1wwPHRjmGRFfiBHNocZcSlq0uKMheUhTK4yhMAqXKauWt9KKmLRV0vQHaE5doDQxiGbG9tW2CoFMcfOfB/u7TFv/H0iH95/5SjIufvrfQwGIw29Pt9BgMZddQbXt1VuHDxMrdv30ZrTb/fbzon9+7d486dO5w7d65Z15wXNjSNwakAciRZQDOZThmNRtJZCoIGLSSjrkoOIPb99XWFg2GwsoLyfLJCcB+OtsgPzxd9oOfjefKzPJtEYByFo+RU37brbLfb5fr163z9a99gbWULx1FoIC8rsjzj6bNn3L57lydPnxKEAeubm7z6+utcvnyZ3mAIrsKhoMpl9Hl6Kgfbs7NTlonQ/dutNteuXOeNN95kMFwhimKOjk94cP8hP/rxj3m2t4cfhqytr3F+Z4dXX7nFuZ1tup02s9mM5WTB491dDk9OBMMRRayurlrgccnR8QGz5ZLxbEaaZyRZSmUTOla6ffptYQXOFwuq+dxKTcTkY6pKxnNBIKk1jtNkZS+zFC8OaPVkBO8oxW6/xxMDd1f6/NqPPuXq0Sl/+Tvf5X956wrZzhYY4dsti4RBr0uyXOI64DkOVZ6zyHMcR3RWYDtLyLUdBJHF/WDdk+qnqBL1NdRqtVAWYfLcDW6aBoqrZH+tuaR117ie8hweHvLl7S959bXXCOOIH//4xw0Ltl57aiNdDQDWRkvxvFwQBAGe58t6h4DO6+fXpMW80MCpp3Wu7WpVVVXTlqWL6UqUXZnqxmhZx2+dnJw0nbs8z9nb22vuqfp3ZllGQB2hJeN333dxXd/KO+zEz2nRbcXsnDvHowf3GJ2NSJKETlsaCOPxmLywUwZ7z4Ac6MMwxEWStNKlbRQ4gmQyZYXf8oiCkDRJhb+qT9hYX2e5WDBbim65RkkFgchk2u0289mUMAzp9XpNtF99KG632nSv9BgOh3iex2g0YmHlRXEc0+10m+5nWZaW/Zf+IbTKf9/j5yvsioLxeExVVfT7/YbL87xDV3fsngNLy7Ikst26Ot5KvQA21dalqTyXNEspq4o0y0gWS45PTjg+PGa+nOO6inanxcbWBleuXiYvcj77/DN+/OP3+f73vs/R0QkXLlzgj/6xP8Y777yDoxR37tzmu999j0ePHuF5Hq+++hpf+crbBEHI/fv3+eijj3n48CG9Xo9vfetbrKys8IMf/IDj42PefferAvHd2+PBo4ecnZ1hkK7RjZs3abcjTo4OuXvvruVpzfn0s885Ojrmtdde48IFodhfu3aNZLHkiy++ZHW4yssvv8zjx494+PAhr732Gp99/hkffPABq2trTGYT2VQGA+r0ga++/RFf3nmZJ88u83v/7J/jT/7xf4gyisqS8cNQSP/1ySfLMiaTCa1Wy3bDxJEc2PDkPHuuY6hPAPUYb5kkbPm+PWnKyXF39zGbmxsMh338MICpYTlbEAcR09mMKqvwlSut9ExcSZ1OpzmFveiadpWiMhWz2RTXlZNIq9XCGAkbl9OOJssykiT5qWJFWYBlzS6rx2T1ArlcLimLksgP8FzX5iuCcYRfJdckgLJtewetSyaTCQYti5qW7omuDAaFLg2ONUlkWYbn+/ieTxy3UK5rjSwyLvRtrExRFOgXALqu6zIcDnEQ7VOaJM3iLSc9sREnWYqvxQHYDiTqbZFkHBwcEIWx3RwcsiwnDCN6/R6TFwrhOm2k7mAarQlj2UDSsiSwFHlPKTxXUVWFdEGVL0kcRpbvvChBuTh+2GirVN0x0FbnhoPxfGFv1bpZ241SykFZFp+nJAFD9FBQYagcA66D53qCznGUdVBbGYfj4FRWm4kjvD1jLBHeFon2MKMch63tLY4Pj5pF0ziK37+ww6/7MS/ffcC7ecVvKZfU6iLrYq2WP+zv7/P+++9z/fpLjEYj3n//fa5evWrxIg7Xr1/ns88+4+OPP242ploCUZP5yyKn0LLWmUpGM7nVFdWHkXa3I5gbY2TnN+Ko9jyXlqdoRRE7O+dYXd/g3t276KqgZvy1e0PilvA+l2nK5tY2X/nKV4SiH0Xs7UknbT6fkyQJOzs7vPHGG2xsrOMrzx4SNMZU7B885Qc//j7HZ0fkZc7q+gY3Xn6ZS1eu0Ov3rP6qslGAmtHZiDt37rK394yyzHEUdDodXn/9dW7deIV+f4DBcHx6zBe3v+Cjjz7h8OQYPw5ZXV/j2rUrXDh/jgsXLuAqKA2cnJ7xZO8Z+wcHrK2ucvXqNVybvVppTZ7kuJ5PUVYkWW41dpp2u83m1g7rgxVCVwoKR3nkeUlZLqm0PUDqEtD4nqwDjlLNP8OwS9Rpoey1aYzBBUpd8fn2KvlrL/FvfueU7SQhSJZiFtAVebrElCWmqui0YrIkrY3kVEUFjsgPlOM2PMcgCMizwo7aJJGjnqDUB8DnXDuXdqdFHMeNFOX5PW2IwpBkmZEtE/m7szmudED4vd/9DpvrGwxXhhYPJR3sSuum2J/NZswXCyk+XsgRXiZJUwDKmqoxFs5b7+mNJMI+p7rwqu/Dej2rC7OyrAiDkDRNcGyyTllKB7aOoDs6OmoK1FpCUq9ddTewNljK5Edc9bJmyO9aLpdQaQa9DsvFgsQWZ2EYkmYZrvI5OTlBjRRBJFw6bEduuZQM65WVFemSKsvUs2WMp1xCz8cJDGUmZq1kvqAaDOS9y9xmD3KAlg0u6Ha7+J5MP+pCr+5Cnlju7tbaehNHBnXDwW3kWPX0rU75qKcE7Xb7Z67Vfq7Crp77n52dMRgMxCWTZU2Hpv6qN+O6mxDHcTOKfZFhA6LfEVFmSZpljfh/ZTCk1W5TlnvkRSY/I4oE9lrm3L79JR99/CEPHzxiMply8+WX+dVf/VW+8c1vELdbfPrp5/zOf/M7PLr7gF6vx1tvvcUv/MIvcO7cOR48eMD777/PZ599xurqKm+//TYXL17k6OiIyWTCzs4O165fYzyZcOf+PY5PTyi0dIdW1le59eordNotZufP0e33uH//Pnt7e5RVye7TJ0znM97JMm7evMnFCxeZTqZ877vf5d69e1y5coUrV67y+WcfsLa2wuXLlzk8PKTb69oLMqXT7ZCXKaaCt99+wHs/fMSnn9/i+HRbNA3aYTaR6Jdut0u73W4AmLURoj751MLM+XzOcDgkdR2yNG1GdvWsX4SZmtH4lAsXLrC1tYnrwmQ6RrkSTN3tDCnzjLPK4CuXQafH7pMnuMoSyp2igVbXQtm6tR0EASvDPnFLRpVFkRGGopExGNI0YTwe/5RGqL5+5nMJv64j6moXba3bdByHwHuu91S20+MoF8c1gIdjan3CC7w1e1OhwFOKIBT6fJ3TGPghSjmkSWZxJwGu7+O4TjOedT2PVkfAtPPFQvIgneeRQ3VQdH3YiaIIJ5TXtFwmKOXSarVt8SuwYceRAkc5Cld55HlBpxMRBKFAkQsRF9c/t4YQ1wuEdOJkc1NKzBztdmwLZBEfe0pOpdoTA0NZailWS4kNww/sqM9FUjYM2NGypsKYitKYpjtf5+q6ypUCrLLRTJ6HqPAs+8tI9qNyVFOsSudfOnZaC1fv/0PbfwZZtqXpediztj8+vc8s7+uauv62uW2mZ4AxwAxJQARAUiZIiqQoSqSCIiMkQhSD5B+GxF8gQpQUAhkiBEgCCAhDAD3TM9O3zfWuqm55n1npM8/J48/2Sz++tU9Wj8CIbkXgRNyo7qyszGP2Xutb3/e+z1tsWliyRmRIIZTqHBD0ArZNlsgBstfrYduS7xuGEQ/evMbFh094szdiPodnWpuRvhpfk3Ecc/36dYbDISdOnuTDjz4emyueP39OrVYbyxUKREUxIgPGJ/EkS+kPekKgTyRyyLGdcUfQ8TxxduZiRFGA0hgdjkupIZGBpXKF6elpavUG/V7XfHYlLNvG830mJiepZCmlcplz58+zdmKNwWBEuy1mKcuyqNVqXLlyhaWlJdNhydA6ZTQKaXXa3L17m+ebz1DK5tTZU1y69DIvvfQSjUYNy7YEAZTmpElCt93h8cNHPH38RDqljmJudo5XXnmFtbU1quWAOBlxdNTm+o2veb69RavTolqvMDs7z9zsLGfPnGV2ZhrPtRkNh+xtb/N8e4tur48flJhbWGRqZoYC+Doajggj4Qn2h0NGoXSl/VLAqTOnWVpaxsdCJ6nBj6RmnTHdOjPeBpEAuXaxxWlULppbhcaxHEm2SVLiMCJO5MCYmDUEFK7t0I8EMxOHYmwLhyNKvk+1UpL3KcsNBkaiMcXsJUxLyfhOzXRE9KGO44zlGsWIP45jBoMB5YrozQp+2Xjcb7SAmdGgJXEy1qn75TJxFOG6LidPnaLTbrOxvi4ooCRhZmaGer0u/LcwZHV1dWxoKA73Er/ljBEqUtgVe7Qe79lwjCUp7qM8O85eLr6vQDvlWY7je/gGy7W3tzf+GRMTE+PC9jj3Nhuvy1mWoWNzSNWG85dlKI67+Y4tMG6tNb1+jziJ8XwP21akiejuJhoNjjqCdcnyTMxLrhwKkiShbNivOj+WlBSyD7SmHARkSUKWCLZM5zIx8zzvuGtuSVxdwQIslYJxpu/ExMR4Dx4MBnQ6XdpHnTGjt6iLiqlagYqpVCqUy+Wx7tA3mtRf9vGraeyikOdbW/zk5z9jYWGBw6MWYRzLqRxNavQzWZ6RpDGoXOKqAp9SKRBWkeeSxDHD4ZBO54iJqUkGnR5hf0AaxZJfmaYszi+wtLBI6+CQvVJJNrE0IxwO+ejDj2i2mjx6/JjJyQnee+/bfPvb3+bSxctUKiWazQO++uoLbt68Qa1c5fU33+DXf+PXmZme4bB5yBfXv+TzLz/H8VwuXr7IK6++Qqfb5cc/eZ/+oM8r117F9wO21zdoNg9Nxayo1sq8885bnDt/higMCUoeXslndm6Wjz76iLt37lAqCVvr4PAQ27F56fJVTp06xf7eHk+fPePGzRt84913efb0AQ8ePuLKlav88A9/SKfTYWpyiv2DA+qNGo7tYhshumUudoWSaCytmZmeFmel75PEMVEUE/g+ge8zPTUtDKQ4pmxE/d1ulyiKsF0XJ8vITU6rsiQbFaDeqLO9vUUYjlhdXUEpOZ3XalIsjoYjyKEclOl1ulSrNerV2rhzGMYpzUMJLy6bYhMzngQYjgZYNmYM6uOaG6yIvVFK2u2u66B1RtVELAkuQNPr9SDPCeNYnIVKCd1bWePOZa4FRmxp6ZY5loW2NNowzWxb0Ay2IzZ+nftoJQBb1/VNJ09jWRmu4xFHKXmSGuaXCYouBcfjRysnSiLpok3UyNPMLEbH4NdOGBoOlUUpkHZ7HCd0e70xn6r4eVEkRaSyLMpl+b5ev49lRjEKOblKZqG40sIoFDhpEnOc+AG94Ygg18LnwpIupBZ0iWUL1iYxWkGUdNWUhWAd0lgMIsjCJbuLRtviaM00RkJhmSJN8CW5KcCSLEXlUC/V5Gu5FGhaKSwzYlFaQRHDpuUatLToIouHQlEMIPJcul2ZTlGeh60sojCk2WwyGo6YmZ7Htj1GYWLGYdIQrdfq+FHI2tqaKQA01UqVJ0+e8PTpU1577TUq5QpPHj9mZmYW13HY39vj/LnzDPp9bMtmanIS3/NAa6IwMqMkZ9y1G8WykOfjUHvwXOnuKtsWWGqWUy6JgaXQ4sVRiJUklM1hxlI2lWodx3ZAg+16KM/DchxKlQpVx2F2bo6V1VVm5+boPn7K9s4OvV4f27aZm5tn7cQJ6Sorhc4yUJqd3W2+vn2b6zeuMxwOWFpa5vKli1y8dImZmRmUpUmzVAq6Xod+r8f640c8X39GGEp6zvnzlzl96iTLKysEvkecxOzu7fPkyRPuP7hLlKRMTNSZX1hkeWWVpcUlOWjmKckwYnd7m/29PbIsZ25+jpnZWaanpqWbawxyylIMR0O2d3bZP2ySa6g3JNpxbW1NukJhTGyeZ7PZEhNBJl1921bjNdNCo816IE50C50rrMhB5RCNhuawkZHGCb1Oj1azdbw52g6pkZ2g4czps/T6PfI0EZfpUO47cZULK7LodItBC4ajIUkS4zoOXkkC423l0DpokmYZw6Fo5uIwpNvp4Nj2mF83CkPiOKFSqTIy4zhLiaFLI5F4tjFOXb56Bdtx+PLTT9DA7NwMaZ7gBy5JEo1TcQp9XJqm9Ho9ycHVOZZxhiolGuNjP7po7GQcWnArLTzPJctSEsPotC1zYEHiv0qlknSdXAfXsYhjAUJ3u22q1Rp+4DPoD8eSoF/QSptHlmWMkVm5IicjQwo9BYRZhq3EMBeOQpI4QZn1o9/vMTHh4pj9RClIUsEMBa5LFsWE/SGe61IplznEKMC0hiwnjUOyJKLkVakGJaL+kCxPsEw3rxyUBJdlQPeuSemQppawU9MkQVkWjYkJpm2b/mDAra+/Zmd7l7m5WRqNBvV6Ha312FihjQxNWRbbu7sM+n2CIGB2bk44sb/k41dLnkgSjoZ9Nna2ebq1SfvoiFxrRllisigT+qM+mc4YhQOJTfJ8bEcZFlCO7ViEUWhAhpDGGXoUQZiQDyN0mBBYHitzSyzPLvLMf4xvTto6y+kcdWkdHeL6HmdPn+XKyxf5/ve+y8rqKo6ruHf/az788GMB/1Z83v32N/jmd77JybPSGXv/5z/hxz/9MaVyiW98811euvoSSZ7w+Zefs7m9yZtvvc3JM6cJk5hn60/Z3HxOFEUEJY9Tp9a4cPEsrqtoTMyQJA0mpydYXF4Uh12pxJPHj3Esi42NdR49fkSWZly4cIF3v/EN/sHB/4fPv/gCZSlm5uZ5+PABM7OzXL50lcPmPrVqDcdySKMUx3VRdg4WrC51uXlb8+TZSR4+uMxLF+/LIqIUaZaSJTG2ic7S2gTGowg8H51lTExOUalW2d7ZJgwHeJ50nTKdoVWO5wtY0itJAd5qNfF9n8FAhPztow4amJudk1a8stjc3iHPt8bi/aBcYmKqTJ6JCNTzZYFDyclZa02ax0SRhWUpKTLtqgECg05j8jwxI3uL4XBkCrycXq+Ha9l4joUKfOJYYStQeUYaheLY1OP9lChNyKyckuthK0WqNbnKcW2F4yocN8dRthQUSpFkOVEUEqoIlIXW4rhyXB/Hyggcn1qWkemcWAtH0PE8sBWJDsnTmF44JPADHNsex3B5nodbOR6jhmEkPCVlUapUwbJJU+luZ6YYtRxHRo+5HptH0DlZGqNzWXjzPJbFzQLBtmi8wMXznfGpN8NjFEckOTi+i4u4KLXWKNvGcizZUNMEW+W4gW1OxXJN2QopBY0oeKy1sSBXGVmWkCmNcl7QxBkhu23b8l7bNo4l0OPCrJJbMl7VZoVWOZDlRtWDJGGMNxXZpDH4Gp2msujmCW65SrfTpXlwyP7uHkop1tZOcfrMKZ48fUKus/HPmJufo68zatU6gV9ifm4RrRVff32bLNW88vI1modNhv0Ba69e43D/gMnJSbIk4fn6BnmaMtmYECG1Frp/8+BAtEuGDmA7Dp4loN1fgK1mck+mJhbNtm2i0YgsTbCAcDRip9XBcxwsZRGPEibrUySlOlrnRFlKjEZbNqnW9Hs91ryT1BsT5DkcNo84ancJ4wTXhcnpGeqNSZQtxp/hcMDG+nPu3L3Dw0eP6bTblIMSF86d48rlS8wvLxh9aIbOMnqDDg8f3aPVanKwtcmo38VSFgvz07zy0iUZNdkwHPTY3W9y584dnjx7SppkzMzNs7K6yrnzF5mYnAAlDtyjVot+t8fe7i6NWp3V1VWCSkC5KqOlomuepRkHzQMePHjAKBrheC7ziwucPHmSkydPEscx/V6PUTSkebBL8/CAwaCPpSDPI7RO5B7RAnhOk5Q4y1GWi+MaDVmSEY9S4jAhJ8cPJNVif2ObR083qB52x/tdFCf08xzbdqhUa1x7/Ro7W+vcvHmL6ZkZGZmaazc3OknPceR+1QInl0zZnKAUcPrUGcLRiIP9Aykq8wyyHMexSMKQHhk6S0mznOFgSJpmkvDjuAxGQ4bxiEzleL4nHM5AumEzC7P0wxEbD+7z8MlDzpw5TbkS0GhUSdOIXn+AXy7hBj5Yor3udDpsrK9zsL8nGmcFWsshryjuxDihx1+Xwi5Hor5kGpBnoo+2lcEdme5SmmVoNCVPiqteGhOFQwByneKMHJIkw1LOWK9XdKeOyRryp84ztAKVizwAwcyTpwZmHidkcYxO5RrOU9FtJ1mM5zvU6xWRI7kWkxMTxFFM87DFxpMNrly+yuLCIs+ePBU6Qp6jyYmzmCSL0VlC4NjUK2UcS6E0OChKZkpimTG6cCRzw+mVCeN2s4m9JXukUy5z5swZWs0Wt1t3JWXJdTl58iQ7OzvjkXOa52RaS3f74ED2Zc/Dcl1Bwf3TKOwcRzaOvf398ejHcRxToRqdji60c/lYDyPOquNw+qLtjvm6zjVxFEmFq6BcDlhYmKNarYh71hd+3TAa0hl2qNdrnDl3htfeeI2Z6UnRG3XafPnoKz788EPu3XtAmqZ885vf5r33vs38wjwbGxv89Kc/4ZNPPwaleemlq7z77jtkWcqP/vCPuHPnHqdPn+batWs0Gg1u377N8+ebHLVaOI7D5csXeO3aNWrVKp7nEoUhwzAcj54XFxd54403GPT77O7sMjk1xVG7zf3795mYmGBtZZV333mHH/7wh3z44YdcuXwe3/dM1uwcB4f7JEliMCFSAFuGW/WX/pkPuf9olUdPF/n4izd47fITudFyGS9Ew1DcVbMzTE/PkCVyslHIjbe1tQmWZdrRMpL0fUENRHGCHxR8HMXExBSW1cV1PaamZsanqSiK2N8/GLeYC23I5OTkWHtUMpquQhwMjEf1SinyNGc0Go5b06PRiHK5TLVaNScXcY3J8/OMnT9BKYtatYbWVYM5SUynT5uRgui+MrR0IU2nwrddaqUygWfeS8u02nNNkscmD1aTZIkxTliCdLEkdSHLxG2nM0nHSPIcN/DJsoQwCgXCbGXG2JETRzHK90njhCiMOEqO8AKfmZmZcQcpMqOd6SkPz/OJ48F4w5expPABC21NuVymXq+PjS6O4xhg6/HooEgsKfQucrPapIZVJyNK6W6KLsbCtY9Zfi8ypgDTxT3WsoAxcBoBiuiVBM0iHTfL/MnYUVYwAwvNmWs7aBuDkRnbIeT35QCiUVIvdApAZmtKa6zcdGELLV6ec9Rscu/OHQ729uW0nKbMzc2w/uwJjV0Z+xyWfGoL85zwXDIN09PTTE1NsbGxwfr6OgsLCywsLPD+++/j+z4vv/wy9+7dG7tMB4MhjuOOMQUSmyVpL0WeZK1WGzM9ixFv8T29Xo8kz7Bsh8D3GPZ6NA8PBEyLYtjvM2x16He6Y7p+mmbjjS5MEyItIvXDQ9ERX7t2bZw6s7e3S6/XG18HhSxDKcVoOGBr6zmfff4xm1tbRlQecOHSJd5883XmFuZMrFhOkmqi4ZDt58959OC+aIrjCKUzTp48ybe//S2mpqYkt3g04uDwgDt37vHs2TO0hvmFBU6fOcuZs+eo1urkOieKY1qtFvt7++RJyvT0DCvLywIldmTsK1paGVseHbXZ3Nzk8PCQcrXMxMQkL730klljJO8TpRj2Bzzf2GA0GOAYuoKNIolTkWtkGUkuE6RcKzxfOjZ5lgmPDihVfFwvwPNdWu2O+ayGpNmxXrzX7zPwHObm5rBsC9/3mJ6eplwWPmiRxGBZCpUrsyfGIlMwDss0S3Fcm7m5WaampsnSlF63SxJHWI6FwiEKQ7COdWtJksoIOklf0BCPBOJtTHPlcoVKRfio1VqNZ8+eja9b1xUHZUEXyPOciXqd1uEhR60Wg0GfVvOQXrc7hgwXj2JyUhRXUHTRTKGnGWvs8jwjNx08LOP6jiXO0NEOaS5dUt/zBeae5QIwL1ilcUq5XKPRaNDr9cZ7yn/fQ/5KiksFZmRsDCjGJBfHIgeKo5hwNGJtbY0wDGnU6xxns/Y4Ouqyu7vP0ydPWTuxSqlUYtDtYWsBh2tVYLq0mQy5+L5Mj3KdY7nWeG/8xSi23LAFpQN/dNQeS6KiKGJ2dpZGYxMxEiZjHEpxEFRakyUJ3W6XXq9nuqiKVqtFt9v9731v/vTjVyrsCnZToTEpNFRZlhmIsDY4DtEbKEuhFeJ8NYVdYf2N43gMZyw0BpKn59Ptdmm2DpiemcKywQtckmGMshX1Wp1Xr73KhUsXmJ6Zplr22Nvb4+nTp3z04SdsbGxQLlf57ne/y/e/92vMzc2yt7fDRx99xE9/+lPiOOb73/8+3/72twkCj48//pLbd24R+GWuXLkydhjdvXuXzfUNbNvmzTfe4O233+TEiTVs26JzdMTNW7e5d/8+lm2zuLDI7NQ0k5OTvPbaa3zy8Sf0BwNqtSobzzcIAh8LOHvuLK/tv8ann37CnTt3mZ2d4fDwgEajQaNRJ0kSicxK4xda0opSSXP5wnMeP5vn1t0zfPX1RV6/ckcuqhxq5Qp5muJaNpaGUiBQ0W6vh+u5pEnKKBwZe7zC94XfNRxK90gpi1JJdF4xCZVKZVycixmjO+5AFZ/7zMwMIPrJ4qJO04Qkjej1O2Y0Z4p8rU3cmUe/1wcF1Wpl7DIWUCRMTorNWy5geZ7VquQtFtokcUd5KFU4hY5PeFkuUGBh02nSMMZVFoFfxbIUGumOJXEmhoFM3t9cmREEuRQrliKKQ9LREHIb1/JF+K8zMEy0OIlRjo1yNK4r41HLkpFbFicS6aVk5DEahTiOKzDTalX0pGEoi7JxK0dRjECbi/cyHTuRPc8bY1cKg0mRKfuig7Rwqee5wUK4Lrl1HD2ELlyuWsaxposmqAN7rJNRyhLnrIDV5XOUNVU6sI4NloPSEuuTJoZxZUbjuTEJZGmKcqyxmQUUjlKSmqJlBJuZxV5n2jhzXxzDyi9VxmiA0e+4tgO55qjZ4qjZglwC77MspVQuYVsWK9e/RgMfW/DV9ibnzp2HXI91KtevX6fb7fLOO++McUZnz57l3LlzfPHFF2NUges6LJjc6F6vx40bN9jf32dpaYnl5WWiKGIwGIzfR/m8R+PCMM9zkizDcV3yLCVLY54+eUIYjqhVKvQ6XaJOn267c6ypgnFhF2UJo1yKhDhKePutdzh18jTDwYjWUYvtbSHYO45DrVbjzJkzY83l3v4+N29eZ3tni16vQ5LlVOtlrly5wNraMo5bRlk2ea5Is4hep83O5ib72zv0ej3q1TIvXb3M22+/zeLi4nhNkiLiPnfvP0IpxcTUFGfOnOHCxYvUanXSXFAXh80mW1tbpEnCwuwcKysrlEtlQQcZOHOpVGY4bLK7u8uDBw/Y3d1FWTbVao3Tp0+zuLg0Psw0m022NjcZto5QuZhlMBOKJEnJU/kzzdJxNrTjeqRZRjwYiCPcskXYXi5jOZCkCd1OB8mftkkSg5TQMBoOGKYO5XKJLEtpNpu89qrA6h8+eiydZQ1+EECUEKaC68oySSaQ7OoEP/BYXlmmWqvQPGziuA4TJrc1Dkfs7+2aq14bHVc+RprYjkHp5BIEIO5O32hJY0YjYfftmjxgz3eIopDRyJVc2CgSzacrqRHtdoujoxbDQZ/2UYtwOML1C61yNr7+Cq2dUia5x9yNxUGwKEKVwQ+NNXlKjfl6uZbCvVqt4Ps+nV4fKFKLZPQPkhXvOA79fv+fWHcUhoZiAxAznIZcU/IljnNkNKxJEo8Pt74nKLB6vU6r1RLYsFIMBkOiOCbXsLW9w9ziPPWJCfrdnrj8bZvCi58atl8UR6R5jqfMATpLxjr1guBQFGdBIHtiuVyh1WrR6/VZXV3j6KgNSlGpVkiSlGaryWA4ABSBoVP0Bn0GoyEdg1UrUj+iMCRzfvly7Vcq7FLTpXsRZWLbthRuOkdnMsO2HAvXk7D4YtZcbC4Fe6iocsUBGdJqHXFwsG/avpqdnS2mpiZA5XieTX8gLK+pqQkajSq+7zIa9ukcjfjwww95+PAR+3uHLCws8IMf/Brf//6vcfLkafq9Pk+fPeHBg/v4vsdrr13j2+99C8uy+Pjjj/j4449RCt555y2uvnSZJEl49Oghd+/eBWB1dZU3Xn+dhfl50iTh1tf3uH3nFnfu3mWv2QTg7JkzvHzlKifWTjAzM8vrr7/O17dv0Wo1qdUqPH++wUSjzvzcLK+++jLDYY8b17/Eti3iOKTeqHPy5JoEY/s+ymTECQ5BCpd//s9/yNd3TvB0Y54bd87z6oWvx25Tz/OYmZpGaxH3Klv4gGmakOucitmkHMeh3esYsaqEk0sRIXBVx/Hw3RJTU9OMRiNc16VaFTdXq9USkPDs7NgZNWYsIQtBp9sxn58a59pqc3NDTrVSptvtADm1epVci9tUk5HnEldUbJJFxy/PJTw+iRPRsxjUS6VSRUZ1mNGdJotjIwR2jQtUMkVdR1Gp+JJUkWuSKCYahaSpmBCwcyOG9YQ5ZU6xovWySMjxggDPNk66OMbDI9O5cfs6WI6FZ+zvYSYLT6PRINWyKY9GKZZlEwQlgy5IjfHBx/clUktOy5Y5+aWkeY6rCi0bDEYjhmEomBbTpQPGGIRiYcmyjNikWzhjHIwURQWoN43FSFF0nNM0HZPNC5OKMloPlCV6JWPqkF3IYAHQY8yDUgrHsslt82+Ns9W21FgnamPJIMWWAjO1BTwr0Wzy43/hMf7Z8mdRzO7v77O1tWXcc/I8Xc8j0fBKFHOqOyCxLP7x/BRZnjMxOcGjx09ZWFggyzIePXrEzMwMJ0+e5N69eziOwze+8Q3SNGVra4vJyUnSNKVcLjM/P49t29y6dYs7d+7IONIIp6Mo4tGjR7/AWIzjeGxkcF0Xx3VJtCaJIiwFg/6A3d1tZianiMKQdCga2QJpUKyVeZ6bjp05/Ci57rWGlnGrFmOcAgC7uLiIZVkMBgPu3r3DZ59/KocAW+LJ5mZnOHXqJOVyQJ5b6CwnjWO6rRZPHzzk2ePH9NodLEtx7uxZ3n77bU6ePAkICP358+d8+umnPH36jCjJWV1b5e2332Z1ZU2SIpTcc/v7B2xubdHv91laXGRhcYlyqYxdOKnJQSvCMGTz+RZ37t5hd2cXP/CZm5vnzJnTnL9wHgWMRiFHrSO2trbZ2dmlbNlMTkyR5xn9XpcokfcvMyy7TCkc1xuDzcNQpgZZmoKlzSFP7v3BoCdFb5Lg+zaOfdyhTpKY1EKkKwp2d7apffsbvPnmm3zy6WcMBgMcEwmZ55BoTWoguYVu1fMcXM+m22kTDkf0el3K1TK1agXPtWkeNmW9N53E4vJ3bQfLknUkCiPyTJv1IzBudMbTk9EwpNvvEicJjmuPnaaOU0zHRuTDEeEoxFYQDgd4rnQK8zxDKdccIAMGgyFai3xCK8EkmYrqFzr4RaeqWH2z/NhAmeW5GZnKHpHrnFqtxmHriDTLULkckgteY6VSYXJyksPDwxdYbaaQ1MeHSyEimbah0Q7Pzs7gui6Dfl8wN0WtkWUMez22nm9Sb9QFcJ2mNFstBqMhQVAizTTrG89ZWVtlYXGJw/1DsjAcJwBhtJMFiD/Nc0qOQ64yeh3Rdk5MTFCv1ymXy+OC1vdLxijjMhqN2NraplQq8+zZM4E+ZyI96PR6KMemFJQ46hzRM53GLM/QWY6FMrpQMTNZ/OnF8b//8at17MwGAMfWZ4DhaMRgODQ4C/kkHM8VcbQ6XpWLQsA1wc5aa8JRSL8/4OioTavVpN1ukec5h4d7bG7VyNII8gzXVgyGA8Jhn267xdPHMc1Wk4ODQ+7du0cYRpw8cYr33nuP3/qt32F5eZnhcMRHH3/I9evX2dra4s033+Qb33yXUingq6++4ic/+QnNZpPvfOc7vP7Ga2RZyu1bt7l162sG/R5XL17l+9//NRYXFoijmIcPH/D+T97n6dPHtNptbDMa29h4Lu68OGFmappGo8G5c2f4+taQcDhCa9HczU5Ncf78eS5dusho2Ofw8IA0TXn06BHLy4tjurTsZUX3RKN1Sqmk8Dz5mzixRbRum9tKgW07ZuRpgZbiempiiiiJGQ3lhOL5vmi0Ms0gHFKt1fCMG8pzbaIwwkKKDmHaie19fn6BcrnCYNAfj99fRI0IR88xHSqJnrIsm3pN4pXahmBu2ceOIRmxyuIpBW0J2xZ0gee64mpSNp7rmDFgTpLG2FphezK089wASpYswHli6PxygstTcWzpTDMcjHAcAW/meUyWZsRJSp7JCVj0djYKB7Ql3SQlGY5aOVi2Q2YxvsGKMSi5RueQxEYb4hWsJhnRhVEkBYs6BjULU08b+3uTPMfEIUnGoDJGBN8PsM0oI82FlSaxewUqRSL7ikcxTi06ekmaYLuFHV+B0uZzETed0h6BCWIveIcFLsW2LDPikHvXso7hpMrcz7nR2ygjGi/E1ZZxYFiWNT5t5sYha1sCh/ZkmUZbGtvSWCojtVOBSRfu2eJ1IZuwRrAw2qwtR502rfYRUZqgLCW5op7HVBDwl8McV2u+PnsS+7XLTNs2K6urfPLp59i2zcHBAZVKhbfeeotyucxnn33G2poUJjs7O3Q6nfFodWpqSrpfe3s8fvyYCxcucOLEifFnWcQCFZOHwjVb3COlUglfi7QARNOo85w8lc50EsVko4RhXzKe8+wY+5BlGVGWEpGZw0HI06fP+PTTz9jb2+PGjRscNA+ZmJTg84WFBRMnlnJwcMD9+w/odvuUSyXSPKNUqfLqq9eYm5vHUo50rzIY9Xs8uHuHO19/Te+ozczkJJcvX+Kb731j7K4dDAY8evSIn//85zx+/JgkSVlZO8m1V69x6tRJSiXRy/V6fR49esz2zg5xnLK0tMTqygnq1cYLbnQZQ7WODnj+/Dm3b99mZ2eHer3O0uISp8+cZml5CdeRsW/7qMPu7h6D/pCZ6VlcrclGIVksmtg4zdC2TZYkKEeK39nZOXzP5ajVYjQKzfQgAzS245kpgUUcRxy1RW7jey4NzwFSEkth+x7lciBImnKJg8MDrl//ijTNzecRUa1KtKFEP4nhxULynnPEVZ5lCU+fPcG2xCy2tLhApSpZxpqcKI6wbUsynLMcpRE4rtYic4pTNArHdnFsjyRO6feGcnDPBRSt0QS+FLOFbjdNXdM9TuX+VjmB5+LYFqVKmVq1TK87II5FAjM/v8D09DSHhy36/QEKybZN0uNRbXHdj5l2RjyRFgkZpsBT6PFhLs9zo0WVPV/wRuDYRq/abLKyskKpVBKIvjGISGWJgc4jDE2zDuZKzH6Li4skseCxdJrQ7w/QOsP3PCxlcdRsEicxlWqVKIlJdcYwHKGVJJSkecrmzi4LszM0JibY29oSw5/rSsFtjCqu55IY9E2uJOJtdnaWqampMdJkd3cXgYTXse0h7XaH4TDk+fNNOp2uSVFJyHQm92mesbK6Sp7nbGw+F3MMSiYUxihWoPa1zkl1/EvXar9SYZcZonJRuRfjn9FoxCgMKZkYGsd1hVWXS5H34qbWaDSo1QTCl5kWc1FlF1yz/qBLt9fhyZOHNOp1KoHP4f4uaTQiCUc8efiAw+Yh3V6XxCQKzM/P8eabb/DGG28yPT1Nt9vl5s2vef/9H7Ozs8PLL7/MG2+8wfz8PF9++QUffvgRg2Gft99+i7fffgvPc3n8+Ak//+BnrD/bYH5untdfe5211TXQms8+/ZT3f/I+zzc2pMAwF2kcx3TSDmkUk6cZL199iVqtxszMNK+9do379+6JMHs4YOP5OrOzovG5dOkScImjoyY3bt7g2bN1Xn756rh7YpnNkuKGtizeeGWdR09n+fzrK1w4u857b3+FshANj2LsCir5JeIoGjtOiw5rHEUoxzGtYtecnuV0ljoZ1WqNYX9ElmY4jmuE37Ix12sNUwR0x7FlIN2iIqJpanJ6fD3I+CQhjnskSUan06dUrlCrVel2OwyHA9NlkgW3Wq3QqE+ObfhFbFgBtxxFx6ylKJIDQsHmGww0Os0JHJtASURXQiToDtNOStMEtCLPYrI0EdGvsrGUDVigbfJMkWUIO81yxOWpLLRVjEaPR8KFLT3WCUlqgMhaOohojVL2uJASwTEmHq0krltlY9sZWapNNJI/3szzTBttqY0yHTiUkkLNOJhty8Yz0M2C+1SMcLWWTETb5OmixW3quq5x3RWYBdGJFe83YDQ+CbZluu4UwnD1QkdBXK6Z6SLZxQjVyHKU2QBsy3rB1ZYLTNc4ZyVU3bDtzH/akvdeU+hb5BfmWpGjcAIfZa6vje0tmp02WZ4xMzPD4tISoyjkcgqXdvZBwfpv/YBaJNdpuVIGYGJigs3NTSYnJzlz5gzb29sAvPrqq8zMzHDjxo1fSE1pNBqUSiX29vZotVp85zvf4dy5c/zsZz8jz3MWFhYYDAYcHByMXYf9fp9yucz+/r6Bbdc4c+a0JAf0Q7I0xXNclIZBv08e5kRRTBwn41FYodfJs5xUZ4ZvFfH06TOSJGV9fZ179+5RqVWYmZ3B930uX75MpVIhiiIODw/Z398nTTJyX9ihp0+f5eLFq/h+RQTwWnO4t8/1619x994d4tEg1lUEAAEAAElEQVQIx7I4e/Ikv/ad7zI1P4PWklizvb3NzZs3efbsGb7vc+HCRc6ev8S1167h+T5aKza3tvniy6/Y2t7BD0qcPn2WkydPMTExIQf5XI/Xol63x8OHj7hx4wY7OztMTk4yOTnF6uoaJ06cpFqr0O322N8/4ODgwCCOqoKACEf0owht28RakysLNwiI05TZmRlOnjpDuVJl/dlT2t0ulu1gOYA+1kMVreAsS824sEFjosH/cKsDwJdTDbLFafxwJLqqPOPg4IA/+ZM/QSnRsnrGKCNRmBIJZptOTxiP0CrHchR5Lu5XKc5sbFfix5I4JoxGBCWffm9E2RfZTI7Gd0rC10uy8f0EhYarAJIXCVBywM/yIuFhgsXFBbJM2HFJEtPrdqmUK8zPz5HnGY7t0KjXOWq1iFIxRywvL5PnOXt7+9RqVcqlKkdHTZJ09MLB7rhrZ1aCYykFxmxhblxlyfONwpBypUKtVmMwHMgUADFpKGy2t7fHebLFoVlSZtR4Aqs04wkAJlmo5AdMTk4xGvbp93q0Wy16vR5TUxPC87Md+oMBw8GQmrmP+6OhmMriHCfTJFnK5uYWtqXwfB/LdkjiCMf8niiJ8UoeE5OTdJ9vkuY5WmUUnLrz5yVX/v79++zt7Zkkmwzbchj0h6RJxmAwJI4SE4eXkOps7FeYX1jgqNUSnmAUYSsLlZvMWS2YF2CsI/xlH79SYVeAXuFPcWuUolQuMdFoMDc/R9nkfYJka/Z6wniqVCrU63Uz8hHmle/7lEplGo0Gv/mbf5bGRI3PP/+UPM+IohFp5DHq9wgHfcqVEmk4YvNgn06vR6kSUKlUqVSrvPPOO7z99jv4vke322Vra4sPPvg5h4cynn399dep1arcv3+P999/n06nw6uvvsJv/eZvMjU1zeeff8GXX37J+vozLNvm3LmzTE1N0ut22dhY59NPPmVrc5OCfJ+mKakZRadJitKax48fQ6557bVrRKnF6uoK83NzfP7ZZ+xu7/Dc6O2uXbvG8soytmVx9uxppmemuXPnNvfvP+Dq1St0+4OxeF+ZDxWl+Eu/dxOlbP7W37vG3/z7v0WpFPH6yzelk4Em1TlpFBOH0p3Ls2ws8CyKEXHxWLjKJU4SIyiWDMLJySkqpcpYGF4YXEQXloxNC4XItTi5HY8EU3y/zOyMZBSmaY7vu1QrJeI4YWtr0zgv83FRBsdj+TAMTSvbH2sxCyafbVlUjAB2MBwK0sAUNKMwRODuSphnZoyqLIWlTaJCqtF5Sp6l8meusS0lB6PUiIatlDwXWLbrmaJMgXIdlM5J4sgUa+p4/Jsax5bjkqmMtNACGhFyUPFMJ87kJBsTh+vmZFmRWXmcizuWODg2tuOYQ6vG1rbR1dnjwqdgRRaLbeHQjOOY3nBAEmbiVtPGsRrHkkqCFLphmPwCc3CMQej38F0X329g2xZpmoHO5f1U8p7pQiTsmkB7M64pHtKxE55Xmkk3Llc5uWXJRpRlMhZPxQUoMZASJ1a47wq8Qq5A2TZe4JPnmsFRi83dHeI8Y2pqkrMXzrO8vIxnKc5+fRsrz9lcW2anWiEd9pmfn0dZ1pi9ef/+fU6fPk0URVy/fp3z58+zurrK5uYmN2/eZDgcMj8/T8H5mp2dFQ6jyXDUWotmZzAYB3UXurquSZzo9XrGWT5gojHBpQsXsFyP1uEB4VC0ORZibopiKeqSJCUxjj5LCbon1RrLscf3meO4bG/v8PTpM3q9Po4nG8TMzAzz8/NYtk1iugedThfH9SRbWrnMzixQrdRFO2Upms0mX33xObdu3SJOIjzXZXZmim+8+w6Tkw3RLOUymr5x4wZ37txBa821167x9lvvUK42sC2bXrdHs3XEhx9+xLP1Dc6eO8+Fi5dZXlml0RB0i9KgbEQX2W7z6MlDPv38c46OjpidneXUqVOcPHmSEydOyAFzODBU/iGxScDIc3nf8yQmSWLhiymFVynh2jZrJ09y4uRJgqDK8+fP2d7ZJQxjZmcmiUZDkjg1khOZMDiumNPK5QDPc6nW6qjyAXSHlGybiXqN/mjI3bt3x+akza0dEdIHgeCOzH2QpcJaLKIy41R4kZ7rkmtbHO5aE0YhURzhuA69fk8E9NWyfPZRXJyNKJUw+dOO5Bin+he6wmMwuCnm0lw0mbOzs1y6dJlyOWB7WxyZ/V6PUilgZnoaz3Hp9/pMT05x7uwZ+v0eh0ft8aG51WoxGo1YWJhgaXGJJI3pDzrHNUAxrRg/REefj8fPhXwGsy/BYDjEsu0xEzI1eKs8E6lLkZc6MTExjl8U2LMc9JSyjkHpxTqhpCgfDPokcYSyLAbDIUEQ0Gg00FlO4LoCoI9k0uD7Pt1hnzCK0anZExT0B32erW9Q9n3RZCYpfiDa5mG3R2m6zNzsPBubmxI/VpLue6vVIk1TVlZW2Nvb4/nz5yKDiWMsJaBmpSwjA9XGC2bi4kKJcrt///64sZWkqZhRTAFfrMl/OrL1l3n8yuaJYkQjyIoQ27awLcVEtUajUmVxfoHA83AsmzAe4Xm+BGS7LhrNYDiS9q0GLBvXtVhZXWHtxCqVaomZmSkq5RW+uvNXiKIKruNQDX7Ca6/fZ2bWot/r8eTJUzzPIdM55UqZ8+fO8crVq1QqJTzX486d29z8+hb3Hz5kanKSd955l6WlZQ4ODvnggw9pNlt885vf5Ld/+7col0o8evyY93/6E+7cvkuaZpw7d56Tp09RaVRZf77Op198ysb2JkkuIdSWJWHpg0hOMiU/AJ3hug5P1x9juYqTp05RqVZYWl7m0pUrtLtd2r0eX9y8SZgmXLl8iempKUq1Ci9fe5XJ6Smuf/klzeYRkzMzRHGCyuSCTqIIrSxKJY9/8S8+4Mc/P8vW7gR3H63y6ktf4zqQpjmOa+E6ZZJQODp+uYxXKsnmmcpJMNY5qZY4qWg0ZDgaitnCsekNegwHA5I4Zml5mVqtQrvTwfNdxOmVjIuPolsxPT09ztvsdg7odLrMzs5SICuyLCPWEeVyxYwbHSMMdk2hmOK6ijgLcWy5trqdDnEUSddXK9I4RVkOoyil1Woa97CP4/kox8ZyHTKdGpZRJMUbclpWQK4TkizGzjVKS5GilSal0C04WNrCwoHcwso0Ks9ROgdHyhbbc/GVJk0S4kwYjbiaslsa5xcWhWhxYs00qDzHdkrkWpxtWTZgotHAdm2sRCDCWZ6SmTzbAl+iVHFClZOtZbtoS3RSWosDtz8YMRoNcVxXQNO2Y7AOHhYjdJahhMUgmss4YWT0lEFQIk1ts6CbMGsyXNdmYkI2Y8u20eOTtzlNAzmCBFDK9NzMcdoEiskilGfYtoirtRGSkwuoOMMkgeQZcZKQZsZBb1m4locsbWbxNocSpaTjmKHZO9wnzhLOX7rAhQsXWJifx7Ydpm7d5+WffERkWfytl84xjEbEcUStWmPQH1KpVnj+/Dmu6zI9Pc3t27fpdDosLi4SRRFPnjxjfX2Der3Byy+/QrlcZWdnj1qtztramuEHZnieR5pmHBxIFFmuNe1ulyK0fhiGuK4jcXKjEZnW3L1/n4landZhC8dx0cBRr0tERmxD4lkkyiJVsuEoMwQSPYBLpVxndW2VoFTn8eNH7B8e4bg+2rKxXY+Ll68wMTVNpjVb2zs8ePRIRoG2T5JlTNTqLK2sgGWRZClJGHP/3j0ePXpIHIdYtozoL148z8LCLJATRQm7B4fcvnOXp+sbKNvh5Zde4s133mV6do48FSTPxsYGX335FesbGywsLHLx3BnW1pbwSj65Tsk0RGFEp93h/r37NJtN9nZ3iMIRiwvzLC8vs7qyzKmTJyiVSvS6XY7abdqtNqMwNG5XKbKjKMTzHUr1KnmW0ZidxvdcPM9lenqKerVGMkrZ29un3xuwML9IyXfotTvEYYjrOGZ0Kh11zy9x4uQJXM+n0+vx+yfneXn/iGv7Lf5C4PJ/zUZsxhEzszOkqSbNZTrgGGN3EARSvOURWRIZMLqF1nJvO46NZctIGXNQGfQHcmDJc7JcAMTVapmD4cgcGkXTFQB+KcCLY9JhLGalPB/DmCU7VVA1nu9w/sIZfu3732VyYoKbN25weHhArVZnYW6OpuNIvBowCAf0wz5LS4ucu3Ke0sY2URiz/vQpzdYRSZQQDkPQIhMBiyw/hgcXMF+xP2mUbQoRu5CxMAaQy7hfJiLVco1haUgYi/HNNp37JE+xdE4lCPAdR/TGyhR2FmYtKH62hTlJ0u62uHf/DpONhugn0czPzzEzM0O/28P3PfIDRL8aJVTrdSpxiut16Y4GKCUFPloxihJ0QVayHEpBGa0hGsU06g0qlSquHzCMYlSWEZQrDMOIO/fuUa1WmZycELmF1ihtGU2gLfnJOfI1ZQsOyc5I4oj+YMhX12+gUMQm570Ya1u2NU7oclwBr/9TixQrTtFycTHu3kRhiO+4eI7L9MQktUpVNgATBhwnCXESE4aRcZD49AcDgiwbuyU93zU3xTS3H/0nbO29xrGU9HcI6s/4N77799DZLlma8+jxY4IgYGpujuXFJbI0Z9gfsru9zwcffcT29g4KeP31N3jzzTeJooivvvqKvb09vve97/Gtb30L3/f54ssv+fnPf869e/eI45jFxSXeeust1k6cYBSFPHzyiCfrT4mzhFTnJHlGlmTk5CwszHP+wnka9TrdozZbW1uMBkOePHmEsi1qEw0q3S7lep0rr7xCkmt2dra5fvsWnV6budlZ5mbnuHjxIpcuXyZLM7a2tihValjG/eR7Hr7rYSmwLeG3/eA7t/mbf/cdfv7Jm1w6t847r98zo5tMulc4uIFvun4ZvuPgmM5clsXESYZtKYJyCcuxaTQasgGlGYHjYlmKdvsI3/dptZqsrz9jYWEBy7KYnJxkYmJinP5QqVTGmA3P94jiiMGgb+JP9DiJIYtjHMvDtV2arSZ7e/sm9syj4ChJgZgwGoV4ns/a2gnyTMTDOpfrTSsL23XBUgxGQ+IowvEcsCQPUilFniWmM5cBolnROjNdklzYbJakGGQ6Ex6TUlgIw85RcgrNdEqWiN7BtuX0qWwZYWnz2hzlonKz4Jp7Qxx5Er8VJSkqjsSlm2fiVNUCUA2CAMd2x+OUxKQ4yLhVm9FnAQCRssmyFHkO9VqNwC/hDfyx2STLcsIwGofbo2XEmkaxSaWQTc2xbJRxqmpd5JaKzb6Aj9rmeek8E+CzseRnxuWplESHFdYObWj/WJYUyZZ5zhrDeTqOEFS2jF3TNCPTx7FsoLFNITc+nZrTe56JI99ybGq1GpcuXmR5eZmTJ0/Ke7qzy2/9ox9j5Tn/zflVftbvMP30yTgRY2d7B7Scss+dO8dwOOTBgwfUajWWlpY4PGzy9Okz8hzOnz/HyVNn6HS69PsDkiRjenqWixcv4jgOh4dNzp8/z9OnTxkMhtiOTa/fE3K8Fs0UShbowXBEt9fn8y++4MTyCkcmAD1KItq9DoNohF+q4AQOURIS61QMSGblU1rhWQ6O7VMKqgyHIe1OjyhOsT0P3y+xvLzMqVOnKZVKNJstHj16zPPnW1iWRFspy+bK1aucOnUa13TGnz17xieffMLR/j6e5+LZLrOzc1y8eJ6gFKCUmCXu37/Pg4eP6HQ6rKyt8dLLLzM5NU2aZ4TDkO3tbW7cuMHz5xtUK2Veu/YKS4vzeJ6NsopIwIh2u8utW3d4+FAiGIe9Lgvzc0xMTLC8tMjS4gKB74HOGQ76jIYjBoOhGEpSQ14whq+g5GJ7Np7vmq6WQPC1zmkPBsQdMRvUanX5zJtHlPwSeSKJDbYt4e1JqgmCMo7rSRMidNg9s8rve2V+94Ov+Mvru7xjw/9zosw/UgLox1L45RLasrA8h/pkg3K5ymgUErcOsG3IsoRcZ9jGRFgcnpJEJA0vpvE06g2SJOGo1cLIoynK+iSXDr3l2ObgOL4lgOP/b1sOK8vTvPvOm9RrVR7cu8fG+jqB51P2A1SuyRsTMs6PQsJoRPOoSWOqQX2yxrJept3qEoZSXFSMc19cpJY8n/xFY5MaF3AaQW7ZShnguHQQHWVhm+6+yKzK1Gs10jRmMOjjuw6x5xGORugMSr5HyffkMGvQRkqBVkp+v+kGWmY91AqyPCWKhtRqSyaXWQuPNAwlKcj3cDyPfrdHr9enPjElOmsjt8m1Jk/SsakuS03UX54zGoWMBkNjMmzg+QGVao0wbYum07JxfZswivj6zm2OmockSUy1UjXyF2UO/Da+51GpNcTIkoxIVYzKHLTRzTqmgBOelDkgK2UCHSTRwnEc4vifksZOU3TtjkeyaZoyGAwYjkbjDcU2QFqQ+Kc4ihgOBtiWjC0tlZOlCUr5OI7i8HCP27fL/Hd/8Jvcf/gWz7cWUUpz7vQetp2wt9/g6zun+C//q9/gf/6v/L9ZXFxkMBhQrdVwgwqBVyEcxmwPdrlz7x7PnqwziiLeefsdLl64RBwlvP/+T3n86Anf/e53+e73vkeeZVy/fn1c1PX7febnFnnrrbe4fPkyruNy695t7t69S6/XG4+WLcsSp+xbb7JyYpmJiQksFNFIFrmPP/iQ9Y11Hj1+TGzo3mtra1y+fJlyuWw0Jdu0jo5otVpsPt9ka3OTa6++Sr0qsSLDwYCpqWmBUDoOOA6K3Jz4cv5H//wGn984x+17s/y3/+gHDIZl3nvnc2zbxXFykiSnNxya8YG5gHWOVsh7loh7NFPiULSUolIuc9Q6ojcaSayPcV8Wn6WEGtcYDAZjlEOn06HT6aCUMq4qdxxRZlnW2CVUOAgHfcmtzfOcoFSw2qSbE5sg7VqtzsTEJJ7nj2n9/f6AKBEC+8zMDK5r0+m0CcMRYTii4laplKuQ5SRxTJoIaFdnUmD4bhnyhDQaobNEihCzSOXjrpjBfBRjSdtCaYs0TsjSiNwUW7at8GwRIGs0OiucprLy2baN0maE61i4ricJIkpjWwLVHPQGlEplHFtgzC/G773Ydi/uoeJ/F/xAcWBK8HSpVBr/2+JEXXweaRIf87TSVJxkjjHZZMjCmyvSVJxYjiNB5nmSoXNr7CSzbYsgEJxLrhPyNDOOWlkQsQsFHlgYphOIRd+MirV5ba7rYDu20QNlMM6VMLocY/Z40X1XvA9JHEMmkNF6rUa5XJbCL4p57aefMtnu0ppscP38SQZ7e6R7GUtLKyRJwmHzcKwHXV1d5aOPPqLb7XL58mVWVlb44z/+Y54/X6dSKXP69ClqtSqff/YpWZZSq1Vpt48IgoB6vY5t21y5coVbt25Rq9Votlqsrq6yvb1DHCdMTU0xGAwE55NlxHHCwUGTkutxdNgky1KwFcPRiDCO8IMKgV8iHIVYaUZG4ba2ZdTvyhgpjmMOW4cMh0N5Hq5NvV7j1MlTzExPE4URTx4/5tbXX5PE8VjHUyqVWFxYFEG5ZdHrdrl75w7Pnj3FN/gPx7FZW1tjeWWFwtzw+PFj1tfXiaOIS5cucfnyZVbX1kTzOhxysHfI/fv32dzcBODkyZMsLS3RaDTAtkhzSXzodns8fviYzz79hIP9Q/zAp1YtMzM3w+TkJMuryzKOzGRdSdKY4bBPp9Meu7WLRJpqtYK2MnIlbDBBMCnCMMbzXOI4JYpiLNslihMOD/ZZWVygXq2YVJfCjZnhWha+4+P7geTuOgHYHndWTxLFMd/56i6no4R/tzXk7Tjjr3vwqW0xv7JIHCccHbUp16uUShXCVFJpMIXb+H7UehwXOGZPIrpXz3XRFUFV9Xs9wYSZYqhgvsqaIoeTbHwvGOWZljWoVCrx5htvUgpKfPLRJ+zu7lIulQQHk2niMBINnBIIdJ5pjo7a9Pt9ZudmsZVP4JcZDIYEpRJHRx3iKB6PfX9BU/enRrGWkXq4jpjcUjMdsCxJpAFNmqc4nk2pUsbqtbFdB18FaHLSxCJLLXzXwXNsHAXY8u8yS5A4Iuk1Yg+lzcRPYWOPZSh9I18q+I5hFI7XPjHpiXs+SUR+InGMejx9kqaBObAipiilNa6SKVOjUR/rvpWDdEk9lzTLaHc7DMMRUZpghyHlwBV9nC3vne04VCplkbAMNSoXLXau0nGR7jiuwdzkorMrikI/oFyuSO7wP63Cjj+10RT6nH5/wHAwYHJiYpxpVrBkbNtmNAzZ29lHYTEaDfF9n3LZJ/A9kjjk9u11btz5F3m8fsncEJpf/87H/It/4WdYVsgf/Pgt/ubf+TPce3iBDz75NmfXUk6sRIRxTKptsiTn6eNnHDZbbO/uMeiPWFlZ49orr9Ht9vjRj/4IgN/5nT/HN77xDWzb5mc/+xk//IN/xIMH9xmNRkxPz/DKKy/z5ptvUq3W2Nh4zt07dzk4ODDQR5e5uTkuXLjAtWvXuHL1CnGe0Ol0aLeO8P2Ac2fPkUQiTN9vNXn89Cm26zIzN8swDJlbmOcN/y3u373D9vN1EU32B7RNvt/3vvNdlpeXabc7KMTy7jpyUytEgK6Aufky/+n/9nP+V//BmzxZn+H/8fd+i6mJFhfO3MN2HGzXllNNnhMbfELhZnWVwnf9cQxUnmX0e31qtRqLC4sMez36PckpnJycpNFo0O/3xx2ho6MjhsPh2BFYLGL1et3AFxvs7u5iWdaYh1dcB7WawCgdxyFJI4bDIf1+f9ytG41ChsORsJocGSmWSmUKhl65LHm2kmuq8HyXaq1CUAqwbIfIGDpsx0Ep6ZhZ1vGBJNcahSkmjcvS9PpRJoTcdT05Gdo2rufhegmZseVnWYbKRa+WG9RJlhluo9G9yAUsr9dybNFKGeaSMqMEbTrZkv0oWJosy4xRRLQjSkGaYrouspCKPsihyHAsTv5F8V3ck6LZMCT3wqWm1Nh4oYti1ug5XFu67ZYtG1FqjuPFvfyinsd1PTCjW0mUkLGWZzqzOsuxtFxXLzqIZUOTR5YV+dDJC7qRwpaRjw8UUvinYwejJU+PWqVqnNguURRx7qefcvUnn5J6Hjf/3A/wfZt8Z4dut8vamjPu+sRxzJkzZwjDkOvXrzMzM8PZs2cZDoc8evSIwWDAa6+9xurqCo8fPeTJkyfMzEyztib6u8LIUzDjXn31Ver1ugG2H2tifD+g3x8gOcAeipDAD8RQ4ziisYlCwlFEhiYIJDKxQIHkuYClLSXXVK1WpdFokGUZR0ct8lwTlAJs1+bkiZNyaCyVePz4MV98/rl0a4LA6DwVZ8+c4fz583hGf3n/3j0++/RTOkdtJmo1tM6p1aqsrq6a9zwjDEc833jOsD+gWqlw6eJFTp48KViHJGZ9b5/79x7w6JGw7K5cucLrr78uRZ25yLIspdPpcPPm13z99W2ePX1CtVpjZXmJxaV5Tp48ycrKCp7nkSQJg+FAXLDtDjs7O2RZNga4Fu95tVZlGPbBFu1vEJTIDeDYsiwc5WHFwuZrt3tM1hucOnWGTvuILNN4jkuupTBQmFhBnVFyS5S8EtpyifOMH67O8rf7LX7toMM/2xrw/V7Eu8D/se6Ra8UXnsuRYxFlKVk4IMoTMCYry5YxZFZIDdJU2HaFGc3ogNMsk83cdO8tpcSFro4LuyzXRqOrxvfh8TojHXDPcwn8gA9+/gGbz59Tr9WZakzg2OLCtZRl5AMpURihUHiOB1ox2ZjEdyOBBasyQSkgThJczyPXGb1Bdxwq8E/SeFlK4XseE40JYdEmfVmTVJHqKs6tKA6JkhGjcEC315ZuXJ5Rq5SYmpigVPLI8xTPs3FyOexqW5kDtexlRfKNLGCFZk0aDHmejXWoLxrKCv22uIfjcXHkuvLeZhnozGh5dYbSCmUJwcNWiophGA4Gg3GRm2QpaZ6QZGJOtG2LNImwXYeMnDhNUIimGkuAzaNwhOd7BKUAElntUmUZQxFG3mZDrnDc48O9APuVJHjZ/5Q4doUrp3BsFRvK7u4um5ubzM3N4bouly9f5tNPP6UIPQ9HIXu7e0xONFBAtVyiFPgEvs3BQczdx/8KveHb1GsDLpzd5L13vuTK+adk8RDbd/neNz+l2Srzwz/5Nv/V3/51zp9e4Qfv/mfofBfHcRiNRmw+f87e/iGDUUjhwr1z9x5RHDI3t8Dbb7/NuXNnGQ5DPvnkE/7hP/yHPH7ygOGwz+LiIt///vf5/vd+wOLiIpubWzx8+IC9vT2iKCKKIqanp/nWt77FO++8I+JMrRn0h+gc4yYdEvger7xyjeFgxM8//oB2r8uz9WdoNKdOnWJ1dZXFxUXqtSrDi+e5f/cue7t7hGHI9uYWX375JY1Gg5nZWQ4PBKFQCNgdW7pvSRITBGWuXor4j/79T/nX/t1fZzj0ePDkBGdP3CMnJ4zDcaesuECAcXpBUCrhuy4j48AZDAZ02x1q1eqYLt/r9cZh1Z7nYdv2uNtWgBmLom5iYsIszinG3Em/36fRaIwX7TzX400ty1JT3Jcpl0tjh1Gn00Uh6RdhKB08YTeVZUyhMymklLClCl1Df9Cn3++TxjE6z3FshWNLqztNE6IoIU9jHJVjU3CXZBxomVOxnJTzsQsrjmOSVAtuRefkeWreRyNczqRQFMCuFP7aOL2F/ySnTVs5ZpxqshBNNyqJzAjALPKOKeDTVEwqIOMIyMaFUVEkyX33i129FzV+sniZvEzXRRtQss7kORQA47GNFZPVmQnJPVNSgLruMT5lvCA6Ho4jxWrqSOC96zjj7N44i8Z5uY5lj9/PYlFM04wkSoniWMj0RpMEorGTw2M2LprTLCHNYhwlSRaWSeUoQrz9UcjFn3+GpTUffu8d7l09z94HHxLHMZOTU1iWYjgcYtsW8/PzTE5O8vOf/xzHcTh16hQXLlzgwYMHbG1tUatVefnll6lUyty5c4fDwwPefPNNGo0GX3755dhBXHQFVldX8X2f+fl5ypUqe7v7OLZnjDqKcqlCnmuGOgKt6PUGhGGMY1vjjR3LEv2p7ZAmgq5QuhizWZTLJWZmZiR6LxNOnmPuoyAIWF5aYmF+Hq01O9s7PH78eHwdeJ64rV966SUmJyYEg7K/z/r6Ou12e7xhj0Yj5ufnWVhYIM8yRuGIu3fvsbcr4+tTJ06ytrpKrVJB2bZMMNqdMd7h1Vdf5c033xxzK5MkIUxiDpqHfP3119y4foP1Z+tYtsvC3Cwry8vMLc6ysrpMEPhmPBjS6XRoHjbZ3d0jiRMWFhaomxSLIAiYmJg0ByZNrsRN7rkeQa1MnmsZh4YZg2HIxvMtPL/E1ZeuEZR9Hj16RJzmlKvCa3McXw4pQBSO0EZ37DjSDbdQsDDH7zdqfNzp8W/v9XjjsMNf7cboT27zUa3Ef3N6iesqZ2NrUwpQxyZPijg5RWRyZo8PW1KkxJFkpfcHPelQmftXPuMMrXnBuWsMEhag8vE4tDgw57lmFI748OcfcnCwJ13lWh3HciGDVAvYulSWuMfhYAgG7pwlGe12hzjOOGofjXXQs3MzhKGEBhQNgeLQmL9wULQsiRIreQET9QZZnJA4sufYWqFyjVYZnuuidEY0GhC4Du0sIYojsiRFBQGNeo04CunlGfValThOaEehRDe6HuVKRa6pMDYyGNH454ngp0ajkfBfkc52o9EwemuhKsSp8A27JslBDviFltmccjmOMMMcwG1bpk7tdpvBcDTeSzMtOuEsy8mjkaxvyP6GMbLYRmeoLEkt6g16TPpTKEtwb2ika0cG5n7X4/1B1tIsy0iTnDQRqkK5XP2lK7VfsbD7xUdxCh8OBty+fZszZ85QqVSYn5+nUhF35WAwgEyJeHUU4noOnmtjK2g1U3aa/zmD6M9Tq3b5N/4nf4eXL+/gOQ6eE9DrJQJ5TRP+wu+8z/Sk4r/70Ss8eHKRKPq3uXDyj5lofMTW1lOaR7sSBh5GlKtV9na3WV5e4uVXX+Hq1avMz88zGAz5wz/8Q/7wD/+QnZ0dlIJTp07xG7/xG3z3u99jfn6Rrc0tbt26zc2bNzk4PERric36zne+wzvvvEOtVqPdbrO1s8327p6IYvOc6Ulh1Pmuyze/+W0as1N8deM6BwcHPHv2jGarZaCNMDM9xcLCAivLyygNzWaTZ0+e8NUXX3Lz5k2+9d57lGsV2eAdC8fz8D0hiVsKHFtOdK9cTfjLv/cFf+Nvv80fvP9tzqw95cKZB5KVZ5yktm3LOMwSQXqWpgx6vXELOktT0JpwOKTX6dA8PKRarTI9PY1SaowaKAqHIAhYXFw8ThzJBT4psNbY2M2nTGJFZzy60nlGaoqjXOeU3QDf95mamqQw5Di2Zwo626ANKvT7A+JYMiA1sshYtqJSrlCrVczIq89wGGIrywSzC2g08D1DIo9IYxudJ6jcuEilNyRFlwiRUI5NbFyJWZagSfF9FzOFFTVZJiTyApViWSlpYjZcM+oq0ldcV1hKURSjs+yFBAYZyaZajAfHSBQpiGxbXkdQroBmXMQUTqnjk6hnRgr5Lxy45PsYL0TH2aumyFfCVRcNCNKxVFLcgWwW8TAmjoXQ7ziu6XBYZJkmJzcLnwiPbdsRfIey0K4izyUe8MX0haLDpizBy2hdwKUxwebFe5xDpsdd5mJM7TiOMWqJbsxYKpjc2afS7RE6Nk9nJul2RTYxNzfHmTNnmZmZY3p6mlazzcLCAr1ej+3tbc6fP897771HuVzm7t27JEnMO++8xcmTq7RaLTaePyOMRiwsznPY3Ofg4IBarYZlWQyHQzqdztg5u7S0RJLm3LlzF8dxGA6HZnzToNvtMhyOmJ2ZJw1DslTuyzzPcWwHvyLpI4PBkKGJ17KNuL9IeCmYes1mk+FQ8Bi2bTM5OcnLL7/MZGOC0XDI8/V1uu22KMCtnHAw5OK58+LIBQaDIQ/u3ef5s3WJeDMFf55nnD59WrryeU6r1eKrr76kfdTG9QLmZ+eYbExgm79vNps8evSIg4MDpqenWVpaGgPQx2k17SOePH7I7Vtfs7W1Sa5z5qYneemlK5w5e5bp+Rls15UNO45pHh2xvbVFu9NmNBhxYvUEExMTlMtlpqenBL9kRmZ+4GM5Fr5XMvxO0Lki8Ms0ozbrG5ts7+7x8tUrLC2vcuOrL9je3iPwBUAeBGVKQQnHsYjCIWksuCjQ5CrFynJmG5NMT0wSpQm9QZ+/fj7lz964zz/3dBdLa97tjXjl9jP+kxNz/D00Jd8nNWgi27ZRqRrTA4RbmY91aokx1Qz6fSy0aDPN3qCU8B6LQ/m4s206UspWpEmObSsjB0uJopDnGxvYtsXc7ByNap1oFI4lQv3hEKVsYWAi2q9yUCaJErafbxNlCb1BjyRLGQ6G5rko4jiVLpY6xpWN15Bi/1eKPE0Jh0MsIPA86SIqsMwBo14KmK2UaaQp3zhs0+sZHVyU4LkJM3j0+wMpLC2bMEzoxhm5Ba7SBFZKmuaEo3QcW0quuac0j2yLfr8ve0wmwPtKpYLve4RhiOt7pLkmyTJ6XYntC3yfHD1m7xVrD0XRatbKPNdYrjSvev3+mMOb5wbPVOTmIjIb0SSDnWs8BxzlYjsWKs+Ikohuv0e5UpHOva1RJCLpyfUYcaVe4I2KltEU9ih8z/+la7P/vwu78ZxdKdIsY2Njw5C4JZ5kamqKVqvFcDiEVMCVo9GILHek/UzA8/3/hGH8e9SqHf7Nf/nv8NrL+6RxRrfbZ2FuAd/zyA2rx3Nyfu/PfkR/kPD3f/g91rdeYX3rZcrBvwB0mZv431DyfyK5gRasLC/w1ltvcOr0OSYnp9nZ2eMf/+N/zM9//jM6nQ5pmrOyusCf/bO/wbe+9S0hzScJT5484d69u+zv79PrSNfpzTff5JVXXhmPGT///HNufv01Wzu7pJl0LJYXFun3+5w7c5aJiQlefeUaSyvLDAYDHjx4wP3797l16xZhGHLxwnkWZ6apVipUSmVZoK9e5cK583z++ec8fvqUSy9dpdM+ItU5binAshWWKVq0gdROTtb4vd/a4Mc/X+PJxhJ/74e/yb/+L+3TqMpFJ3w0jziWZALp/NlEJqmgWIiDIBhnYR4eHrK3JwXr4uKijEhMp67QSAVBQLVaHZ/4C8SGbVr/wDjFoGiFZ5noWkbDEf1+jyyXcePJkyexbWF0pYnhAnZ62LZDvZ7gOp4UpLmM+KQIlHHR4eGhAK21xIw5loxWHFuhdUYSx+QG8ivif1CWIo4jjPtcRh+WRRhFRHFKnmGQBaJfGYZDLEt0EjpHxim5AlIZl6iiZZ4IPNcYOAR46mFhM0pH5FmGI0RpGc8q6ahpS0K2M+NKtW0bzzc8LF30u+Tx4oJaGFayLBt3Vl/UNRapEHmWoU32qMhX7HE2YXH8V5boVfIkkn+Tin6kiN8qCjTXd9G5Jkxio6FRkGviPCEJRfsX+D4qz0lGoQBjESZVmkn6RW5ZpOb1CHAUMA5YeV3HI1t5euK+LQp2y4jILcvCznOufPA5bpzw8OwJ7lR83IHIBubmBNY7NTUFyEn+5MmT3LhxgyiKePPNN8ejx2azSa4lRcHzPR6aMezy8jK2bXF0JPmakuOcjfNigyDg6dOnzM3OguVy9uw5siznyy+/wPMCSqUKBwdNQFEulTkajMxrFf0MGiYnJgmCMltbYgo7dgQrgqBMrVbHdT2azSZHR2JoKrKZl5aWOHniBK7rsnFwwKNHj8jSbFzsLy0t8frrr+O5HuEoZHNzk4cPHtBsNk23WK7bmZlpTp06NdYQ3b59m6dPn+L5ZZFUlMuil8o1rWaT619+yf7eHp7n8dJLL3H69OlxIVJ0OJ4+ecKHP/+A9fV1wihmojHBtVdf5ezp06yurJLZmlEckmSJQMrbLVpHLfzA5+yZM8xOzZrkm6qZVEgXOwg8fOUSpTGtVhPH8ZicnKFen+T+/Qf8yZ+8z4PbD6iWy7z22hu02i3u3n8AGkqlCp4f4PtyqMyylHAUEw5CXNdGZRpHg+86LMzMgmVh+y4HzUM6/R4/unaJn56YR2/u8FfXm8wlOf/B011+reLzD6oNrvv+WMoRJdItGo1zneXABqI7P2weMhwOKPs+pSAYY6lAunWumYAMh8Px6F0isup0uz067d6YtyiaYYtKuUa9UqffH9JutlhaXCJNpUs3GoWkmbjvJ6cm8VyPNEsZJSNiLd3dwaDPwcGBjKwN4DfLj6MLj9MmjmsAR1n4eY5udwiU4jtxynya8dvDEbOpAKGt1gh3q42tNTOjiOOfAJBAe9f8794/odKIgX9yRuqRgg1L8e9VMx74HkqpMUuuXq8L3FkpSiVIB0NhpOqcWr2OVpput2veOzFnSXSaZdZ0Gf2WggBVIKNMc8OyLWEwWeY/DMvPyH1yY8JQtoXt2LiIgSlOYpzYMWPV43VYZ7mARs1IVin5uueJOWhqamYsi/plH79SYaeMgPE42kIbIaim3+/TbDaPwXvz8zx8+FAqzcBjf3+ffr9PKZfRnBP8HmHy51EKfu83/yFvvnpInoleoNvp0Go1sZRNGI7o9rrEUYxl2bx5ZR1H9fjgi2/T7pQYRbNoPc/mwX/ByaV/i4mJj1lYXOSlq1exkPn7s/V1Pv30U95//32azea4q/jOO+9y4cIFGo0J0jRjd2eb+/cf8PjxE1qtFlMT01y6eJGXXnqJUqnExsYGP/qjP+L6V1/xfGsTbbQLruOaiKqU9WfPeOXllzl5/gyrq6sAXL58mdu3b3Pr1i3u379Pp33Eu2+8zszUFJZt0+10QGteufYqpXKJm3fusLG5ydqJVcF32AptW+SWwnJcHMcmCgFlsbZa4V/5F37EX/3P/iWeby+xuzfNRLlJGI4g19QqFXzPR2mJP+qHIZERKTuOMx61gXQBV1dXUUrR6XTY2tqi1WoBMDMzI2MQJRb3gmFX6CkLQWqRLFKYaIoiR/6tTbVSYXJqAstStFpNE/4cMRiM8Fy5IZWy8P0SBSzXsm0jxGVcrPR6XZSSgk6o775obVLpoOV5ShyGxElsUg/MCdIEUGcgDk5M98opQsSBXJNpC8hIs0iMD44jMGNtoTEAY2WLHsZx0GlqtDOpgIEt0e5hgMVy/8gryDLBleRkuIHNceayHuvoskxGZJYpxIquTZIkeJ4sYmEYmRFnYDQ8wqeTjUROgpnR8RSdA20E7RpZdBzXFp5fnpObjt8ojLAs6WxaJj1irFXRGitLjenGQisBX+cmEi3yPFwt3LnCol+8BsuyJJjduL7kZ5sRrJI/rWI8ghwiQBtZgYwfFccjaT+MWXj0DA08Pr1KmKaMOl0mJye5dOkSBweSw3zv3j3On7s4vq7Pnz/PmTNn5NCJyAZOnTzF3NwcW1tbfPjhh7RaLWZnZ/n888/HGZaj0ZAokqScIo91a2uLqalphsMBV65c4ejoiBs3b1CpVFBKcjNnpmdAywiuUqmC1gyHLRzHZ3pqGtdxJD0lTQyKQ0DSC3PzlILAdGVGRLFMPBzHZnZ2hqXFBarVKu2jIx48eECr1Rp3zwHOnj3L2bNnyfOc4XDI7du3efz4MWlqukqAbVm8/PIrzM7NoSyLVuuIO3fv0un2qNelcz4zPYXSOVEY8/jRI3Z3dlBIqsbS0hKVcnmsMQ3DEQ8fPuCjjz/i+fN14jiiUW/w1ltv8dJLLzE1NYmyBHpdTAxs26Jeq+GePMHc/DwT9QaBKxzOvb1d4jimUqmM3fidfpejThvHdlldPUEpEDfwZ59+zp3bd2mU6/wzv/u7+J7Pxx99TKt5xMkTa7hegO+XKFeqKAozUiJcTccp5GCoNMdzbIM6gkatZtYahz2dcWvrOf/Oa2e5iMW/9eVDftAL+cadZ3w6UeX3Ty6zNTtFfziEwYDcaOR836GQMuVZxmg0NA0OzXA0GoPFlbnPHFuSgKIwxLJsSvUay0vL+H6ARvbbNMPkmmp8z2Vhbg6da45arTGfVGQ0Dp1uB8exCaOQufk50TRHI/JYtNRBpcTe7h5eL6DX7ZEM07F72FI2VV2MKs0BLM/5nTBhwrJ5Ow65ZKQak3lO8KeleFkGSUYOjByj/37RBFJUEy/occedtPF3yNikyAAGKGvNK5nm/9SP+X/liqZj898pm/39fS5dvMTMjEWn28P1fYJSmb3DQyrlMnOzswJD1/nY4FX8V0RJKkt0gn4g2r8oDrEshePYxLlkemtE96tADGhZNk7HiJIYrcD3SzLezzV5lpioNwfXlj3RtiwyxC0d+D6VcmmcG+s4zliGUeiuf9nHr1TY2Rn4mbwSDWacAzrNaLVbfHn9S37j138d27ZZWVohjVLyRHAG7XaLra11SiWfR0+vcP3uv0qufb799pd8/5vrdI76uK7L7MwMaSM3IlSbeqOB63q0Wi3jwIRf+9bP+PXv3Gc40vzB+yt8fXeVzb1v82znr/Hm7H/MiRMHVCtVWs0WGxtb3Lx5k5u3btFqtdFAKSjzxhtv8fprb7O0uEqaKB4/fsxHH33EnTt32ds7gFyzODvPG9deZ3F+gYODAz765GM++eQTNrc2yXKNbWJHUIpRHLG7t4cGws8+o9lrc+nKJTzPIwgCTp06xfLyMq1Wi88/+5Q/+pM/5tnGM1599VWqpQppluIHAdNzc8weHtDudcU959ikSAGTodDKJlcOlmcRj4aUqjUuXLTxvYzhyOb+47NcPfuUPGkzTGJsBfWJScMkg1K5hKv9cYxUo9EgDKU1XuSNTk9PUy6X6fV6kv8IMppFnImiX0jQGuJYiNq9fh/fdceRRgXkuDjJ2wY8W6tXJOJHKSYnJ2m320RRTBQmlII6tdoEnufS7w/GP8NxbNIQM6YSh2kYxpRKPuWgYlriOXma0O/1xt1Iy7LQ2Cis8ekzzjOSDLAswZRkjIsY5WowHa7c6M2KLiUgWj3Adj0UFlESEys9LnYdZZEjxo5UC3rERUwFaIHxJhzzILM8xTaoFDg2R4DZ+LLCCZ2hcM3ik6AN5DnPYjw3QCGdUOBYE2OLnm3siDUPZVlg27iWbUb1UjwpbaFyccV6nk+YxBx1OwZJ46PRwtYKAkpAloiRBCyU44EteIz2UQffdcWiH5SwCzq+1tjKxnMVKs/Gxg5lCSbBUpbkyFrHjsLi2imKSqXAIgclPMLX/v4PCfpDHp4/xU8bVVQOjmPz8uWXmJuZJY1To4NVnD53lgeGOXXixImx6LqIy3r3G+/i+z6ffPIJDx48oFqt0u12uXv3LrVaDd/36fW7HDYl7m5paZnDw0PCMGZ+fp7bt28RlDxKiY/j21TrFeIoRmc5lWqVPLGJIm0mAzGjMGdubppGdZpOp0UaDXEtiWQLXIdatcb8zCye5/Lk+ROanQMcL6da96lWy8zPTfGNd9+iHAQc7h/wfH2DNE7GUWbVSpVzZ84SBHIgWF/f4MGDh+N7z3U9LM9iYmKSV19/E9sNwLa4/eAhN27fRVmKJE0IfIdy2QUdM+q32d96DnFG1S/jl8vMTs1Q8gOyPCOKQuJwxMazJ+xsbpInGRP1Bm++9Ta//hu/zszstOhZyeWzwkLZLpZWzE3NCKLCdSHLGYy6tNtttnd28PyA2LAs9/YP6PS6+KWAtdU1yqUao0HIw3v3uX/3Lp5l8f1vfZMrF87xox/9iIf37zM9NU25XMV2HErVGm7g0e91GQwHpGmMbYPtiKZKTmrgKFBaTCyeyqiVPXKdoHKN77g0leYzz+avnp3nn9s85Ju9iO82u7zd7tP0XP7dyRJPgzLPM01u29iOBSQkcYprW7i2YIPSJMK3HdI4xbIknaMcVAhcl36aYuW5kd9Y5Cpj93CXdrdDksXkqgjbk85RrjWVapndvYzUuLPzPKff79FsxSjLJU5CMp0KRzO30ammUi5TLlfp+T1Oz84xSqU4rGr4Z6McK9N8L0xYyvJxLaY0TGiN/adqhIHj0LEtfj5Ro18KcFxB0biuS5+Mn8xUifKUYTiCPKNWER2qQjEajnA9n8APiKKYYZSQmsLS9T2iKKV1dESSSG7r1VHMW2HCX+yN+Pd7IQnwRjnlvxol7JS2WFxeZmRHaAUT1QrNgyaNcoVTKys02y3ajo3lOaTkKMcjiSFLgSyj7EvE3PLSFG4J0t4IZQkNQJmOZpHLmyYxGmm6gBjAstTwYslAiQnMdjxsW+FYGtvWeJ4kZ+dphm0MJ5WgTK1WkzGyK89B65w8SzhqHf7Stdqv2LFT2KaRmssrQOucNMkYjXL2dnfZ3tpmojGBUppyyWfQ62Lbim7viGfPntLpf5f3P/2fEsU1LCvn9ZfXqVUU29t9eTGOO3a3gJD5a7Ua5VKJCSMAtm0Lywqp1yx+9ze/5uTqn/A3//4Fur0FvrrzHzE//9epVdd5/nyTjeeb7O3t0R9IPtzE5BSvv/46r7/2GkuLS6DhyZOn/OEf/ojr16/TbrcJgoATJ0+YAOwT7O7s8A/+wT/g5p1bHDab4nIyIso0ExH8yHZIotgIlj2ePn3G9u42vu8zPT3NzMyMgDhXV6lWK3z+8UfcuXuXJ0+ecvH8BRbm5mi3OywtLnLxwkVa7SOazSYzC/NkOgdLtEzCEtMkqWT4eZ7Plcs5//Jf+Zi/9n/7Nn/0wXf45usHnFm7RbN1hOu5hFFIkkihLFonOREUHYuia5fnOZ1Oh36/b3QKPpZljVMgDg+bJEXYvGNLoLMRv6eZjD4LR2MURQwGA8nK9H0sS7pvvZ5JJDG/r1Qq0Wg0OGp1yDJtoLbijB6NBgwGPVzXlaxZS1GulJmbm2E0GtFqNRkMhqJLMGPhYmxQdAuzVNrkUZKh0WRajBNgIMAU9gFxWimFLHoICkQphesI8d+yEuI0M5uTKmQZaCVZvZ5fErxMrg3vMMfyJcS5GJ2ORceOA9nxCTjPTTfNwD8L/Qxokiiha/Q6juPgmbGDUiIiLswkmNfh+x6WZRvyufoFV1uOxlaQ5hmD0ZA0TalWRZQrYxdFrdGgavHCqEdipbSCUqVsNN2ywmutTTybolKpjJMttJYup7L0eBxLLukoL5pAjteWYj3hhbGsbZ67HudLW1hkWY5KUuYePkVpzd1ywKO9XeaYJ04S5ucWOXv2HCdOnOLpsw06nQ4//vGPaTdbXLhwgVOnTrG/v8/h4SETExNUq1WmJie5c+cOH3zwAfV6nWvXrrGyssLS0hJpmnL//n3CcMTe3i7Ly6uA5uHDhwyHAxmTtlqcPn+WVGfU63XqEw2ePXkm45RyhU5nKG5uy2YwGpFkKfV6g2qlxv7BluAukM9zYmKCpYVFAt8nyWLiJAIlm3alUmZxcYFz58+yuLhAu33E3TvChyu6iLZtc+bMGS5duoRSina7zc2bNzk8PBxLaPI8p1Kr8sorr3Ji7QQoRZxm7B8ckAOO7RAnCVMzU5TKJXKd8fz5Bjdu3MDzytS8gGq5QskAerNMEYc5zYN9ep2OYBssm1OnTvPG668zbcZ/uXFzO+Z+GA3lGixQHrmZYHRaR7SOjrBsiyzNSNKUJ0+e8uDBQ6bn53j17FnmFhbJ0oyNjUd89sUXjEYjXr32Kq+9fo29vT2+vnUL3w9YWllmeXWVLJUIJ6USdncGDIYDuU7N/ej5nozjzEFEDhwybsxti4rnMzc1zX69IbxQy+Jho8J/7CkudIf8xYM+3+zFrIwi/kYYk1qKP/Fs9l3N3yp7PPZtvHIJnYGtbPxyQJ5khGFsWH0ax3Xwg4A8R1JIgDyT7uJoOCJLUsLhiDhKEIuoxBn6jk29ViYKR/S7bRzboV6v4Lsu21sb6DwlS8FzHfq9LlE4wAZcx8I9OOTMXpPffbbFSn/E6d3DMYGhql/sqY2XGDTQLZfAtni2MMPO9KQYFmenOCoFDC2FchwcV+DLjusQxSGVKMRLY4IswbVsZibrIrXJZD2RfUHWgTSDJJMFwXZcwjAiOGrT7XbpD0ZcHw35NIx45Nv8G0cD/FzzF4cRv6ki/i/rG3w9MYnjOHR7vXGcpbhmHXzPoVYtk8QOaeLhWIJ06na69DttSoHL8tIiUzMN+oMhjqsI20N0nuB7HjOLC1SrFZqHBzRbh6Adg6cBnWRYKv2Ft0yMJkqiHm0L13FwbReJudRo49ofDIfjPTOOY3q9rpnQIFO4X/LxKxV2lUqZIAgYhULRLjagNM1QlmZvd5cH9++xtrrGcNCnVPLRpORZzOHBLlEYc3/z/0wY16hVhvzgvTt89xsb2HbA5OQke3t7NJvNsRPTsW2Gg75cvkphIwHCeZ6ThCGO65JnKefPNfi9P/Nf8/s/+iscdZb54Y//Z2ys/6v0up8yHMqIVFkW9cakkNPfeIN6vY7WmqPWEde//IobX13n8ePHeK7L1ctXeO+997h49jz3793n/Z/+hC+++ILuoE+mpRip1RtEqbRWFYwL0mazKYiXOBSYLfDgwQMqlQqNRoPZ2VlOnjjB22+/w+zsHO+//z5ffnUd33FxHYdvfuObvHrtFRoTE/SjEVkqAc6VcnncdZOxm4zlbM8m8F1+8N4Gf/STJ9x9dJr/8m/9Gf6jf7tJuSxZrDo/HqVZniPvRb0+LuQCU7gVc/xeryeMMBizuOSU7xCahdj1PIJyyXRzRFOXxtHx77GO0xgKEXEcR8YJWx5rwXzfF4F4UKHbHVAuC95E2FUykiwKQ5DCpig+h8OhwaAE4xZ+UdgV5g7btnFtB6/kkqQJeSiOXgqNWeEeAKnQEO2XbVlYljY3o4vtuEb0KlFYmXGkuqbL5thCdpd0gpQ0TahWq1RLZY5a6VindjyaFp1gmohGUaHHJ/Ysz9E5WLYiz1MsG+qNqilWGZPnbbs0fq1Fh6sYr4MUmC+yj4rvDcNwnCYRxzFW5Iwd7goFcYRypDh2jGYoQA5xoyhEpzKKLbAC/X5fRr5aEDi2JRIFyXuULqBtPhfLjHWPGYbHgmytjx1pglEpriNBATi2Y0byKVYYY2VCqO9oEeyHYUi70+Wzzz6TlISXX+XKlSssLS2ToTnY3aXRaIwxPPv7+8zNzTE1NYXjODx48IDd3V3effddfvu3f5sgCNjb2+PBA8F61Ot1lpeWmZmeZn//cMyoLOQnvucxGAw4d+4ckxNTfH39JrVancWlBfb37zAzM838/Dz7Bzu4tsP8/Cyu59DtdWU9tSx8zyMIfCYmJ7Eth6NOizAMCYKA2dnZsaHi6tWrLC4u8uzxE+7evUu32x3HXk1MTHD6tACL0zxnb2+Pdrs9/oyKgnpiYoILFy5QrpTpGgf/gwcPxkacJE3MtSQGnP5wwHA0xHVLpFlKqRwYyLW4NQ/297l96xaDQR/Pc3Fcj9evXeP8uXO4nicFfyZapOHQuGCbTQpOZq41rcNDdnZ2xG1ZKlGtVFGWQ7vb5eHDR9i2w8nTp1heW8X1PQ4Ot7n/8AGPnz5hYX6Bd775LvNLi3z+5Zdsbm+xsrLC8toatXpdjF8rS+xsP6M36DMcjnBsi2q5RLVRx7VtdJaSJQnaaEIV4vB0sCl5PrMzM5xYW2N/fx9l2+Q6p59l/Dxrc315krdUwLVRzF/a2KWW5fzuKEePEr47jPjMFzlCZNv84XyZzHEZxSO63S6Z1nRR7Gcw6I8YDkJGgxAtpklGgxF7WzvkOWRxgm87FGkMjmVR8h10ltBpH6GQKMFuu8Xa6iqVko+joBqHTKOY7/T4y5/dwjV6uVebHWbiDNdMDopHZinaEzWwFPfPrtGqlslNIWw5LndXF7DKJWzPQ5m1p6IUtcJoYRzutmODykkyOSBkuZADHFvhWoVj3pJc4yLD25YcWY1lGhIuGmlqDAZD2t0eW4eHdLpd7oYx/+P9A+xWm/9wv8trSc7/otPj+VfXuVWv8TcaVUqVgKmpSWlyxBG+5zA7O02306bfS/Bci1q1TBwNqZRnWZieYnZ2Gse1abVH+L5DpVqi0+uRm6K0Vq7AZEZmIu7GOKlUmhxRFBmyQbF+2fieK8DicgVL2fKak4wozQ1CqtDD22RZiuN6VCoVXNceT3Z+mcevVNgtLSzi5HV50i8IqPNcwoqHgyGbzzeJwxGObVMpB0KYjoZ4vo1j6fGv/DPf/ZS/9LsfkkQpqXIoBwFTE5MkSYxjWUJ/jiIRriuxj8tJwVT2WUZuSZvXSlIunXsK+n/P3/3H/xmD4TT3H/8lasGP0XmE47rMzM5z9epLXLx0mXq9huvYPH3yhJs3bvLFl1+wu7vLZKPB+fMXeOftdzixtsbtW7f44R/8kFu3bxMnMdV6ndNnz/D666+zsrZGlCTs7e1x5/YdNp8/Jw5DMCOe3rCH57vjjaReq9FqNll/9oxbN2+yMDdLrVYnDCNGgwG+OW3/6Ec/YhSOWDt1gtn5WbqmgGnUG6Y7kpGl4v45OjpCZzGeY7Oy7POXf+8D/nf/h9Ps7DW4+9Dn8jkZ2WEpMzJNcTIJUs+yDN+MTUfhiDiKSbOMUhCMOy8FSuZFrEnJD9jZ2WE4GkphZwT2buCj08xEuzDe9IvOi+jvavi+N96Aoig6dvsNRO/S7bbHG3+pFDAcDgnD4dhyn6YpR+0mg8FAcihNoV8Uky+KUoMgkCIKGR3atj2GtOYUDtFCu2d6cAVGZNw1crCx0ZmMK13HxtGglRrrimREncpGYZ6nZVnotMuoNxgXtr/4HAv4s3qBjybZuakxxwSBTxSNjA5IkkUk4UHh+4Ho/fSx3rF43VJEqrFruSh6wQizXZfcks26bJUplUokSWI2OpskT8lDWUSGSt77gn6O1sJANEV08SicukXxGEURniupIpZSKI0U0ZZkRBePXyjuilaAMRgcZyWa98uRzFE0nPjoCwIDJL55ehW/eUi326PfG3L/3kM2nu/w9dd3eOnlV3jl1VeZmp7i5NqaRPQlUnSLnlMxNzdHv99nb2+PEydO8MYbb2BZFnt7e3z++ec8fPiQarXKmTOimz06EtRHtVrlwoULtNtt0dp6HlmacuLECbJUUhJOrKxhI5FSc3OzRPHIcCEluzZLU3rtDq55TyrlCpVKFc/36PeHtI6OjJGohud5eJ7H6uoqKysrDAYDDg4OxiHqWZYxMTHB+fPnOXfunKRk7O+PA8p93x+bmyzLYnl5mZWVFSzjjn3y9IkYK0wxrZRFuVLBMlDrKI7HXaQ0lQJoNOgzMz3JYDjk6dPHbD5fR5FTKZcplaqcOX2aSrl8jALKc548fsL6xiaPnzymUW+wsrJMFEVsbm6i85wgKFGr16hUK9QbDfr9EY8eSfrFd7/7Pa5cvUq5XKbb6XPj5k3u3L3L8soK3//u9zh/8SLP1zf58qsvqdSqnDpzmlq9huN7zMzNYjk2zfYRwzAktxRBqcz8wgLTU5OMhgPicITKcpPGYjrNKHM4cSh7NqdWT1AtVej2urTaR+SZuMcvX7lK33L4O3t7fG7n1Npd/kx3xEqmOZVqzpm1UQN/pbP1p6552LMUnzoWVi+Sv9HHhilrlKFUyNj5rjV/p+zTNqkWlTyn3D5ist9jyXFwHZuJ5+vM2hb/68M2UT/mZJJyIdWoVogo/o4fke9y2Jhga7rO4xWhWuSex9NTS9iei+V5om8z652tLBpKyRjR3KfoAkXC+IAmX8vIdY6rtIy6HUeajWjIEtMd1dhWwfqUqYJjDt6yRGegbALPoezWmZ5qMLe8wDCMGIxG7O5Ih/bf9G2+l1n8a3sdzvf6nOz1WW2X+b9PTnJky2ESY4AoBx6N6iKDQY1uu81g0MW1LWZmplldXqRcDuj1u2RZQr0xTVCusrt3QDKKOWq2SKJIMFi5HPBd16RnwbhxIWvz8fprmyi7crmCYzkkUUIapUSjEAwweTgcEscxQeAzMzvFxMQEjmP904sUW1hYIB9OiEnCEv5XrguQprhvmocHeLbC9z0cW+F7Inz3gwXs0r9Omi9gWTkzkwPIE3SeE4ay8QWeR6VUGnfs9vf3QedonZGasVUxGpLrKCVPc7IkwbYUJ1YyfvCt/5J/8KN/j0H0z6F1xmzj32FqyuXkqRPMz82i0Dx8cJ8sz/n6xtc8eviQbrdLuVzmzdff4OLFi4TDIT/+4z/how8/4tnTp3iBz8svv8yJU6e4ePkSp06dolSuECYx9Xqdqckptre2aB4e0jwQMvzs7DSlapnAgN3aR0dYSlGtVDg4OJREg+y5+QDLlINA3FFRzPvvv8/Vo6u8/Y13KVUrDEeSeRk7LsoWAXsYjtja2mJ/Z4vpqQYriwtcvZRx7coTvrp9mv/6v/1n+A//l3+DRr1DbPIt81A2ea0QyOKYzK0IKmV8z8cxeJRiJF1sBgWDzHVd6vU6zVZLrPhxTKVaFa4PsRGSpmNquW9ev6QheONir0hMKPR4o3DIcCDd1ZnZGbIsZTQaMRwOGA6HrK6uMhxKNm8x7g6CANd1SdN4PDZ0HMe4QtW40LGUwvaM3T+TMX9R2GVoUqN5k2JFipc4Sk3IvYMKDNxX2Xh+IBYi0+lTGJyHa1HyJd4rMyNQ54XxcHFjF8WpUqJHLLqMsghg2Fqmm5UrLOWKFsOyBfqbaixbkaXSJfnTXCl5P8QEUuit4Hj0PSa1j/qgNLVazYjoBQ9jWZbpGEohmWYZWSLOb9/1KJVLWL4mjZNxN1Z+n4ybgyAArY1pQoHpLsZJLEWZ55Dq45Pni5gWpZXZJIzZxnTsjotWG3SG2+ly5v2P0Urx9dvXSKsVnE6H4SgijhOCoEyaZbSaR9y4foPNzS1m5uY4f/a0CZmvMjs7y9OnTwmCgLW1NbrdDhcvXmR+fh7f9/nggw94/PgxOzs7rK2t8a1vfYvZ2VkePXrEvbsPmJyc4o033hg7VpdXlseyhLm5Oba3trl48QLvvvUuN778GtuGRqMqo8UsEc1iHBMELjaMM0Y932N5eRnHdUnzjFTnVKvVsRyi0WjwyiuvsLi4yNbWFo8ePSKKovFBqlKpcOHCBaampsiyjIODA548eTK+BwsN5+zsLGfPnhWZg8FvbG1uCZ4K6Qq7rs3S8rKJM4rodjtikIhCXFdQRTPTU2idMej3WH/2lNFohOPYBJ7H1MSkAHA9T66jLOPRw0f8/u//Po+fPqVSEb1jbLqtR0dHrK2tce7qWer1ukwNLIut7a9pt9u8+uo1rly5wtTEBMMw5Pn6Os83NqhWKrz91jtcu3YNnWZ88tmndHo9Ll6+xIlTp1BAuVJhfnGBdrvJYasNls3U5BQri4vMTE0RDgfkov5DuUbrmmVkxiikDGA8zzW+6zI7LVQDSwlCKvB8sjSh128z6HX4xM4YlW3+ruVgK8W/FGn+QizXuYviXJj8/+jTVnPNavxiGsuLj1/8mgZ+L0r/Cd/3wmO7g7rzbJw5XTxS2+JgYQZluub3XzrH7uIMB0uzMhFKxUyiLAV5Tq5MAQaSXmM7WGgcyyJVQJ6ZQ+cxugnEbZ8bJifKmC8sWUt1bvBOGrNeHrtCsywl0SmWlWBbLp7vi0THEkOYsqX7VQ9KVKoVGolwUOMsZW//gM/aRzwq+fzOYZ8/d9TjlcGQCx9/wX++Mscfz8/Q73fxSxLXWalWmKjXGQ0GdI6OePONNzh37iz9zhH9fkfi/6KYURhSr01SqVQYjGKSKKKfpcRxNE7twc8JAh/f87FQDO2BcCkVY4KA55ro1ckp4Qoy5CiT98F3PTwDXY9MUwswjRA1PpT9Mo9fqbCbnZlhIjjPYDjg4PCQOEnQmcZW4naLwpBet0vguVTKPjpPqVR80nyWR9v/Bd2NH2ApzW//2ge89/YX0sLXEkeiivGNcW96rke9VmM06sv8XcvVqXgBtQLmJG/hWIpapcTrr+zzZOMDvr73HsP4f0Av9Lmy8Neo10ocHOwJTHl7h1arxf6exAz5nseJtTXm5+exLIuvvvyKJ08e0z5qMz09zZlzZzl56hRTM9PMzs4yOTnJKIrGI6hyucTi4iKry8tmJKuYnZ2h3qhJnNHhIa1Wi8FADAG1inCrRG+mxHad5VjKFuForPnyy69Qjs1b775DtSrQxtAKsUz2n+8LyqF1uMfe/j6VwGdupsZf+t2fcP/xMs32JH/ws+/zL/zeH6OsAbYjGaWO75OQYyUWpXJ53MGI4kiySjMDlFRqHF8FMBwOSeIYW0mxVqvVyHROfzDADwKUbZEk4ioqNuuisChwIJYhszuOwGaL7lKe55TL5XHRniSi49OIrqhUDgiCkvDgdE5QKo3j0gaDPmEoBV9xIHiRn6ZNh8mxZUSpzKktzQ1gWedobUsotWXhBi6kGdqcEpMoIVIStp3pmCRJcVwf1/OxbeMPN89VyjwtiROWJQuYial5Mb2hYLSBM9YxirZO/vQ8nzRNTCfSp2AaZVaGZWXm58jzg2MbfrvdHo+3y+UqtVqNOJYklCLWrYjYqZQCbNvCK4Cito1vDg1owDXP2WSuOcqBNCMdhVhGZ1ucSIvH2ORQPCfzmgodY5KmAhw2GbaF9g841kc6EpipsLCt45G+2Njk584+fEa5eUQS+Dy+ch51sI9Sinq9zmgUEwQllGUxGo2YmBTY9fbWDns7W/zxH/8xcRyPXcZZlokxyLI4f/48BwcHfPrpp6yvr1Mul3nvvfd46623cF2XGzducOPGjf8vbf8ddFl65/dhn5PPzfG973vfnDtP6pnBDDADLLAAFuAudpcEl6SZZFmibZmSi2W57BLLZZXtsmmrXLZKVFkiLckWlzS1DNqEDeIusctdDMIEzEzn7jfncN+bw8nn+I/nnNM9CiVslXirLroH/YZ77wnP9/n+voFsJsetW7eo1+t8//s/xLImvPzSHU4vzjANg2w2g+8HooJrYZ4Pfvgh5UoZTVfTgOEg8OMe5fhzi8/PYrFIfWqKXCFPtlCk1Rb9o0ks0draGuvr63iex8HBAbs7uylbmryXJDi50+mklYgJu5qA5M9//vO8/PLLSEh4rsvJ6SkHh4fpdev6HoV6hXyxiB8G9AYDDo6OQBFstx8GVCsVslnhyL68OOf87AzfcyDSAMGOZwxDkACIoOirVovzszNkSWFzY4N6fYrDw0O2d7aZn59nc3OThfkFMtkM2VyO7Z1tnj17xtTUFK+++iq1Wg3Xdrg4OeXxg4ecH5/w5utvcuf6TTRkPr1/j5PTU67duM5rd19D13Valy2KlTJT09Ncdi5xgwDVNJlfXGR5cYHAdbm8uMCyJsiKRCGfx1Q1nPEI2RMNA0ooiXtFGImxmpkhm8lQrVRYWlzCskXIcsYwMBQZu1gQkhlfbI5+33X4Z6FwdeYUjeu9EVokkc8XyGWzjMdjqv0+37SD1I2ahtkma96LNtEwpHlxheb/97A4EVw0p/A0hbO5GU5X5vAUiavFJpIi49gOxPE6SiR6sKVQ1JARa3LDtGpLSp3UxNe9IqupU12cX0qsgY8jlcK4B1oS4E68CTk2j0EUJvl4og3E0A0x4lfEta/pBrouQvUzmRyO64m1DLA8h+F4RBC45HIFbt2+w5rr8uzZFpetNv/fQp9/Vhnw7x1csOx4/IWzFr+Z0emZBlNGA13PY09cxsMhvhNQKpS5deM2KytL/PjD9+l0+kwsG88LOT0+I7NewtAzGLrFzNQ0QegzmYyxrAl+4MasW0jg+am+OXFBm4ZJJpujVCyRzZhM1evIyFzSYpgZYI8mqPHG2In175PJhE47cboLCc1P+vgTATvTMNnc3GRiWQyHHzAajURkAUKLhCTjex6T8Zhi3qQxVaNSXeEPfvS/YTB5m0ppyFe+8CHf/sb3kCUXKVKQZBF6KiNOnCAMscYTJqNxvEiEKJKIjYE4ckVKmgIikfgsiZ2q5weYkcxf/DO/zSf3n/Kbv/8/ojP8BZ7uVyiV/gOGozP29w84v7wSrQFeSDabY3VlhbnZOS7Oznl4/wE7OzuE4fOdsud5PH70CDOX5eHjR2KsqChMN5uxliiKAa1BIZ+nVCyK/LfRmHw+x/Xr11P9U78ndCWuJ+p2To5P6FxdMRmNMQ2DWrWKFzgcnR6yv79PqVrltbuvpeMtORS1OOOx0KOtra2xs/WUyXhMxtBZbF7w1/78f8nf/ZU/w2//wcv4gc+f/9bvISsRlXIF2dSxAw8vYbU0Fc3QURwV27JwHUfEV8QAJJvNPj9BwxDPi2tZJMhmsihxFl7CHiV0cQLSkjFkEPh4npkyC8nuLjFuJMYQz3PTQGTTNMjn81jWhKurq9i+r6U/U9dFKG7y+xKQkPz8NFgzFOJjJNB0DTnejQNE0Qu7IlmIWoMQIlkwg5EfQCghqRKaooobFmKXLiJ45LTyJ1lgE8AS+AGKIn2GVUtAWALuCoUCpmkyGAxIGh4S9lGRtfgGKcCNaWiEodBWer6L74sLPXmfL2YHJtl2blyjo2laCiIlCdRAVJp5tpUCcD9pwJDiEGEiQilMY24UJELvedB0AhTheQVSwpDKsoznu8jRc8bQtixx7kVhGoGTfK8kidLrZBT+X98YiJ8RocqQb7WRgGEhxzDuw9V1DTOTw/POhEY0lxc6rsGQMJLQDZ321QW9nqjEK8Rds1NTUwRBgOu5PH78mE8++YTLy0uazSbvvPMOX/rSl8jn8/z+7/8+v/d7v0ehUODrX/sGN27c5Ec/+hFXVy1mpqfJZDP0+v3Y/CEzmYxZXFgkDMVGdWNjHcPQODs7EwybrqNqQlOnqSqeG2tEJZlCocD65iZ7+wfIikziMSkWi7z88stUq1UODg7Y2trisnWJrgppQ8Lm5XI5LMvi6dOnPHr0KD7Po/Qzf+WVV3j99dcFgHM8Dg4P+PCDD5iMx2IEF4V4vkepVKZYLDw/frZNEMTHTlWYmWnE4F4Y585OT0GKUgPRXNwbq+u60EMGIZcXF4RBwBc+/3m+/vWv4/s+g34fRZJZXV7h+uY1yuUyZsak2+3y4Ycf4jouX3jzczSbTcG2Ow6d1hWdVotaqcLtazdo1hv0+322njxDUVVeee1V1jbWubi4JCCi3pjCC4X8RI5HYStrayw0m7RbLSzXwfE8quUaUzPTaIA9yjAeDbH7XfwQkGQ0JV6IFDndvOXzeaGd8xwcV0SUJHEdYexKn0wmjCxR1agqGppuoikqajYHmsHk6pKB7/Gfx/23L+qPZVkmDMK4HkyKtbceU2eXSI4ljFdxXJAixzmIAJGIP7qYquIpccC3JMBbYNtY1oTA85A1A0UR2YiEcSZnDEhCQnzJfwHQIXpUEc0yiqQmnIvIbNM0DMPEjO81UbzZjUIRbK6oIl5JUlQRxKxmqVSq5HN5FFWjVCqTy+TEe1E1ZE3DzJhk8gVU3WAyGscTggjLHnHVbjOaTHA8j6tejyCKkGSNmbkh3V6fvd09/qai8Ld2T5l1Pf5Ph+f85+UKpmpgTxwuLs7xHaH9nm3O4DoBTx5v0euNCAMJRdZRZA3HmdC+6mKaWUxD9MgWcnlypkkYlen3u3S7PQZWP80HFXiFdHwdBSH2xGLU76EpKstLK5TLJeyx6GePwlBsggzRAZ6MZYV7n395wC4iolar0WzOUC1X6LQ7ouUARBG4BJPJGNNUKJZfYzh5hx8/fJfT1m0kKeIbX3qfX/yZfyES7yM5jc9wvSDOyBJsj6yKVHpJjl2CkYSU9ChGxD2nCgQBYSSE6oaZIZfL4rg+quLyhTefUC79Pf7+P/2r7Bx8kdFoSNH46/T7lwS+oEwrjSrT09NMTU3R6ba4uLhgPB4jIS7WMN6JnpyeECGcjkgSxVIRVTMI4goq0xR6tNnpGVaXl+Ng2ohcxhCUdhggRwq6ItOoVykXCqi6iWPbbK5tYBoGjmXR7/WZTCZIKjRmp2l3u7Rbba4urlhcWIjFvcJZZ5oZXNvGNESW1LDXFZq7KOTl65/yZ79R4pd/7Wf5ox+9xM997fvIah9ZUnBsGzfw8FyPIAwYDAYpgCUCO5xgTSYxeI2T6aNQsKWKDIEsHMHxcZcVhdFolI7gErYOngfbJm0JhmGmgt/AT3oOjRjoBGlWWRCIDKVsNifChMMIFMEQFXNFgkDslEbjEF3TUBQ1jcOIoohMNov7QvOBIgGyMPmErhCpp1lKsbZNNmI9oKwQKSFhIInFN6OgxmPQIIxiRywC2LleDBxF5ZroEkOkjyvCUOEFzmdA5n/b48Vx6Yt/yrJIjkxGfEEQCIVp3PogKXpqOEAiXbjDIECSJWxHhEGnOskoFioDhBKKrKWh1aL1IsLUjLhRQ00ZrSTwVpZkZEXGC3wc2yUCXFeMDdS4qNzzPTzHTUGfFmcQOp7L2LGwXAc3Pr4JCE50f0ig6CpSKKNEEZEkoaiK0InG0++5777Hxq//HqEs89ErNzkZj4giqFanQFJwHDfdHCBJjEdDcR4QMR4N6Pd7AFSrVWq1WhxW7qdl5ndfvcuDhw8oFoq89bm3qJQqeL5Hq3XFzs4un//C55lfWCCXz3PZuiSMAmZmm/QHQy4uLmnMTKcu6KWlBS7OLzGzBvML85ydnXLVvsRxbRYXm2QzOtZ4DIRISoSqyRSKeW7cvMb16zfodDrkszksa0gul2NjfZ31tTV8z2Nne5vD/QOxqCOhGyYbm9dYXlkFZM7Oz3jy5OkLQmzBICQZf9lsFj/wubxq8f4HH/BsawtJSbIKQ0pFnZmZWXL5ImEk4/khlu3ieh4Te0KpVEJRhFtdVWWyuazQ4dmuiKfQMqytrVMqV/B90crSurxgNBjQnJ7mpdu3mW02cRyHfC7H6soq62vr6JrBeDSi0+lwfHqChMTNmze4e/cuZsYUshzPo3VxiWc7XN+8xvLSIrquMuh1CQOPz7/zNi+98jK+73N+ccr65hpz8814Y+qgKhIvv3SHzfV1smaG9tUVsqYxXa+xsrpMo9HAHo6wRkPsg30iJLLZLMVikcD3sV1HjB5jLlqJq8A0VUGVDYo5MQnx/ed9yX6piB/68UhUQteEs12Vhbba1GfSVhwlNmRFUYShxSHeMZDUVZUoCghDhc5sTWi8QATjhlF6T0sAXhBXlCmIyBRFEvp013VwPVdIghwPTRMTFEWSUVUNXRdh5L7v40QOiqpQr9epVatYk4mIJlFVfD9gOBgKg5kiOqKjCHKFPKViKTXmBbFhTVZlVE0DSQRwl8t1AezyhVi+oiIrKpKiIKmaaEzSNOLwQ3QjJ+6RUUgkBUw1m1iOy2g8pnB+weVVi4nloOkGpWIZOYIdP+BvhiH/551TvjpxuHPvKa1Wn39/ZRHbEjmnQRgRhPDJp/cJAp9yKRdLQSJ0PYvrdogiyJoZDFUl9HykUMh3atU6U/U6FxcX7Ozu4nlurJ1MNqcxIB5PsMZjXEc00JSKFZGB63kYmRyOY+N4PhFSqrd2XZfRaCicxep/fXj/3/34EwE7P/DRNIVsxmRmpsHl5bno95TlWFTr4fkOjeYK3/3Rv8XuwVsA1Cs9vv7O+/zCV3+AlmqNiOMdQiQFjIwBRHie0PTIsoSiaeCL4FhVEyXlvh8wsW1hW9Z1ZBlyugZI+IGLJAVIkotpqLx8Y5fxN77D3//Vv8BF+08x1P8Wc7W/STYbUatXKVVKyIpEr3/F3v6eEFJP13Ech8uLC5yxK6IVVAVFU/CCEElR6fV7GGaGTDYvFlDXJnLg3oP77O/tcn19g9ZZk7m5GRqNBotLS5iaiu/YqX1d0UxKuQL4IcOhuDBmZ2eF/qyQ41XjdcIw5OjwkE67g12xyFWqhIREoYyuZ8jmPMahTy6XxxmPGY36yAgma3P1jHxuwnhi8o+/83l+6Re+g+IOUTUVSVYoFYrC5WhZIrtM0VBMUbQVEGuxIHXSJWMB3xc77yAMCWN2iZi+l5TngvcXuyqTdgpVEbufxN4uS4q44KMo3uSIqhzHcfA8Px5JihuXntHxIx/bs6lVq2SmG6Io3EvGgcLlKcsKesYglGXspI6KuDtajuvDIGXP5EhCUzQiSQARRVLQTA1XFknwqq6hacLR59hCAygTosiQz5loWgYlBkGO42CNHbLZjPicJYgICKTn45IE6Ca/P/18ped5dgmDFUYiLDOMJKEdfoG9kmRQFHHxh3FvbaKdDMOQyBWBtn7oUyyKHDZ7YuF5fgqmhJNXxTR0fM/DtsboioZpZvBjpilhzhJtoEhvFxsq8doDDEOLGYoAz7VxXT+tA0vAm21ZDK2J6FkMA/xY45mcG7lcTgDGwMPImIQSKJqKLunPQbEEc3/0IxTXY/fOdd6/sUr/7AJDz5AvlLBtR9z0wyjuCJbo9jpCLyXL+K5LJpNlYWGBd955hyiKaLfbVKs15mZnqVbKFPIFbt+8ze/+V7/LRx98xDe/+U0qpSory6vkCkV29w4YW6LDejQZU6qUqTfq7O7uEUYR83PzIjVAinADj/6kD1rEwtIsp+cHdLqXKHJAvV7C0GQGnoXljXF9Bx2V1bVFPve5NzANE8+xCTwXwohcJsvdV18jY5gcHRzy+OEjTk9OUFUDw8gyP7/M669/jkqlzmRi8eTJNkfHZ8iyQpLHqKoqGxsbLC8vk1R/HZ4c8nT7GV4g2OMEANbrde7cegldzQpG0w5xLI/AC5iMhvi1KqqmIykqkRSRzefQDIOrTp8gVMmaKvbEEX2YkkQU+Ozt7TLs9TAVhfm5JoV8lkGvT7/bY311jfXVdYhgMrbY2tnm6uqKbCbH/Nw8lXJJRCp5npgquC66odOca5LJmiCFaIZCoZilVilRygvgqkgRhB6KHJE1TUqFHPVKmaVmE0OW0RWZSrVCtTFFsVJmZX2dqfoUrmUxHvSxLIvBYMjK4gI3b9/C8m0uLi+4vLjk7OxM9PfaltAhRwG+K9g6Nd6ER2GSRSl0qRKQyWZjAb+K69viGvfjIHVFQQ4lZGS80Gc8EfdYSRWSI3w5HvUJ7V9SUahJMl7oxbEpAW4UCrONoop93wv6W1lVmJ5tks3lUBUV3/MZj8XmT9cM8vkCi4vLaJrOeDLm+OwIP3DZ2NxgYWFeBJ4jNi+u4zMeToR2TNOwJhPGozEZw6Rer1OolQk8MZIOQvDDiAgBejPZLJ4X0O31sJwemWwm3vCJTZ+s6WTzBXC8lP0MglBkokoQKCFaLoeWy2Hks2SLeYrlArlchn5/wHAwJpqdxbUs9jyXf8f3+b8eXrI0sZje2eN/0enw/7i2RluScVyfw6MTLMsikzHQNDGJcT2HMBBqatdx0DRRH+a6NoO+H8fkCBf77MIiju/T7XXodjvPdY1hiO+62JaTdriPRwIcm7U8kaKi5XLIZgZNUghiZ6wkiXvueDwin89QKOZ+Yqz2JwJ2nuuI8YoCuq6i6yqisDtO+vccqrUZWv2/we7BW0hSRL3a4d/8K3+fm2sXqKqO6IgUGqHEdSjGt0l+i6DKPddLNTURgsXQdROh1QiRpIAwckCO8OImhSSRP1lQdN3g1VuPeLz9Qz599DoT9y/gK3Vu3fp/MRq3OT87Yzga4AfC2dNsCmDVvmrjODZBANmMsMIXykUkRaExM0OukCcIRf+f4wmn2GQyISh4jPoDnj55ytHBLtVqiYWFBTbOz1lbW6NQKJDL5ZBlheFgIJyNCAfNcDikVCqJKBDbxvElphvT3L51WxR2xxoLAYpCwWQFom/SNDPk83lGwz5BFCKHEQszu3zrK/+Cf/ib3+RHH7/Mwnybt1//EE0lrRILgoBcRlSJRUGALEkYui7MA66LNZ4gRaQZZMVSUYTe+gIcFIvF1CihKqpgXJK6Kp4Xvyf6rv86sEls7l58/F1P1DTlcjkURSGfz2OaJuPJhFwxj+UIDWcUCfYuDIJU04gUA0LfY2xP8AKRC+QHAX4kBM8JS/TiqFZowKS4p09KgYhhGCnA9PyAfLxjD8NQ6EbCKE4O1wHh2lYUGcOIi8UdW9z8dLHLShyqIkdJS8exSZSFYRgp0ElNDkgpq5/EVKSdkpFwjUmSGJFIYfhcwhKK0XeIYP1UVUmdrb4nNI6qnLwuHwkRsaEq4meFgS8K6R2x8ww8P9UQIoGqqciKTK/Xw7ZtarUaURRX9IQCZAuX7SQ1VSTvK4yeawwtyxLxKLFDOpvLYuZyhDwf85ZKpXSEjO8hBYIlt7IZOsMRlmVjmjlh6GnvA8RaSiUd/yqqCrKEoelcv36dV155hVqtxnA45Pr168iyTKvVot/vUSyVMLNZbt2+w/fe+z5z8wu8/sbrvPbGG7z11lv88R//MU+fPmVlZYWNjU3MjEmxWGI4HFEsFFlYWOTBgweYZobG9DSf3LtHuVKhOdukVq8xGE7IFUSmVkREf9DHtlyyuQylUpmVlTUK+QKj+MYv4h9kMpksa2vrhGHE1tY2jx49xnVdspkC9fpUHH8ySxTB/v6+qBcLEn1nYl4yaDQa6X1yPJlwdHSU5k3qup6ep0tLS1y7do1Ef3V11WYwGMVRRxqFQhE9Ntucn5+mJo7A9xmPxyiSEt9nAiQZOp0u29vbdDodivkCuqZhZDI4ro0VNy+omkqzOcvl5SWTsU37qku1VkORVXwvQDdEvlsUkUpEJuMx/V6Peq1GsVCgUChwfHBArVJmYX6BzdVVDg4O+VFvwJ07d9jc2GTc63N52cIeW8w0ZygWity+dZtWt83lxSWFXAFTN5DzRVZW11PXq6KqLCys0VxYpHV+Tn5ri6urqxQw2dYImTA1oUVxe0wvrtbUZJV8Ps/83BxIQnM4ijtIReNBgKQJWZFm6LS7XQbDobiHGhrZbIlsJoNtiYiUhA0yDYOpWg1N11MTyqA/EMfU0KnVakJTGt9v1Hhsv7Kygq7r2LZPt9fn6FAE7+dzeZqzC5TKZSIgU8xzcHiA7fiMxg6FYl7cN2SFq06b0XCCBCwtLDK/vE7o+bQuLzm/bNPqd9F1nXyhQBDCxHI4OT3D83zq9SmyuTz94ZCrqxaqKj4fWRL3YBQFXTdS+U7S1ZrNZnE9l4EzJl8oUCoVyWZz4nOo18llcwR+QLfbp9fvMTc7S6Vc4qHxiL8pS3y7b/H5/pjXun3+n5885P+9OMf7zQZBFGIautCGZsw0FUJUpT5vUTIMHccRTJqiqkiKyFetN6bY3Nxkb3+X0WgoHMOKkO4IUuB57+5kMuHy8pJKbUokUGg+IDE308S1bHb3fPr9Lr7vxUUBOaq1yr8cYNfttTk83KHX6yHJAYapoigRge8SBB6h/E12Lv42YTSDJEX81Be+z8//zO9Rz1lEkSoE35HQNQV+kFLInhdgWRaj0YR6vY6EQhC4PE/+1z6zGOdyudhQ4DC0RingCWP2I7Ec+76HoUf82W/+KnPTJ/zmP/8zHJ19kYXTf4Fn/wbHp0dERBSLRWr1OhJw1WoxGg5RJJlytcjt2y/x+ufepFqv0R8OCCWJqemGEDhnC+imwWA05OjwiMuLC57ce8DBzi6OM6bVchkMBuzs7KQj37m5OZaWVpiaahJFosOyVCoxHo9jdD4mW8ghRzKTuHu3VCgQBiLjRo7L6gV4FVxUFBFHaSjYloMa62w3Vo4oFUb0h3n+f//06xyfVvhzv/Bb6JoXd6dKaQG74wiLvRf4oqzeMAldn8D18IIAz3EJs1l0VSXUNPwgIJvNoht6GnSciHsT0PIioLMsC2vSo1gsUqlUUn1V6hYlSlsStKQc3LbJZrNxBViQhiAP+32ymYwIDlbiUWksQpddBdsV0Q/EF6IqEY8KP+tOFaBTSc+vhD178SG0X88FzJqmEfo+Uqy18XxXjEZlUaGlxONr3/diLcxzMJuMYl8EuYmOQiTEj1InsaoKZjV5hGGC2gToiYKIAGEeSrRZaTacJBhVCRE94CV1N5FYpC3LQoZYsK9B7AhWZJkoDIROBRGm+d/MmROF1UjPgbsdh7X6MeBPRr5J7l5SHyXFLorESDG2hH4kCkWtUjaXRc+I3uLkGg8CEfhbKBRo7J9Q3D8mkmXuv3yDUbeNLMnksnkhTXA9crmc2GzETm5V1QiJUDWNWq0mxPkLCwyHQ5aWlqjVarz33nv0ej36gwH9fp9ms8nm5iayqvLdf/GH1KamuHnnNl/60k+xvb3De+99n8XFJRYWFkTESKXKxfkld166Q70+lersVEU0qJTLFXL5PFONaWRVQTdENIpm6NiOQ7laZG1tTRSd2w6uL8rOZVUVk5AoSPWolmWxt7eX1s0VCgXW19eZn58X6f6jEc/iPlghowjSC3Nubo7V1VWRPRlFDPp9Li8vSYLC00VBVVlaWiKTyREGAY7tcHBwGI+5fZqlMjdu3MDQDez49bz//vsic9I0cWxfjCZ1EUiMJOG4LueXl/iBT61eI1/ME4QBBwf7jCcj2m2RJmAYGuVymenGDHt7B3Q7PZ4+2SKXK/LyKy+TMbLkczlq1SqGptFqtbi8vKRerWEaJguzc+zu7nKwtUM1X+LmtRv4lsvW1jM+GIy4+8ZdXn7pZXa3tzk7PsFxXFbX16iUKiDLTEYjLs7OqVYq5EyTqUYDTVM5PT7i4OgYj5BKtcLUVANN1zk7OyMKoxgg5vFskT0qx+y6Y9sM+wPOTk/pXLbSiJxKpSKufcsSOYOdTszM5JmZbaKbJp1uh8PjIwHuZIm5+Tk21texLYuz01OcOLTYdz1mmnNMT0/HDmaXi8sLet0ew+GATCbHxsY6uVwO27YZDAYMh0NOTk4plcpMTc9Ra8yRzZXZ3z/kqtMh2tphcWGR6WaT5twiV90BZxdtOr0hmYxBtVZlutEgQObk7JL21RUXl23WVlcpF0uMJjYnZxe0Ohdkcxmas7OUKzUkWcELPE4uzji/uqQ5M8v8/AK2a3N0dITt2szMzKBqGmdn59i2Q6FQIJMRmZ2e6zEY9NENHS/wOO33aV1oNKYaIqA7FOApn82Sy2SZa87grq6yMDdLo17n448/5t/b2uZWMce/e3TJvO3wv9zZ5z9UZN6rldMqwFKpjKoqtNttBoNBquvOmCZePo+q2kJv7jn0+l1kVUYzNKrVKuVyCVmWsV0L08igqzpDe5iuieJa1ugPelxcnNKYmcF1PUbjMY1GHYmI4biHZY9wPYnhaEi7o9OYbvzEWO1PBOzGwz7np4eCyXDGaEpIxlTQIx3J+xLnV/8ZYdRAkkK++NYf8Wf+1G9iGiGSZECkiIgGBEuDBIZmgCyRyUmYphXfjITQfDKx4xuzku7Yk92kZVnpWCpwPVRNgJTJZEISbquqKq4XoqkK1YrMO298zOnFAh89eIP3Pv7fkTffpZL/D2lOP6MQJ+/3+33siUXgBVy/fo2vfuVnePPNz7GyvgaKzPbuDts7O6mAPZc1KVbKVKplmjPTTIYjbq6t8clHH/Pg4af04jFQEARcXl7SbrfZ29tjZ2ePhYUVyqUy9XqdRqOR6piSrLpIiuh2u2R0g3K5RBRGqLIwm4ASM52uYK9ERxa6buLYFpIkWIvN1TP+jb/ya/xHv/yL9Id5nmxtks3+EYrspb9LSUTsscg+9HxC30dGxtQ0/AgIArwwYjwcgixh6hqWGzKeDAmjLH4oRgMKn2Wn0qDT2Kat63rKWCXHM4kmaUw1mFjjmCXz0vDhJLZBlmMtlqyITUEYkctkiIIwDQtOBPzJQ46BnabIKC8Y/lO3rCy0mkkky4uhxkk/q9ARyijS8/NPioA45iQIQmRZAK7nIFXUiiHxmSiQ5D0n8TEJY2fHI97RaJQGOCe9rJ81XsS6u9hgEIVhzMrFgb5SLEST5RiwCx1j6AcgiaowXdOxbQffcUGJUBXhUAv9KI0mENVKMromp9lVALGIEZ8A1/dSAD6MWYXnn30EhHH2UhQDO5HBFxG3qGgquXxe1Kx5HiERlm0zti16vZ4Id87nceNu31wuR+P+E6QgoDc3w5EMo9GYfK4YXztRCop1XU/lIX4gGKZarcbbb7/NG2+8ERfAB9Trdfr9Pv1+n1dee41SucwHH37IRx99hB9FdHo9zlstGt/7HrWpKTY3rvHFd7/E9773PX7/9/85X//612nONJEREpFCvoiqauTy+fh81dBUwWoVSyU0XcMw4mJ7TUXVNPKFPNMzTVZW19jfP2Q4nuA4rpi1SzKW7SJLEfl8AcMw6bS7HB0d4zguxUKR1dU13njjDQoF4cC/d+8e29vbsdBajMchIpvNsrS0RKVSQZIksbCfntJqtYS5Id4UWJbF8vIyCwsLqIpKKMl0uz1arSsMw8S2bZaWVtjYuIZhmPT6bR48eMBoNMIwdHwvIPAhl8vRnGtCbMppdzp0uh2kMBS9ywCBR6fTxvNcut0OtjXB9zw0VSGfzeE5DlEY0u/22N/bY3FhgXKlTD6bo5DPk81kcCybdusKf9Ujl8mwtLjI+ckJ+9u7lHIFrt+4wfL8Aq2zc46OjzjYrfHa63dZW1lj1B1wcnRMNpdn/cY1JEVhPBhyeiJA08L8PLlsBs0w8YFeu00ghzi+x/T0DIVyhW5PdGq7vs/66iqKohIFIe1uj6tWi0IuT2OqQTZXwNAMzk9Pefz4Cc1mk7n5eSr1KWpTDZzJhO3tbXr9PhPbJVcsc/3mbTZv3qbTaXN6doplT7i66lCr1bj7xucI/YBOu8352Tnd/hDLdmk0GswtLNCYmeXs9JT9/X2Oj4/o9/ssLi6yublJuSxaVo6Ojjg5OWNu6LC6tklzfgHb9mldiSpO2/YZji2qUxWaM3MMB0OurrqI7mKfQr7E4sIyvgftdpfD4xPGY4v5uTkKuTzV2hQXVxccHh5zcnbO7Ow8SytLLC4vggKPHj7m8qKFpmmsr68jyxJnZ2dcXJyzurrK/PwchweHnJ4ck8/n2djYwNM9tre3MTMZZhfm8RyXUW/AZDBKJ2Ke53LlX1IqldIN5tz0NObbbyFHEZ5ts312yf98dY5/c+TwjeMz/q1ne0SrC2yv5ZELIg4pn89xeHj0PPLEsrCzcch+LgtEnF1c4Hgujuui6hqFQj6dMjiWzfTMNAoK9sRCkoS2P8kxHQ0HbG09pTfoYmZMZEVhbA+olCoECOOKZmqMbeiPBkycf0nmCdse0++1xGInB9SqBYqlaZ4c/WUm3ucIowblYoevfem3+NxrP0CUBZhEkYSmGrH4W4qZOwXPDXADEZDrBT6yrOE6PrqhE4USrucR6okj7vlIJwgCob/IigqnwBcdloV8HidxdQYBsqwTxY0FpQL80s/+OhBw/+mr9MffwHbv0pj6m/juD+l2O4wnEwLf5+b1a/zlv/xXePONtymVK7S6HT786EM+vvcpR8fHZPI5mjMzvHT7DkurK5SrgiItFQoUNzaYnZ5mbW2JP/jD77K3t5eORHRdjxeqYy4u2hQLRXRdT2uNTNMUwanlIrWpGqZpokQwHo4xDB0lKXaPRDZYGFdQWbaNO7ZEK8hEQ45EqXAYBtzY2ObLX/iEX/vdd/ADCc8zyOalOE7jec9gxjRF3pTniYYGz8fxPAgj8tkc1VKZwWiIZU9Ewbss4lC8wCdAMKtBDHqSmI1EuG0YBvVanV5vgBfr3hJglYwx4LPOykqlklr9R6MRsipTrVbRFRVrIlxJEmLRCMKQKA6BFKLm5w5UOQZU0gtM3PMxsABLvi96b5PXBs8ZJ1lJwE0MWeLfmzwURZxfwnxAOkIUAOy5aSI5b18MFE5+j6ZpKehNQoyDIE5oj0SYphw7U1+s3UnyqeSYeRX/Kv47lEMxHo5UAilAVUTiuaKoZCQJ4tG0EFSDqIASwC3J83uR3fxMfAxR2nBh23b6mpP3EwR+CuRSQJf8XUqmxRGGaVCr17Fsi8l4LM67KIpvpCKAW7A4QqdZePgMCejUq1yFAePRmFq1gYRCGES4rthATE1NCc0hoMWjqIWlRd58802KxSL7+/sCbBWL/PjHPxZtEZsb1KYabF6/zltvf56nT5/y4OEjBoM+O7t7/OhH7/NTX/opvvGNb3J4eMT21g753A/4hV/4BUwzQ7EoNH6O45LL5slmc8hxfI0cu0jHExGnEUkw02yysblBNpfj/oNPxTmMhO04+EGIoWdQVV04/TWFYqGELCl0Ol0G/SGBH9JoTHPz5k2WlpYYj8c8e/aMhw8fMhqNnjOkMbM6MzPD6upqeg9qtVrs7e2l7ktZlnEch0qlwt27dymXy3i+T+CHHB0d0+v20DWdTCbLjRs3mW7MiFJ5yxLtNXHYuWlG2LbP9MwMpUoFz/exPdGjLcWuysFoiO1YoMj0+11EXqIX5+SFZDImxWIWXZMJQg/PtTg63KPTvk6pnBebfU2kEUwmY87Pzuh2u3HclAixbl9dsbuzQ6VcZm1jg8bUFM+ePuXhw4fMNJssLy1z48ZNLi9bPHn0GNcPuPnSbRbmF9nf3WVvd59ut8vmxga6rjMzO8fYstje3eOi1abd7THbbFJvNLBdl4ODA/b29pmqVtnY2EDXM7huwL3dh0zVL7l54wbXr98k9EN2dnZotTp0uwOq1QpLy8tk80XqjWn6ozF7+4e0e33qU1M0pqeZasygGQbb29ucnl9w2WqzuLDIbLNJc26BXK7A/t4+J8cnXFy2aV11aDabFAol7rz0CqVSmb3dbe7ff8BoNGZ5eZnNa9fJZnMcHx/z+NFjTk8uuPvGGzRnZiCS+PTTTzk8OKDf67GytsT8/BwLs3MQBrRal/Q6HdqXLer1Bmurqwz7fbaebXFxfo4URlRKZZaXl3jt1btsbT/j4aNHjIZPQYq4eesGK8uLuLbNw/tPePr4MVEYMDc7i2vbbG1tMRoMWV8XtXm+73F6ekIY+Lzz7jvIEjx+/Jhnj58IiVM2x8HBASeHhywsLDA1NUW/38WOW5Py+RyKIpIQrq+v40wmuNaHdHs9/t7qFLqm8pW9I/7X2wd8Mhjxy2++jK5rafuRaGGSUvmIaGgqYJo6nV5HRAMVcwyHfUbjQTxJE3KBSrmCjEyv08V1/XiKFcRrhs/EGnJ0PKFULlGtVWldXZDk/jme6EqfmW2iyDKZzL8kjZ3IzRLaJlmCYnmGP/7kf8Xj3S8DMqVCl3/ll/5T1pa3xaIi6RBJyKhIkoJh6EwmordUU4XhQVN1XFXM6wXhICNLKplMDtft4XsivDBhf4IgSENzHcchmxF6BhnRX0qyCEcRchzBIckKyFDIhfzpn/kV3nnzY7734Vf58NNNPnn6f2Gp8W8jhf8cooh8Ps/du3fZ3NxE13W2d3b49P49fuM7v4njuYwmE9GPt7fLjz/8gNrUFDOzTa5fv87m2jqVQhFT17lx4xqGqafxCUmGned5aXzEZDLBsiy63W7K4IgIEoWpRp3pxjQL8/NM1epUK1UK+bxgchDVYiJgWOi9XM+jUirgOrYoFNd1nFhk+8arR/zxj8a0OyV++Ve+wl//V38XRVaQpedjNgE8NaQkBy4IGQIT18d3XAxVo1woousqlmOBpBIqUgwyhaVdjAlJj4+iKFSrVQxD6CTy+Xw6chaaSeHqFDEKPqqmvBB/IsbNSeYYUsRkNBYW/CAQrjKFeKQfiWBR6cU8peeje1UEgX3m3150qU4mVvo5JP+WALQwEugpAVFJvl6CE9PxZ/RZ4AYioFMGIul55Enye178uhf//iKj5wVe2tKRaDyESSF2ikeftdOTsGsiOApdF0nonpMAV8F+KTHDJxERBXH4dyQy/YgiFFVKe4Wfj+sFgA2i52PYJGIlOY7JuCJx2ApqM0SKM6wEUS9Gt/1+H0VR0u+NgCAW9CdMrud5It+rWo3H1QI8e65Hq9XGifsyZUXB8/zUuagoCtV6nVKpRL5YoFwuU6nVuLy85MGDB2iaxssvv8xwOOSDDz6I4yUCdvcPGI3GTE83+MpXv4qq6/zqr/4q9x48IGNmuH3rDlNTDb74xZ9iNPotHjx4xMsvv8L8/ALXr9/g8ZMnXL95E0UR9XNhCJlMVjCKsfkkk8kwOzfH0vIyq2trGKbJx5/8mF5/gON69Hp9JEnkd6maRjabQwoDNE3HdT2ePdvGth1KpQp3777O4uISAMfHx/z4xz+m3++jxSGnQvcptHULCws0Go34fJ+wv78v2ih0AzmWD0iSRLPZZH5+Po5xEpWCx8cnuK6HrhuUNY2ZmWZ8TmrYti20RnElna7rZDIZbty4ITR7YUh/0Gf/YF8E4gZCdrO/v09zYQ7TNNKNwMXlOWEUIEkhuq4APo5jgxTQPb1ia+sxzdlpstkC9VqNbCZDp3VF4Hpsb21Rr1bTDbJlWRwcHDA7O8vyykoau9Jtd9nf3aeYK1CfmmZ9bYOPPvmYe/fuo5smt27eZGamyeVli8PDYxzHoTnbZHl5iUKpyOMnjzg/P+X+/QdcXl5y8+Yt5hcWMTNZPv7oI+4/fES322dxYYGFxSUyZpajw0MePHzEKzdvcvPmLSRJ5tGjRzx9+pRqtYosK6ysrVGfmsZ2fXqDIbt7B+ztH7K0vMSdO3eoN6YJQnj69An7u3scH50y3Whw/dp1ZpozbKxvoqkGT5895dHjpxwenbC2usr1Gze4ceMWlVKJ7//gB9z79D5XrTabm5vUp6bQNQPP3WH/4ADbmvDyS6+wurKCFIV88MFHdK5ayPjUSgXWlpfw7Amdy3MG7SsOohBD1VheXWN9dYVRr8feaEjr7Iyr83N0VeG1u69RzOWZjCZs7Tzj/OSEfNZkbX2Na+vrKKHC4eERBzu75AyTmakpQs9jb2+PZ0+fsrS8zPraKoau8fTpU549fUqtVqNarXD//iMcy+LOnZdYX13joWVzenxCMVdgaXGBi4tzOlct7Mk4NQ9lMybXNjfw/ZBnz7bodrv8w80VrMmEnz2/4o2LK4xPH/P+Ky/RR5AnIvLM/8ym3TQNbNtCkqFYElODiS3WctMUY2NN11OSQdcNJElJhh4Acb1aiB969Ho+2byBmdEYT4ZICmiGhqarFAolgiCg0+39xEjtT2ae8Nw4MDhAUav84MHf4Mn+V8iaE+rVFn/6G/8lG8t7SIneSFYhlJk4FvbYTjssx6MxmiZGJl4gXDqmmYkZk5AocjDNDCAxngw+w3zYtk0YhpRKJWFfd21cy2YYjOIxjMgjS3LEZFmLXTyi+aAxpVMsHrE0/08Iw1/gx/dvsXXyn1Ev/RfMVf9dSiVRA3Z6esqD+4+59+Ahu/t7dHtdJpZFKEmMJuO4HBrOLy/Y29/j2ZMn3Ll1m+vrGzQb01TrVVZXV1Mwk8vlGI/HnJ2dMRpNGA5FvIkW57J5rpf2XfqWx2g05OzklEf3H9BsNllaWGB9bZ35+QVU3SSIIhzbJfB9VFVkBxmGSTaTZeTZcW2TTxiEvHSzw09/aZ9/9Gu3eLY7x8QyyeUsUZMVM1sJe0UEMhJeEKIrGqFh0Ot2abVamBmDfDGH57rY7vP4CkVTyReLaJqOLEtp/2OiG1PihVdCTrtgk9+ZRHTIipwWH1uWlWY5Jcfb9wUbkzGMGJTGLl3XI4jd1QlIEh2nWprVJyvPR8TJefSiiUJRBPOZaAKlODYlCAOkUIw3X0xvlxGRO4KpErRIFCVjUilmqGLQBil78qL5IRnrJlEUyeg6+dwgQlbluILtuSFF/CkjSyBHz+NTXtQGprlvQYjre/FxVhAeE+E6k6Ig1tOFgq2TJIhftxyGIIlrJnpOTqYA8kXHdBIwDaSvG0m0YyjIhKHIw1Mi0TMURqDposFCONyt5wHZrhebYkJ8gnScmHQDB8HzxorxeEK5XBHmEEVlOBwlLzGVFyRj4larRf/jH+M7LqVSia9//eusra3x3T/4Ay4uLrh95w7Vep3jh4/5B//gH3Dz5k1+/ud/ns9/4R2QZH7913+dJ0+e8umn9/jyl7/Mm2++yWQy4Td+4zd48uQpb3/hHV57/Q12d/fY2d5ldm6WwA/o9frMNmfpdK4Io5BMNkOpUubV117j7uuvM9uc4eLiAllVGY2tWH8U0BsOqNQalCpl5DhGRpJkBoMhBwcHBEHIxsYat2/foVKp0G63uXfvHo8fP04BljivVTIZg6XlRe7cuUMum8PzPY6Ojnjy5CmWZWFkzHixEufS7OwsCwsLSJKEY3mcnJxyfn4Rbwx8CoU8uVwubnjx4ow2C1VN3PDCADU3Py8c70js7u5y7/79ODpHZWJbornGdqjVaqiqgixLYnMXVwROxgP6vU4shQhRZWi1zgl8G00to2s6jmUzHo0ZxRo21xbRQpEEsqoyHI+5vGphOza5Qp5MLker3ebw4JDVpRXq1TpKnEs5HAw4PTllc2OdmZkm7Xabj378Ea2rFv3BgGw+y1S9zurqCqqm8PGPP+bZ1haGYXLt+jXm5uaQkfjBe9/n6dNnXLWueOn2HRYXFjEMk53tHR49fsz1zU1WV1dRVZXt7W1GoxGPHj1iYlksLK8wPd3Edlxs12f/YJ+xZROEcPfN15meaaIoKq7j8/TxY4aDEf3+gLW1dTbXRIi+63k8ffqUVqtNEEQEYcTSwgKzc/O89bm3eO+99zg6OmY4HPHmm2/SbDa5tikiknZ39oj8gIxpsDDXpNte5tGjh5yfHPMsY9Cof4GF2SaXZydcXbXotlocaDq6plOtVGg2pjg/PhKVWJZLp3WJ73pMN2a4ee06w36PwaDP3s4OhqZy+/YdNlZWGPf6PHv2jEImw+e/8A550+Ty9JTz01OIIqbffJMb165xcXbGowcPuH37NqvLK0ShYBa3zS3u3r3LjevXefr0KYeHh5TLJaam6lxcnNNqtahWKyiKjGVNyGRM7r72KplMhu997z3Ouh3+dr3I8coir5yc89bhKYVf/R2+880vMxgMMAyDTEZgkyQHNKkMKxQKrKyuMhyNePDwIbvOLs2ZWarVKo5lC51yAGoc2xQEflwZKTTOihzh+A5+4HFwsEe5VGZ5aQ0zo1MsiggY2xGE0MSa/MRY7U8I7Byi0MPxN3mw9T/jyf5XyGVH/Ct/+j/h+toOqiohSapgzyIFKRSRCqHs4Nk2jm2TyxUpl8q4nksYeEjEY5pIIow8HNcVC7ccj7CCmIFQZVTFwDTjLsxQ0PphBNlcEQDbdrDjjkvTzOI6Hn7oYZqZNHPHMAwm4wmOdc4vfuXvIQW/yMPtV7jq/0VMY8xM8+/S6nS5+MEPefp0i4vLS1H9k8uiaBqDXo9SpSwCFWMheuiFtC7b/HD4Ac+ebLG6ssLK6jIbm5vomRxuEFIoVZhfWmZlfVMsaLH12bEdJpMxvb4IUzw6OiSYhER+gG25BFpE66rNcDSmNxhiZLLMzEzjuy5RYCNFAVldA1cwcJVSERlRCRaGIa5n021f8oXXn/DdP1rkolXmP/2Vn+av/7XvEEQBMhKqLLoEZFlCCkQ2mdUfEsTMmqGp2LpKr9djOBbhr6Yu3MsyonVEjxRyGWFfdx3h8rMmFhESnheIkzMQ9nBD10WoqiqLDKys0O6MBkOhf/J8JoGg0aUIPMdFi7VJGd2IGb4gBX1hFAonJSLSRFU0wTjpGrIko8kSXugThD6SLMdaRQSLJEloukrgByA9D1qGBKjFJ1nsCI2iCGQFSZGQFLErC6MIKR7jij5MEZ4txo9+3K0q7PNIIoTX9VzcIIp1fX7MzMXsHQLERrJOJElEkizynCIxZlUkmUhKWDrxPwnYCoNQjIqjCEXS4k5ZkXgf+IEwYSihYOWQIYp/LlJKsimyjqwYCQcr4k0ET0mcI4+Y2ga4jo3jOiTtCeIRCZaORHtIDIBlAi9AiyCrGoycMf7ExXUd/Fg3GQUSYSDQpCprYnTXGxM+3qV8dEoE/HhuBns8oVqtI4USpm5wuH9IQER/OKKi6QyGY+xWG8dxuGq3U0PA3btvcvv2yxydnLG1s4eZK3DzzkvkS2XWNzdY39zgt377t7Esi7/6V/4KX3r3XXqdDr/zW7/N7/zO71AoFHj55Ze5e/cunU6HDz/8kB/+4Ae89fZbXL9xjdZVi+XlRebmmmw/e0q5UmFvZ5uMkaHRmKGQL1OvNyhXpjDMApYTEviKqFOTYNAbcXneYmlhlWqlGo+yA1BkTs/PaLWvUHWdG7dv0ZiZRkLi8PCQo6MjAdRiY0QSG1EuV7h96yVmmwuEyAzHFnuHx3T6A6I45yx5TE9Ps7S0lG4iwihga+sZnU47BpdQKObIZAwiAiI5ZDgZYLmW0FnLMsgSjakpmrNNbFsUo+9s7TIejMhmssiSYPRzmXx87sn4XkixIMxQnusiqRrjwQjfdZFkiDzhXJ/0B2KD4HtEns2o38W1JiiyzKDbw3UcMpkMkizhBi6yKmNmTRRNwY98RtaI3qBLoZfHj1xczyZXzCKrMBkNOT89pt/r0JhpkC/kyGZNLHvM5cUFx4eCCaoUq/hTPmf1M7a2tnh8/zHuxOXWrVsszC4gfe5tPtEzPHz4AEMTrQlTU1PMzs2xv/UMRVG5desmcwtLnLWuGJydMen14fiUfLlGvlhmbmGJ/mhMp9dnNB5zcnZBZXef1bVVqrUp7tx5Gc/1aV226PZECoMchty8eYPV1RU0VeXx40e0O20ePZwwGQ25ce0aU1MNrl3b5NN797i8bPHw4RNMo0C1MsXMVI+TozNaly32d/cxr4t2hHq1yuFowOXFJZcXLSrlMo2pGYb9Ea7j0+v02Hn2DGltDT929xq6iIea2BN6gy71lQVmF+doHEwxmowYjSZcnF/SnO7QbMzRnJ3l4OCIw4Mjphs7XLt2nY31G5yeX9K+anN6csL8/DzraytsbW9xfn5MuVzg2vVN/DBgf3ePx48fs766SrVcZmdnh0cPH3L7pdusrq7x8OEDXNcT/ayxyVBXNe7cuM54NODZ1jNO3An3V2Z5vDRNyfe5+eAJX/BcfrixQKlSwg89JmNLnPcidIx8ocD8/DzNmRmqjoMEHB0d4tk2jWqV0Wgk+tMDEewvnh6RJDbxhUKebE7EL52dn3J0dMTO1g7jwQRJkqjV6qwsr/Ls2TYHB4epNOknefyJgJ2uqYycd/jt7/8fmNhVsuaIv/rz/zE3156hxAGHYosvI6HEHbJg6BqmpuJ5EVEoYiiSm7+qSMiGEo9bhWYpikJs20otzgCu6xMEgzRRPwwjLMuiP+hRqZQxDFMItQMxEgpDGULIZwromsFoPEbVNGzLwjRMDN1gMhzxl37uH/FPf1/hvR+/yWX328wMjugPdugPLjg6ORGaK4lYHyOzvrHOW2+9haqoXF60ODw8TCM/PM/j8kroL7Z2d7hoXTE9PU0mk0FRdTK5PLKiUsjmKFW1tFYr+f6XXnmZ09NTHt67z/aTZ3S7XUAAVtPMMLFsOr0uc3MzaKpE6PvoSjzOthRUWUbVM/h+nuFwSEiEaeiMRgOqxXu8/vI6v/sHL3N2USLAR1ZjJkqOx7FyhILoPA1Nl/HQQwpFlZFuGuTyItGeOIrD0PS4a1KYLUTeoE4ul6deF3mAk8lENCUEAZZjUzJLGKYhgoUlWbBismic0FWNYqGAbYnRaCnui3QcB9tz0uR1SZKwXZcoDNMRvKqq+HH3YJIRoiqi7zAIRMiyFC9OsiIS4xMQIsvgxbpEMe4UwE5VBTCU4gqthGHT4sq1ZPEkDJFkMRIMwhA/cWfLSQsD8VNK9WiqKqOqPkEodnO6rqHpClEkgF4QBoSSQohwkMqyjCoJ45EILJaQE7z5gr5OiiQII6IQkYclCfY6DELc2NVoSaDIIaauYRommqIjhbH5IpLEyCCSY7NDlOriIsTlLUkC8MmSYMGTzythXRIX7HPTxHOAp8Z5dJGsYGoGge7juz5+GCCFYiIs5BdC5xeEAa7joQ4nmOMJEbATRIxGY2rVOknd2mg0Jl8uUSiW0XWTdrsr4leiiMbUNK+88gqrq6vcvHmTo6MTPvjxR/T6A27evs3C0jK9Xp9avca3vvUtDF3nww8+5Hvf+x4/+80/xc98/euM+gN+8P0f8N5771EoFLh27Rqf//znGQwG/MF3v0tztsnK6gqnZ6ccHR8x3Wjwwx/+ENM0kCWJ09MzpuoNNE0niBlU1wsYDMeMBhMq5Qq+FzEaTmhdXmFbVlwxZOC5or7w+OQEy7ap1kS4ci6fZzwccXJywmAwSFm3ZGSv6zorK2usrW1gmCa269Lt9rm8vML1fGRNE12oMXO9sLDA3NwcmiZGrCfHJ+zt7eL74h4syZCP5SCSBH7gMRz1U4euFIZ4fkC5UqZQLGKYGVqtSw73D/FsF71YRFUlphoNZufmxfQ/kjANE2si0vd9z48r62Qypkl/0EVVBGPZvWrjWi5R1ieXMalVSpweHxOF0G5f0ev1KJeFs9GL15jhYIAsSWIjKctYkwmDYZ+LywsWFheo1qvkcllc12bY79G6PGd6uk6zMcXG6irddptep8vR/gF5M8PmxjrVcpX11XXsic3FxQVbT7dQZRXlxnWWFhbRVTElOj4+5sMPP+T69eusra0hhyGH+/vo5i4bGxvMLy7SH464vLqk1W7T7nQpV6oUK2UWFpfo9Pps7+5wcXmJvqWj6Roz0zOUKxVefuUVPv7ox+zvDel0uzx99gxVVVheXmZ9fZ0g8Nna2qLT6bC9vYMqq9y8dZ3rt29hWRYPHz7h7OyC+/cfs7K8xPTMLCvLPba2ttjb22dudo56vc76xgYRIUfHR5yenlEslVhdW6fT6dHrD2lddRiOJsL9qetMLDveXEgMR2POLk6pXdQpVUrMzs+xf3jAZDLh8PCY2dkFGvUmy8srHOwfs7+/z9nZJY1Gk6XlFe70ezx59piDgwOq1Srz8/P0B312d3fIFwpsXCuztLhEu3XF8fExhqaxMC8MFWcXZzx58iSu/ptKXeKmaaYh7hnD4LVXX6FSKfP42VNUQ0PWMvzR519l8Xf+iJu7h3wpo/FgdRE7dssGsSegWCpRKBQwTINcLkepVEKSRNadIsmYuo6v6/FaJgggx3GRpIhsPptOqhzbYW11jSiM6Fx1cSyb89NTfD9gqjZFc2aG05NzoiBE0X9yuPYnAnYX3df4+Nm/xsSusjCzxdfe+hXW5h8B+ee7vES0i0CqYRjhRh6KLBGFKrKkEkZxmwEhUhghI4usKUDT1JiyDNAUwdIIvYjIO0tGeyAiNKIwwrIcPC/AsYWuq1AoYhgm49H4M45HM5PBdTyk2D1njUfIksy3v/G7TOwcHz+6xYcP/o/0hr/Fyvz/HaIIayIWEzUeN964fp3bt28Ll8zEpl6vYxgG7Xab8XicOkCvrtq8//77TE1NoSgK09PTrK+vs7GxITppEXRu4uLLZDKUy2XRl7i2zv2PPxUU/WRCPp/ntddeE1Ew0vMxoCSJsZapK7immeqxDNPEyGTwRmNARtMMbNslDH0gYjw26XZMqmVbJO4j6GUJAXjMbBZNEcySZVn4cRhlXisgx9k+SJJI/yZulvB9QttmsTGdHrNktPgczKiomprqsaQ42Typ/QqCgNFIjNQS0CvLsohQ8F0s24pzmFTKxWJcJC0SzX0iQk+wZMQLm+e6BGGAIgl2SlGkVBv0YrWXLD/P2kt0X0l3qYjSkV8Acs/DhaMoSin5F5mP9H0rGoomvwB8nmv7DMNAUTX8QFwvmibCgpE0wtAXjs5InOeJ/glJIYxfhxJHoSRNEmnuHRJhnDXoAajJWNYnCiIIRAB1GHmILBaJUIswFIMwZvoEkxklfpH43BAPOQZoYYjoDdZ0UQbuCTAahEBA/LvE3wUTKH6CItBd7PqMj1Pcp5mM6BONYSbuBAa49vF9AA6np3jsuciyQiabiwNO+9i2Q0UTLmogzQdbWFjgC1/4AtevX6dcLnN6esr777/Pk61nlCpl3n77baanp+n3epyenTI9Pc0v/sIvcn56xq//6q+xvrLKW1/4Aj/7sz/H0eERP/zhD8nlctRqNTY2Nri6uuKf/JN/wscff8yXvvQlFhYWODw8pF6vk8lkGAwGvPbaa2QyGXK5HNlsFtsWspTEIOI4TnrOnZ+fc35+DkCj0SCXzXHa63J0dIRpirFpssgl98SzszNc103ZuoRxLpfLLC0tkcvl0uvr/Pycq6ureBP+3JhWKBTY3NykUqmk5/XBwX5cZ6Sk8gLTNOJoFAnHcTk/F3EpImNMAMvFxSXy+TyaptLv9+h2O2llIEjMzMwyVZ/C932xAY2v4263i23bGHEbjXiNou7Psmz6/QGDfo9KuYKu6zQaDSTpIa4nph6uaxOEAaapE4Wir3M0GjCZjMhkDFRFjnPB+lxcnAER2VyGRqOOrovR8tnZGYuLi5TLZRYXFzk8PGRvb4+T42MkIJsRfb1LS0v0ej1GoxGtVks4NXUNQ9OoT01x8+ZNxuMx7bZYByxrws3NdQqFrLh+dYXpmQa9YQ/LndDr9Xn67Cle4HPz1i2mGg2uXb/OyJpweHjA4dERnueyvrbOtc1NpqenuX79OoPBgE67Tbfb4/GTp0iy6OBdWFxiOBoznlgMBwOOT0/QDJVXXnuFV+7exQ8lHj16xrOtLSxrwpd/6ku8/rk3kVWFTz79lB9+8D7vvvMuK2urlCpFBqMhuwd7FEpFrt+4zsxsk7OLM9EoY02wXYeF5SVuOre4uLhkOBoyGo959OQpsq7z1he+wNziEjP7B+zu7hJJMqdn58xMzzE3N8/i8hLtbo/L1hUHh8fcKpZZWV1j92ifw5MTKrUar7z6MmsbGxweH7G9s4OiZ7m2eZ31jXW+/9732dvfZ25ujo3NDbzAY3d3l1KpxOzsLIPBgJOTExYWFoQG1RIyjzAMmZ6ZAUXm7PKCgIjd6Rr/yRff4H/yh+/zP/3kKe+ft/mPbq9BNku/309Ndkm802AwSPFILpdDkWTa7XacFiDSQEajYay5NdOu9WTydHV19ZkaSk8SBr6TkxM+/fRTbHsSB9L/90K09PHf3nH03/HYOvoqY7vGTP2Iv/Zn/xaby/fiBUeK863CVPicPIFEdQ6IahEB+MTzeQq9+1xbFDMrfuDFi56WuhQTAWPSW5jJCPQbr50kReqapuN5PldXV4zH4zRaIvDFAuL7YiwoyaBrE/78t/4R777xHhnTYfvgT/HJw38d1xYxF2bc3VYuFpElmZ3tbY4OD2m32zx79oxnz57R6/XQdZ3l5WVmZkTjhOu6XF1dMRgMePLkCX/8x3/MH/7hH7K3t0e/308/1+Qzm0wmuK5LvV7nzTff5Ktf/Spf+MIXePvtt7l27RrT09PkY8dpEATohhF3TWrPF0FJ1FmZmSxGJpOCZNf3+OLb79Ood7m4rPL3/+HX42T0uMcvFML4MIpwPTdtMPB8n4llMbEsnJg9FU5RUfMUxGxVJptBVUVY6e7uDgcH++zu7nBycsR4PCQZFybj3cQtm7hBzYxJJmOSzWbIZjM4js3x8RHb21ucnp4wGo/J5nLohkEQBoytCWECGhVRSh2FYjypSKIzUdM0UbqczabRJlrMMr4I0kqlElNTUyKpPX4mYDSK3UtB4BEEXlxXZeF5Lr7vCnYt1silF5X8vEdSVhVB10lSPFaVCBGGCvEapNg0JNo4okg0SmiakXalJo8wNmgkzxcX8Re1g0ixDjAS5ogwCJDCCENVKeRylIslSsUKuWxeVNdEMn4Y4YUhXiSGDSiS2PYpwjUd04GpDjNhCIldwlEUpYBSXPLPX3dqJuE5qM3n82QzWTKZTBxMbgg2UpYEQ5yYKuJrtTAcIQEDSWIUicgMRVXJ5HJ0e32q9SnycUBtYkJaWlrijTfeSCu0Egbl448/xrZtbty4wczMDP1+n/fee4+/+3f+Ln/w3T+gXqvx89/6FkQRv/1bv8WnH/2YpeVlvvWtbzE/P8+9e/f4/ve/z2g04qWXXuJrX/sajx8/Zmdnh/X1dfL5PAcHB9y6dUtUFEoie1PX9TQYOam7SwwnpVLpM0HVo9GISqVCoVhAlmX6/T6np6cEQUCz2aRerzOZTDg42KfT6aQMXXJu67rO3Nwci4uLqav48vKS/f39GEx9trs4ydlMTFxnZ2c8fPiQMAxRVWGkyeVyzM7OxpligiU9Pj4RY/QIPDdAVTWmp2eQFRnHsRgOByQdz0EQomsZVpbXKJcrjEZj9vYOcByPKJ70iKckdNGpVlDB98RaMBqO8AMPRZGZmZmOcyM9JtaYo6NDfN/F0EULgmNN6LTbjAYDNEWhOTNNxhSf79XVFf1+DwmoVCpxr7LD2ekZZ6dnhH5ArVpjbXWVYr7AaDji9PiE/X0BdnOFAmtra8zNzWGaJq1Wi0ePH7O9vQ2SxNzcHNeuXaNYLOK6Lp98/AkPHz2kXq+xtLJELp+jOlVjcXGBufk58oU8vfgYt65ayJpKc06wwFOx6aXb7fLs2TNOjo+JooillRU2NjaolCuxuL7L3t4+Z+cX1OpT3Lh5k7W1dYqlMp1Ol739fQ4PDjHNLGvrGxTyRTrdHvuHRxyfn2Hmsty4c5u5xQVOz8+49+gB/dGQYqXM/NIi561LtvZ26A76NOfnqDam0EwDy3G4bHeQVY13v/wV3nz7LXTDxLIdrrpdLq+u6HW7ZHM55hcW0kqxTq9Pt98XdW6zc+QKRa66XfYOD3E8j8bsLCurq5iZLPtHh5ycnjE1PcPaxgaO53F4dESn22V+YYGpRoNev8/h0RG5fJ5r168ThiGffPIJqqqyubmJ5wl9ab/fx/U9HM/DdhwkSTDRU/U6WcMk8gO2p8r8o5/9KUaFHG+cX/FvPNyhEIaxo5V0U2VZIp6p2+1iWSKZYmpqCoDhUNQ5DoYDnLhmMenTzWQyKXt4eXkpNK2OkKQAcSSTz/n5GaPRQMh08H9irPYndMVCPjvgT3/1V8iaDkGshYlCEYgahc+dmiDFGV8yihzG2E4jioQuS9EUFBmiMCByA1RNRVMlPM9HlpX0xpO0EyTC9+QmlQR2SpKo6BLidSU2ILjkckXBRkQhbtxEEUUhlm2hBmIMKsquHYqZDEXF49vf/A1ubR7z//nHf5pW7y/iZh2atb+Fpotaq0q5zMX5Ofv7+3ieR6fTo9VqpU0XpmkymUyo1WrMzc0yPz9HPp+nUqmQzWbpdrt0Oh3++HvfY6Y5y+LiIs1mk0qlItLG4+iPSdzVWigU0r8nJ5NpGASeSxQFQvgbj18UJRanByGuH4CkoOkGmm4w7FlERDSmTlhbPuLyqspgWBAjxigR/Iv+1wABCAgCUelkGmhZk8F4hOt78ZhbjDX9MMT1fXRFJpfNIEkK49FEhPPKCmbGEGaMWHvjThxUTcU0RKxLPpsToxJZRpElfNdhNB7Q7w8wTYPGdD3d5aOJRVyOSGtrQMKIP5vQDwSokxVkRcF2XfwgrhsLRDyIYA311Jjh+048/hctGsPhkCiK0lGr0MuFRHKYAuQEoCpIyLH7UfTffrYWDIi7b8XPTzc5PAd+IKrYgBTcScjomgEShI4bs2qxJzWKYoaV2JkrnhKk53dybSialn5PAr6ed7MqRAg2MohER2IYs3eSLLpjZV0RIch+IKrNolA4fOPf4Xme6MGMx+GKJItqHFUAbCJhvAiliDCKv05WRIaZqsUMvYTnP684E8yglEbDJJ8VkDLhURT3RcsqsqxhGBkcxxfu0Rgse55HJpPhzp073L59G4CDgwM+/vhjTk5OROr++hpvv/02qqrx9N49fvjDH/Lo8WNGwyHLC4vcunmTn//Wz/NP/8k/oVKu0Gg0eOedd+j3+3znO9/h/fffZ3Nzk5s3b3Lr9i3uPbjPo0ePuHnzJqurq3zyyScsLi5imiZbW1uEYUi9XqdSqdDv95/v7hUF2xbMfRIgOxqNGI1GaRNEci622208z6NQKKSgf29PALUEMPu+aBYpl8tcv36dQkEAQ9txOD095fT0VLidZZkorqyTJFEhlsvFPZwxcziZWCL0NRAVj5quU6lUYld7kLqWdV0nDKLYQZhjamoaRZaxfeF+dl0nHn9FGIYpdHwojEcW/d4ACVEbmcvmyWXzTMYWrasrBoNh2jAxnkwwzRyZrOjMDKOA0XgkXLNE2LaQ5UhShKHrmIYuHLjjMRcX5zQaUxQLIioliEI838WyJ9hONo5ICglCH9u26LTbuK4rsvimZ6jVanQ6HTzPSxnVTEY0i8zNzXF8fJwC76xpUqlUWFxcZHV1leFwGMfLXHJweEilWmFjY5NqvYYqQS5foFAqksnlGI/sFJzUGg0aM9PcvHULVddRVJnL8wt6vV7KRM00ppmfm8exbHr9DkEYcXl1xf7RIUY2Q70+xca1a7iex+72Didn56j37yPJKgvzi9x94w16gzEXF2c8evKYfLHAysoK12/eoNW+4unWM0qVErdv36I2Vcf1PLZ3dphpNnn11Vd55dVXcVyXTq9Ht9fj6OSYxeVl6o1pZE3F9lycyKPT69Pp9ZmZmaFUrZErlphMJkxsG9v1iFSNQqWKpKoCbLkutuehGgYLy6scHB9zenrC/vER03OzTM/OIT9+wvnlJa1Om/n5OV5+5WV6vS67e3vMz8/TmG6wtLTE48ePefLkCXfu3GF+fp4HDx5gGAZTjQbj8VhMDDwfTVVpNpvCABSf19uNKn/nz36DP/+b/5w3z6440WT+g3r+M+aJdNMaY5Pk/iNJUkzARLiO2Ig8L1ogNUomSQxJ2kdy39M0EdSdyWRot9tIckQQeD8xTvsTMXb57IC/9HN/J2bqnv//QRCXHRN9pv5DtEmMGQwG9AcDhqMRw+FQsD+Ogx+zHW4sLHQ94Q4R2qyxaLOIwrQkPNlhunGYrohE8FM0LG5KEIUSvucTReC6ov0hYfiSzDBd1wXIME1cz0aSIgr5HHdvb3N78ykg05/8j2kP/vdAhlw2x0t3XmJmepqrVovTk1N6vR6u66Xso+M4HB4esrW1xZMnT9KdtGmaLC8v87WvfU2Ma+bnCcOQJ0+e8L3vfY8f/OAHfPrpp5yfn38mhDlZzF4c84nFWRy2IAzintAQ4hw21/PiQuNQ9LpGEqqmoxkmsqymVmuiCHxhTAlDsViKp+gdRZaQNZUQEWKcLxTQNI1sLkexLMqdJVmI+p9Hr/johkY2lyWby2AYOpVqGU0XI1hV1dKxeqvV4vLiAsuy0uM5sSaxDkIweQnTFhHheB7dXo9Wp81gOCQIQzzfY+LYKQALXNGVKEGcy2cIE4GsIJEYcWKgGEnIkmAiksy9bDb7GdZO13WUWL+XOPdedBArikgQTxi+JIdP0zTBvpim6DhWFaS43zDVqSkysiKhqqKKTFz4asxiGqiq8QIQU2OX+fNKtCTZPgV3kiSAU/waFFlBQfrMU45AjkASlCFRJK6XIAjxgjCWBUMgQSgDihyPbcM0a8x1bex4JO45LoEXpPrSMHa0EsnomomiqBhGBtPIoutmPI6TU2NU8v5M00xDmZONSgIebdvGGI2ZskTP8tFUnUKxRK3RIJvPI6squmFSrU9RLpcpFAoMh0NqtRrXr1+nVqtxcXHBRx99xO7urmDqbt7knXfeodlscnFxzgcffECn3YYo4vGjR/ze7/0erufxxXff5c6t23z/e+/xnd/4DVzP45133mFlZYWnT5/yne98h/v37zNVn+KrX/0qrVaLjz/+mIXFRWZmZjg4OODGjRsEQcDFxQWKovDaa6/Rbrc5OTkRrGU2i+cJt65wmop7ommaIpPT9dL7gOu66SbEMAyGwyHn52epnCE59zRNS0OGTdNMGb/t7W0mcYVbWk4O1Ot1VldXKRQKMdAU2jHHsVNZQRDXFyaMKIBtu7SvukJPLQugXi6VKRaKsdUmoNcXAcTJJj2fL1AolEGSUulKMsGRZVEQ/+LUJ5vNMj8/TyGfp91u075qkehiFUVC01RAZB8OBoM4ON4glzVxXYtW64LzsxMkQqqVEoV8FkmKGA4HdLttgsCjUMxjmgaOYzHo9ri6vGQ0GEAUYRoG5VIJXVUhEg7tk5MTut0uge9Tr9eZmZkRpg1J4vz8nCdPntBut8nmcty+c4eVlRWKxRKdbp9PP33Ak6dbDEcTFEWnWm9QrtRRFWHCave67B0csLW9Rb/fp1gus7K6ysbGBoU4sLvVavH06VM6nQ61eo3Na5ssLa+iaDrD8YSt7V2ebW3juB7Ts7Ncu3GT9Y0NDMNkb3efe/fvMxiOWF5Z4XOfe4vp5gwHR0fce/CAbr/PwsoKxXKZbr/Hs51trrptNq5f43Nvv4XlOuwdHmC7DvXGFNPNWXQjw9iy2d7d5/yyRaFcYWFxGSOTxfF8Ti8uOD47Q9Y0KvU6xUqFAImJ43B8dkav1ydXLjM1M0OmkGc4mXB0corjeRQrZTL5An4EF60r+sMhtcYUtakpRqMx5+fnjC2Lufk5phoNTs/P+PT+PZAkXnnlFSqVCvv7+wwGAzY3NwVjPhoJIsnQRQ5qoomOoFGfYmNtnbm5WSbWmHND4Z9tLhEBXz5tUx1PGI/Fxkucu8JRnvRdJ+0wyYYqWbvTWKgwTDu3E/9ANismF3Nzc7HBQ4uvdwfHtQhCjzD08PyfPKD4JwZ2+eyAv/ytv8v1lXupNuNFnVIS4pok9mez2VTzEYQBkiIJJ2A85gtCkZqPJC5OEc4q2AQlXuwSViNZOJIL3nVdbNuOAUECfkI8L0h3roaRoVqtprSnqqoiQ83z03n7ZDzC9z3GYwE4PdtGweMv/dyv8eU3fxvTcGj1/xKnl/9bFCVHLpulXCoTxZoSy7LSMYWiPC9KF7u3M46Ojjg9PeXRo0e8//77abXY3bt3+epXv8rP/uzP8vLLL6MoChcXFzx58oQHDx6k/YOlUolKpZLe8JOxBEifYTBAiPJBjEaFI1MANkmW0psOMSgB4uLpQDQ3JKPEGHgI7Zw4vqqq4riOcD6qCtlcVgiUCwUM0ySbEyNfPxJj2wSEJ8GOuVyOarWaxiQki4qmiU7VpHFDliXy+RyVSpl6vYphaAwGPUajAZY1Jgh8IUxVFGpTdaZnpmnOzZLP59FNA9MQeYZEEb7nE/qi+1aOnaTJ2MuOa3hSljXuJp5MJmlAdPIekkR+YXqQP/PUdRElkYzAE6CiadrzEbOqoahKvGCpMRB+fgyeyw6SnDcxinJdX2wYAjFSlOM4GAEw1XSM/GJFWnI9KoqCrunocR+sjJQgdqFJ9APxjFlMAQxFV66q62iGgaKrAoyqciKFi9UWQjoReD6h58fl3p5wfrke9sRiMhrhOW7cZaugSDK6omKoOroSV8DFn68Sj7tN0xQGo3g0nZzXyYap0u2zOLYIZZmdtVVmZmep1aaoVGr0+wPqU1MQA9sE/CwuLlKpVPA8jydPnnDv3j0mkwkLCwu8++47rK6ucnV1xY9++CMePnyI4zjk4i7dxw8f8dH7H1DI5fjaV79KuVTi3r17HB4e0pie5otf+hJzc3MixPjBA9qdNrdu3WJxcZFPP/2Uk+NjVldXY7bKTfVw/X4/lWmcnJwgq6qoMEKiWCyS1LIlu39JkuJQ6ef1e2EYUqlUkGWZbrfLMNakJgxeGIYUi0VWVlZinZsASsfHxxwfH+PH943kaxVFYX5+ntnZ2fScGo1GnJ+f48cMdRSFaZdroVBIN9G9bi8FZgKwK1RrNTIZkcrvOBYXF+fx4iY2EsViCV0ziELo9wfxtEBKme/AD/E8n8l4zHg8plAUlWnVWi2uyroUPcxRhK5rqXnH81zOz8/odNqYZoZarUbg+0zGo/Q4JHWGYRgyGPTTKsRyucT8/FxsBBM6u5OTEwESczlmpqdjQCsy+M7Ozjg+PmYwGKTAc2Zmhmzcu316esrJyQlRGDI9Pc2tW7eo1WpIkspk4rG3d8TR4RkRKka+zNz8Mo2ZWYrlshipdjocHB5ydn6O7diYGZPp+LzRNI1J/BoSLWa9Vk+1Y5PJJAZ+QiLkez7N5iyLy6tUa3VGlsXB0TFnFxcEEczOz3Ptxg0kReb49ISzi3NkRWZ5dQVZVTk8OuTps2fYrsPLr73KnZfucHp+xo8//YSJY1Op18gVC+imyfnlBc+2t0CC2y+/xK07tzEyGVzfx3IcQkAzDKH/Dnxc36d11WbQHyArKtlcTrSbOC5X7TaO61EsVWjOzmFkM1y22xyfnaIZJksrq6i6xuHxEccnJ8Ipfusm5XKZo+Njjo6OmJmZ4aWXXqLX63H//n0KhQILCwtMxmMs26ZQLhESphMC27bRFZV6rcatW7d45ZVXMAyDH883+MO1OYpBwN846aD0BFGUVEwmoC7BQ1pcX1guV0SHfBBQKBQA0A0DWZbSDZzjOPR6PSaTCZVKhampKUqlksjO8z36/V5sXkqSBn6yx08M7P61b//H3Fp/mAIuRRWVOIqqpun+iRZHVoTgvVAsCno/vumWymXBDsWOOQEonmeLgWCkctkshUIeVRPVG+PxWNSIyTIv6veSsWAYir5ZXddRFI3xeEyv10OLy4dz+TxmxhRjWT+p0xIjWsdxyOcL5As5xtYY13cpFly+9dPf5Re/9isoCrSHfxXd/JbYHYxGSBEYmoYqS2RMnfm5Wb71c9/kF3/hW/zUF9/lS198h9fvvka5XGY4GKSvp9frcXl5mS7SpVKJO3fu8OUvf5l33nkn3t374qLcesZgOBDZfxKMxyNc1yYMRXTIcDggjMK0eSEOKYuT+338ICSIIIwkdDOTLnov5qslf0Yv5MAhCQYuiQ9JmaYk4ywGe4aukzVNNFUTLRCC5ANCPNfBsW0cx2Y0GjAY9BmPJwShD5EYm0w3pigUi1jWhG485sjnc8IF6ImEbyDOEMqixJViQLrTSS6MtBdWE9qatOM0ZhsSdvczOjQEy2g7Dv1+L85JE7ur5OsSACWKn01MMxOXUusYhkkmk03NOcl5nIw6hdYtdpTKEoomQJ6kKiBLaTOC6JdNnkrsCLdxHEdkeMlKCoYUVYkdvXLswpVTh68UZ5/4vofr2NgTC8d2BHMd6ygjPyL0hUA3CbaWYlexrhviPWYy6KaJpIrjLqkycgzwZFn0ySbBxUAMEAUA1XQN08wQSWA7Nv3hkPOLC9rdLhPbIoQ4YzBhcNU0IkOKdYhh+DysGUn0GH977CADp7NNJhub1OsNcvk8RsakXK0wNdNgMBRi7V6vx0svvcTa2hqDwYAPPviAp0+fIkkSCwsLvPXWW6wsr6DIMjvbOzx48ID5uTm+9tNf5Z3PfwFTN9jd2eF3fud32N3d49btW3z729+m3x/wa7/6q9z79FNu3rzJn/tzf46FhQUePHjAJx9/wnA45M033sSyLJ4+fSq6bRsNDg8PIRI9rVdXYsS3tLTMw4ePcByX2bk5srkszWYzLR4Xhiyx8UnG+IlTNdkoJWaD0XCE4zgpk2wYBuvr6ywtLaWjWbG5FOBVlmQxXg/EeL1YLDI3N5cC6yiKODk5od1upxs+oU3V0DU9zqpUiCKJi4sWjuPFY3FxjuZy+fSa8f0gbQ4xDJFxWatVUFSZ4XAgRkwx8y3Yb12cv57LVacNQKMxTa1Wh0gEibfbbSaWFXcxi/PSDzzCUBivrDj1oF6tI8dgsd/rY9s2mqaSyZiACGm+uLhgNBqhaRqNxnQqhRkORWewF5fOl0olqtUqqiJikUajEZ1OhyAQfdnT09MsLi6Sjde68XgsWiLOzvBcNwZ3t5mbWySKZC4u2+zs7HNx0cYdO5hGjqXFFWq1KQrFkujVPTvj7OyMbqeDBNSqNRaXljBNESM1Go25aLXo9wcomsbC4iKra2sp69rr9Tg8PKIdj5Xz+TzNpmCEBoMBT58+w3Vd5hYWWFpeolav0x8OePLsKY7rsLS8zOLyEq7v83jrKftHh+gZk+X1NTzf5+nWFpbtMN2cpTk3h26a+EFI66qN6weUqxVWVlcoFAvYji1MeL6fGgUTZ7/jCvNFGPgC9CgqfhDQHw5jiYFEtVbF0ITD9OL8gsl4QrM5Q61eZzwe07q6wrId6o0G080Z/CBgZ3eXy8sW5XKFYrHE2dk5JyenzM3PY5gmR0dHFPIFUe+X4JcwSteTarXCG6+/zu1btzBKBf7xq9f40fIc74xc/p2jK4q9IRKyCCBXn9eBJpttTddYWFhI5RTFYpFCsZA29iROdM/zaLWu6A8GGIaZyiESFs/zvLh1wqBYKv6kcO0nB3a3N7bQNAlZEcBNVhV000A1dWRN7O5RAFVC1hQyhQzZQpZyrYyZzYOsUq5UyeRyoIgi+yAKcAMPx3OYOBPG9oSRlTzHDMcj3CBgMB4zGI2QFBH/EEoSRiaDmcuhmQahJICI6weCBVRk9o8O2dnfw5NDrMBh7E4IJNFVqWgymVwGVdPik/GK45MTbNem02vTH/eJZI+1lV2ymTGg0Bv+DEGg8eTJIzECUECXAmanqmyuLPDGy7f5S7/0Z7h9bQ1dCilms8zNNFlaXGRzfZ2VlRUKxSK6aVAoFonkCNuz6Y/6oEClVmF+aZ7X3rjLxvUNHN/n9PyUwbiP59mMxgOGwx6jUQ8/FGNr13UICLA9D8vz8JAIkAlQcTwJJBNdL0KoEoUyVtywkIAXNwgJQuI8q0iM4yQIZJlAUfBViDQJSQFFEzq4frdP6/wCazgWvbK2A66PFoIchShRSOT7BL6PYzm4jo/jiDGq69hIYSBiBS7OCTyHfDZDPpfBcx0GgxHd7oCrqzaj0QTh1hWWcHs0QQoisrqBirgI7YkYCfpBgBcG+FGIH7ONsiQJ4BKBLCloik4hVySbyaIqClEY4HsugeemLLMkCVu6lUgFfF+MD2Xx1I0shplF1Uw8P6Q/GNHtdnBdKwZWAVHkxy5YoU1LelHFYqehyQpKlIxFVRQMNMVEVQx0zUQ3TYyMgaopiJP9uSsXWSKSIZIjkEOQQxQFVFUiCn0Cz8axxkzGYkfper4Ib44kQEGSNWTFQFFMVFlHkRQUSUGXFfAD3MkEz7YIAxcnsPDxkOS4MSLRD0bPK8yEYUporQIi/FD0aA4mEwbjCYEEqmmQKxWRNA0vCgkVmVAGN/BxAhcvCgilCFSZSJHx/ADPD2PdH8zZHq84HoGi8OT6JmaxhJHNkS+VGE7GTM1M44cBsibT63dZWlri85//PNPT03S7Xfb29uh0OuTzed544w3u3LmDJEnsbu/wgz/+Hvghb73+Jl/7yk/zs9/8U3zly18mk8nw0Ucf8aMP3sf1fV5+7VVeu/saDx8+5IMPPsD3PN754hd599138X2fDz78kMP9A4qFAm+9+Tkuzs7Z3d5hY32DjGny6af36PUGVKo1PD9EN0y6vT5np2eATKlYIPBdTEOHKCAMPGQJCvlcHP8UpRKUWk1UDUZRRKvVYjQe4/geKDJu4FNrTPHK3deo1mtilB4EnJyccHp6JuJyoijW0IYokkyzOcP09HRaKzYejzk8FH3gUSQ23olrvFQqkzFzyJJCGIDrRBh6HgkRRq9pOvV6Dd3QCIOQ1sUlw/4QVRGB2uVygc2NNWQpwHYmjMZDwsgX+qHQZ2qqRhj5+IFHd9BD0XXMbB43AC+SQFE4OjthMBqBrFCt11A0mVAK0UwVx/fwgxBF0dF0E0XVCCNwPJeJO8HDQzFUZFnF8wL6/WEMSlWy2RyGkQHEhq/b7WBZExRFMMrlcgnD0FEVBddycC0H3wvwvAAzkyWbz2Nms4RI2J7PYDyh0+kRxtfvdGOaQrHAxLVwPZfT83MODg7odnpIkUy1MsV0Y5ZysUohW0SKRDZft3VF6Ppk9AylYgXTzBFJKmPL5arTpz+a4AYRxWqNheUVytUaum4SxIzoyfEZnuOTNTLkswUMNQOBROu8Ra/TQVYk6lN1ZpozKIpCp9MRGsJshuXlZVRd47LXZudoj0iV0bMZUBT6gyEXV23K1Smubd4ikynhuTLdzoTRyEHTMrEeUo07rMUx1g2dYqmAbmiJhx/bGhN6Hr7rCpMMEAUhnmND6COFAb5rI0WhqAmL143m/Dx+BLv7B1xeXWGYGeqNGQIkjk7O2Ds4oliqsrZxDccLeLq1g6IazM0vcdVqE3oepXwRknUiHp1a4wntyw6t8xbrKxu8+tJdZhZW+OiNl+nlc3xp4vK3H+6w+mSH1sWVkLP4YcrgRRI4rsfyyiqvvvYamh5XA2YyKKoiMnF1LTbSSYwmFu3OgDCSqVbrZAwTWZIp5PLIyCKXFZWp6tT/8MDONDUyGSPWPylomoKiiDFSGAYMRyIV/cmTJ+zu7dLpdISN3zCYmZkml8sRhhH1qal4zCUYDDEpes4YKcrzXk3fFwYBRVHQE2FizNaZ8bg32akKgbe4CWWzWUqlEpPJmIvLC0bjERPLEt2bRC8wNyDJEZmsESftBzieTbt7RbfXIZvp8q2v/gqyHPDJwzc5Pl2NA5UjfM9jbnaWL737Lrdv3qR9dcWzp09xHYdhvy9CQw8P03gBMx5bTiYTWlettF1B0wTD2O60U8H07Ows129cZ25ujiAQu95Op83h4QGXlxep/m5iTfACH8dz447JIGY5hI7MNLPoukEUIWb/k+e6PSCtOQpecFYmeWwkf8Y9pcnYQ1EVXM+j1++J8XXMhImstCDVlyXVPrbtkM3maDabVCtVSqUy1WotHl2DoZvkcwU01UBCIWNmIJIJ/IhyqUqlXKNWnaJYKMQ5VFZaV5bNZkWWUOxaTnY5CaOUOFsVWYnzDw0knjdQiLGpGJkmBpWkqi4Z/yc6Tt8PYmZKTkfJiqLGrJqcygngucEgGZMnDIYWs1QvjlPF562mrEjGfO4SlWVJtEPEJpCkto04/FcigigUBo8wgChCjReiTFa4rhJTQhj3CyejXTUW0CM9rztLWwtiRluKo2wS3R48B3eIV0IQCkAXBGJTZbsO3V6XwWgogpvjKBw/EJEmgl33mEwmDIfDOGRafJ2qaUIj+kL0yV/sjVm0XbpzTU6/9Q1K8QIbBAGqqlAoFLi6alEul9NR68zMDJPJhPv377O9vU0URaysrHDnzh1UVWV3d5df+7Vf48GDB9y6eZPXX7tLqVhkY2ODX/zFX+SVV17BcVz+4T/8L/id3/kdFFXlc2+9xezsLD/60Y/4+OOPIQx5++23efPNN3n65Am/+qu/Srfb5XOf+xxra2uiGN5xuH37Dvl8nvsPHqCqKvm8yLyyLIsnTx4jIaJZLi8v0/M3GasmGXPJiDap4lNVFdd1RVXhZJxKVBJjhWEYaDErMBoLHdIkFoqnN35JQpUVctlcylqIEaVgq8RISU9fiyzLqZhbVUVbzsVFC8tykGVxbsiSnGqhhebYTfV/EKFrmjin4+5gy5rEx1FF17U4HcFPZS6u53Fx2UJRVMrlCrph0Gq1aLc7hGFELpsll88BYcz0u2IEGjORmUxGNPt4LpOJhSTJFIul1Dl8eXkZy2lU4UAuFPDja16A4dN4jJZnfn6eer2Orgm39mWrFWejeaiqysxMk3yxCJJgoTrdLledNr1+nwgRPVOuVDGMDGEEo9GEvb1DWpdtolAmny+yvLxMvS6yGcejMRfnF3Q7XVzHQdU0arU66+vrlMvl9PgfHR+nmrHZ2VnmFxdTnWq73eayJc6RfLlEvTHF1PQ0IRJj2+LZ9hYX5ydUalXW19dTk8jp6SlhGHL9+nWWlpfwfI+dvT28MGR2fp5GY5rJxGZ/7wDX81lZ26BemyIMIyYTC2siYrTy+QK1Wg1ZVhj0hwwHIwzDpFKukjHF2i36lR0kVWSCaqoaR5iJzXUUhpixtCXwfQaDPq7jCkZ8agrDNLlstUTmbBCwtraGYRgMhkNOTk5RNY0bN2+RyWQ5ODik1+uztLRMuVxm69lWKrl5btSUmFgW49EYa2IThRG3b93m7bfe5mpmmv/btQV2MiZlz+df3d5HG45iPXjuMyY6Oc5mrVarGKaB7ViEYYgW67GFt8ADJFxXxLgdHB7huZ6QMkSgyKKKVcRzxZmp/0MDuzByCUMXWQ7RdBndUND0ZLESo5x8Pi/y4SYWV60rWq1WTHePUeP8syBe/B3HTW35ic3fMIx43KXHC7S4IZTLZarVKplMEoMQfkZnkbhUXM/FskSnZDZrMjc3SzabiV2anxWbC92Ii+2M0XUZVQXHHSMrEbmcgWlqSITMTJ0gS8LlG0VzXL92jeWlBQqFAplMlqUlkdn03nvv8cu//Mt8//vfp9fv4/viYJ2enHJwcJDO0R3H4fT0jMPDQw4ODlJNkBRT+IeHh/T6fWRZplKtMDs7y9raGpubm5TKpc8YKwaDIePRSMRZJOPsmE1RVZVq3J2YgDbd0PE8NwYfURoemwANPxBhwYIqFuPCMHjuUNR0jWKxSL6QFzEV8UKk67roxYsSo0eUWr9N0yQMRHBw0qE3Ho3T8fpkMiGKotTtrCjiJlmpVGm1WpydndFut+kPBqnJInm9yecpyTK6Ic4ZNdG7xZIBWVHECFQSLm3vBScTJH2w4QsXpJzqu5LKrGSUm2w+nusItVRLmoCfpDs2peYV+TOmh8SpGsXRMgkwTEC+LFCYaIBAIgpCQt9HCiOkMEwZF0US7mUpCiEUPa+SBJqukska5PJZMjkTzdBio4boSxaOQE+42CXSTZOZMcnkxEIpSzJyJJ5SJBOGQq8Zuz7iaAoFIik26oiu3jACwzCFtCGfp1AoiNDS+DiBuE6tsc1kNBEg3fVSvafruML8Em/WZpGo+b74zKYblKu1WKuZxTR1SqWiuIZtC993qVTE9RKGIVtbW+zu7qbdqXfu3KFarTIcDvn4k4/55JNPqNXr3HnpDtVaLd68iLiiV199lfX1NYbDAd/97nd59vQpt27e5M033+Ti4oI//MM/5NmzZxSLRb7yla/w7rvvcnJywg9/+EMmkwk3b94kCAKePHmCLEl8+cs/hSLD/fufUimXKBXzyFJEt9PGc8V97Pd///dptVovXJviHEqYtERjXKlUyGQyHB8fs7e3F0sY8mn46srKCtVqNXV+d9pt2u12+vOSnw+QL+RF1EY+n2bRnZ6e0ul0xGblhQ3Ii72+AJZl0+1202shuT8kX+N5HldXV9i2nWoEm80m5XIZkVXoM45L2oH0a4RhxkrvCb7vp/E4QGwaGeG6nqh4DOO2mCgQmr7LM4gims0ZZmam8TyXbldsQjNmlqmpRnotjsfj9D0Ui0XxOcabKMuy6HQ6YrNtGExPT7OysioyAaOIwWDAxcUFti3MYNlcllw+TySJQPHJZMLZ2RkXFxfCDJPNsri4zMLCEqpqYE0crlrt5+BYkqnX6ywtLYlxqiqafvb29tja2mI0HKYasXK5nG6OdnZ2ODk9ERrRXI7lpaU0bqPf77O7u8vu3i6O59Gcm2Vjc4NarUYYhZxfnKd1cXPzC5RjTerx8QmTiUWtLpoPwgD6vQHt1hWFfIEbN24QRXB0dMzl2TmmYdBoTGMYJr1en163SxD45HN5KpWa6OjtCqOhrKgUCkUKhSJBEGJbDoEfIqsamYxJLp8TjufhACs2+iRAPAgCBoMBw+FQ9L7mcpimyXg85iA2SFSrVZaWlggCPw48PqMxPc3Kygp23B/cbM5w6+YtDg4OGA6Habhwet0FYZqZe35+ju/7XLt2jbW1NR4YGv/6fJ3/qtlg1nX5t/eOqMgySAJPOI4wYmqaKqQ4URjrQIWrNbnOhGNWbMaSZ6/Xo98fIMsKQRCh60LuI+ogk/vuT/b4iYGdqklISogkB3EVlBKzFAKYVUolZmeaLC8usrayQnN6hlKhiCLJWJaVWntFkCXpwTINM9bGJW6+55EMrusyHA5jZlB8z8xMg0ajga5rRFH4GdNFLpchmzNT92IUGy/EztBHZNCImiff9/AClyBwGI374v1JIWHoomoSiiL0ZOVCn5dufAhE/N4ffZvzyzdYXVnh7t3XUBSZjz/+mKdPn6aC2Z0dwVZOTU3xxS9+kW//2W/zMz/zM8zOzqYmCNuyaLVa7Ozs8OGHH/Lo0aN0ZJQ4AZPwS3GD0ykUCkw3ptF1XTiLJxMGgz6DwTC9yJOWByQpdd70ej3a7Sv6/X5s4xeMnSzLYmQS+UBIEAgTSa/bi4+RhCIrcY5bELt/FFRdww8C0e+bMVF1Dd00KFfKZDJm6s6cTCap00cEmoKmahQLJSGgjkuRPU8YBWRZwfcDhsNRaheXZYVsNieo7JilSxat1Hka98v2+n0mtoXjubiBaDIIiVLncxRFgh0KgnRxkiQBeJL2jyRbLNGPJQLXF7V5n3WqKrFGSEmZjeTvQpdniPaMXPYFg8UL+rQoIpQiJFV02xqmoOB9x8V3XKQ4dibyA6QwQI4iZOJnlDwRXxezbIkWUoQFB0iSaLaQFATTJ/rDhK7tBYbWyGbikYGIK5L8iMgP8F0P13FwHFcwcEmwcOygDRHdrNlsLtb+Ken1nICJ5DglgA1iXWcQEgaibeGq1aHfG6R6vWXX49/fP+WnJw6BYXD5579NNptNndLZOPai0+mwuLiAqirMzc3RbrfZ399na2tLVAGaIl5jc3MTLwz48b1P+Bff+x6KofFTX/ky65ubsSkoh+/71Go1fu7nfo5f+qVfYmNjg52dHf7BP/gH3Lt3j3fffZevf/3r7Ozs8Fu/9Vu0Wi0WFhb4mZ/5GTY2Nvj444/Z3t6mXC7TaDTY29vj7OyMarXC9WvXODk64vj4WCw+i4ucn5+JSsIwZHd3l729vXTzmxhxEolAwh4Xi0WCIGAcmwsSRiwR+pfLZUxT6MgSoDYajf4b56emaczNzrG8vJweqwSMvPj1yb1CTYwe8QKYXBtaHDgOiJgR00y/bzKZEIZhCvbE5jyTbk4tyyLJJTVNk2azie/7WJadxrYk1/qLzuCkUSSbzdFoNFIDhSSHDAZdev0u2WyGmea0eB3jMf9/2v4z2LIsu+/Efvu46/3zLk1lVlZlma7qrvYGDXQDIECAwIAASGBGDM6QQ0nzYSaCMwyFNJJiQiHODKEQNRGSSHBIcUiRhAiCBBoNEEDDEe3Q3aiqLm/SZz5vr3fH7aMPa+/zXjYoseYDb8WLrMx8+e695+6z91r/9TdnZz1QLq1WJx9rT6dTDg8PieOYYrFIp9N5LA3o4OBAPP/SlGa7TafTzjlsWmtOT085OxOz53KpzNraGtV6DeW5xGnCWa/HwdEhw8kYlKKz0GFjY9PkoMN4POH4+NjEP2YUzX65urpK2XzuZ2dnwu2eSAO8srLC1tYW9Xo9b24PDw7odrsox2FtfYPNzc28wez3epL3eyA2N8srK6xtrINSnJyecnR8xPHRMa7ns7CwhMah2x9weHxChsPaxgara2sMBxJdNpvNefLG01QqNQ4ODth+9IgkTmm12pRKZUbDEaPRBK0xIpYFPC8AJD1EeT5BUDCCG1eoNqGkjdTqdVMXOExnE0ajISpXewu/+uzsjJ2dHcIwpNGoC8I2nbK7u0uv28N1XfEk9QMm4zF379whS1OeuHoVpRQPHzzg7PSU609eR2vN9vZ2zq20+7s13Ze1OMtNxD/84Q/z6U9/hrnv8y9efJaH167y/O4BP/bVPxarMJMDm6ZpjkbrLKVYLIirQJIYpHpmns/N9zsr3jjr9hgOxsznEaVShUa9JVQHN8BR/x6SJ+I4FEm7udA4AeDgGNsER4lzv/YD2fwz4W3N53PCOCTVWX7IiMrQxfcdHDfLDyO7IchICBqNJnEsCJDWCVlmD1UHmTpmwtFQCP/BeGPZCCNBrhzCKBT/Iq2pBBVDSI5llIVI3y0kmxcAWqTunjPj+z/5Ze48eJrRpMGXf/ev8FM/+o948ur7pMb1/ejoKFejua54dT1z8xle/MhL+IVAisswRJOxv7/P4eEhe4cHnJycMJ1OaTabbG5usrW1JdL+cpVGrYFTKtIb9wh8j3qtRqqlux0OBkzGY9Ikwfdc4cGgTUHkUGuI+/79+/f5kz/5E5IkZH1jxYzFzgu7Rr1OFEc4ridWcb4ngowkkbxQE7Cu/QDXlc3QcUQsY5MatLleYRjiuopmU+LdgkLBLHIpmOZxhEKRROJp5hlSeMEX41LZrM/TGUSkIM9R9EoUSyVR7ZpuOrdH8Dzm4ZwwSXJOkS0otNak8xnVYhXrpp9qN0d57VrKFLnpr1VpypqPzWuxa0seF+1n7OOiAMj+3nVdwijKzXodR4yUI0PQ9YIA5XnG6DklCkPieWjikMBT4gWnELTOcZxcqCJeeplRMtv/tEFZUxxcyBxjZZPlNiuOysiMqMOaGSc6FcNscz0D35PkiEyRxppwFpkc0gDf9VGuItERKQrH9WS8EBRgNidJzotjOz606Gb+2aQi2nCVR5jMmYzGTMYT4tAU9Frz82dDLocxie9z+6/8JYbPPI0zD5nNxmJRUaswGAwkt9SoIq8/cY27d+7maIotKp555hmCQoG79+7xJy+/TH/Q53Pf93184lOfZDgece+N1zk+OqLZaPLSSy/R6XT4PqN8/a3f+i3efvttfud3foe/8Bf/Ij/9Mz9DGIZ87Wtfo9Fo8NM//dOsra3x6U9/ml/+5V/m1VdfZX19natXr9Lr9djd3aXTafPMzafZ3X7Eu2+/xec//3kWFzqCBkQh1554gm8YpaVtCuw+aMfjtrGxdiPWB8uOLq0Sz6q6QRCb7e3tXMFn0Wj7c206hmfERjYJI01Ted70HKFuNBosLy/n6NzEqE2zTOgzQhgPciqE5P+eH5aFQoFGo4HjOIRhyPHxUR6ZaEUflUolJ69fbKTs67HooTggJLma2smV2x5hOAU0yhHTZyuQms9DFA6Fgljr9Pt92TvMNVRK9i7X9fIkl7Ozs7xZluZFHAHsQd/tdhkMBliz+MWlRVrtNsfHJ2RaM56MOTg85On5nESnFIoFNjbWePjwvjFujjk42OPRowc0GlUct0S9XmdjY4Pd3R2mswnDYSjRmYMB7XabcrnM1atXuX//fm6WvL+/z/LKEp1Wm7Lhxt27e5fpZIJvyPv9wYDNzU0RNdZq1Op1zs7OODk5IYwiCuUyzXabSqXCaDxmb3+fq088wdLyKjefvsnh4REPHz5i0B+ytLjM9evX+da3vs3R0QlxnNBqtWk12+zt7ssURgM4dDoLeWOvtSZLU+PYIPtzqSgTjzSKDMjjk2VpbmXjmLHleDTOJyinp6e575ttQOI4ZjAcyLjTUL0mIzHaPj46otPpsLi4yPHxMYcHhzQaddbW1nJz4HK5TLfblfsrFQBLKRFFjMcS29dut/nMZz7N8ekZp2c9/uDDz/Oz+wc8df8Rz11a5fVLqwhIkhm3jSGzWYjrOUZ0eg4YXAxjsJ69Wmd0z3okUcLq6iq+X6RQKDEYDBE7+H8Po1jreWQJ7eDiOgUCv0zBL5kDQRSJNoRcAb7nGf6TKAoF0i8QBCbQ1ihJ5INP5QDK5A0HgU+xGOD7RsqfiaeW9fzKMk0UifpSuHkpNqfS81zqjRrLK8tUKmVQsLS0aP4/y9WF4hkjY7pSqUKpVDGvx3D3dEqtfMRP/ZlfZHP1NrN5hV/77b9Mt9+mWCyysLCQO1DXajUqlQr1el3UwWYTs+a008mUO3fu8MYbb/D222+zs7PDzs4Ob775Jl/72tdyP7t79+6xvb3NyclJ3tmenZ0xNaML5TjM53NRBJ2c5AViGBq+ggyvci+9brfLZDIR7ltFRhpxHDE0rvCg8QOfaq2ac1M838+5de12m6WlJTzfo1Krsbi0RK3RIFOKyBhKhnFMGAov7ejomP5AlLCz2ZwojnKYudVq0+kskJrCoVAoUavVTdKChNYrJYV9HKdEkRSGOtP5pm87LHtt/aBAo9kgMApViyZYL7TpbJoXgonh0GUX1h1wbvnCBduQIMhHYRe9tSx0fpFvlt9QpjC1h/HUjJwtl9H+DBnTykEVpylhJLm6s9kMsgzfcfFdh4Ln4TkOKtMonco41iB2bmb86Tj31rMxOUkqJHSdpTYigjwywpobIwkYKEnEADnEyRRKK9I4lRzXOCWOEkbDCcORdJM2bSDLYB6G9PsDxpMpM3NQOo6T873sNVFKmexd8RFUSJ5zGqdE89AIXRRPRAk/MJwQey7/5ke+wOzP/4S41QNRHJomTrr41dUVCoWAa9dEBXv37l3eeOONXCm6uLjI2toaYRTy8isvs7O7w9M3n+bTn/k03W6Xr3zlK/zWb/8Wv//7f8CXv/xlfuVXfoX79++zsLDAM888w4//+I/z0Y9+lNdee41f/9KXcJTic5/7HCsrK3z5y1/mm9/8Jmma8sILL/DhD3+Y3d1d3njjDRzHYXNzU3zN3nsPtObpp24w7Pe4d+c28+mEwPPItCjmbLzWbDbLTW1zs2mDEIFwZQH6/X5+P1hkf2FhgUajgTVJPTk5yREpyzm1vNNyuczG5obw8fzzA2w6nT72edl74erVq6ysrOQjsel0BmTnikKgaKKS7PdIhqz83eLiIouLiziOTHCOjo7z+EClFJ1OJ0e17RoOgoBms5nfS5beMBqOmM9D4sj4KoYzkjQGpQmjGf3BGYWCz+bWBs1mkzTV9PuD3HBZDk3ZQ8SIWWxPxIpmMb+Px+Mxu7u74iag9WMJNY7rGjSnb+ggop69fOUK1VoVzxd15/HJifBNtcZ1FfV6hdXVJYLAQWcxw1Gf/YMdTk6OcrpCq9Wi0WzieV7OexyPzZrIMhrNJjdu3GBxUWLZzs669Pp9Y+cV0263uW7i4eIo4qx7xtHREYPhkKXlZS5fuZKjr7v7+0a9m7CwsMTS8irgcHh4zPHxKaVyhdXVNYqFIoP+kJPjMzwv4OYzz1KtVE3iwpyFxWWWl1cIgoDJRPJRxTHAGuArsVcSjzOyTBHHKYVCSTKfUTngkqYJUTQnioRjdxHRAuGkJkmC50qxbZHLg/19EuMt2Gq1CDyf+XTGsD+gUipzaXMLdMbJ8QlZprl27Rqj0Yhut5uPt+2+IelVwhcdjUa5qXi5XOaTn/gEV65e4fDSGq8+c4NCFPPFV96ijUwVkzQhjiP6/R7j8chYuLmm+SCn+cjo99yH1PdFhJQZr1VJx/REgKNcHMf//1+kXTyHPug3FgpFXMdHKQ+F+VLi/u4oD2NKB4Z87TgOgS+wq9g1WCNXQUsyY6grN2x67tWEHDzWtBKQitdT2JEhKpNxsG+6OFflm4Gdk4tw4pDd3d38wLSLxvc9KQ69gCAoUQjKZJnDeDRh0B8RxxqFQzibEc5Fqr3Uep3Pf+JXgIzZvMz9h8u4rse1a9d48cUXqdfr+Rh1OpnQ7w9yPsDYGDNb0USSJEZ+vsriohirOo7ky+3v79PvDxgMBvQv3Ky9Xi8fzzqOQ2xGEoPBgLOzs9ycM8tgNB7x3nvv59FJtugrFAqUK+X8M43CMOfciTlukMc5yYjby8fiuceb7+MHvrFWMYWQUc0ppRgMBowMP2I8HjMY9CEj93g6OTmh1+vlSMQ5D3OcR6XZwsia1wrBNMoLOXvY2RsxNuKR+XxOGEWGK+gQFAo0Wy0KBUHgbFatIBfkxWFepHGOvNmbz0LlFw/Fi6PX733Yw1ASH+T3qYHh0+QcTQRRl6MwYgX5NU1TyQJ2XTxX0DPPUdLpprIzqiyz01QJYLpQ0FmRhfVhRolAKLNIHUb0YL4nI5NmybyvVBuvuiQhjWP51RTKURQxmUxEtGOQX+W5gtqGoYkLlMJ7PB6zbWL35vO5GBrH4s1nvRNF9EHewSsUT8QJ/6ftQ6pa83vXr5L8xZ+hXJFmK4qFxhEUfMaTEZVqmVq9ys7uNr1+l5dfeZnt7e28ICoWizz//PMS6fT667z73rssLS/zmc9+Fs/3+erXv8bLr77Crdu3OT07ZW9vj+9+97v87u/+Lm+++SZZlnH58mW+//u/n6effppXX32VP/7jP+batWv82I/9GLVaja985Su8//771Ot1bt68SblcZn9/nziOWVhYoF6vc+/eXd5++y0qlTKOo3j77bc4OTnGceDhwwcoR1E15rO24bA0ivF4nK8/i1BnWcZoNMrvBetHt7i4mHPAJpMJ3W439/u8SCNwXZdLly7RaXekkDLPd3QkyQb2NVjubxAErKys0Gw287HowcEBs9n8MfS6aGKS7Bq3/DrHcXI0UQjz8zzf1j5sQWq92AQhLlAuy35l/w5gOp2Ypi0yxaAVZKQkiUxnQARunkGL+70BSZJSLEgyhL1PbYNs95tOp5NzYD3PkxGnuc+r1WqOmlkbmrOz03xPVo5DvVGn3WkbCBHG0wk7O7umiE3xfFhYbFGtlVGOxvNhOhsxGJ6jl/V6ncWFhbyIHw6H+ch2Np1SCILce9AaWR8fH4sFi9ZUKhUuXboksZYG4To6OhKupbHesJ6i49GI0zMROjZbLTa3tmi124zGY/bNZ7SyssrVJ55gPJlwdHTEbDqj3WpTq9U5Pe3SPeviux6tVhvPk4IZ5RjkSqyVbG48yjH3e5abpAun7PzsVgoydB4V6XkelWolH/nnFlecJ9OkacrB4SGTyYR2u02n3SHwRTBoc363NrcoFgqcGNGMNfrudrtmpC8IYFAIHrtfYnP+WuuYrUtbfP7zn2NhocPXPvo8d9aWWDnr87O/+02KU0leis1IFjJDfXDFMspVOUhgG3B7f0t+uCeqcxPzSWYUtzaR6AM+PvAo1gsKZColSxU6RweQXVmB4wknCyXcG9cR01OVAjrIP0zHwI4ZcmOKnYJnbkb5QLNMEEK5oRSuI1L33KFcyXMq10EpP0dUJNZGFpJwyTIcFxqNqnh3JQKpu45nDk4HJ1G4jkfD84jimMOjQ5JhIr5efgmF8XXTmsXWXT72oW/w8huf4Stf/V/guTEry7s8/dSTuA48fPgwjwrqnZ3y9ltv5cpg1/fw/ICVpRWG/SFlHdNoNllcWGQyndA3HcHJ6Skbq+tMxxNIUxr1OkmUMB6JH5woHV3CJGVqCpnAn8s4OigI9+Gwx9tvv8dsNjenfkq1VjWIlvngFCRphJOA1gWKbiD2JYkmtdecjDhJGA2HzOYzhqMx4+k8P2CiOCZT4BmJtzbjnGKxhGs4BEkUEfg+pUJArDOSVBTNOk0ZTSboVNNoNHMIfmpEMa5rIq+QQ8tTHnGcGH7POeFaeJsxOo7N53SeXaqQEX1CkidspIngmRYCB5UfANYfTrmSNatcx8RjYRqSc6qAhf8tjcA+bFGnTHumMgWpWa+mChMLFcOzM8WY77kU/QDSFLR5/xiiu3LJSEBZvh/5eFfbezATE2KlwFXWFghpka1FIcr8i3MOqiSXmJg0zyPVGk85oFMSrUXxKq1j/hmnmZZxvOeh0iR/H6lOmScJbqlEq9UyqJM1p7UooaindZrIyNiBYiGgVCyyGcb8Hx8esBnFvN1s8PCHvsAnVtfwXGONkClUljEdj/Fcl5XlZTEJfustZtMpnhcwGvdZXl6hUPR59tlnuHJ1i4cPH3Lv/n1q1Sqf+exn8T2f1197nddfe42jw0MG/QG+65mGrG9U6F2ee+45PvOZz/D888/jeR5f+tKX+MM//EM2Nzd5/vnnefLJJ/m93/s9vvGNb+QCp3fffZdbt25xcHDAtWvXuHz5MqcnR7z++ncpFj6B6zqcnpxwdnYqtBTlEM7nBIHPbDbFccScXTKIz82K5Z7wKRUrZFr4WUkS58KdTqeTF0dJknBycsL29jbT6cSMwz3SNMELfMrVCluXtyhXK+D44HiEUcLpWZfJZJqLfaTAg0KhRL3eNB52HlEU0+8PIEsRfZtMWOq1mqS96Owx7qzregRBgUqlynwecnbWZWLM4ctlMfheW1sT1b3rMplM8jVlkUurgFdKMTeIu5ioywRJ8pwV82jOZD4B16HRalFvNsQ+J83QWlGp1HIuneUm2zGnZxJ2PN8TnpXrMJvP6fX7VGpC56iUylQrFQJjZtzr99k73Ke9JCPHteVV1lfX2H20g4NiOplwcnTEbDalVi3lorZOp83Z2Slai+hjMh0RRXOCgperdIWKQs41n81muYLZFvhWIX24f8Dh8iory6t4xTLlco1OZ4Vi8YD5bM6gO6F3OkBvQq1cZ3V5jft376EyOD48pN/rsbm1xZXLV3j48CGnp6ccHh0xnc6olWtsrm5x9/17DHp9emdnNJtNLl++xBuvvSXNOxnFYiGfdjnKI47DXCBVb9SpVmuQwWQ8yTn3xXKReqNu4j3jnL/tqUw8EQ0nz3UlG1rrlNZCB68Q4GiXdrNFIQiYTiaMhyOmkykLnQVazSaFwGM2nYiti1LUa1UCzyOczzg+POTSpUuG63rIbDplc2OD27dvc/nyZXqDIalJQfJcl9l8xqDfF/CjVMZ3XdZXVxkO+vzeZ15i8Xe+xrWHe/xcHPP//NB1plmGowR08n2N64SkSWIoMiYcQGscBb7nUC4V5FyfxrjeOT/ZFrCecRD5wPXaB/3GMJGILi1+EbieI0UYqfB6SHOEAxe00qQ6NKNVhTUmRmlzqGrDzeCxAw4A43XsOCb2ySB8yhWkwbjqGkRCYVV6nivk9DCM8TyxZ3FdIZLHUUKaZniOh+8FpkCI8T2r6AoIikUq0yln3TOJkDFGnNY2ot/v89mX/ifCSPPGe5/nt//or1Iq/mM+9uIjPvLih1hfXeH4+Jhev8fp8WGej9lsd+gsLtLpLOJ6Hr7nc//RfXzXo1KuUKlWKFcq9Pt9kjRh0B9QUL5x908plUpiiXLWIwh8HM8hTjO8oMjp6QnFwBeV2HTK6so643HE7Tv3hZeoNO12g0q1gusGWLcTrSUvMU7EEy8IVkBrkkgKC+0qUiMyGY5HDMdDwCGcx7mgw3U9xHFDUB9LiA8CifQC6aRclZGkMXGUMBwJjF5vNGkvLDKfh+B4KM+lYrrzyWRCZjruyXRCEEiyxEVLEunUXTxP4WRWlZnhOdb82oxNowjHk8OILEMr8B1XShxtuz5BnVCYjkmyjK1CysJf1kTYktWlnhKEGs7RPouiZBmQZBLp5cghLipZGbEkcUIYhxT8ANepyHqHfFzq2N8oheP4ZFmC42Yopc21PX+eLFPGBUWQAq0kIizLMlMQgdLSkCk7anOkgLWHuC1KM61zTp5NIXFcBzcT/qhSYk2ilDI/U+XdroMyUVT+Y2PsPCklFVGHTmLINEkcorOUSqb5c8dnbM5D3qxV+QcffZEf+fgnqZSqFIIiEyWHvUIxm055+umnOTg44NWXX6bf7QJQahZRKqPTafKRj3yEWq3G4eE+OzvbdHtnPPf8i3iux+/89m/z4MEDRqMRaZJSNo1GqVTKUeSvf/3rvPPOO2xvb/PDP/zDXLt2jZ//+Z/nd3/3dzk8POSZZ57hB3/wB/nmN7/Jyy+/whe+8AWefPJJLl++zIMHD3jrrbfyjOjVlRVe/pMHvP76a4xGI46OxAKJLKNcLhPOk3yaIONNGUeG4ZzRaIDWCUFQwvcKOI7PYDDi5OTkMYX4+vr6Y2jd7u4uD+7fJ5zPzP0u6T9BIeDJp55kZW2VaqOJclziKOas26fXG0haitmfM8fB94ssL6/S6SziOGK7EMea2WyOIiPwz62FmvUGgSdF+MnxCWOT56yUQ7PZolqt0e/3OTo65uBgnySR5rvZbHD58haQEccR3e5ZjhBb1M+iOTbeL00T02A5hoQvPWwYzhnPpijPo1gps7C4yOnZAJ1Bkmg8L8BGNsVxnKNidgzcbLUIDAqWZnLC9YcD1rJ14jjGcxTNep1dc8/ESczJ2Snj6YR6rUa9XGG5vUCjUiWZhyRKEkdGwxGddsdYM1VYXFzi4cNHTKdTU7gNGY2H1OpizL+wuEClUuX4+IQ0zTg763J0dGQ4zAXqdbFHseP28XDE8eEx3dMuhZUSjXqHy5eus793yu72HuE05eSwx3Qc0mzWWV1apVquEc7mTIcjRv0+rK1TrZRpNRoooHt6ylm3y9VLl1lsL9Co1jk8OGQwGAjFYX2Nd95+l+PjI5I0otGsUqmWGY/GJLFGOYHYmURzCoUFSuUiGZrReJgLGmv1Ko1WA8cXwUmaaZTjotOUSrVqmlGF6/q4fkAahyyuLFOu1ojDlMVOh3KxRDiVCdFkNCLwfSrlMhninXfaPeXk9Jh2u83i8iJ37tzh9q1bXL92jXqtxt07dxgOBtSqVWaTKWmS4LkOs+mEWrWC77lEShGFc8bDIQrxqdNJwvLiEkcZ/OpPlvgLv/o73Nw75oddl394dcNEJVZR2ZSpE4LW6ERLEpBpxgPPo1IpC79diw+q2JqINE0pqNUqFEsFsuw8b/zf9fjAhd10Gprxk2TmORq0QdcyMtIszavLXPVnR6OpMiicHCyiWhVlrTb+Wyqz46tMPLkA5YhK1gafa30u1b847gK5To4SbzTfFyTG9z0yErJUQus9dR7DlEO4WnSGEiheYGV5FeUIx0tp4QFZnolsBgM+8cLv8XD3WQajBX7tK3+ZSuVX+NiLD+l02tTrNUajMa+98TaLiwtcvfoE8yimUCqbkVvG6vIKewe7jEYjyllGzUDCxWKRBw8esLOzQ6NUxTNjE6sO01pzdtYl1pEcQsBkMiWaO5ydntLv9ZjPIyZjgY6XVxZYXOywsbFGtdrg1Tc/zpvv3gCgWEgoGCNEx3UYjcboVJvNW5EkmslkTKEYUK83CMMZcZzimw5xPpuLggwIjMK54PuMRiPpxIwS1dq5uL4PymU2nTGdjI2bdoU0SZlHM4bDGMfJcng8SRIpsByXKAyJzAZvO1VLqHYcRwqrzK47M0rNnAs8H5fYxKd5nieHzwUSuXKNWjtDCnoQ1Ew56CzN0WM7ls0LIHPYcGFN2occTHFu32O/38LwVpmYqQzfEzRlFidEUYjnuLgX7HlACOoZLhIrI0W08z0d3DkqBqnS0oSBmArjyog1k1xgMlDpOZneJmjAuXg2NbYrniMxf57xacrMdbdj7IuqTTu6s8pJx3HyEbvjOLjKpn7Idc2yjEvTGX/19fdYn0yZeC7/9KUXePaLX2Bra5NisYD1tsy0rMnV1VUAXn31Vfr9fu5DZUeMTzzxBJ/85CfZ3d3lD//wD3n06BHLq6tkwG/8xm9w//79fA9QSlGpVCgVSyjIkZGjoxO63S6z2YzJZMLHPvYxXnjhBb74xS/mnNmnn36aZ555hldekRHt6upqHv5+enrK+++/byIBRahwcHCQj8UsUVssgQKq1Qq9XlcUgdMJYTg3AgSx23GURyEQw1drwWOvcbGoaBviOyDqOpPQEMUiXtGZsdDxJGWhWC6LBQ7ntI75fE6xUBRhmcrQWugLi4uL+fjRKkpHoxGOUudWJ8b6x/fF4+709DRXvdZqNVZXVxF7qiDnZdnrX6/Xabfb+bj+6Ogov8b2eS3PUJoQIZ7LmSINkywrQfPPuj1p/oKAZlOuy3A04N69e5TLBRYWOrnFy2gk/qvXr1/P3+vCwgJ7e3sAzGYzkwke4ZqGRq6zNLdRmjIcDAkvBLq3Wi3a7TbdbpfA9816OmJtbY1KVaIVl5aWjNequBn0ej1pNAwVpWRQ70ePHgHS7O7v7+cFvOM4bGxscHBwQL/fIzLqzcFgSKe9SLXaYHllmYWFRQ4PjpnN5xwdnzAYDml1hMO3uLRIt3dGGEUcHR5x5cpVauaz8H2ffr/Pwf4+60vLXL58mbW1NXZ3d7l79y5Ly8tcu36d9999n1u33ufjn/g4jWaDRqNGt3tGmER5o2E/yySOjXgiyzlmKyurlCtVMi2FvLX7qFSq+EGRzHhnWvW+qz2Z/Hke2Twx21uWN6TWF9Eza95xXWbzOQeHhzSaTRzXZTyZ0Bv0cTyXxSVJrdnd2+Oll17CC3yG4zHValXSR2JBxe34V/xNk9zKy/N9Uq05SBK+df0SP/D6u9zo9iktNNAmSUKnWZ4Rqy/USZZeYJFoq/Y+H4Zm6CzN+XlJcu5B++96fODCTqeKhAzXMUqOLBP/LKSj15wrW793NKXM6MiqjeSm1DiuMTzVmLEVkmlJRobCQdATe2BeRERACkdMnqaMbD3iJEUlnI99tLW3yMxYUp5bmSJPZxmuCbCO4xTluJRLFaazOQrZQGazCdPphE6nbRbqIf/Rf/D3+ePvfpHX3/kIv/RrP0OW/XNeeOYOtVqVaq3K+7fvkqYJly9f4u79+5ydnTIajfH8gMWlRcqlsvh2xTHhfE6pIhtYpVJh1B/y8OFDrl+/LoohU8TY/48nclDOJhInNNcJk6EIIU6OjxkMJni+YnNznZde+jDKcfjOqy/y5d/9EdLE5dLGHn/hJ78iIy4laQvzWWgUbcps4BIJNOsNqNerzDMxUnSVmNsOBgPpbIxoplKp4Buyr+M4uSJtOBwC4KAIfJ9Wq0kSJ4aCklEuFsmKIDLwcW6RoE0B5Afi9m7HDhfV03khlXHhz0QJ6kgHga38Xdch0RKUVigEgtiZTUBnCdqVhiWOYimirHLLjJnsAWYfF4uui2vz8bV6bg1h0YaLG12cxsRpLLm2mcJznPy9CCJoIvccQXFcY1lin9dSEzILr9n7DYVrmiiLzJEpXAQtLBQKKF/MlS9yGvNfAaU1pCLWcEEMq8HkPjtEybmYxCItoizW+TW3SJ3dFIMgwPUD8kxY5ZBFET/31i0ujafMPZdfeu4mzQ+/wM2bT1MqiXVRFIVonTKbi7BgcXGRb3/72zx69ChHi4rFIjdu3GBlZQXP8/IC6tatW4TG4PXb3/o2Osv4+Mc/LohpkuTG1u1WO0eMbZb0o0eP2N7e5hvf+EZuXfLJT34y943zfZ/Pfvaz3L59mzfffJMbN25w/fp1bty4Qa/X47333jNIVkWUiSZSsNvtMp1OKU8mVCpVoor/GGIn40mP2Uz4OmEY4XsF8/fktkmCXAe5LUqpVCJJEk5PT8/VsCgcz0NnIrJptzs0Wx3KlaoZ22rGkwk7Ozty4KjztZxlwisrmyLQrvnRaMR8NsO/YGuT+zSaxie9EPlYrVZpNpt5IyBoY5gjcVbAYUfgR0dH2DSYhYWFnH8k97H7GL9KKahWa6RpzOLSAt1ujzgS1KNQKJlrZFA+HZtYMcmStTZcp6enhGGI7/tUq1U6HVEs2ybs9PSU6XRKtSyRYa4nSvAwTSBNmE2mTMZjMq1z9Wyn02F3d/ex9zybzWiaYsMK7ez1mhkLrM3NzbzJ39jY4M6dO0wmk1xE0ev18mtSq9XY2tqSBItQiu2Tk2NWlteo1ZpUKlWWlpZot/fpdbtMphO63R5rG5JLu76xwcNHD0h0Kv6FZ2dsXLlsIu0K9Pt99nb32FhZzf0drf/qlStXeOb55/nQCx/iK7/9Fe7dvc3NZ55lYaHN9vYOmcpwXLGssny6KIylyVSuKOMdh3K5guO4YrAfp4RhJHx+1xGaSCKm5o7jGoqNnZJk0vjb5lzJczmOI76ujnCbdaaZzedMphMjEKyRaokTjWOhQ8VxzOnZGdVqlXqjwWg4pNPpnAsJzf1mhRWe51KtVmV9GFFNr9/jlzYWWbtT4uZ4xs/uHvPPmg0mkwnD4VDsovLpyvkZlmVZ7v4g7yUzAhOpX8TmJcgpGh/08YELuyxT6FxgJ35ZGsuXs+Ud+Q138Vc3V+leQDsSjVJyaGI2DFupOupCUHomY76LaIi9GPbC2r/yA+FGhKE2B7z8nevaQ1blF0zORnl9ylEyUjSHrnVCT00Q+/niIVfmLHXu8rM/dkaaaN58/+P80pf+Io7zL3jpQ/eoVKusrCyzf3BEv98T7tF8ysJihzhJ0DpleWlJLD/SNA/yrpTKLC0uchyJEeNkIsbO0+k0R6fs6MUqsmazGeFsShxKBzyZTkClrK+v0G63mUyXufPgOr/+lR8lTRx+9Ivf4Ee+8DWCIKZQKJria2Q+G5cgKDOdzow3oFWfxriOR61SpVGXgs16Vll7A3vQnLvmp/mBA6aAACrlEll2bhliOVie51AxXmL6QrERR1Eu5rA/x64tO0JEyc2vMxnzy7pzcXAEeTAosOsqikWfQjFAZRnzmfF5czxUIM+XJglk5xuEzrL8ZszTIi4Ub7Zwsn9m17xn1OBhGEtCRxxTr9cpFos5qjELZyQ6JUHEEJVCyahSTd5vEuaFnee5FEu+xEGZeyAxog87jrJFoVIm01UZ7yhDKUiN0bZWIsawj4u2Gqkp1JTKUAifVVJdkEZHp6RphqQiQBAIgiT0CmQnMNfLrldbqIoqVg5G15NYpz97d5sbpz1Cz+NXPvYib17e5HNPPUm1VslV8EmamJ+fsrKyQrfb5c0336RSqbCxsUG1WmVhQYLQy+UyOzs7fOtb3+Lll19mb2+PlZUVarU6l648wUde+ijr6+t5gWKRkrFBS2zu5wsvvMBoNOL111/nO9/5Du+//37ue/bhD38YpRStVouPf/zjfPOb3+TOnTu8+uqrdDodOp0OV65c4c033+T09BSVpXgunJ6e5hYZ15yI4IvfRYdFWne+T0jVOhW1+nDAfD5jPBkLjzWTNWyVnPO5cJfkoNCUSqVcmBCGoYykJhMZ1bo+WkOSpmSJw8LSMs1WG88PyDJRtfe63TwbNststJKM+21RZu9pW+hYixVbxFsE+uJYH8RQuNPp5P51+/v7DAYDPE/8yjzPY3V1Nd9XT09P6fV6tNvtvGi0dhR2jWJOHKWEN+u60phfv/YU9+7d4+TkjMlkSiEomQYzNvt3RqVSAlLa7Tb37t3LC8qzszNWVlZykZg11vY8z6hSx+Io4CgarSaNVpPecAAZzCYTRv2hNGieUHcsymmbum63K01JHGMNya3noBWGnWefy3tuNpvUarU8N3symXB6esqlS5dykZtdb6O+2NQcHR6xtdmn2WzhKNcIJapMxrKWev0e/X6PVqshY91ikWm/T7fb5fT0lJWNdZaWluh0OhwdHXH/wX0219a4dOUKyysrXL58mbfeeov9/X2uXrvGtSev885bb/Jo+wFPP3eThcU2x8dHaKNSFosnWU/zeQSZg+8HKOXiub4Y8JrPL8+v9uR7CkGQ5/1q46LgOC6u4+G4HnEs6RTaCC8KBXExwKD32tw3jhKqleO6lMql3NILg4w6RiBRNCjp22+/zRPXrrG5uUm9XmcymeQG4ZbjbfmZVpS4ubnFzu4uf/vqKv+H97f50dMejx7u8XvLIePJLG/sU508dk5Y9FIKRp/UhySSEbI4g3i4rgAfuZPIB3h8cMROm+o7RUxVjUO3VQPhPB6cfvHgywzypXJbBoM+YA6M1BLanccOJoVF3s5HsLbTtipMz3OJE6nglcrMza4pFHwEAMlQjsLBhu6mZFqKDvFalY3C6gU9z8HxCigHIkOst8rMOI7IjO2G8IoCPvr8b/Fw9wmG4wX+6a/+LFH4/+bznz3l+vVr7B8c8NprrzGaTugPhjzxxDUq1RpxHOEgKsgkjvE9j9FgiOM4lIsl6rU6Z0cnPHz4kK2trXzEYosdz/MYDAfEZsPKkBHHbDYzflZNFhaXOOn9IP/s1/8SYVQCNM8//S958dk/YjQSRGNlZZnFxUWWl1eNmnVMHAn3MSgEtNstTk+lyLRRSO1mEzKxsckyjPmiZjAPsXFtWXbuNWfHso7rkM7nZFrQ1TRODNLi5+iY73kUfJ/pVBzHK40S0+kMB0EAsgtr6uL1UMihLypdZTyDRHEqhaOxt0G81Qb9HoFnbxJRn7qmQbHFB0qhPLEsyMxzXlQV2kMsjaPH6AHwvf5jaf7v7Nq1aSuJTij4RXnuRMREKQpXndMFHIfcEByy/GDL9HmKitx+hmRkqQM4oM7jyuJU43qucP1ck2Sg0/yes6NU4cnJvZSkCVEiowc7+hLqhGc2J2UOVocsk9cn1+Hc8uUimmMR0EIQMHVdNs4GfG7ngMTz+LVPv8RbT1xltd2kVqua96uJ47msI4RAn6Qpr732Go7j8JGPfIRnnnnmTxnnRlHEm2++ydnZGS+++CIvvfQSH//EJ9m8dIXZPMyR00ajQb1elzifhw+5d/ceOzs7eJ7HU089xdraGj/wAz/A6uoq3/rWt7h16xa/+Zu/yauvvspP/uRP8slPfpJ2u833f//3MxgM8oJyY2Mj54b1+326p8fEkXj8pZMpP9KccPevx/zifwJuGvPX/7tvsHh3jYdG7Z+kMZPpiNGoz2QyYj6fUS5X8/c3m00ZDCXYvlgs0m63c/d813Xp9Xr5WAflSDJInFAplKjVG0YIJNOKfq8nOdnGpkYbOx3MfmzRJ9cUbL1ej52dndzMO8t0TpG4WMTHF/wLV1dXCYIgV0ofHx9faMBgYWEhT3exSl7bwFqkzqr05V4SGkAQBGQ6w3MDsiyh014kSeDBwx1Goyl+S0yHbYN/dHTIZCq2T0tLS/m9atGyxcXFvNB877338oN7NBrR7/dZWlrC9cTyqdlq4e3t5ddh2O8z6PfN6F3QtGq1yng8zikhVqFsx3i2+LPuEDaGs1wu5/t83fjN2b3DmlLb9W6RcM80SrZxiKKIQlCiXq+xtbXJYNhnOhWl69rGKs1WnXanw+XLlxm99XZeNM4mEyqVCs888wz9fp/eYMDdu3e5+cwzNJtNrl27xv3797l37x5P3rjBE9ee4PkPPcvbb73D3vYjlpcX2N4uMRp0aTVr+bqwkYyyf1k7qQLlchWMUf14PEUphzRJIQCFa/huPq7rEUUJnu+JJZmSvbzf7+fJQa5ppq2KXwQZiex7nktQrRqBmERv4igRhjmKcqVCnMTUGnVSneYWOPaesE0qiPG2hbFmZq0WCgWuX7/O14+P+e9x+FvvPuB/9XCPgyjiq5WyuVdUTtux1C57VlqbnyAIiKNzXqzsrYpU8+8HsTPnowG5zqNphJytIbPonPtYgaaMpYJs+PJ7z3PJMgdUSqYTcx6Ix1XOgVMODiJj15nKkypsZ3d+wBsloCfPK2kYrkHoBJGxh7r4wYAXyGKT0UOaK3szINWCEviBi6MDYscxObfijVepVHLfqCAIePKJE/7Dn/wf+L2v/wx3H32I3/j9H+fpJ/8etQaEUUivd4YbFJjPZ9y+fYtqvU67vYDv+qRJatQ6Yjo6n05xXJdiUSJ0er0e5XJZigCDiMn4WFziI2vVYW5u6QR9KtUSYfJxfueP/jJhVMJxNH/2iy/z1BN/QK1apV6vmM55KFwG3887k0yLmCDT0pmUSiWiKGI8njDoDZiOxrnEPooi+gbJ0lrjBeeo2kW+lVX1hfMZnivcutALUcoxZsEuVl2amYM/yzICP0AXxIsuMQIFOO929IU/u1j4K0P61zrF912z3myCLubzludRjsrFD445GPNuypHCKLtAL7DdtHWon44zMyrUeTF3EWa/eE9YlMjyKgqFAn4xwFVirUOiCTwfz3FIkxiQUZkddyothFrHEZTxnBensNYB5O9SEFjHcY0BtfBSHNe1txs61PnGZTvSarUq95zKSEjRKiNTWvKEM7FIUUqi37IsQ8epNG4qw3WV2SDOC9uLGaf290rLgfTZd2/Tms15sL7K208/iZNKozgej7ApGnbNe57L0tICb771DoeHhzz33HPcvHkzN81VSuURS2+88Qau6/L5z3+eT3ziEywsLLCytkat3iKM4nMl4eFhjpZkwO7ubj7CfPXVV/nIRz7Ciy++yNbWVv55fec73+HRo21+//d/n2KxyEc+8hE2Nzd58sknOTs74+2332Z3dzdHgk5PT+menkKW4GvNp27O+Bf/KGZ/Qz6DxIF3nx+y9usdM0Lu0ut1cwuj2XQKpiEBQcBms9ljUYTVqkT82Viig4MDUR1i5hNZRhqnLNdqRmnogUHgJpMJg34fa9Bti7Usy0TlubZGvV7Px1Hz+Tz3n5PP+HxvvjjSV9gGu0Cr1QLIRR0WgcqyjOXl5TxNJMsyjo+P82LLZibbPcUWitampFwuo3WG5/k4jkcQlKlVG/jeEUks78WO00BsUsajEa7jmEhI4b92u122t7e5fv06zWaTer2eF/xa65zftrK6SrvVMnQOUSMLKuqKlZHhkdr3ZgtdaxFjOVtWjGezga0vm+V3WoTU930ajQZBEOQ8VVscWv5qs9mk0+mw89AnjlOmxgcxSVJ8P6Neb9BZWKBWq9HvdxmNR0wmE5Tj0DDj2Hv37zMeTzg9O+Pk9JTLV69y+fJlcXmIQs7Oztjb3aVRr3Pp0iXW1tbY2dnhzu3bLC91WFld5r333uX9W+9w7YknyUy8oRf4xoKnhtaZFHE4BtjxybKINNFkSUocSTFVKBSZzaYUiyWTYuLgup6MZbXGdQs0Gk1AvFxlzCk2WI16nc7CggANwwGp1oRRSKFYoFypEE7G9AZ9MqDeEN9TaylWq9cYjkbCCwSOj49ZXl5mb2+P5eXlfH9MkgQMtUPSb7z8nrlx4waHx8e8NRzzb1o1fvKkz8+c9vl64BObnNd8rKzIG98wDM+bFvN3cTxnNBrSbjdxHBhPQsaT8b+lMvu3Pz54RsVjWlvL3zGFr6NQrvtvKeosskL+68WRqt2wtRZlpcyR5QI4ylqqqMf+zUV43t5AUtD5prJWlMtFkkTQG74HPUguJC9kmVhISEknyGKSCjHZ9XwCv4TrFcQHTGuCwHjcuCrfFAAct8v3p7/Bae8yZ/11/uW//nP83E/9MkrB/sE+jaZw8+bzOZmCYqFIsVAi8AVSrtaE73Jydorv+xS8AF8JX20wGOSCAjv+EF+0OI/Dsv5sxWKBcrlEqbTJN17584RRkVbzlB/6vl/m858ZkukN5vMxa2tr1Go1Hjx4wGAwyLN5a7UaxULFGC5PGY8kXLpSqVAulxkZHyWLFqUXxq+u60mnpciDtS9GxeUeXa4jnj6qaBScgpBlmSM5jZBvhEmSUCgWcT2PwWiY+17ZDd6uFZ3pnMepTdFgY2F83xcuZyY+aY4StDDVaW4QLP5wsr5yPzuLzjkOaXZBCGQIuva5Xc9FxedjWNvV2aIub34MAmcLcLtu57N57vOolBbkzXy/67okqR0BpKRaBCZB4OO5nvleqVPPS1z73Ka4k3eN5ztkZtyRao2jHOxQ6+K9ldkmx1XgKhxcKdhSEzWWZQaRs/fweai7RcYd5ZmN6/x+FQGAQjkZcRqxddLl8v4xUeDzynNPyevIpEg+ONin1Wqyvr6efyZaixLz4cOHLC8v8/TTT+dh6NYz7dGjR7zyyiscHh7ywgsv8EM/9ENcfeKJvJA7OTlh/+CAnZ0dtrd3ePDgQW70e+2JJ2i1WrlgYn9/XywfDg/57Gc/y/LyMl/84hcJgoCXX36ZN954Axtk32532NzcYD6bsb2zw9HhIRngGesOJ0354uKU2lMJ/9P/NWV/A5wBlH/dZfyXUv7wBzX/zd/s8o1CwGgkyMnDhw85OzszNA1JEykYA+DJZESmMzOeFFTH933m8zn7+/tsbz9iOp2glEOSpDKqVIpWpy17jfEeDOfzfBQt97PhI5s1GwRBbq+hzJq1iFGhUECnjlhWmSLRIk8X/SFXV1dzocLR0RH37t0jiiJKJVFM279XSrKyrRjGGhRbpC7naLrS2KLO02KUcqhWy2aMWsf3JXax3W7TbDZptVpGmBEauoaMKO29aH++tROxgo8gCM6RwSwTIZ/rGlFGM3crSJOEMzNmb7Ul9ediBJsVXtnxuEWAyuUylUqFo6PjfNJk1eMWrWy323kxbwvx742dq9fr+EGAmoU4SnF0fMx4PKIQFPJxt+fLGpAYStlLg0ASRZaWlpjNtul1uwyHQ9Iso95osLKywsnZGaHxJB2NRnmCw/3793jw4D5PPXWd9Y1VNjbWuH37LuXyPqVSkSgKwZFYMcmJn5Nqjev72KSFLDPpPsYOKYpiUmOV02q1DSAg9KfJdEqWiZ/uwsIiOpEiV9JPZCp0+fJlAt8nDEPOTs/kWk1nEkPp+4xHYw7395lMJiwvL+EbLm6WZSwtLeVcxktbW3TPzrh69Sq3bt2i2WwaRw+MJ6SbU5Aa9TphFOXcyuvXr/Hmd9/gu77LnwWeDyN+cjzjS60GidEPuI6La1KSZJKRmelODJ4kVKSpZnv7EbVaBZTcdzZW7YM8Pvh3OsqYnCpcV6EcdaGwswUd5qiwx8X5r6KysmiGRUBETp+ZkWmmM6OjECf8zHwvmSLR+jyb0vfJlBJen8qkQHIUsU6IYzuSM89tsjGzVNAG1zf5p1kq42MELUpSCap3MlA6I0sSUAmeF5AkkRnNSXRSoBxG0wlFnVAqligWCzx17YDPfPTb/Os/+CHeev9ZNr91h2rwiMR5xHwoJqNZmhImKUPVQzcSarUanufgOtBu1YnTkJk56FXBodGsMBqNOT07oVQq45oM1lTHuVltpiOicITvFVleadJsXOOrf/K/5f72M3huws//5D8jnH6Fd9+pcOnyZXa2H3F8dEilUmU2n1MpVyjWRMgRxykzo3ZNkgjH9ZnPp8xmUzI0ylUMRyNmYUitVpPCKBOfs0ynpPMo77Btx2o77CzLSFHEqSZKU1FmIh9olp27cGNRnlSTkuLKHN54D8p6C3xRQerU8N+0+BcKOqtyLkZgfLGUo3DNZplpjcqkmNOxvCfHwrWm8dCAThJiJcRzuEAVQKGTFAwfpFAqypggjlGce9wpZQycnRnFUkCpWKJcLJGmGfPZHJ2kxJFErbmuQ6kQ4DkOcZagyHAd8WzzPRfPAbIEEo3KUhytcDMfR2kyOzjLxKdLxuEuKBeUQ6w1rqNRmSRERFEEjkNmit3MFLxKmeuQaPyCmx+AqRm1OspFYUZ1hpNqH7ZoBWQ9I8U18NBQ1gABAABJREFUWkOaoHSE7wiiicpI0pSP3rlPfTpjd2WR95/YwtPgBy7K0aQ6Iopn6CzBJsNkmcfJ8Zh4HvKRD7/IQrtDaJAri7SdnnWpNxr82T/7Yzz77DO0Wm32dvfY3t7m6OiIt995h5PTE3q9Pt1en3kYCjcT6LTbLC8ts7GxkY8B4zjm9u3bJEnC8889y9LSIk/feBJHaV5++WXu3blFMXC5cuUKnufTPT1mNhZKg1V4pvM5n70+59f/ScSjq6DG0P4vfEqve3CgmX02ZX4Jdn9wTP0fV4mLBYJAwtT39vaZzWZi1VQqUSx5uF5GqmPiJEK4qR5LS0tkmSZNEwaDHqNRnzgJxVc01RSDgMDz2Fxfp1Ku4OKSaeh1exztH9Dv9onDWJphs337QZHNzS1JnDFI73Q6ZXt7m+FwKEV8dj7Gt82LzdecTqZUSiUub13CUw6T0Yijg0PiMBTup5apTKfVoRgUydKM3lmPaB7hu/5jbgB2jUkz5OJ7AZ7rkyTaRE6qfK8plQrEccR0OkGoNfIzBoM+hWKBKIwpBEV0mtFudRgM+rk9ki2Cms0mTzzxRB65ZcPnB4OB+NyZZtU+Uq2ZzqaMJ5McfWk0GnnBaptFye0+k71TCVfM9wokscRgzaYhg/6QbANwxDS+1WpQq1Xo93tYFflFnnGe6+0HxFq4nOPJkGk4pkkdPEW9VaLWKJOS0B0MODw+pD8cirCis8Tq+jp7h/uMZ2OOjo7Y7PboLC6xuLBEyb/LdDQ2aGMEaKrVMr7vMhpJkbjlXmJhaZVbd+6zvbtLFEZkKiNNYmbTKWksIjilFGmWMZvPibXGCwKqzQaZ4zCLIvqjMbN5iF8osrq+iecX0Zmi1x/SPevjez7FoEipWCEJUwbdHrs7uyiE13j5yhWU6zAZj+j3B5wenqBTzWJrgYXmAlmi2d/ZJ5mLWwHGp7BQKLDQWWDQ7zMajthYW2cw6FNv1FhYbBOGMwrFAp6SBnk+Dwk8n0JR+HyW1zefzfAcl2vXrvLHwwF/Pwz5a2dj/ouTHifVMt8IfOI4I/AKMjlJQ5ShqCSxcTogwXUF2YvjKJ9YzGZzSqX2By7XPnBhJx+MdSS3pHEzYj0fcl0o7vJxMnZceq4klMPXIjbKO4fuMzsvdew82RwimYgeJGUCkjRmHs4R3xcMwuGYm1SgUS6MBDIEXfAMN4x8jGtEFQaVKQQFCWJPUqOGFBPjOJUIk9lszmgyxlEwnaaUCiIgUMAXP/OHjMYVvvqdT/Orv/NzXN24yqee+wX6/QfoDOn2XI84nDMeaGaTMX6hQG1eY31zg8B16U5GxK4LOqNQ8NG6xHwe0u93TYqHh+TmitM6JMxmY0oll87Ck3z12/8197efxVEpT139lyy1bhNWFnjzrbeZz+d0Om0cs9kUCzJS3d7eNqhggOuJ3YLjOAQFnzCUHNh5OKVaqZIBJ6cnYjRsvOqUUsRJ/BhSZ5WQOffJKO6iOMYNJXdYCgoxtBRwVYKXE8PFSuMYbTr/LNXfk9l6rpCCDFfJz3AwxthKSfGFIsMxjYiYDquMXECTJtKJ5Wprx7HyGhKd4qZi+HuOpBnlqqOwhFfHdanV64L+GJd/2fjFysRRimKhQCEIiKOUxHGZ65g0leYmjlPSdEap6OO7Yt2QGb6o+MzJ/RW4ijSNUVmGThLhtgLSuRivyEzuS8c5V/VqJYewHednSpG6piDLxPJHUBrIEA6dvV7WasjxnVwkY/mMwmk1HD+L1AuUgkkLw3Gg0agRx7F00PMpq7vHPLl9QBj4fPWFp0i0EJkFQT3fQxxHUSj6pEmGUgHT2ZTlpSW2NjZxXZcHDx5wdHTEd7/7XcIw5OZzz/GpT3+alZUVkiThzTff5M6dO7z++utmPCm8z+l0yjQMKRTLlMsVpvM53V6P1ZXV/N9aBGoymfDOO+9wuL/Pk9ev0+606LTb3Hz6KW7dep/t7UdonVIqlBj0+4xHI7pnZ6RxzMfShPZTmt/6xwmProAawsJfDyh/SYr/FHBGitjNmK6kdKo1xvMZSinjSzkjjhMzdgypVksolRFFc7IMklgT+AGViqhuw3DO4eEBk+mYJImIMygWKqQ6oeAVWF1eMbYust9NxhOGgwEzk4eaGqWz4zhUayU2N7doNpsAeXFjRRZWqCNIiyDpFvGV4iPBdcSbslwuc//+fe7cvs1sOsN1RNnabDZZW13DUaKAHPTF780i/ZVKBd8gMPbnykha1uZFocHFqU4YhpycnHDjxg0qlQrtdpvDw0MUiuFwRJZBp7PA2toao5Fwm/v9HmdnpywsLOC6bi5csLy3o6Mjjo+PWVtbyxG7drtNv99HKSWWIUdHkvVdq+H7Ps1mk3K5nJPve71eTuORgqBEo9HC9wsG1Y4ZjcaG3iT3VKlUyu1NxHhf4hktBcF1RaFZq9U4Pj4mA6IkMo248LLK1SILix2CwGM4jOkPBgwGQ9rtDn5QMHm7EvV2enrK6ekprVab1ZU1FheXGY6G+fuvVitsbW2ytLTIgwcPuHXrFlevPkGz2aLd7nD79m15va6Iz3q9c86kTGwELU7ShDhNiOJYABaDIidaEyWxTAA9nzTN8P0C4OA6PqViCc84GMymM2PuHdBstVhYWJC4zTBkOJQIuUq5zNbmFouLS9y+fYtet0ej0WRra4s0TXn46CELCwtsbG7w3VdeZW93l4997GOGNxfQbEpKSaVSpt8fGFFH0WgADOfdCjVS8T196qmnGA6H/MvxmC+MQ26EMR9JNG8uVAnDiCAoynRTCbVMp+dTEEGhk3wN2klRISjQbDQ/aLn2wQs7QWK06c7NSFVZrh0m1+z85nrcCoL8EM5Vsfns6JwI7+QH1fnPyuwGgiWKn3MYMqNuseG6wilycJwsLyozMrMBiBO0jIakuLScPzjnbdnXkZqOt1QoMoqnBH5AmsQcHB4TRhHNRp1i4INRJRb8gKKv+Jkf+S1q5Qlf/ZNPcX/34zjO/4bPvvi36fceMDY5jK52xeywO0FnGaVKmdl8xmw+o3d2RhjOKReL1OsNPM+hEHikSUyahGQ6Qdz7Y+J4jsoyKpUKlepVfvdrf4PtvedYWhjyc3/u/0Pv9Ffpditcu/4kJ6dn9Ptd1tfX6LTbKKV4+PBhHhM1HA5FpVUK8kioMJxRrVboLHSYz0s4yqFSquRcA0swterYIAjyCCC76eakea1RgOQARniefAa+H5hR7PmasRmJNlM1iiLm01nO9XlsHZmCxI6PtFlsVggRKGVSHlSuuHWVI2NBkRsRpwkOGmJB6+zY4iLnM//PFqqGN6anisIFTqQNa5cuPTOJKClhGDN1J3ieEL4VxhTVLUgPk6UoNEmW4mpwfQ+FoItOzlGVuyVDm0JOI+asrlnHhqeobWkq19KmVOQegFrMqUWhKpw+TJFn37M2TdxFocq5EjkxX6JOtGIVpWQfyFCipJU7Cz8o4gdFUp0xmc157tE+jVnIQafJ+5urZNqGvvsm8u2c02gRijCUMPTnn3+ehYUFtre3eeuttzg+PiYMQz71qU/xoQ9/mOWVFU5PT3nttdd488032d+XUHKxiuhSKolS8uZzz3HzmeeYzmZ897XX2d3bI5yJGMGOZDzPy60ltnd2OTw4pNVqcenyptwXnQ69Xo+DgwPKpTLz2ZzZZEIR+NiVlLf/h4h/cx2Oa7D4NwoUvuHg3JX3ZekCjb/lcfaLMb/55zU//7s9ov0NkiRhPB7nSmK531KKReGijYZjJuOpeNiZQ9mOu7tmnGb5SrZIXV1dZWGhIzm7vs90NmF7+1HuGWfHmrYZq9freUIDwNwc+qPRKN8vtbkHoijKLUvsvRmGUU4TUUpxdnbGYDDI94IgCLh+/ToLCwtY7un29rYk15SKeVFjzcAvCjMuni32YYtKKzg4ODhgOp3mFiZ2P7H8xFKpRLsted+pFlHC3t4eW1uXEONz8bTb2dnJRQu9Xo8wFFso67336NGjnEd6fHwstijVKjY9olqtMhqNclqNCBtiyiXfvAYxsR6PRZVt+XbFYgGURCqKz5mD1kle2E2MyCE1xtqtVgvHNMZ2pG0j7TzXpVgMKBYLRsUeMpmMEONriesqFosmAnJA96zLeEW83DY2Ntjefki326XX66OzjOUVcVyweeZ2rVreouUTZmBsmqQhHQ77KDTtTss0ngaUceX/rXejvb6e5xFHhpJTKOK5Lu12h1K5TDgPGQ6HOfezWq3mQIOMaMVmyw8CFhYX0WQ82t7m9OyMp556iqXlZbq9Hu++9x5bW1s0je+g4zh0jJhnOBxRLpeZz+csL69wfHyC67g0WkIBieJYGmDHEXlolgmy57qsrq5y7949/qQ24ckw5lNnff755ooRQGiqVeEdStZ9RLlcNgLIac5PLxaLObVhcXGRRqPxp9b9/6/HBy7s7Aj1Qu12AYHDHC6Pd05ghBO2yHpsQqvy31/k5NmviwR5m7kmv7fcKRcoEscX/cOkWAiCQLhEqUF/tM2pe5y3Z5HH75Xoe57HaDwinJ1RLBQFqQEa9QaO4zOZTtBpIurFTBnukBzmfpDwY1/8fe7tXOW9u9e5u/0xfO9v8OGnfoEkvYtCE4Uz4bkkkcmSHTAa9mk0GzgqI4nmhEozmSg8PxCRhbkREpNBN5tNyHRMIfCpVG7yxu3/lv2jj+CojL/8M/+aa5cf8mYkCyXVCZtbG0xvT9nb22UyHvPkk0/y1FNP5RvngwcPCMOQ6WzM0tIS6+tiRpmmgtBIckRMs97MxRwXi7dSqZQfwBdJv1YWLq7i0m3bzzXPfzRihyRLjf+Rg6MdkjjB9V3aC20G3R6upxAOqsoLRTs7ssVhPoE3XzoRmxVXibGpymRsq4E0M6/fVfiecbEnQ3mSTIG+kL3KeYOibNEBxq9L0e1286LunNOZ5oUdWsa3ChGmCEKlSBREScx8NoUsoVwM8IoFUoNCqrx41aKkNshcaugG1lcSxxR42BdKXoiGYSjZrvnr0rimcC14hquoZVzrmELYGnNfvKfPGysXnUkSjXIcnEw8pbTWklYSC5801eJHGMYxpWKRarXGeDaVwtnc967vkjnirxcUA4qlIq1Wm3q9LtdRSxG9t7dHtSL5yraoe/ToEWEYsra2xksvvYRfLPLKK6/w7rvvsru7y2AwELTZjEWvXLnCE088wdUnnuDZD32Izc1LxhIBvvSrv8aptuINoU40m02Wl5fFkmIecXpyyu7uLqiMy5cvGdsGMfceDUboJOHjnTFLP5Hypb+muf0sqAks/O+KVH/ZJUuyHGXNN+/fd3B3FYc3M+afm7L4JSHlT6dT082Lc0CaakGHlct4LGpNrTPKpTJKKZPNPMqLr9lshut6+J6sj8uXL+O6HoVCkSwTo/OdnZ28kLDCCceRjN3V1VWCgoyMrJHv0fEx09lMspiVNAh2zGhzXW3TI5FhCYPBgIcPH/LGG2/ICNeswWq1amLECnme9nA4NGrJ4E/tIcVi0aBW53nLuSvDhTVq/9/uRY7jsLS0RKVSYTqd5uKBWq1Ko9GgUq3S63dJEvGaGwwGNJutfCR7Udltc7ntiNXy8KwbwWg0yn0WLcducXGRbrcrhWWaMhgORZlbKgkP2HMJCgX0aIRv1L5hGFKulNFJmheqQSAcyjAMc17k2toaaZpSLpdptVoUi0VGoxFhGHJwcMDS0hK1Wg3XdU3zXcxfb7fbJYoiarVarg4/OjpiMBgYO5uQcrnC6uoqS0tL3Lt/l4FBdxeWl9ja2uL+/fs5wnfp0iUWFhYMZ/CIXq9H5qgcMbVc0XA+y/PJ4zgiDCfE82nuVRnHMYuLSzQaLSwye3h4RJZBsVimbtJNBuMxx8fHuahhaWkpL+Bns5k8n6PY2Nrk0pXLjCdjtne2GU3GbF2+RL3R4MFbb7C7t8eNGzeI0oTj0xM67TalUonpZMbB/iGdToeQiFKpjOdK47K6umYSNYSzSWYstTLhz6UktFotVlZW+e1I89kwZX085ce3D/iHVQE+LBI+Ho9yQZ38maDdF9ezXcfn06p/9+MDf6cUV9Yn7Nz8VIi9ghBc5B3YR6aFuJZZkrfOCC50dhfJ6FYZ8r2qwovE9XP3ceH+KFXgvOizHYKH4xh1VibkbjJtfraT+x5l2XmHai+i/bVRrzNhwnw6wy8UhaSuxVDXUYrZdEI0m0E1fez12vf103/mK/z9f96iN6zz3v2PsH/8f+fmE39IHA/x3BEfevqPKRQ0YRQxnc3IFFSqVQDmzSpJmpgu1SFNNDZIOY4TpsmcLJWOeB7/IPcP/pfsH92kEER87uPfYH35u2SZw/Xr14xkf87i4gIoODo4MgVbyuLiIpcuXWJlZSUfUc3mEsCdJCkrK8v0+z36gwFxHDIcDOl3+/lnZdMlrDjCFomWcGyVfFpryQ52PEN81oYThAnyDs7h+STJixNtuJle4FGpVYguWFUopR/b4G06Acj4MkktEVwWRqYlVDrT54VaYsaZxaCAdkWFrbMs78QyLYRpqcPyGWFe4VmFsiU8FwqFHBmI41gKuyQhiWOUcnGVQ5zERHOJgsLxUI4UneiM2WSC0imB65KijfUIsnGkFqE+j0FTGCl8qg0HTpk8Wlc4HKbYmoeipLMxYK7r4ioxjHaUUQVro11XShJv+LcbMNv7ReEakq/sCyD5ppPpnHCeksQx05mgRtPplEqlTLVaI0g1a31Rd+2tdnB94QIWi0UKxql9aWnpHJ3lfA9YWOgwHA7zos7ed1EU8e6773L/0SPev3UrF0AEQZD/vHK5zPPPP8eVK1fEp2t5WYLmi0WeffZZvvPt77C3s4vriNpuPhc/ONd12dzc5ObNm2w/2ubevXt0z3rib+nJPqRTjUpTPrE05Tv/KObdF2VLCl5RNP6uT/U3RDVpESy7XpRSOKlD7Zdcev/nhN/82ZS/8ivH3E0X8/sqTpILqKzLbCY8XKEwpDSazXxMure3y+HhAdPpDMFNyX+OhL47QmrH4f79+xwdHuWiJvtl7T5WV1ep1mukSGzW/YcPuXP/Hv3RUKLmLuzJIM1w2wgHrGL88PCQl19+mUpFVPh2ZOs4Du12m1arxWwmo+fj42NOT0/z8aIc8Iu5CGM+n+cCipyzm55bCVnUslQqsbS0SL8vClRbQG5ubnLnzh16JpUCyAtIm6U7HA45OTmh0WjmP7dYLOYE/dlsxvHxsdi/uG5eFHW73ZymMBwO80I0jmNKpVKuZC1XK0znM2ZRKKkwroMXBBQrZdy+oO4zo2q1z6GUMjFkJSaT6WOooy1sLQJ68dqIMlZGemmaUigGeaTXdDbhrHtKFIcop0a9Xs9R8CSR5I9+v0+r1aFSqbCyssLDRw84OTlmPB6zvLLCwsICjuMwHI44Ojri+vXrbG5t8c4775BlkhnuAI1mg3KlRJLG5mx2cD2XQjGgnJQkiUHHxEkojbdSPPnkk7TbbRGXzUP29w+MQjmlWqnj+0XiSBTgs9mMcrnM+vp67vm6s7NDr9+jUqnwoQ99iGazyf7+Pg8ePqRSqXDl6hUSrXnrnXdwXJeNjQ2G/T7dfo8XX3wRTIFtQQhQRGHECy+8wL179xmPRzLpsHZYiJDQxlIqJb6LGxsbHPkBf+AF/Mevv8ePH57wW5fWOPV9KpUSSjkUi0JxU8ZVIAj8/LO0qlsbZ2qnYR/k8cGzYsMQx8Ec6qKwU8YF3yoO7Wb1p37NhEtElqLTDKfgGiWS5LUmSfxYgWfNaC3qYflkVn0n3ytkcbuQ5WFSBwzx1Pd90iwRs2Grkr3wZYO2gbw4sRWy7/s0G02Z52uxfJhNp6CMmgVFGkbMJlNRP5ngeLtxPXn5kL/5X/4Ddg9X+cVf+jEOTxf51hs/Z65Jyiz5HNcvP+IzH3sFCEnSlHkUkmrJ343jkCSRbl2nGbPZnCSRLnQwfpHT4YsEQZP37v0wUVRlsdPlP/25X2KhdZtSMcALPErlEp4vaRyTyZilpUU816fZbOG6rriNm7Bzy0spV2Ss2u/32dxcp1gscHR0iOPA0tJSXpRcVCfLQTh/jI/T7XbxTSJFEAQoV6GJ0YmB1axZrk7ROkErIfPnn1sqHj5xkpCRUa/XGGMyLw3yqo2hq+e6uLjmsMskAst8Tpb7QJaRaRlqxmkifC7Pw/VcMtchyQwCaDKNHW3RLUeMjl2bgsL3oILnRb1tVM7jtUQ1K4iY/IvU+Dl5rguO+DQ5ChZbbWg2mE5HzCYTfM+VsbIS0Y21CXHURaEShlYgdhYAjuvj+YEEZiOoSrEgnbotdn3fh1TjYtJeZFE+dt9mF9CY7x19OY6P60rhKwgKRJHYEMWRJk5SEp0RJRovAOW6jCczMhxu3Nvl0v4JWinuXVlDeYLWeYGHX/BoNK2PnZcfXBZZaLfbvPbqGzmB33brBwcH/M5XvsJoItSGVqvFlStXcn83O6azCEuhUBD/M89Dpyk3btzgi1/4Au+/+x67u7vcu3ePUkksKU5OTlhYWKDd7FCr1alWqyZSa4ykgGgWs4RPb0758v8Y8d5NcA8V5X/lUP1/uASHLinCSbyYmmCbI4DyyzA8SNjZgj/5r4750N9bo1utmn1QCneFIolT3nrrLR48eGCUdFCv1XNOm+VwheE8/9kWGQ8CQd+SJGUeSoEymU5IwvNmyRaB1obDFgr9wYCHjx5y1j0zjgGeQSl0jjzaeDQ7MvU8uYfv3LmTj1BBiqlGo8Hm5iZra2u5wvPhw4cyhi0WUYj5s7X9UEpJjrZJCrGv1RaXF6dEruuaWLSzXMFbLpdZWVnh0aNHRJEU7MViIUfV7AhzOByyv39gxrFOjqIcHh7mdiQWDbR2M1Iwu3kxc3JywnA4FHcDY/XSaDQ4OTnB9XzGkwnT6dTQTJDrXBDzXIeM4XBEt9tlfWMdERyKZUy1WmM6PffCs+idHYHX63VJQOj18us9nU5NsS6j2FqtgudJ8z0eDxmPxU7DoqNS5IZMJhNOTk5YXl6lWCyysrJCs9mk2+3S7XbZmM/odDqsra3R7fY4OjoSzrbxVNzb25MGV2vq7SaFgk+axozHI7ROababFIsBSRwZoUDMbDZhMh2hFLRabbIM4ihlMpa4NWsTIpw3hzBMODkRRWur1WJzcxOQ1JjDQ8mzXV5cYmV1FeU4HBwecnh0RKPZZGl5mXk0Z29/D03GlatX2T84YDyZUGs2mE6mTCYzoihGawjDiO3tHZaXVygWS3S7ParVGvVGHTdNmBtfW9vsWyub5eUlPM/n7iwkdRTLccKlVDPwz4ERO7aPjFDC9c4TVyw4YpXUUmx+sMf/DINig4a4xu1fZza4wfBy/u1WJ+Y78gIsy6TLKhRKxFFMlmmKxRJJcs6dsJ3Y+ZiXP/Uz7U19cRzsOIJwZWYM5Qc+jvJwXYfESUni1IwiZLQhhaqTIxEW1p5Op0zHkwvjOyna7GEXJzGOznBQzCdTfM/DLxbyBALHdfF9l2Iw4+lrD/ib/+X/i6+/+gJf+dqHiSKf0aTEG+99jLfef4mdgxtcu/KIlz70Bq1ST5IpsgRN0SAisoG7ro/n+rx/9wm+/Vs/x2TWMu9Z87lPvMGf+fy3WFs6oN8X8mXBLWLDxAuFgPlQLA3K5RKBH7C8vMzu7i6PHj3Kb0zrzbS0tEQURfR6faJoniNQRSMUAXIbBDvKsZsqkP9+Pp+frwcNGinSJDKnTBxJ95nqFNcPwIE0SyERpZmE0ct/xUKBpBjnli+2Y4/jWNA4/m2RXo5kEyYpKSmpI0baKWL5EZjfz+MYnWk8x8EzBr7ngh0ErTVzXlvjOErlghzN45Ym51Ys0on5nkccxmJt4vh5TFMcJehUE0UhmVIUiwFBtU4UztBpbNBuY6PiShHqexZt1liOaRjGhHGI6/r4BSnuXFcEHo7jUCqXZFxnPCB9zyOLU1Ffm/eRKf7UIfm9vNf8M84kn1OrFJ1GDIcTo6YOcN2ARqPC2PDU7Ej15OSErXvb/ORXX8XRGd/50DXuXF41U2PZWyxHx3W9fN3YfafRaDAxqkzLufJNDqdFiy9fusSyQZsajUY+Vh2NRgaJWmNxcdEgq6lB9l0c4NOf/jTPP/sc9+/f58tf/jI7Ozs5Ivzo0TbhLCKOYkol6ZqjKKIchyyohLX/ZMbf/a81oyJ0/tsCtX/io0YZTuagHfHFFNGT5QTLGrG2HtmrmoW/FnD69yO+9iMJz3/lAfq0kV/vLBPC9Xw+5/j4hJOTU9JUc/XqVTY3N00U14y9vT3JYDU8yThJCAJlwshL+f15dnbGgwcPmE6muEqhdZSjXqVSicXFRdrtdt4EHZ0cc3B4KOvHCFtSJBVG9pQyly9fzjlOURQ9huCnFxqKKIpYWFjgxo0bMvKaTtnf35fC5wKN4cqVK7Rarfzzn0wm+Wv83sfFiYlSYpsklk1SCFk7DMvHGgwGVKsV6vU6rVYLazVhR5TT6TQvbhcXF3MusvW8s9xHy8O0nGCL6lnPuYtpQZ7vk6SJ7BUK4iRBbm4lsYm+h2OaQsnSnRk0Rz6/er3GcDjMKTDz+Tz3+rPryVp+ua6bI5322hcKhTz5xt4/9nUqRV4w20bKjnSLxSLrG+tcvXqVb33rjzk4OODatWt5UVwulx+j4Nj7/fT0lN7pKW0zIhU09JgkTSCTs6bX6+L5Do6rmEzGOW+wUpFcdeV67O/vc7AvPNDV1VU6nQ5ZopkY42elFMvLy3lq0cOHD7l//7742jUabGxuEmdZzgf86Ec/yurqGvsnB+wd7LO4tMTC8hJ37t4hThKWlpa4f+8+k8mE9fX1/HOcz0Vk2Ol0SIMC4/EIFBQMRcDzPLSxbnGMVU29XicMI0aeS+S4+DqhqrV81q7CDzxa7SZBQSIFlSPI93w2z21+Dg8Pc17nxTzvf9fjAxd2UgDJSOr88CQfm4mAwdhOoEw+JXkcmOXopamMppxKhnJE+ScebSpfZBe5d2IxQU5ex4yJ5E7GjEiNqANJrNBao9OETHu4voyoUmSMWShIALls9q5wWJDDznN9oihBa4TPkooXleMFuJ5PnJ4naHiuR70m4yJR6XDhcFfSzZsXWalM+ZHv+w5f+NTrpMBrbz/Br/zm5zjtNfjO68/z8hvP8kff+jjXLj+S144oNV0zOlZKrqfnBrz82lWmsxJLC31cN+XDz97nL/7EHxD4CVoXzLUMqaBMZzYi1RnjsWxWZBlnpye0mg0uX94SxFJlFEsFypUSw2Gf27dvnS8Qz6FSreCkMVEYUSwUSZOUYqEoxqMXRrFa63zzzv0IrdeczkQCr1OiKMbzYuEA+T6eI8W3ypx8hFAqiTml77roJGEw6DObzgx5Wha58A5T5klEFM7zVAXJzzSHtuuQxcYiwHFwPFG9nkc1aSJj46E9D6dQxFOOVfsg9LXzxsSi8xb/xX4v5xw0a8icJDHzUDq/TIsiTDmizE1Skz2YZThkxPM5jiUT6xQngyxJwZcix3Vcc51knSoHUp0QZBrlhKTZHEmG0YTRnDRLcANR7EqKA2IGbbzmdCZrGzvWym86c1874tllzZvt/U4GaWoUxlLa4nkBjpMQRSmer3Az8jGWPewn0ymtw2NKUUy/WuIPP/Es2vdRWEUy+Zj4saZQKcqVMlkGt++8TX84NLZHGcp1CIoFFpeX2dhYZ219g6pB5SxNpNGQ6KRKpWL8tSJu375NfzTi0qUrbGxsUjGZm2SCFrz33vs8fPgo9wnUWkjtUShmxnEU0c4SPvwfTDj6gYR/8VMQKVj8W2Xqf89HaTPK5rz51Ol5UWeLAevXCFD6lsvCfxZw/E8j/t4vnPE3/rN3OOhvGPGLjAUljF64YPN5yKWtK2xtbXHWPcmzbeUaerlKOsug2WxRrVRJ4hhQnJ4cc3x0yGw2xXdMzJL5nJeWlmi1WpTKZTIy+r0+jx4+yoUPSimSNEUnmlSDxkFninqjRRwLutDtdQ3Club/xjbqkoG6yeLiIpLj6nN6esp8HuZpLp7nsbmxmfPvptMp4/FYUMgMXNczRbkV9Jg1o5Qxsl5mb28//7c2PaNSqTA1xV4+ai0UcByVe/BJYRbmhY74cDp53uzx8TGTyYRGo5Fz2zzPIwwl+/Z7R6mVihSQ3W4X5ajcXN5Sinzfp1qRJIU0TXLh2mw6pVA4j12zRam9N9IkJY4TMuP7ZsfVdg+2ohZb2AVBgXa7k/PwZjOxsZLmk7zom077KBXRH/SZzSY0GvW8YLNxcsPhgGazge8HeJ4vDbihWBULBeIoont2hgOypykYj4cyZUljDg/2OD09Jk1jEVZoSVOZTMbUa6JCdT2POErY3z8kihJc12dlZZWFhQWD0h+Z4rfIMzefoVGvM5vPOT054eDgAMd1uXT5MrVGg163y+HxEXGSsLi8RLFS5v7LD+h1e3zuc59jOBxy//6DfLz89tvv4AcBa+sbPHzwAJRDvV7nwf37lMsVmk1BYE9OTlheXZGCK01RSSLgjykGi0WxwjopFnm90+TTR6f8ue6At65umWJdrmmtVsvj66IwkgbAFOh2zG+DCj7o4wMXdg5aRlzO+eTZyWz3lEGWQmYLOIOUWBsRDPKSpSgyhoMzMh0aJM4hIUW0IsL1cWTOZoo1B41R6qGN75lB6gzBXJvixzNBwYlOUWkqHlp+UQ4PXDzHl69CgdlkjkNGUCgync4Yz6aG/OuQhHNKxSrxZIpOMvyCS4ZwxByVSZi6Ujhm5IVjUA+DGolBqzLCkSyvgAsFGfV+5qO3+MRLt/jjV27y61/5JNNZgb3DJfYOlz7AJ5Hx2Y+9x3/6c18h8CMcRwOS3uEph0a9welZl+FwQrVapVquiYlmFNE7PaFWqeE5mtPjA0rlEo5KaTbFuX48nRhC6wzfD6hWK1J8oKhVG+gkgTQjI2XQ6+GYUV69WgNAeS5RkpAplaN8KJUrhkQN6jCbzIjmCYsLS7QaVVKT9RcnCcQZWZSCK9fdVS460UYEI+KYLJMv3y+wsLCYF3hhJBYrrqNwfBlXJnEi6Qmm8XCUrE03U6hUo+cRniubm6/BT9Ocd6ZTDa6xOFGgs+yx0auMbN3zgwXyA8yz7v7zOVGSSJEIBI5D5sI8DgmjKUXfp1zwyNA4mcZzHVy/aEbH8n5tmHvmJFibIOUKAqozjfIgKHhkmRZkWaWkpv3xFaSZtTJJ0GkmY9JQouyKxSI44s2XatmQMuViHeJxkMQLQxLG3EukKdPxhNF4zDyM0JkiSTWzcMp0PstR9l6/n6cV2DGkchzcQonMqNQLhYLhO4liPTGHlusFuL50s3v7B7zz/m2m4ZxYp2ilKNdqXL1+jc3NLer1Gmkqm2oUhVSr1Vw1KMkCjzg8POT4+JidnV2iKObJG09x8+mbXLp0mbWNTWrNJqvrGzz/oRf46te+TjSbi11N5jAdj4mjmDSK+HhpRuUnZvyjv5kwq0DxXY/V/75M/d8UiOJQ1pljEFMUrhKfQxC+lI0ItHwpO6Eofsej+q803f8w4ff+oyOW/6sZWSa0FMuzkiJImphOpy2CgNGIhw8fcXbWQ3J8ZX16UYrfKnDp8hUKpaJBuCfcu3OL8XBANJ9DsUTFRLVlChbMwef4HkmcsrezT6/bJwpjksiiUEo85HBRfomltQ2WVteZzCNcx+Gs2+fk9Dx7FmQt+H7AjRtP8dxzz+H7gfCFpzNOT89MASj857W1ddZX19Gx7Pdnx2dMhhNKQQltRCTliggY/KBIoVjCD4pkmUI5IhBxHJfpdEa326NSqea8ap3qvAhTSlEqlygWSwwGA2MAPDJ0n8RwyUV84nkuo9GIWq2ek9mVUjQajZxHZ4up0Wj02BQoyzJT1IkILYxmJgvUwXMV9WoFR0FoEmbiJBazdtMoWmTeFoNCORH7D63lvPG9AoFfoBAUcQwvez4LSRNtCmJBqONYrLKmswnzcEKqQ4JAVLWrq6vGr27O8fEh48kQ1CK+59Bs1igWJamh3x/Sbi/ieQVUBmkU4wIqk68kjAgqVcrFIlkSMh53cZyUeq2IHyjmsxHjsXDgXF88M8N4TpREtDotFpcWwQA8g8EQpVwC32NleZVqtcF8Pufk5IRoPqdYCOi0WhT8gO7pGZPRmDSS6dKzH3oevxhw7+F97j64j3bgqZtPC4/w4BAPlxeff5HJcMJwMKLV6jCdhewdHLC0tkG11WH/W9+h2Why6dJVjo9PGQ3FZLrRauAXZFQaBCbXFpiYXHDbuPm+T6I1sbkRCo7KG3/bxNgJj/h8QpLERHGIH0i2rB8IF9v792FQnDfRZjxlPVwUxookNWIFw3Oyfw8m3zWWQ8j1HBzPJ45iUBIHk2XxOTJ3YQR7/tzfM167iJDoc6UZFjEzB3OaJGA2VOE+hURRgO/55uaVeLNSqch8NiOOYoKgiOd6pImkAERxgqfPlZSekjQCzMGubQKE4+TESdf6qKENmnme/WkRvWKg+MJn3+dzn3iXXr/MH33rQ7z8+pNcJGxe/MWClJfWTviPf/b3KfhzybwzI0MQZCkw3dXMZD9aBGl5ednI9ecsLCzIDZxEhFHEe+++S6lcptVus7y8xNLSYk68tQia7/tkjsvYjAOSNAVDUB1PJgIhj0JSy+Hi3KpCNm15M0Eg8T9ZZlMJLnzWGTQbjXyE4CgnR2p9Qyi1r8uODCSeKCMIBJnyfeuPp0gMOiy19TnPx3HkOuV5fZxfx8yM2DWyhmItqNSfQpKwTvHnwh/LvwGEW1go4EwcQaiNCMNRJh/ZcVBOxmw2IgrnFIsBBT/A8wP8QPh8aSwFmEWVSc6zYlWm0Moqk62QSNALrTO0FTcpctWjvXYXAW9rkGk/q3PKhPnS59mhF1emeCGmxqLACH3Mn1sfpizL6Pf7DIdDrEek/Qm+55Oa62kj1qrVav7ZyX0OSZowm83Z3dtlPB5TKZdZW12l1WyKd1WnI15nkXBURqMR8/mco6Mjul1Rfg4Gkqva6/Vyi54sg/v37nG4f8DKyho3bt7k5rPPUiqVWVtbo1qpcDweUywUZJynJa3jpcaM939xzMufy3APXaqveKz+75vE78yYpBOyTOdUAXu/23XXaNRzAvRkMsltVew18PFZ/m98HG/GH/6FiO/XQ27+50W+a7KFbZEvXX5diPDTaW7QbO12zukAUuSsrq4aCo1mPp9xeHhAOJ8zn83wPd8IazyWlpdpt9vUzDgtNWpYW6jY8aO1zHFN+km73aFSraIMwjWdTvPYKyAXxdk8zVqthuM4uYemFSfINWrw7LPPUqlU5GfEghJZZGMymVAoFnPqiEU7Lgp7bIRZ34Tbr62t0W63WVlZ4c7tO3mWaqVSZm11jfX1NQ4O9nNemi3cskznNhQihtKPWcJc9Jk7OzuTPdDEXFlbFou2+J5PrOTfTifiG+i7Pp7rXtiLhN896PeZz0NjC+VSLlcoFAq58Mbez/ZedRzP5N8u56O7LJMkh8lkSrMpOcJWnDQaDUjihPFownweUQhkpLq0tMTdu3fNmHdqTJ6lQalUqiwtLbGzs8PZ2SnXrl1naWmRIAiYTqf0+n2q9Tq+8TG1NJlSJRC6SipK6TSVaUyjUSdN5foKp1roCvV6jXqjhue5JKSGZ55SLtdotpoUigFHRwcc7O+Tac3y8jLtTgftOBweHnL37l3SNKXdatJZ6BCFIYeHhwyHQ1qtFluXLjGPIsajMaVSiU67zd27d9nZ2eGHf/iHOTk5YTKZ8qlPfYZ+95S9/T1azQZhOBM6g+NwcHDAaDLihRde4N1332V7e5v19XW2trZk/zFG8MogyFiAx+y5NpsYzs8lSzGwYpiLNY/NHp78+4gUs+hMXkiYugXDzck4fzF5iLoxnxUBgpIEB23GueY/naRkjkI70rF5njl4zOgWpc4PFPMzrWgDFEo9nhdqN8pccTsP8z+Pw5BhLG72TgZkmjSOxEXa90miiGJQwPdcZtMZ6WxOnGgK1arI/tOURKf4StSKGZgYLZV7gdlrIIKSc86SLQzysZNjWfgZC50JP/1j3+Jnfvzb8p60wlU+2lEGDRROo9KZmO4aRSkXiqIsywjjkMlshjLE3YsLw254aZLSbDRpNptSCBYKPNrZ4eTkhAwhoo7HY3G8N6ou2SQiYuPdo3VKKSjTaDYoFoSzMZ3NGI5HBBfib2wX4vs+ruegdUy5XMFxXKIoxvpFgYQpTyYTUOd5rXYjlSIuzvmQdoxycXRneWi2uBLUJyVJdD7Otj9LOH7F8+/V1jlfVKayhByDDGY5r+97Vd+Pc9Ks8lscw13XzUe4WqdkqZhZp0nCoN8njkLi2YTAA9cRE+VUZ8xmIeFcGTWvi1nmJoRditA4BdIMrazS0scr+Pn4zQ88fM8FU1xdtIhQSlEqlSkVz2P47OdlH/a6C8Fb4xqFJeicFuH7LvV6Fcd1GI0nMrbNFLP5lCR1mM5muTVDHMdsbm5SGszza5WmKcrxCPwgR6Nc14ULBYQU5pqTkxN2d/e4tLXFpfVNGo26Oeh8s01IxN/Dhw+5d+8evV6Pk5MTzs7OciQlScQMNU0TKpUqWmdS+E122dndZ2d/D8fz+fCHX8R1XRYWFzk6OMgLtEYGH6nP+ZO/M+RPvi/Dv++y/leaBO+7ZsSJeQ9iKK0zQQ4vZrxKoot41NkxmTX0FmqBgwoTmr8QMP6+mH/zExk/kM35xN8oMQw8bPZ1ksQUiwVR//VOuXf/DrPZLP98zwswTafTolotkxl7nH6/x+npiWlyw9wfsOpVWV5eptFo5ET62XTK2ZmIEOw9l3ObtUZnyBj70halUpn5dMJ0OsszeO3+Y6/hlStXWF9fzyO6ut0ub7z5JvP5HN8UA1euXuXa9WuAcK1PTk85PDo0vCSXoiGWW7HCRcHGdDrNr2mhUODs7IzDw0MuX77MwoIYEu9s7+QJEOVyiaBQ4PLlK7z//vuPNbOLi4vmXinlTaTNDLcNs6CQfo7AXhRQ9Pv9nG9m03cUEmc4Go6IwwinVDEQyDlVyfcDwjBiOp2i1FLOXa9WqyZBYwCZvFeJQKsDQh2q1ep4XsBkMkNrmEymzOchShkqhu9TKpVRyiWOUwaDEbPpnFIxRussj1nTWuyK+v2BQaTkXlteXsljKD3PYWVlSVTBp6f0ul021tfz1zoaiQik3q6eZzlPJmSppuAHhNMZyvGYz0LclketUqdRr1MqmgmbgjgOSdOYOA7xfJdqtUKaJuzt7XJ0dITn+zzxxDWazSaT4ZBbt25zdHRMlsHa2npuB3NyckIYhnQ6Haq1mvFgnbCyskyhUODWrfdxXYcrVy7zzjvvMp/PWOh02Nvb4+Bgn4+99BLHJ8e4rkO1UuXmMzf5zp98J29U3nnnHd4063hlZQXHdTk6PjYcTGlaLfjkOrInTyaTxzKSL4IOF+9je8asrKzkTekHeXxwxA7Lm80ZbuQCwfzPTLFmp49GcqeMatZ1PJPRqSRs3jUO4plCIAax+8oykz+rHFRuz3rxce7tJcWd/KnddIAcuUuy1GQgXsi11Kk5FETZpxRGuTMXtMFRlIoFuoMx/cGQSrNJtVxh0uvjoPAL5kZ1xNzWxXDJjFGyRKedF7sXq3HHcYR/ZwpQ+2f2Q7TGtpalYz3P7PVUriJLJW7NuYiuaC2h9q7LcDIhgdw2IDAd/+HhIacnp5ycnnDz5k201ty9e5dTo2Dd3d2VUbhRidmNzhI5fc+jEATnh2Wa4gW+TAfnM4qme7U8FEsQr9frxHGU+xHKASGHvuO45tArUqvX5cCzBZ25EkkSg9akqZPfCLZztsWjBMaL516antugKHV+7cVSo4DNhgRBE1zfosAqX3eCekCWPX5YXvy8dP4ZCs1A7ojUeA3G6DTBcxWtRt1kDQdMx5ItmWQa14NKtUilXCbTKXE0J0kTVAa+H4ig5ILwyP6a6hTU42OazPBC5bPyST2X1NwTdmN1HCdX5AWe5WNG+ZjnotrZIgPkd3ZmGhVAi31LMfAoFBoUCgGjsShSHXMzTsZChi4EActLS1xeWeHZf/01AN69folQZwSOS6lYpFwsEXg+ZJjUl5gwdPEzRZjEHB0fsb6+xo3rT1Evli8oMlO0FlTw7t07vPLaa/T7/RwtcRzHIBQGcXIdVlbW+NQnP4VSDt/4xrc4ObvFLIrpDYesb11ic3OD/f19ms0mQSDXZ71S5tkf7vJ7f33K+09C8+8WafyDEuqhJnWgUAio1lp4nmuQjilpGhEnMUo51Os1gkIhP4wtInRRNW5Vb2EYkj5IWfirPqf/MOYPfzLjC6rPs/+XLlMtyFuSxHS7Z7z11hvU61XOuqecnZ3la96uUd/3abdbQEYUR6Q6ZXd3J/enjA1dQhq4MivLK9Tr9XyEfXx8zN7enrGaOLezSlNpZh2laLZaLC0u5fdEt9vNxQYXxRD1ep2nn36aRqMhVh3A/YcPOTw6yj3q/CBg1SQ7ZGTEOuXk7JTpfA5K0n8qtSqLhgtlC2KbeDOdTnNks91us7+/nyv/V1ZWWFxcpFavc3p8yvHxMYuLC/halK/1ej3nEV7006vm6mQMCuOeI9CGQmA95CyKFkVSmHU6nVzNXSqViKcj4ihiYsb6MhkQfnfBD+inmiAoEkUJ/d4AvalxCgGu61MuV/Jrr12dr6VzuyfXjP4CU2BGjMcToigmjiN0lhIUhHuaJJK6M5nMmM9jtHEAKJWqlEoVoMd8HjHoD5lO5gSBmOWvr2/gui5HR0eE4Zx6o4brOrllTZqmVKtC6zk6OmJvd5eVlQ4rS8vUqzXKpRIqE2uQNEnxfJf5VM6SwBfj9narSeC7JElIGEmyFEpizBrtBlEccnS0z2g8YHlxga2ty3huwIPt+9y7d99MUDyWV1YoVSocG4WsFV/4nsfx8TEnJydUKiWSJGI+n/Hiiy/geS5vv/0mS0sLlMpF3nj9NXzfZXNrndu3btFutemedbl85TJXrlzhrbfeolqt8rGPfYxvfvObvPrqq3zq05+m0+nQ7fVk/ysUqNcb+b5o15EVdtlpj230wjDMGwdb7FlAwjYQH+TxwcUTBmWzrvkgubFKCaoko1QnRz1sKZhlQAo6FQ6PlT54vov11LfdiogrLAKX5YfsufL14ihWNnWV2TEfjxVHWZbh+R4eCp0KB8s3PAvpnuQQ0plGJymuI3N8nQqpv1atEo5CHj7aodrv02h3iKKYaqmG63hE4YzAlygcW2BkFmjRtoA5HyvlqJLnAZr0e8rViyOwfLythRvmuRJF5qJIopg0icT7zBHvJOeCzUe5UkV5Xq6AtM9dKBRYXV3Fc12OT044OjpiaWWZlZUVlPHLKiQxp6enhvRpTS1FBZtlGYnhDnieR2xk2JEpAOR9y7Vot9t5YWBd8JWSfN7lZc3S0nJedM9mQkiv1jIK5TI6jtBCO89NH3WqUdm5hyGch8tbyN/+uRR9+kLRd26bYTdoW7SCFHa+CQO3/BVleHyO45pfz2kFFvGzN57Eu52rtzMTteV5giyjUyrlkqC9iSjCKsUilWKR+czFdcUbMjPIdmrWZalUIEPsLgQlkMNUGb6PFQdkmZDlXccTJNo5j3XS+X1D/t7tdbNB7bbws++tWCzmm4sU9hf9+ywdAjmSFCgcigWPOPZJ0pSk4JnXKNyRzY1NSuUSWZxQGckoYVQpEeuUgkGTbUHpGHR+Pg/JMkhNjuvK8goLS0v4rk8aSSGiHIfhaMjrb7zBe+++y+HREUkc4xoEzCZMlMtl4bLNZ3gFcaHfvLRF96yP54u4SmuI4ojXX3+dNEk5OT5md2eHIPCppyk3f+CYf/y3Z4zLUP87Ae3/royvfbyyjMCCIGAeTun3e0ynE5MIIbYeRTM2nIdhjijZ12dRY1vQ2XGf4zhUXvEJ/tcB+7844Q9+QuM69/jk/9jkKJWx5sHBPp7vs7y0yGw+zT8/2wBYmw+dpUymY/xArvPR8SHDUd/sM27uh1arVVnf2BCVY5LQ7fW4dfu28HMNT+yi8lsBrudx9epVqsZ/03UcTk6OOT4+FuTMINae57G8vMzq6qpJVSiyd3DAW2+9RZImOK7EZT399NO0Ox0m0ym+7/Ho0SO63S7FUin3nCyXy1SMRYS16bBWSxbpyDJYWVnJ1ZHCG5NkhM2NTQa9Af1+H4Batcp8PslD4MMwzJWvliO1tLSUR4sp5eYF4MV7xjaLdopg6StKiadZoVDAmY1JM5nyaEO0d5WMYgPPzznIaZowmUxNIoFEwFlqgzJn1mAwNBZYVlDhUKnUqFSqHB0dm1FuTBhGhqrh5tdMIQDKZDxn0B+x0ElM4+7jewGuMdsfDIZMpzNqtTqOcmk1W5TLFU5Ojul2T6lUyyRJlNtTJUlCvV7PUxem0yme41LwZX9daHdQWcZkPDZnms9SZxkPD6Uzhr0e4XQGWpr66WTEYNjF8xzW11dotRsMel329rdJkoiV5RXW1taI45iHDx8xHI5yhPHGkzdwlMPR0RGPHj0iCAKhWNRq3Llzm/F4xNJSRxI+gOeff47JdMJsNuOTn/wkWqfcv3+P555/jmq1Sr/fp92S5u3s7JRPfPKTjMdj/uiP/gjP8/gzP/Ij/MHv/z633n+fm88+S7vdNqi1Q7lcOhfdXQCfoiiSZqNWy21y7N5rGwuL9Luum5/FH+TxPx+xMwWIgerk7wyZXf7uvDLNMkAbRaQWYqWMVqUIdB1Xxpnfw+KxhZ6oulITU0R+QezIWn6u/OuLUniLQICY27qOIZyDcciXriFzAGXMFoyPWRxH6DSl6Bdot9usr2+YrNY+s+mcaBZzaWOLTCcoYyJrbzjXc02hk+I69tB18w/JdrzWyPD8Op37MtnfpzoF9xxt8oMAN8vQcYJbKOA5Dqkh60ah2GVYXuHcHBT5GNT4ZwVBwOLiEhni95NmwlFYXVlhPJkwGA1zI1W7KdkNZT6f5/wlu9CG4zHzKBLHb/PZzGYz2u02i4uLeUElticZYSSu4/P5XDh6nBeeGkjSlOlsZgqkc7WzRQey9Hw0e14k20ItzYs1WQfSJFjU4OKI3kaVyRdm/OvheQpXnaPQdtVrg+raQ81+pnEckxphgUX1UKIgT9OEKI7IMo2jJCIsjWPiKCSczWjU6xSCAoqUTMthJAWaiTszfnUoCV11MtPQKLFLsDeYfV7LTbWE9ZSMxPy9PZitRUGapqBVzmW018XePxeFIPb1uI4ygHSWE6VFFKNxXcx6zygXC3heRrPeoFGrs9DpSAFuoqjy9a7lfrN81TRJ8FyXTGnS1KA9jkepWmFhYUmajzgh8H3CwYDjo0NeefVV7ty+g+u6rG9usLG2Tr1ez4sm3/d5+PAhBwcHkj2sNb1+n9/5nd9h0B+ys7tv9iwRd52dnfHKKy8TzkN0mlLTGS98scs/+b9FjMvQ+LtFFn+hRiUoU6vVsBFQvV6PKJ6TZdp4WJWoN2qGgygF3dx4UFnxhB1HTiaT3JvMcrZyTtorGat/LePgFyf87o/HwKs8+7dv8Mg3SJjWDAZ94iTOFaV2fVYqFWq1GsPhgOFwYFS1PXZ2tplMxijlUCqWSNIkV47W62I3Y7l1u7u7hsN6Tm25aDfieR6dTkdyQbVmNB6zu7t7Tgg3E4xiscjVq1fz0WSqNe/fep/RZCz50GnK8vIy1288Sd2oMPf399jZ3WVu+GI22eKy8Se0r8XSKjzPyyOxWq02zWYzjwQ7OjpiPB4b+4sNzk7P0Dql1+vRbDYkJu7SpRz1tDw76+W3tLTErVu3ckpDt9vl7OyMtbW1/Bp0Oh0ODw/zhm9q8nft+y+Xy5z1zoRP5yhJo8nAcx0qpbKJmILQUE7CMDIojuxDdtJgGzN5r+LtVyhIvnmxWKJUFAV5FMXMpjNDeZEpkeu6+F6Q72lRlDCdynP4vghXikVrN6QZjycMh2M6nSWZahWLdDod3n3vLR4+esBLH/8YjWaD3e09ptNpzie0XOjj42NGoxGT8ZhKqUyr2cJzXMNnj3Adn/ksot32GQ6HjMdjxuOR8FkdhyiaMZtN8DyHcqWI5zn0Bz2Oj49AZXQ6CywtrzCfzdje3uH05JRMw5UnrvLEE9eZz6Z0u93c+mRzcxOlFLu7e0wmYzzfI4xCVtdWWF1b5atf/SqdhTbPPvcMt96/TZLG3HjqSeYmGUMSITLu3buHFwR84QtfoN/v8/Wvfx3lOHz605/m/fff5/joiHanA5DTXe4GAZ8BWnFMM05Iqm6+xmxiS2i4edYayN5HFkiwZ9gHeXzgwk5GgudFiC2+rDpVcLhzkryccSqv/xSiKpViQewbDOaAFVxk+WF6njJB5uTcJctjOj8cMtDiE2UftkO0iJHjZLJQHYdEa8P/E780Cd8VZEBURgrtSHhxOJ9TLTZZWVmhOxoaiw6PfrdPvzak4DuUih6Bq4hi8Vcr+eW8iILH81It90MpWzmcP5RAH/nmrKzSqVQ0HCaRv/sWrTKHuaMEzUvsoa41bnae7GGvh/21UCjgez6LiKP72ekpWmvqjQYzYwFgPcCUUuzv7zMYDPKECSksFGEUim9QnNLtSXdbKBYNDyzLzYkvomuu5+D551mGkkAim1WSGoWY7xEYpZFOU0k7zTJcE1fnXOCM2aJRm+LsYidkn9OiTmLQWcxtCS7aB9j1eVGQc/HaKWU4jheupR1byuGaGkufC4iJo0jSGBXLZ+H7Hlma4nsuy8tLRmEZisLaUdg0icAV9ZMy69yi4SoTpM72U4K2GJGH1ihlvhyVrwON5OZeLOwuNj86O8+7vTjmtWs1v8cvrB+hUFjuKDimuPNdMUCNEzErVpGm3WrhKIfA89GZpjYY40cxsedyVK/kXN04jJgp4e+6jlj6WFTHhsZ5nke31yPRGa7nMk9iZnFEtVnnuQ9/iK2tLXHPb7apFEs5v2pnZ4fZbMbBwQH9wYDGQotavU4YR1y6chnXD7h16zZREpJp2VgTUzyiNc80Znz7P5eibukfNlj/Ox2CiqCbNq9TmhYoV0oGoZNx13w+yRGgJEnwjZO8TWWw3DabqCDCIkHyLKE6DEPUVxPaf9mn+48Sfu/HYjbff4/SL1QJCj5xJONUy+ssFiVj03EcFhYWWFoSz75Hjx4SRUJ96HbPpJA1jaTvycHx9NNPC+dHKUaTCXfv3uXs9DQfJ+VokUHJsyxja2uLtdVVCuYg39vbY2dnJy+AfBNXt7GxwVNPPZXfk7vb2xwdH8tnYbhPL374wzSaTQrFImEo5rH94QAyqNZqKKVYW1/jytWreXNiEc4gCPL7eTQa0WzKaHRxcZG9vb08UcJOICRLVsa0rXaLdrtBu90W5HU+ZzqdMplMcsN12yTMZvM8B/Xo6Ii1tTUcgyJa1FJrzWQyYTAYMJ1O89dmve5cJVGJcRzn47lSsUir2cRRijiKcY0tTxhGhl6SUSxIcei6Lkmc5sVdFEUG+XVwlCtWT14g6vdEE0eJ4XcZ8//AN1MwLekqowlJkhpKTDFXEGsdEUVx7lMaBD7lUpnV1RUePLjLo0eP+MQnP87Vq1d55813GQwGORCwuLgIwPHxMT2DdFYqFdkzXQm+j8KQjbUtypUK08mUQX/4mEDN0nbCcE6hGNDptEjjkJ1HDxkM+rRaTW7evEmhWOZg74D79x4Qx/I+XvjQiyyvrHB8esitW7ewWbLr6+vEUcR0OmEynbC2tkq9XqPTadPrdbl//x43btzAdR3effcdOp02l7a2+PYff4uzs1NuPHmd6XRKqVTi61//Op2FBX7yp36KX/tX/4pf/9KX+NEf/VEuXbpEfzjMbUrsvvpys8ZfegQb0znLkykPKrIXWCrExTPJni2WzmB9Cy82Vf+uxwePFEtst3ZRoGA5T+JjoxxXbBEMNCxmXJBpF0eJOsZxLRBlDqAsI3OyC7WONuT17MJhawrLzIy6TOHoZJjxZybGtlqUoa7n4ngy6FVOiuMKkVhsQTIz8tGkeYpBZr7keXWm0VlKnEa4rqJWLZNqjSoXCLwWSTolCEooz0dpRZZoIeCT4rjChUszyXcFRZhE6BngSlflOgFJGuWIVGp4Uq5rro8yprdRhOuLy3sSx+B6qEyucxjLv8+yjCTTxNogYJnG8wOU4+bFRpomJi8zwvV8CpUKa1ubOIcH4CoK5YDMlZDq6cShVChRr9XwHY8wCSkGBZrNJumyRquMw8NDKQiAqlcDpTg+OaZQKtFsNJlMJ2TjcxXkeDSS0W5FiisnM/FvRrkXj8eMJ2My53HVcy5MUOTmz5mWzy1OotwmRSmFq1w838e9gKgl5oDBAb9UkFzNiRhNWxKr1lr+nSl6ckmL1jjGwwqVGUTQFjyOEIp9n3kspPZUa5xMyRo03FBRqxVQZIzMBrm5uUmjUePg4BAd/X9p++8oTa87vw/83PukN1ZOXV2dG40GG2gEEiTAPMQwjDkajbwa2yNbWlmW7bV9dh0kH8u7PvJZ2WfOSvaxZK+0lldz7B2tLFtZWlkTONQwAMSQIHIGGh2qunKuNz/p3v3jd+/zVlMcGfpDLw+IUNVV7/uk+7vfmBJq63SNilCLkUI+t7tejRZE0JZoa52bVgxGpvTGJSX1YRWdLx/C99/aUjY/tjTV/YSFshibP7x+VuJunD4MsFajAokkUE6Lc9opCwpbKAnzVlAqSxIGRE6wraycm7Pb+9RGKb16jTszk5gq4ianEdTxjW3G5IQ6oBZGjNKMRAWYLKfMpfe5Ua8zuTzNzNISlx65LoNJLINHI4zIhiOy4Yh+b8jbb73D267i6JOfeprllWUJmk1irj30MO+++x7319YY9LqEOkRZEfia0nKuLBj8B8e8/hQ0fhSx/N9MYUeGQSl0qtd1zc/PVwnyeZ7R6/fo93tk2RBjBEFuNVs0mk15WOdS4i67fxzSUqNeb5AkXh+VVkG3oGn8uI79MwX7f3HAP/wjJb/8V4b83R2JWvLRHGCJoibzC+L+TOIYYw1lKXq8Qb/P4eERR4dHDpWXnNEg0ExOTgiaZi2mKOgcH7G3s02R5ZIN6eJ+rENWNYooCLl08SLNRgMF7Ozs8sEHH3LS6TEYjkTCEAjqf/bseaamZ50O7ojVtXX53UpRixMeunqVc+fOEYQS93B3dZXV1TXyNCdOxrT6uXPnJTs0itBhwHA0otuX3tU8k8316r1VKYqv1Tm7fJb1+/cZDgZsrG8wPTXNRLvN9PQk6xtrrN1fY3pmiunpSWq1OlEUc3R0TBwn5HmBUpo8L6g7RO3o6LjSQu7t7VUoq98g+U1jEAhzk7uBPkpiGs6Al5cFWVEIM+E2YiqQjMk4CmWdwUX+FCVGHg8oHRCGMca4bgAtz7EyFy2vCkFrnDFPZEAWcZSKv0jOYxBoF71pMA4NFGbMEkUh9XrsNMPWXecjt97LxqPdnqBeb7C7s8fR4RFxEmGVQUcaqwyjPOO4e0xpCw6PD3nxRz8iS1PqrTbN9gQnJ13u39+k0+nTnpik1W6xt7PDzu4WSkG73ZKsW7ce5vmI9kSDs2fPMBoOWFu9x2DQ49pDD0sA8LDP2toqOzvbGFPSbrdZWlpEaXHJ3r59m+FwyKVLF5mbm6XX63Dnzkc0m3XOn1+hLHPW19dYXb1Lp3PME0/c5PBwn7XVO3zxC19iYmqC9957l1arTb3Roj2R0bSWj+7e5bd/+7f52te+xpe+/GUAvv/883yj2aTVarG7u1vlznnkzb+8rtl3DvtNtxxzidnRWpFlKT4fOE1HFW38cV4fe7Ar8qLazZ+2fIvjMUQHISpwg5hxvKtD7zAR6Kj6WmktxuYYK2gHgV8kLOOOI4uvD4Nx9VHlkLWCclmsc5gKCmeNxSintVCG0OZY4+kmA6qURVeB9wHaCvET/ZIs+CWjtEeel0K5KkWYiPs1zVJGuUEXskPLygw0FKVM3jqwojcy4sg0Rsvil6bEiVAtqrRC52qJvQhVJB9OB5RKkKp0NCQuY8IgJLVpNYgaW5Lm6TgGQ0NmpZdUxRGosEJ8rEOT8iJlMOxBGJNbaaCYmp/l+PiA494RWiniJCDvp+xu75CNUqYmx7qVUAtVmZnc9Rb2H6BDGs0GhQWrNY1WmyLLqUUxgdJMT0wRhAHd4QAUzM7Ou5Jyp/tIYpfETkUfewG8pwhL65oo3GYATxsCoQ6IdFjRx75KTHaoMUZJAG9hSlQYYHK5mLyWMHaDgdeJuIPn6N4AtNxw3mkoqIUIkJUOUQRgC7weD+XrtmTnmWUpeSntFoORCLybEy2KvqUeKupJTQwDoyGhlrgca6AwOWmaUxhDEESOZpPBtyhBEQr6HcUELuYFYwi0yAuU1ElIYLALUpZqqoJCNsSOkpGhv16vUWvUwN931mLKgqCWUJayAGAN2pmj/GxnregSBUEEbZTrth0biALlZQiGw8NjGmfmnUVIktgthtLkhCZganKCbDggH+VEE9NEOmCqPYkBJianUHFEv8ghTtA6II5rDPsDshLS3LC6us4br73G22+9h7GK5772NRaWFvjo1gdsbm4wOzvLzUdv8uiNT3Dz0Rt88MEHRGFINsro93u084xzv9Dhr/+yJb4bcOY/b9PZO0ERVIYgX/QOkOcFu7v7DIdDCX1VhigKaLcnK4ROenT7DAZ9jBXmII4jSZSvNQgC2a2PnDtc6owM0oEcMPUbTYq/rdj7pT6v/9c5n/9jES+WCqskM09osmkmJ1tMTTWp1SSmRYTqBdkoZWN9g+2tHdJRRpJIV6XF0Go3qdcTTFlgSs3xwQHDXo9Aa6IgrFpdrLXoUFWfe2FujtLRjQf7+2xsbJLnBSB1ecYq6o0m1z9xw+UcWrZ391ldu48t5bk8Pz/PlUuXmWi2KEzJ/dU1Xn3lFYqRuFCTJGFmcprr16+zsCAVTWEUcdzpcNLtsre/TzpKCXVAs9Hk6PCIo4ND2ufPMzszw+LCInfu3OHo8JCyKEjiiOmZKaIo5PDwsHLNNhpNlpbO0Ov1SdOM/f0Drl59iFqtTpblRFFcOVS9pMbr8Ky1lQHGbyqzNGWUZSSNOjoKaUy0CJOEfCADW6ffozClbETjEB1JCLLNskpiEWjRCOdlCUFInNREmuE6zossp8gzlHX3ZQBJTer+TCibw7xInXxFVaxNGAZkqRfrZxSF1wsqcfJrGfJEgpNiTOl0xop2e4IoShiNUna29xiOUmrNGjpQAmaECh0qgjigP+zx0d17JEmNRxaWaE1McXh4RKc7YJTmTE7NoDAcHR1wcnIMWCYnJxgOBy6ypQRKolAzOTVJr9dje3sLrEQH1Rs1Op0Tbn30Id3eiVS4zUxy8aHL5GXO2uqa6x8OuXz5EtMzU9y9e4c7dz+i0ajTbstm7LXXXqUsSz772c8yMzPNiz94gePDA86dW+b2rQ/Z29vniSeeoiwVZ5bPs7A0z8T0FP/wH/5D/rd/9I/4xje+wc9985v8+q//Ou+9/z6PPvpoZcLRWlgQopBcaxJjiLKxlvZ00oJn+KwV3XWeZ4AljiOGw0EVNP9xXh8fsXOL3k9m+RhjCI1FBV5n5HeCkdPkSCSJLQuK0mBMjtJOfOcE2LY8NdApoZn8ylF6p5fSDgk5/ZLhrpoFsZjSNV8ohdYW4ygsrJKidFeWHgSBW1jGP0vmhYAgwC3MBUWRoQJJ4RdHL9TqEXleVjo2gLzIH6iayQuJBZGoDS2LrTFoLVZ87ak2JEomd5Re5LR6OtASGlsKfB+48GXl3mMUxhDJ54yjiH5fwmKxIq71JgJjBBlIXMJ6YbXLUuoRhgET7TZ5kZHnGRqJYklHKfv7+5X9Xepfjljf3KC0JTUXTaFwure8IAoiTJ4TatHupGqIMs4daS0zs7NC1QJYqdmSiBIR18r50TK8IgiQF3aKc9oN346CVrjmBKgGQH88fehtVT2GobSmumb9pRM5Z7Tv4DtN5Xrtn6eAdaDdolWilGgbTYUWagjkcwVai/PLDUfeoKDcbjnLcpKkRq1WJ80zklDTmmgzcm5JKViXDKq8MAxTMRLEidSdWSXH0CpLoEN0FKIioTj84KadDMJYf80FY+raGAnaNZIlZ91xiCNp/7Bec8e4SzmOQhdyat1w59Ftua8Exbdu528wp2JiAKdDdWihsfT7PVp60UVI+E5SH4FSUkti9ncPCFRILYmx1hDHEeiA/qAv6HcSY0qDUYYyjIjimGw45Lh7wsb2Fts7u9y8eZM0y9jd3eW111/j/n2hcebn56vGgLIsWV5eJhulDMMB9WGPSz9/wl//sznFbsDFf2+a5MMI21RMtCbHETYOtfOZbaNRThRJ3lS9USOOAzcMlRwfnzAcDimKHK0VkxOTxIlQ9PIcEr1Nv9933zcOp60aPGyN2f8Res8Oeemrhl/+vw146i8oXu9p1y9Zo9kS1E/rcdxJnkvY8/b2NvfX1un3h057KqhIlmUsLS1JxZ2jNre3t6sInNNSDv93r+Gr1xLyPGU0StnYWKfTOSHPU+I4IookBubChQuVE1YpxerqKkCVT3btoYcqg8vRyTEffvghJycdzp1ZrjaNvje2Xq87tGyf3f09Njc2JLdtNGKqPcH58+dZW1tjdXW1cqpeuHCBvb09jo6OqiqxmZkZrly5Qq/XY3Nzk42NDVZWVlhZWankJz7z0G8+vfbRPy8ODw8ZDodVZtvk5GRFtxpj6HS7DAZ9pqan8N2h0uwwcLE7QiWHjuZt1OskSSSRYNjKyWqRRT4MwyrfLc9S8izlpHNMr99lanqSMFDEccjU1CRJEuF7tA8O9uV+c1Rxs1knikK3thZ0ux1GwyFKTVUbWf8c9LRzmua0WrLu1JI61sLh4SHf/e530YFQhlEswITWujr2otHr0mpZR3Un1fE0xtLr9bDOqZ/neWUQCMOQra0t3n77bY6Pj3nkkUcItOb46Mi1r2RMtCeIo4i1tTXu3LkNCBI2OztLu9mk7zSio9GI2Vk531opVu/d4+TkhCeeuMni4hLr6+scHh5x6dIlfuZnvoIOQm7dus2FCxeYnZnhhRd+lygK+cQnbnCwf8z29jZzC7N88tOfZntnh9/8jd8gSRL+wB/4A3zxi1/kt3/7t9nf36/MOEUh2vaDmWlemp/hCzv7/KHjLn9mab6KJPMGmNMaey+RkeD2yA2J/xyo2DCKK/SkipjAUhalaMzyvEIxtA5oNWsEQST6IWucpienKFIn5NREUYBWwSkfhuw8DB6QUWDVT3k3lZWjQu7kgFSqPvl3oyRKRQmaoLR2DlLtoOrxEGStjxTxYnRBE9JsSBAFJGGNKpdOAY7680Ln3OnsqqnbLYCIfK/Sw+VZJg0eoYR7lsZR11Y0U1pJHptWikKHQp9ZRRzGFfWqXUK7vwjKUlyTCi/Cd0OXO+7GDRyEoWiZwsANnYogjkC5ftz+gEa9zlR7cqynNJbRcEQcRUxPTpEVqQxgZUktjLCIXT8KQuIwJtERzaRGhBTeK2sdApERhHLNjAZD989UInrtRPTWjBsO5EwrRyWMBzf891cn2um9rCAcohuS6zV3HcRlWQpCa8XVLQ0HRWW8UMpXFQVuCPFRIhaNuHf8sAfyZ1Gq6mNV4DYVTk/qNiGlq5Ly52o0Smk0moRBSNhqk2hFlNTJixKrRAeqsJRWoYKAWqMBKEHEdehoV0UQabROCOMYo3BUK2AskdLS7qBlczNOwKf6SzmNm/W6U8R9XBYltjrqVNKFIAhEk+p53FNbrCrbrHogObe5Kd094vp33TEYDIYMhyMazcYp/VHhzCjIwpWmxI0YhWWUjhikGUEcUxhQUUA9DmnV6+A+K1qRZ4rSWgbpiHqzQZbn3L17l06vy+HJEYqx/slnoPn+zSSOqff7XPzaPn/tz+YMEjj351vUP4xRgaLZbqOVrvIdu91uNaxIOOxcZdqQ1pWUwaBPr9dzkUMRs7OzrrNVkDJvJBqOssoVC1RuTHmo11DW6U5fLpj+Iw0Of63P//QfWJ672OeT/06bOxMTTE1NUq9LP7S0FpSO6hMjxP379+l0uk7moqprwutqvci73++PpRZWnucSOF2vnv1JErO8fIZms+FYgSH7+3uMRkM3+GmpvavVePjhh9Fawog7nQ737993Jg/F9PQcV69eBa3pdDrcu3ePvf09FhcXq/y4a9eusbS0RKvVkuHv+Jg7q/c4PD4SU0xZcv78ecosZ2Jighs3bvDCCy8wPz/PxYsXmZ2dZWlpiTfffJP3338fsMwvyNf29va4desWt2/fZmlpidnZWc6fP8+7777rFuWiYiy8ztBv5n0Ptnffe9OAr4YS17lcH4qxS9xro/v9PoPBgCSOAVwDRk1y6lTpulN7SIeo9Io2Gg2MKeUZGWoXq1Q4FsGDLV7rK+fu5OTYDXYNSlNQqyU0m3U6nS5lmTMYDpzJS2RMkpeX0B31MQYODg7p94ZMTc24sOQGzWaDoihZW1uTwgE9blAwxlQ9u0rJtZMkYkT0G0v/vOh2OxVgNBqNmJmZqXSEh4eHvPnmmwA89NBDmLLk8OCgMq1cuHiRpFFja2eL1furZIUgvI/ceIRas8H+wT77B/vkec7c3Byzs7Okacrq6ippmjI1NUUYRhwcHDIxMclzz/0sZ84s8+6777Kzu8Nnn3mG1sQEO7u73HziCebPLPH+Bx/xW7/1LXYPdvns5z/LM888w/HRET/+8Y+Zn5/nU5/6FBcuXOCjjz5iYWGBZrPJ3t6BIPyLi/ydM/M8vXfAp/sjHusP6ThN58nJCT61IcsEZPBeAT/8+bzRj/v62INdnNRkKkcJ2hJIj+RoNKK0wqYackwpZoS0KIjQMvDlueyujWR8BYEmqSUO0ldSUcR4wbDWoAxCsQbjtHNjTy/wLnrFR1HYaj5yw5v8i7FumHNOSRyaFwSB6PuM1xn5WBXlfo7QalEkMSaBW8DL0mvzwgcWNBEw55VWIdAhELgmJhcVogOCSOjc0Id9emoRXPm8JR2llKUsuKEORDyPdyxCWVryoqy0CL4QOgjk97WaLeIoEmetkWw3DWgdunL5ksAt3bYQkfPsxAy1oEY+kHwlf1yyNKPX61Gr1Zidn6Uock46JwxHKVOTk9QbjapW5/DomMODA8J2m6hWJ8+LaigQEwJ4w40p/fCL/HNZUuaFC+tFBphKu1kVecn1Ug3obndjDaUpJAuREKVgMCyrnafMO4LmAgTIkO0DiIMAhziJ60mOs0OhrHVaTV3tNMexNDIgGe/wPN0xiyCx1SDlBPHWDkmSAc1G0znULIaA0mpKK5EngRZ6VTYect5UoMld/hRKEQQREEMgaFheGpRVaKuFHvbHW/wXDgk0lKVFq8CZMnBDrqDnSvnAcHHDeiA9HY0weYH2+YvK/oQR6PTmy7pFpSQINUniBhRH31ksaZayu7vL5NQEYRhVO1UR3VuODw/J0hETzbaE/OYlZZGjo4hGq0leFEIvW4NyMUOlLSlKqVLb3tnh9t07LC8sSpitgv6wT6/XcYPlgO3t7SqKxBhDK0258Nwuf+3PZgwSWPjLTaa/1aiu3TwTIbto4zSTk+3qwSsbgsiheAOOjiRLz9iSPMuZnplmcrLtnLCG4+Mjer0uaTZyWlVVPddi17DiXfRFbkizjHQkg1/0smbuj7U4+LU+P/yq4Q9/fcjJj6doTU5Qr9dcHqfkDGotm06feSbHV86XRwVrtRozMzOV2311dZXNzU03RIypobL0sTSiGz1/foUoDp0WcMTh0QF5ntJwWVthFPLwtYdZXl5GKcVwOOSjjz5yTuyCZr3GtWvXKErZ/N2/f58PP/zQbQBk47SyssKsbxZJUzY3N7l//z6HnWOGzkE4NTnJlcuXOToQBO3atWtcuXKF9fV1JiYmuHTpEpcuXeLWrVtsbW0xMzvD1PQUjUaD8+fPc+vWLTY3N6tA4YWFBd56661x+43bzPkwWY9CS/1Yn+np6QeYG59RCDgKU1f3vzfVeWOMzy7zDIMOJOA7CEOGQ6mKs9YQBhEWTZJIddWg36csCzrdjmuHsG5jKga0er3G4eGBowNPJIKnFB2moKkOnTeGIhe2RtztQdWpfHLcxRipfDs56bG8DNYENJttpqdnBATRMrilaflAQLY8P+Xz5mVZIeRKqSrSw+cQYkyFel+7do2FhQXSNGV9fV1C861ldnaWwhju3r1LlmVcunSJhx+5zmA44ODwgDRLhYGJI2bn51CBYmdH6gOttdTrddrtNkVZiLEvjJiamsZauHXrIz7xiRvcuPEonU6P73//BTY3t1g6s8Tq2hpZnvHw9Yd55623+OGPfsT99XX2/9Ee6+trPPfcc3zpS18iyzJee+01ms0mTz31FP1+nzt37lQu8MXFJdrtSf7R/fu8W6/xVH/Izx+c8Lfj2DmBe9XmYDQaumtHYqi8mcq76D/u6+NTscZgUrHiGvfQ8bvMwsgDNkAcr0oFzkqdYowlVAFlYdzFJ1CsVoHky5WlmAbcblD0csYtARalDCoQGs0jOda58bTSTl/gLyYfaavAyJCG0hBoFMFP0Lgu4NWjcE5EKvZyofmSuE4YxgzTIahA8n3KHG/geNAhfPqlqCU1okgSvkfDEaYsybOMKIwYMRLDRVnI7/eDj6N4tB73GiqtwQnQrXMz6UDqqcrSU7sCj8tD2C3EUeR2cULFKrSgdMairXMxWwh1JO7FIGZicRKTGfb3953A2xky3MJ2fHiE1jDZnoDScHJ8TDoaMez3mZ6awhQGVVqKUUacJARK0ajVadTrQrw77WJVI2bBFKWoga0It9Fa6GagtIjr2TWcuCdkhcD5c1Aa6WAECTM+je4J6S9DTlXOHMWUlkqLKSYgD4N7d620F1slamWhIseRD6ejd8qyJHLmC+/UtshQZJXk4fnr0xjLcDgSp3YcoSNNmhcUBqKk5mhU3y2p0FbJsQwjVJ5Tlj6gOMQgGWyFhaK0hA7tRQVepSCfXPsYohJVWnk/bqjzOjz/Yay1QseqUzV4Bqwqq+HYqnEILna8mVKhH1DGwdyxywgMTkX3NBoN1tbvU2/UmJ+fc0iDE2wXGb1uR+QZ1pAOhxQo6dDVgDWcHB1yuG9pTbRJmk2ieh2rFKUyFFhu3HyMRx6+TjNOWLu3yrnz57m3epcfvvS7BEFAy+Wg+QVWK8Vj7QF/8z8d0a/B/H/fYPEvThBaj+DK5iGKQkd1jtFiH20hafZDFwhbEEcJxlja7UmajRZYTeeky2A4YDQaVFV4YRgQRmGF0PkHuafqRsOM0dBWi2G9Xqf2Xg39P0Vs/V8P+dafzvmX/6UhH0UhSS2uhPFlKZuVPDcP5BIa46lxQVcnJydpNpsSyTIa8e6773JwcAAgonzta+pKQa+1Esp5ou0Q7RwdaGq1hCzPaAeS7D89PcX169erto21tTVX19RnamqSJ554gvPnz1EWBWmes76+Tp7nNOImURRx4cIFLly4QLPZZDgcsrGxwfr6Ov1+n86gT15KPM7c3ByTk2LGufXhh8zOznL58mVee+01bt26Rb1eZ2Zmhscff5xXX32VtdVVFhcXaDQaTE1Nsby8zN27d7l//z7Ly8vMzc3RbDYrhLHVauHDYb1rNggCer0enU6nQqpqtdoDYdhj97+41ev1OsYYBv0+YRBycix0b7vVYmJiApTUWxpToq2gcYNB3w2HCaEKaDRqxLUIi2RaDod99vZ2uX79YYJAgQqp1aSS0FJSlAVpNnI5hwI4KIVrRMnQKibNUrrdbvVM9k0oQg3KmpKOCopcgtijKGZ6atpp8GR9aDQEuc2yrKpf8+xVFCcVNXvaUe2vQX8PWWs5c+YMNR9uvLFBlmVcvXqVM2fOcHRwwO3btxEzzlkmpqbYXF/jgw/fJy9zglBz5uwZ5uZmGPa7rG+sc7B/gLXSpjQ5Pc3R4QEbGxssLi5y6dIVad847vDYY48xPT3LrVu3eOftd5iemuXipcvs7e2yfPYss3Nz/M//8/9Cr9/ja1//Oj/64Yv8+Mc/plar8Yu/+It86UtfIooi3n77bZaWlvjiF7/Ij3/8Yw4PD6s1aX5+nitXr/L9W3d5qr/Boydd8nc+4B9dv1q1O42PuwBm09PT/8wDnX997MHuuNOtLtKaQ+9GwxGjdCQi1ygmCGWAEFdRKQJNYwhQMmwYKSkHzyPLECYLoMEUggaIu0fL7s0PTdbgGwVA0JAwDHAV87KgWB6gKI2xWDQusO4nGaRTFK51lINUUBljhCrVIVGohCazYIwrv84FjdAO3fHDqo8uCMOQspAy+lajycLcPCfHXSlCz0tym1E6jVYYRURaFuLctS5oFzYb6gBTlBjv1ivkYR/UYko7Dsj00SJpKoaKLE1dzZHLAXTHSGuhmEMtFHA6yshtjg5CTg5PyNOchx56iJWVFdqtlpw7h1QNhkP6/R6j4QBlYXpqima9UcGkg16fdJgSohh0pWOzVm9U0RsWCYFVOvDxfNWQpLUmsc7gcCqLznjXdEX/uT9Xjs+bjyPxa609dZ1UcSU6cD2tQpH6Acz/fqUlD5Hq6164KehTlqWMhpY4rrmMJzW+xvKSIAyIo1io18IZeE4NfqCd8UP6awd2hDUwigJqUSj9uUpRa7ScgF0ooKI0WAXNOCGp1QnygjBKyIqcUZozTA2Z640sixK0Iol0Rf+44Mhq0DqNVlOccsga91mx2NKIO1kpoiDAKkUUBnLNOCG+sePji5XGi2qz5ihu0WvJ8TstEg50wMz0DG+u3mNra5srVzrMzExXmxBrSnIUcRCDNWTpCB0nhIHQk4NUFvnOSYeZmVkaE20WV84SNxqEUcTM3CyjXp+NO/fY3dyi3+k61OgSa/fvcXB4UKFV/lqbLgr6/9KIjbMQv6+Z+csNQhMQhMH4fbug9DQV+jRNRxWdKRSUPENarSa1pE4UJRSF9KkKLTuoNh0+kyyOI2q1hCiJq4d6nudV5IY42Q2mkGda7CJToihi5q+GDBZH3P7jA57/cwc8+19scT+AsqxLIKq7J7rdbqXbK5wb1m8C8zxn1uUMZlnGwcEBd+7coSxLGVpirxmTcPEwDLEEtCdatFoNdwwKdna26PW61dAQRSEPP/wwU1NTJEnC5uYmW1tbHB0dEbpQ4+Xl5SoLcHNb0JX25ARJLWFubo6VlZWKfj0+Pq5cqCL4HzE1M8PZ5WUWFxeJk5gpp+O7f/8+Dz/8MJcuXeJHP5Lap09+8pMsLS0xNzfH1tYWt27dYmJiglarxY0bN9ja2mJtbY0kSWi1WiwtLVWDhb9OfHvP0dFRdf/v7e1Vg4rP2vND+XA45MChiHEtqbTKRVFQ5AVHx0duMyBmKq00zWaDWi3BAqWRYOk8z6irusgmrKHRqDu2QbR3/hwEYYDJjcgetG/K8QjyIUWRE8cSHh6EEsllyOn1Og5x1OSFrZ69vks9HWUuCNkQhG4THATVswQEnfvJ5/Zpc6WPi/HPheFwOH4muA2Gz10cDYdVDJBSivl56aPdPzhgZ2eH0iGAJs/Z3NxgfWMdaw21RpNr1x5iYXGBg4N93nv/XWn/mJvhkUceoZ4kfLC7w8HBAc9+9lkeeugavV6PhYVFbt58nHt37/H895+n2+3x+OM3aTabnJwkPHbzJnv7e9y9e49nnvkc/9K//K9w5colfus3f50XX3yRyclJvvjFL/LZz36Wf/AP/gGvvfYaX/7yl2k0Guzv79NqTbhgcokH+uH5FV466vDpky5fefUthlHE7Xa7Qn39muX7fk+3JP2TANLv/frYg93e/p4Tj49/QZJIDEYYxe6BLmL/NMsxpWi/Ai1USYWiqDHt8KBOZ7yQil1bo43GBhaDaMiyNBWrfehcV2WJteML6jTtpZxxA0eKOcjn1CcS7ZCt/pyt8qRURfMp0rQgS6Vf1lgoC9HueaoX60TOWpxG+/t7TE5O0m42iMKAmelZWs2W7MxDqXvCSmZXwDig1ZZj12dhckFMQCjXXCpYTOkMFpRoR8GVZUnmhthAi+FCjucYNfFIZhBqqXFzLuJGrclgMCRNC0IdctA54M6duyyfOVNZsf2igLUitk8SAq3J0lR6Z504uMhzTFpwctIhTmIGtRooyfgrjAwd3mxQZcCd0mV5jFY53VqlETQ+qoZqiCxN6ZAiV7Tu9JjjhghVOdiUUhglbaeRdIQ56t6eQjTl2tOu51PknuPaujiOscY7dqXmy6N2Qn8L1a6VFmeoHyhdcLFGUDV5PxFhEGJKS24LiiwjSqXbNXBxQoFWlKVlOJKFpdlsEyORJqUAglgkXiQvZGduCnGuFUp0hvq00xyq42ydZs563YJDbmH8dYw4yrE+9FpQP+MXMe8/cWhnFEWup1cQydPn1u9E43hs949iGYT29nbZ3NxkYkKqieRYlkQ6ot6qS5zMaETkjmk+MGwd7HP//iprd9eI4hpxvcajn3ySyw9fI2m2UYFm//CAH7/yCu+//Q5zk9PkeU6r1WRl5RxKK/b39yvkpZYkfLp+wt/7owYKmPwfE3QHqI2NAnIOlaNHQiCh5hZrP6jJ12KSpE4YRAyHGUppF5OTVJpWb5rQGud4LcnLsZzC9zT7ocJaRRBq6vWaILeBJsszRp0htT+n6X0Vnn/O8Onfuk/88gRlHKJUA+Wo9263W6F/EqUQYIwMrJOTk5w5c6aifXd2dioNYrvdZnJqgqIsKtTSWkO73WJhYZ4okoE1zzM+/PBD9vb2aDQEeVhaWuLKlcuuuFwy8Y6OjhiNRpw5c4bz5y847ZBozXZ2dqqYkMXFJa5fv161cuzt7bGxscHR0VGF/i4sLHLm7DJnls7QqNcpi5LInYvt7W0WFhZot9ssLy+zubnJ2bNnWVpa4saNG+wfSJ3YwcEBMzMzLC4ucuPGDb77/AvcXd/k3LlzHOwfsLq2SmPiZWr1mhh+Bn0+uH2Pg4MDGVbqNeyrb9AZplhj+NQTj1d0rdcqDl3QcehMXK1Wi3a7Ta/bq9odqtxIbEXtF87FWrpBSIx8ZWXC0IE0Himlqyy008NlvV6vNLUgYcXi6lfU6zUmJtooLZl5Xho1XpPt+LnlnJm93qB6rgr4kTsqVlcaOX+v+HXUDyXKPXv8PeJpV9k8yLXZarWZn19gYmKC3d1dbt++zd7eHkEQcO3aNRrNJttbWxweHtJut5meniZLU9bu32f/8IC0yJmp17l05Qq1iTarb7zBrY9uk6YpS0tyPXU6Hd5+621a7TZPPvkk7VaL1197nUuXLxNFMffurXLn7l3Onl3hSz/zM5y/dIlao8Hx8QnPP/8DjjsnDEZDBqMhn3r6U0xPTfC3/tbf4jvf+Q71ep0vfOELfO1rX+Pv//2/z5tvvsnExEQ1kE1PT5NlhZiALl3kz/V7/Ifv3+Zz/RHPvPMBf/uJRzio4lBs1Szkh/eydAyV+ueRY2cK8jI7BRki8SbauulSu9JheWD6aqnCGNJRThzGBC5LR6zc48Wx8kl46hQkLqGU7C2rShxvS2kF0QpCRCfkUvuNdRlLTlsUBoGjpCSjTtg897uw8mus5HzJEGiqA+fmT/KyqIa9cexHgEZMkMoUqAK0jYispaYVxWDI+sEhQRAzNTlF2i8IkLy2KBAnX1Hk5LakXm8QR25BtAaNoHI6kq5Eo0QorkMFJkA7TjJRAWXuUJrSDXzGheQCJpBFW0ZiRRxGUqheQD4UVE8pEYRPTE5TlCLMbE9N0BsOODg5JkwSCT92oZ/auT3rUUIURGTlkOODA+pxDEmNKAqZmpygyDJKaxgOBxgFOorQYSRDHeOhUwcu98wIrU0o0Q0qdLtAxG1aWjCFpXTZhgBWiwbQZxjKpONRyXGXq5xLRRyEskCisUpQTGMNWZ5WZhW5ADWlo5u0FjTKGjFj6EgS8stcAiQD5evwRHdmiwyjNUHoblA3xGqxMYuezaE6thBTRuA2BMZo8gJyKx2wokeTqyzLUjqdAUWhxDxhCwwWHUbEIaRK3i+RPERzCtAQKu2ri7Eus9EaqWbDgg3kfrMiIBU2HBm+bWncteboUWOxgZ/mXMOl68/zNFwYSj2VNFUEhEFNNntlCWhyl6FXmpKd/V0Mhv5wRH844uikR5LE7rgqaolimBuK4w5FKZ3EWV5iMOwe7vPuO++yt3dIVhp0kkAcMr+4SL3WonPcJVAheV6Q5yX3NzY5PDiiVq9RkjsHeF4Nus+2hnzvLw9YW4Hpv1Cn+TdilwtZEOuIOJHoIG1xZqySSIUEzvRhnXEFZSnKjLSTkueGQX+E+KJcnFEktLRROVkuYc8AhTOe+agU6WG21TMoDAOiSJMkAVobinJIlqdkxQi2Cqb/SMDRXyv5H/50xr/1X73HxKsPccTMKX1cwWg0wNccSvyMxdqCqalJ4jim0+kwPT3N2toa3W4XpWTgkuo2VblT5+fm+cqXn2NxcZHOSY8wDFm7t86H739EHNVo1Ot0e12WFpZpt9qivTvcdyaAjLm5Wa5cucTERItARRztH7O+ucGgN6DRELfqysoKE+02GsXx8TFra2v0+/1K+jM5OcnSmWXa7UkiFTHoDNjY2CAIAg4Ojmm3WwyHQ+r1OlNTUxweHlb0W7PZ5KGrD3H79kfs7e4zNTVNvZZw9cpD/Fd/8f/Ny2+8/cCa97/8/d/4WGtjvVbjf/sbv1bRrd7tnw6HUBooDWWakYQR2orGulGr0e12ZegD8qIQh3ugBbE/hSprJc+uKIho1JoEOqIsUkpjGYxSBmnKbCUPEP2ttQqtQrSKUIRYGwKhJCpEEdaK6aIoM/JiiCUDcsLIEgQWVC7PNx27Te4Y/EhHqZMxjbu6/WbdJwF4xMk9+EhqMaNR6uJTLFqH3L+/Qb83YHn5LE8+WTA3v8i777zD7dt3OT7usLx8hvMXLtAfDLh79y5pmvKlL32J6ZkZDg72eefttxgNB8RRzOWLF7l+/Tqj/pB3336X3e0djCmZm52lNTnFB++9x+tvvs3S2XOcPXeBo06fTn/IUnuS9z78iB+9/BoEMV957jk+9cznAc3xYYfXXnuDH774I7I04603XmdmepLPPP1pVlZW+IN/8A/yG7/xG3zve9+j1Wrx7LPPcunSJV5/4zW+8Y1vMDUzycnxCc12k4lGnaOjY2r1Gp1I8WdW5viVvQ5PHXb4pbtr/E9Xz7tjZR3VLq7xwiVWyP1c/l6X4D/x+tiD3fTMDINBn0ajwczMTMWpexrTGi9+HiNFpRs65CHl9Why8RkjAYRRKPlbZTlO2zdGqrEAsAajfLm76NvkQxfSSamiardx2nUDoAOpihLdlEOqHgAKTzstRXvkdxvyWSQnztMxMpD6TktxbypjUdqglaae1Lhy6RL9/gCLJo5rDpnYo9lsEccShBtohTEClcugHFbZbb1OF22BSMwjUSQPAxy6qJQiKDUoyQNUbjAWMb9bMJSSzDaP2GmNtu6zochLQ54XHByfMDk1xZmzyzRaTZrtFqORLHzHJ8dYY0miiHpDiteH/b6jMxVTk1OMhiOiMCIMBK1st5ssx8vs7O1JuG8YSAyH8t28p2j40htiZKDWSqEj7WJthFY3Xm+pLMYZB6zn052c0lOeQQWg2lPndowUK2cIQcmCLnSckSgbf1zdhsTnU4HPFnILLePrrKLybCGVYUGIVq7OrqTa8WrlNJgajNKUGJfxZqRjOXDmDiMhwgq5V+RGkTDiojSMshxUSel6H5uJFKenQXAKIZTPbrAUthQDEkDpUU/vd7VSQVcJ7SQKyGDQVmhr48w5gv7pSsagtMusc2YkpZRz1YXugT3ueC4K0Scq54D25344SNE6cDobTbfbZzTKCMMIa0qOjjskiSAxeV6IXrff5/jkhMGoT6/Xp0BJYLeCo8NDbn/4Ift7hwyHGbNT0zx+83GO9g443D+gzEt63R46BmPLKiA0CSPOPtTn9WcsaqCY/HsNyAty6zpysVVuYGAVBoMpcnp90XihoLSuAae0jt73zzdxrIhDuARl0IHbMDjl52ntzOkIqdPyiiiKq1rA0Wjk6Nm8Qknqb0c0/u06W39lwH/zfx/xpd95j3/hLzZYa5+RjUhpnDPXObPdwO7RR98ZfP/+fba2tjg4OKh0Vj6AV6qoIi5evEi73a6cn+ko5c6du3Q6XRqNBmmaceniZS5fvkIcJ+zt7bG9vV0hNIuLC1y4cIF6vc6wO2L1/gbd7gmFsbQnJioJSJZlpMMRa2trldY3iqKq+qvVmiDPS/q9PgcHB7z11tvMzc1xctLh4OAArRVPPPF41TxxcHDA8fExU1NTPPTQQxweHnLv3j1mZ2fBCqLSbk/8nmvf6erCn/pSELvqJ79++cpBawwaydps1upEYeg2ktDv9ys5SeTq/oIwpBwO8Wa8Is+rJSsMoyp7E4QN6fZ69Hr9Ch1KklgYoiCiLCTgWp5cjrmxqnKvApVxwpgCpY0MEkWKRa4dolPPDnfpZm5j5I0uFTPihlr/fJW+XLmWvDFQrt/CbR4GDAYDlpaWJBInTatzrrXm7Nllmo0GRwcHfPjhhyRJwlNPPUUcRdza3GRnewtlLY16nevXHmZubp7VO/dYW12T9ajVqvrRJb5myOc+/3nOLK+wurrK6toa73/wgcQVpSlf+MIX+MrP/ixhEPDGK6/ym7/5m5JxGUU895WvAPC7L77I9uYWn332WW7evEkURfzNv/k3ef7551laWuKpp55kZ2+Lu/fucvbsWU5OOoRh4AxKKZcuXeKtt9/gKAz4/tw0nzzs8IXtff72lXP0lDeeubxUK9rxLE/HbMrHfH38uJNQMppGI9GL+MDG0pkCIKBeT5yoUgaHbldCAxtRIrELftgIpEg8DBVJEhLoqMpQAsgzGdyMfwiRO+FvIdWZxpAZyYQyGucQtCRBKDEaRSGGBK1dLhAEgSLEuXAdRKgYl2bL4BFUO3AZVr2IHrmicVSd1VgjkQKnqd8oCgiChMnJCZJaQ7SGRSEUstbOJCK7eFTJSecYYwvCaJpht49S0omXo8nKsavT3zTWClISWnnYeipItC250024VhCcPqaQcMtWsynoEJbBaER/MKDsWjr9Hse3PmR+bo6kVqPX79Pv9ap2humpaepOE2TrNUyeSWuGtdQbNWZmp5ibm2dvb49er0uSJJw/f45Ov0+a5yhriIKIIIydA1OGZdml5pSFQ2UDhbIBylGoGjGMyAMpwJJXervTf8mpcc7VUz4AnxvncxWFJjQVzSCUcOSg7rKKIqiGI+/EdjtQn4vnv6csS0pTYopcNGihnJOiGDulUb5aj+q/GTOOHykoKYOSOIlFXgBVp6lSFqsVcaNGGCXoKKB0MSIoGd4CNwD4zcjpHCQ/9CunofP0swJBEHGuXjXe3Jw2BRQuHsbHM1gljRsSYKpQfoeuNFlRioNWKbQxBMhD3Hcie02XP1diwpJh+OSkg7hMJ2XBcYN1HA/J0kxieAYy0PT6feqNOmGcUGY5rXaLZrsNxnDrgw8JwntoHbK8dAalLFNTk/S7XXI7wlpNUWZyzty5/UQ24rt/LAMLk/+4TrITMbK56FTzvOozVghtGmhNs9GgVq/J8bHjh612C6eYlKDfH0nlV14wSkdi8HGp0F5ioJT8XD/IeTesjzsZL5h23Dk7SqvhL45janFC/G6M/s9D7v2VY57/csEXvnWLxuoMx0hdnc/X9PdBnuckiTgFrVts7927x87OTqV/KsuSWq0mzkVgYWGBmzdv4vU/Sinee+897t27V4m8lVI8/fTTzM7OMhyO6HZ7HB4e0+8PmJtb4MqVh2g0WhhjOTw6Ynt7m6QmruKlM2dYXDpDa6LF8eERh3sH7O7uMxplNBp1Go0mi4tnmJ9fJMtyOp2ei3CRKqqzZ8/SbDZ55ZWXWVtb49Kli6ysrHDmzBnu3r3L5uYmU1NTTE5OcvXqVV577TXW1tZot9suK/Cnp/q3223+u//uv6PT6fAn/sSf+D2Hu8BRyf7+83oyD3aEYUjNZbT5Y354eEin0xEqvHJYB6eGLjdAndKln/53kNou7zT1zz0djHujy7JkMJCInmarVj3rRD4jm3hxzg6oN5Jqc5tlknBRFDmjdIAxBVpHzovoWoBsiUdJ/mn6ryRJMKVc/8OhULFS0VlU12a73eajj6Sq7Pj4mCRJuHZN2iVefvlltra2OHfuHFNTUxwdHfHiiy9yeHhIkiQ89NBVnn76adL+gDffeIO7d+6SxAkXz1/gsUcfo9/tsr+3x9WrV3nqyafY39vjhedf4J233yYKxajz2Wee5dnPfY5mq8Wbr77K97//fd5//33m5ub4+te/zo0bN0jTlF/7tV/j1VdfpdvpEEURjzzyCD//8z/PP/gH/4AXX3yRb/zcN3juuZ/lW9/6FktLZ2i3JwiCgOnpafK8IAojrl+/zo9+9CP+cWT55SRmMc34wtYev35huTqW/hzLucgqh/bHfX3swc7ny1h74mpGRHOFo9NMWbquuUblAsyyjDzLHOTrd6QWHcQEAShtKU3uwnxFaBxFIeiI3GTYUqgeZbUMU2iMKaq8HmsDsCXj2ApJ6i6NwRYyOAaBCDvzQmiIwAbu+7yugOpgygH1fXUabPDAQuzRSOsXLesF6QFKufRxt/sZjfqUpnA3dPxApYg8OEP6/X7VxShC04BavY4uDaXTP+SYSrsgaJAh0DFBnDjK0LsNBR2QQQgKRDg96A9IkthpISOCJCaqxTS01D8Za+kPBmzv7TI9PU0URbTaLUEmtabdbhMGAYHSxFFINpQQVREJSz9kGIbMzc1ilZhPWu0mQRKxf3AoWi6nGwuDkDwXJDKMIkqTMEpTsiKHwOcMqmoxCxin3JeODvd/+ZgAGcoRirH6nvKBcymaSPdgdGOlP/ey2CriICGIQulYNaYKxrbWVun6fifuF1ytNToMq+FQcsjErOMjWbTyNyqOolGVcUNOnENjlRg3rLIuvkPyDaMwxCiJD1I6IExkuMxLoW1P9wpWSLX27nD/shg3yOEoPj9x+Qdy9X7w90NAELgeZ+8298ika8eo7hutx8fKSEetkR4klNbkRc7B4jyjdouJbo8/dn+P/6ypCcOIsjR0Ol2kpi1yrRgFWg9cuLMw7ajAtSUEckws2NLQqNWYbLUojWVuZobz5y4QBiFvvvEm6UiE5RYR/+d57ow2mlhrHvrEEX/3K0AJS//zFNajwk7WUfocM4f+RmFAvdYirkmHsx/uQKERrW5ZmCqEOs9LsJJZJ9pWiYcQvZN3qZpKmO83mf76EiNF6hZer7uDKBJ3bJIkhO5ZW/9OwMxfrnH4b4/4i3/qkP/0D77C/fga7fZEZW6xFZooG4yRy887Pj5mc3OzCuUdO5klHFkW2Wu02+3qebO2tsZbb70l4bRRVMVQLC4uOoNDWuUFWmu5fOlyFXkxHAzZ3t6hKCXcfnHxDGfOrBBGMYGO2Ns74GBvX9aPPKfZnOf69eu0WjKIel2e10ouLy8zOzvLuXPn6PW63L17m3v37nH27FmuXbvG8fEx6+vrtFotlpeXOXv2LFtbW+zu7nLp0iWmpqaqDeHpV7vd5i/9pb/EH/pDf4i1tTX+1J/6Uz99sLPiuPdD+WAwqI6fR698pIkf/rxx4vj4mNnZ2Qdy7jwa6523p0GPSvPpNgNApVtLkoQ4Fr2rOHjTKnNRBj+J3JFIkzqD/gitpdt7NBq51orQ0d4jtBLApT/okhcpUEdrRa0uJrKRM10YM9bonXa+jjfQoRvmsuq9lGVRBciDoJe3b99mdXUVpRQXLlxgcXGB4XDI66+/TqfT4Zvf/CZTU1N897vf5e2336ZIMxpJjZs3HmV6cpKDvT1uf3iLUb9PLY55/OZNziwu0T3p8NGtj/jU059icmKCf/w7v8Pbb71BnqdcvXKZn/99/wKXL19hamaat954g29/+1t8+P4HXLt2jd//i7/I1atXKYuC4XDIN7/5TYo857333quyFi9evMgzzzzD97//fV55+WWeeOoJZmZm2NvbZXp6Vrpxs6zqLL9+/Tp37txhd3eX/3Zljj99d4s/cG+TDyZabKtxQsjp4e6f22BXFtYJ6BOKfEg6yilDEfpZI+HDWZYxGOjKtm9MyWDYx2S5ZLEpgZObTYGMq4XaqbEtJWEUghKtTxTHJElEmo1E9DuylFYs+7KYugw4FxZbDkbVLlsWfsCW1GsJQRiiVCx6LlcpZrUsYPIgdXStryMzFmtDQU6scm7Z8W67yAusLQjDmCiS5HxjCzRCQfmeRkEmYxcDI/CNNF+EtNtNt3gVDmKXKAGjS0JTInSN7HRkARf+XVL6pVPOR5wo5yaW+0TCXSaaLee4leiU0ubkpiRWtSpcuShLJqcm2d3dpd/vc2ZxmbIoKbKMdDRiEA1pNZqizbHC+yeJUAJTUxNsbGxycLAn/61RZ2d3F7pQSxpMTk0CisIYwiCkUasz0tKu4AOKgyAgyjJSW0IgNHcl5rfGLUYu988hCcA/sQgq4wcN7c6TDLpaBw7lHUfTlKV/OAttmNQbRE7c6xg0DDJc+aLuoige0O5FLnhX2aDabEj8jHLozrirV+g3pwXVVDQkzuQhN7ES8X1NKLDhaCBGkVIoVQPoAHTgA30ls80/3P1DtRrQXCRJoLQ4fq0M11ihD4HqITxuxxhTrEEUESr/4PW6RIvxNKzyCfWKMRhnK22nRytxQ+ruxRVufelZHvvffptP9kbUJyaIXeirX6yzbEx/B4HBugxKHbrNkw5dr7Kh3W7TarWpxQl1hxYtzMzw+KOPMhgM+cH3vke/1wFbkmWCmIkJS879FyeG/I0/m5LF0H6jRmOrxlCPZGC1EoPkF+U4iiobVpamHB0fVcHfhUNulRuYcAizViH1WpNGo0UURwShJgh9cKxxaP+DVGwVH+WiMrIsq3TLXuTuBwSPNBUOFcyHOVO/EtL/pOb4M4a3fmkf9Zfb5LMLtFptup2+bGydNCWOksqssb29zb1796rAZf++/L32yCOP8Nhjj5GmaTV8vPvuu+zs7NBut6vh7tKlS9UGeGdnl9V79xkOUy5dvML58xeJwoQ8K9na2uHo5IQ4SUjqdZZXVqg1GoxGGYfHx1ITNhgBmpmZOc6cOUuz2UIpzXCYcvv2HXZ2diiKgoWFBS5dukSz2aTRaHDjxg2KIqs+18LCApcvX66Ghnq9ztLSEhMTE2xvb1d06E8umkEQ8F/+l/8lzz33HP1+/5++ODo5g6ewB4MBSklwtR/K/JDnHch+cPehsz6Gxwdce51jp9OpKHpfEO/jVPwAINdHSaMRiPP5Jzq3syx74Pf4Y3UcdClLy8nJCUWROz1n6DYZVCHjh0f79PodpqabgKXuOlCLwoMdujp+3rDjw7aVEuMP4D7vCJ9XOT8/X4Ea29vbbG1tURQFU1NTPPLIIywvn2VnZ4e3336bRx99lE9+8pPcuXOH3/3d32V9fR1lCx566CFu3rxJo9Hg1q1b3LlzR9oqrj/CY48+ysTEBG+//RaDfp+JdpsXf/ADfufb32Yw7HPpwgW+8bWv8eSTT9I76fCD732PF55/gbW1VSYnJ/nkJz/JhQsXODw44Mc//jHT09M8/sQT/OIv/gF+98UXef311xkOh3z961/n8ccfZ2Njg1dffZWLly/w6KOPcufOneoziwN9jnqtyQcfvl/dW6/WEw7DkJUs5xPHHX5QU9VQV6U2nBqQP+7rY3/n6Qu02WxWvxQgDCGKJO6j2+1hraE9MUG9VqNer2FD2VnWkpokXWcynAlioKk1GlgLaToCAvIiY5TmNIOYwSDj5OTELZIKCCp6CCQMGUQ74lGLWq1OEEC9kdA92WeUGpphS7h9NEpZF0WgnB7Ii+6DavFHS7+rNRaFJqjCfaUkXKqVNNYqN02L/ggkl09Zp/ELhXoOI1kwPaJkja123X4il2NpCJQL0HV0jXJF4WEoTRSRDfB19cYY8qJw1GtJXhTYcixqTZKEIi/ESYpEqljEmaitLFaRDjh/doVRmkonXRQTxBF2OGRnZwczP08SxdTjsILqJdOrxuRku0plt1jiWsT+4QHNxohGo0UYxtSj2EVySPaURQatStCPs8njxPxWjrEqx05Nia4ZL4SnreFCdcp/l4YP0ZCYUurp5OaQYUxqegKsdS7FOKbRbFaIqPwQPe4X1urB33V6mFTua04f6rOgxhQnyFDkf6zC/0+GIx8d4AT4WknMjnPJUVgxDinlBg1Q7l6yKDDy2VqtVqXJAUcjK3e09Pj9eDTKugXG62D8YizXn0TtoAJ3XD11qRzIZystnXUD+GkXsRwA0fJZa7GuzNwCeSjPi1gHLE9Osw8MhylFYciygigqIJBtSRQpJGA3kOOk5L4weY4xBfVag+mpKVqtJtNTk4IuNxr0u136famCyrO0cvJ6faQfzJenM249Ali4+BcWqHUTTCyBraUTrvtKNpTvAJYBt3T1gVZ52tuhKVq0cGEQE8c14ihmOBqwuX0sG7FgHHruh7TTWs6hi3rw9WLVdW9lgBdEZqy5k3DylDTNZLMysjT+AqR/Ff7uHzb8q+/ex/yowfzcArs7e2SZLxgPiOIEa+Cdd96pnLC9Xo+xi1k23rOzszz55JMP0MRvvPEGt2/fJgzDythw6dIlLly4gNaa/f19bt++w/HxiWuAuAQoR6F22N8/QOkQHUScPXuWqekZrLUcHB6zs7dNrz9EFWWVNTc/P48xlk7nmNV7q9y/f5/RaMTZs2dZWVmh2WzS6XS4e/cui4sLRFHE4eGQ7e1tZmZmOHPmDL1erwpf9kHE6+vr3L59u3KRnn6VZcmv/uqv8iu/8iv8R//Rf8Qv/dIv/VNWR7n+PfIq/2oZDof0+31hPVxshR/evaba061AFUh7OjLEN534Rd0/e047UeXnZFV4sq9KFJpT0+12XVadXKfjaBKh6sWJLcNhHCdMTEwQRSFlIXKZTueIo6N9lpfnKsROEgbGkhV/b51ey3x+5em4E0/FxnFcuZd9M8rdu3ex1jI5Ocm5c+eI44j333+fNE25efMmM7Oz/ON//I+roOtQWS6cO8/y0hnyLOfOR3dYv3+fVrPFzZuPc+nKFY6OjnjrjTfZ3tri1VdeZWt7i9FoxNXLl/nGN77G448/xvHBPq+8/DLPP/8Cq6v3aDablGXJ7u4u3/vud3n33Xd5/fXXeeqpp0iShIsXLnBm6V9kZmaGN954g29961t87Wtf4wtf+AJ/7+/9Xd5//32effaz7O3tsba2SlkaGo0mTzzxBLNzs9y8eZNOp8PLL7/MaDjkt1YW+NfvbPDV9R3+10sLHJ8yAHra3J/rj/v62INdrdaopkY/UcZxRJpmDAY94kTKlRdOaQpOTk442D/EZqBtwNTUFEHgBpBMvuf4pAOdvgj0gWY7YmKyjtIC70tVmY8a0NRqDYyV6iZpHHBSZGuwSqPDALQmCmMmJtocH25TFCLMVipAQmYhjkQzYx0aEoZajBollfj7tHXbX8BVpgyuFigrGGmhR2R4Ex2N0oL+Ce0nfw8C38UXODRJV0Gp/oYzjlpWGBTS8ynBkiK4NlZCQ8NTlGDoMsPy3Ar6aYPqxvfDYOGQgNIVSNuyJEkSEi0P8CgIiZsRx72eBI02mjQWFxn2+1UcgtKKZrPB8fERGxvrTE9PA5YsS+n1OgS1mIXFRebn5+l1+4wGIoJutSZkWFOOVoaqecIarwlUDu2RId2U5TgCxlpKO3bUwoOaDj+wnNaY+e/1x1a6ciW/TugD0V1GcezQF8mMUx4FBVSgSeKEMNEVFH56Z3964fXvSSmPztlq8+L1mRWF700YroNYrivjdDnO1KEUGGlg0do684KlzDJynBbP6S/8Iux389ZajKuVUNY1T7j4A4utjunph7J/eRra06+VttPRt6X/OJ65dcOdP3dYQ6hBB9ZJMATpLq1l68pFejNTzB4e85/unPBnzy+w5wxYHtGwSrp5jbXUEjFyyFjrOp+VolZv0Gy2aDSa1Go16r4fNc/Y294izTI+9+xn2N5c5+7du2JcOUU1x9YymHGmm6EmGkaVZjXLYvIsc005Po/LSdCNi5No1OUcnorHKd1gK7R/QJaVdDt9jo9POD45EZ2MKR2C73V1YaVhA6oMO+lRHSOoPgus2txZW1FbozQjz/w1A8lvKNr/tab7Jw1/909n/Jtfu82PsgvU680qozMKE5oNaYg4OTkRyYbLzfO1aGKGSHnyySdZXl7m+PiYVqvF3t4eP/rRjyqUrixLms0mV65cqYaZ1dVVTk5OCIKApaUl2u22ULDDIbu7u4xGI7QWXeXK+YuEoabT67KxsUF/2CfPMhJCLpwXmlSrkG6ny92797h//z5ZNmJ6ZpqlpSWSJGEwGPDhhx9y7949ms0GcSzP1O3tbVZWVqjValy6dIn9/X22t7clCNc1bty+fZvNzc3qOXH69dZbbwFUmsLf82VlEEziaNwY4qhWn0/mrz//d7+OnGYgYBwQ7JHbXq9XIaV+I3D6GeSHP//88T/jNHqfpqlzW5vqz8taIxvDXq9Pz9WXScSG3AupKRxlP6DXOyFNR8RxdGqQk7geGOtzH9A+g9OOJiilnU50iFJQq9Wq/tosy9ja2mJnZ4eZmRnOnTvnrrkTXnzxRaamprj5+OPs7u7y4YcfcuHCBebnZsmGPZ5++mnCMOTtt9/mxy+9xHAw5LHHHuOZT3+GVr3BD998k/fef5/jwyM+/OAD8qLguZ/5GT79mU9x5aGr7O/u8Du/8zu8/PIrDAYDLl8WyUC9IZvlF154gf39fYqi4JVXXuHo6IgvfuELPPboY3z1q19lbm6O733ve7zwwgv8a3/4D/PZz32Ol1/9MWma0mq16HbFuLSzs8Px8THzc4ucPXuWL3/5yxwfH7O/v8/LE5P8/NYBy8MRf/L+Hv+PlZnqXJ7W2/1zQexwGpIsK4DCTZGuOElJ15/UYQgVVZYS57F85iz9kwGjfkqayYAlmjEZsGq1BmmeY61cHKNRShAUxFEspcFaE4cRozSVqIG4hjE+4qNAUbpduanqq4TOs5SFYXJyhn6/T1lYiiJl0JfU/3qt4cI+JZusVqsJeqJEOG6RGqlK5F+6Bc8d7CAMKfKCUZpSlDlJLSCxkVC52qID5Qa4Ems0psgJlNCyyg03ypXe+5swLwqHsmjXECFVbbUwFP2Vq+CyZUGJo3Wt0zgZ0WAFITL8leObXG5mJVE0RvpaTVbQG6UorekPB4zSlKUzZwi100OkGSqGRkMSw7Uy5FnGxESL2dlp0nRImqVMtCcYjkZkeQ4Ycmto1Oq02xMkcUa/PySpxWgdCuKkJBvNKd2q/lGNd7jaKrfJOuesRJr4P4GXe+Gm+2rn6INnPa0I49DnKI7Gzk5nrAhDTRCGVPl5SuKuT+tZ4jgmUkH1c4wxbkMgtK2cEOPode8UFcrcGIuhxENnImCX+8XH8kgwMuCzGnNByqIwwZQZgRsUNdL6YMix3nHsau0kzNNdl1ogOqPEhIEbWhU4FE/0duOMQPvAbtAfPxnMqu+u3LreYW6t8YfzFGIHKFHzeaqyNKbKvTs4d4YX/vV/mc//j/8rn9o74v9sDX/m/Lzk8PnzrDRaVP6EYYF2qP7YQa2qBTQMNOlwxDCJqTdqlHnG5vp98rzg0898hpnpae7evevq+8aO0/Npzlu/LJKAibcatO/WnENZM87Tk7Bk6zV22iPkkuOFVuhCjQdeBCnJsoJ+74Ref0ivK/eV9aYdHOKCZAKOSnGkeme3dde+oMQRgVtgw2AsrC/LkjTLGGWpXJOZINPy2FDoImDqvwkIwpLD/zDjt/6fAz7z7+/TdRVhaZoThhLBIuyJaLCEwnsw7PzMmTM88sh1gkDCc8uy4NatD+l2O9TrtQoZvXjxIouLiygluXM7Ozuko5TzK+c5d+6c00RK6Xuv36tkNssrZ8WZW0rZ/GA0rFiVxUWpgwsCzXA0ZGNzk9U10V9Nz8zw0ENXmZmZ4eTkpEJ74jjm+vXrRFHA3bt32NjYqDRbMzMSVPvOO++wu7vLysoKFy5c4O7du6ytrf3Uwe7063/PkZjlOUksUh/piB0jY1EUVppgyXwcD3qlQ81PD46n9Woe4fP3qB/w/Hvy6K64nuUZFMWho/4lfWI47NHpHNHvd6jV4koGlec5CrmmirxEockzMVu0WhOEQcbRUYcs7XB8fOSeBT4E2VOvtpLLCIStpEbTSGSZbBQSlILS5MJWWUOtFrOwMEcYamq1mIODPawtmZ2d4tHHPsHs/Cwvv/QSJycnfOYzn2Fqaorv/M7vMBqN+Nmf/VnWVu9h8oyHH36Yw8ND3nnnHT66/RGTkxPcfPwm5y6ssLW1wbvvvkO3e0JZ5vQ6J3zhS1/i57/5TSan2uzt7fKbv/GbfPDhB8zPzfGZZz7DQ1evonRAHMv1PTU1xc7ODnt7+9y/f59333uPsizZ29/nmc98hsduPkacxHz/+9/n+89/n89/7nPcXbvHD3/0I554/Enm5+dZXV2jKAoODw5J4hphJCDX7Owsx0fHdKKQv3D9In/ynds810t5rZvyhhWpWVl6qdW4H/7jvD6+KzYYp2qXZclomJOOhOeP4pg4ltDCQEuf4GAwpN8bkWeGJAoJJxrOHBGhdUgcyYOqphQNFx0AkA777mYQOm0wlKEhjmNCHWFR5E7vFkUJYVCIu6cQdEdL5gSFNRztZ9TqdWpxQL1ed2LtwtX+ZPR7HeLI1+sU1JpNdBTKwmikbitWilEmi0AYhZWoXlkwWUFRyJ+1yuB15lEUoq2VqAsc6lRaR0HK9xkNShnyshDnrBd2RyGR1lgl1U1lOqLnBqhAKUxRUAB5nqKc3goVCB3kBtNASU5fnmXkZUar0UBRJ4lCKGE0HNEfDcU9HIXU4pjSGEajlFZrivZUm1otIs9SlC3QqiDUGqMLBoMT6vUGc3MzHHe6JPWEWkuK6rPCib3TLo1GkyiKqTea6CDEt4wIaiRGgUApJ+r3LmM38Bmh8Iy1gor47DRnOvChHcq6hwuWIBTY2tOMWkkOHo0mRlnCWuxqwaQ9AKwM0DoiCMfUhkdRPYrkZoyKGs/z3GXzSeaaAtcHXHpyXGAyK+/MOKRROSRVEqlAIwO2R7kCLfE1RZmjgxhDgA5DR/8ZhyhaV/EkO2+rQ0xZurYLkRZgrBuURFMmx9hQmELeljWUtkSf8kqc1sfI4KpQ2rfE+OogNxSaQuq7ftpC54wZxrrNFso5zIX+sxh2Lpzh+X/tX+Rr//1f5dPHPZ6+vMwPi5TCFnJKLGijpUquLJy3xIVYl+55E9WIIgnuLUtLmucEeUSrbkmH0rt496Nb9E6OCQNB4mOHYqAU0zXD7Xl5y/WdmEgHlFjZeAWaOAooczHNWFNiyoIy1G7dUuDo1NFgSK/fkXT+US6f14BF3N1hFNCKW4SBGD60Hpt4fJ1ZURSYADj1Nc+KAMRhRKhkozwYDhjlGVkuvbVFadDG9wuPF/0wDGn99wFqpctrvzxA/bd73Pg36rys6o5CL+j3O0RxyOHRMYOhuPZrtRpJrUEYJcRJwlee+xmWluY5OTmh2Wxy9+5d3nvvbRd71aQoCs6fP8+TTz4pXdGHh9y/f1/ox1aT8+fOMdlsEUYRW7s77B/sM0pTwijk7PmzTM9NUZicXr/H/v4epiiJgpC52VnOnF0iiARZurd6l9XVVUpTcO7cORbmF5icnKQsSzY2NhxS1+T8+fM8/PDDpOmQM2eWeO+997h//7579odMTExw/vx5QQb7fRYWFqrS9sFg8HGXwp/6kghrTRDE9HsDibbSAWDEwGMtYSBMC8hAZ1y4b5amEvt1Subh3atV7d0pzZzX1uV5XgU5+9D8srRu8AIoSbOU0gT0+ocU5Ug2/07LbY2hNJZWq81wmDEaGYwJiaM251Yuo5TijTfeoNM5IcvHm0cxUkYI2CN/BTqWnNTckmUGmWkDJienXDhxKXRxPqIo5e+1ekhS09z66DYbm6vooGRmdpLFxRlOOvv8+OUfMTExwRe+8AWOj454//33uXr1Kjdv3qTf7zM7M4WOYjZ393jtzTfoDPosLS1x+doVdKTY3tvirXfeYJQOmJuf5ZlnnuG5r3yZdqvO8eEhLz7/AzbXN/nS57/Ik5/8FPOzswT1OsUoxZSWdmOCr3z5OfJCYmXeeustvvO97/HBRx+xvbfL4fERn3r6aa48fI3W1CS3bt2iNxry2ONP8tKPXiIvLWle0O8PMEUpgdWjIWHQxJaGc2dXOD48or/W4+12kx/PTfP1zV1+4WTEWyrElApTjs8t9p8DFXu66Njv6r07stmsO9crJI2IZrNFFCVSoVWWEt/gF5XSLUA4ZEQ5SrUoK4rX05+iKZHIEJ+8XK/X6fW6le7AlJbAxXF4vUIcx7Tb7WoXU+QlaZqhdUCtVqdebzAajbAmIwzF3ZZmmQsGFmNDWeak2YgkrlFLau7mFIpJIhlkGFVaxN3T09MEgSXNRnJ8EDDJGEFPjLGUGLAufNBp8koz1iQoH94cBhVSVeRS6ZLEEXEUUxQ5RSkDhsFx8LHELwQuUy6KIpTbGQ4GA/JcFkQdBKLFUciDxC1WcZgwPTsrGWlhWO0oG7UaUahI0wGBVugoJB0KZK8D1wMaKHE1W2i2Wy6+QN7rcJgSuvoa30KC15chQvIgDFClcmXgzrHptG9AhfZYZTBGocqxWF/0a0ItFtaI7tCOh7/A0e1BKAHBgZs8AkcjKKVc8KxvD9FuQPItEE5Ibh+sdvEDkFKKoHKYCjspO2+PZbn/9x9CCEUcVufbaN3/S6OtRoKq0ZItp5R/mPsPrciylMwFWcst73/X2JzjblR3DRoxz7jFRKGwparuM79Q/CRqELphRDKtCsrSt0r4XaQcz9Mklb9uLd7AIu9BY0QKoTXHVy9x+4vP8NB3XuQP3dth8/o5NoaD8Z9159Brer3rMs9NdVxPawSttcRRRLfb5ejwCGMNa/fX6XY7FYqvdFBVej0yk/LXPifvd/k3p0XT5KrPoiikyF1tnENd8jwnOoXm+a5d31wRhxFJDQaDIUVhqNUahHENHcaS56hVdV+ePs5lKa0hpbvwldfslUbuKXfO0oGYHAbDAYVPGHASlDhJaCYNp40cy2SUUpz5qyHDT+e8+tkc9atDnv7jineKGfc8LdzQMHI0rnaaK6HjPvnJT/Loo4/Sd1KMoih45513ODg4cIaJlHq9XhkXer0e+/v77O/vE8cxV65cZWlpSUxrgeb45FieuVYy686eXa6y8vb29jg5OcHXRa2srNCoS17b/v4+a2trjEYj5ubmWFxcrPpbe70eh4eHxHHMY489xuzsLFtbW2gNMzPTPProo2RZxvr6OlmWsbKywsLCAkdHRxUtefHixY812P1TqVh3PXq6vLp+rRlfP5E4Tj0S5x3RWSbO5IaTIp2+Nryb1B83f38BFVWrta6+xxtfxvEtYmrMi4xev4v0zo7jdUBVa6YPoRfUTzp4JyYmuHv3LsfHJy62Zkit1qBeb1Kr1WVtc5/F64nLsmAw6ONjwZKkBijXwDKO7vCaTYDj42MODvbJ85yZGUlm2NjYYGtzk/n5edoTE7z26qt89NFH/MIv/AK7e3scHR3x5JOPU1orPcIbG9RqNS5cuMDVq1c5OTnhvffe4+TkhJmZGR577DF+5me+zNzcLL1+nzfeeIvXXn2TZ555hp/5ylexCtbW1jk8PGLQH9DtdoXCv3yZ+aVF5ubnmZ6ZYWJykl//jX/E5tYmr772Gju7u5W56Nlnn+Xo6IiJiQnm5ufZ3d1lMBCt5/mVcxweHlYRQv1+nytXrlQO9Dt37vD3GhFPhgHnBiOe3tjmnWtX8Aa108a9j/P62IOdVMDwgNhaKcVoNMRiMMaXr6eUpQxl3hWkyrFguywleZpkLEr3cSenBZjeJh/ogNJdPBJca4lD2W0UeY61XhA81qv5P5skNep1iWk5OTlxtTcNmk4sbxEkIQkjSmMZDVPJUQvGEQYaaDUap1w+4lbqjXoisleWk26PvMxEC4UlTkKajRo29B5cRY7B6gIbyEPcWtBe2+VuRu0yrbxmEK0I44iwFPNDmqXOCOF0URapIjrpEgQBjVYbG8VYI3R0o9HCGhEtxw4pVZFELugoQAWaUZYySEeUvUKo7yAiDKW2aNjrEoUaY3ICrUnCgNEoI8uKaiHwg8FoOCQ9SBkMBywtnSFqNFwsjiCBoEiSmDiSxQ43GEgcjcHkJRRC24VaEYW44dhlhQURxpSUhe+ClQveyDQFRihzP4iJicItcsZg0dLUoXB0vXbOZaF8ZUBRRK4aq4oMKf20OS5P9whXURRCx9pxuLYMebo6P95VqqyLZXEPQOW6ULR1zSpu0PPaykiHKC2InXc+P2h/zwmUD8zWleZU+d9phb62jMOgpbLuwbw//zqtn/WUs+TJRU4XaihL/4ecfk+PQ6Crn4NCmRLXI1gNnkL5yj+bOOTNP/hNlLU89p0X+U+CgP/q2lk2Bv3KsOAXKT90+vfm6SnvvCuKQoaDJCGwgkanWUq3N5CNp1Zy7O04SPW0RskvdIGVOjb/df9sqsTgP+WZGiexZHcGAUFUoyhK0iwnjmuURpFmZYXwC4U7pt18bl2ia2MWy332LBMDx2A4JEtT+t2eyEms0yC6zWSgA5q1Bq1a64Hz6Cn24AO4+O9Oc/f/dcArnyvRf2XIz//KJB+qRT68dQtTlk5P7GhcrajVEi5fvsSNRz+BKUsGA0FA19fXefPNN8nznMnJSbrdHjMz85w9e5YgCDg8POToSPpPp6enOXdOtG1BGHJ4fMTJyQlhHNNOEs4sLxM4vVC32+Xg4MDFmjSZnZ0lScRsdXAgpe0gIcJzc3Oi1wM6nQ537txhOByysLBAvV7n6OiI119/nSwTxO6ZZ57h2Wef5e7du2xsbFTRIisrK1L5NRzSbrdZWFiQ5+0/5fW/R8V6pNVHjviBZzgcVV/3hjZ/bXvD1gPRTae+5q8FX+t4+ut5nlfu2jRN6fa6lazCG228Jrx0lXWnv+aryfxzxRsPT7+vyclJJiYmCHRAr9tjNByhUNRrDeq1Br59RpBn2RBkWcbR0ZFDFLNKQmRKQ5oK0hwEIXNz80xNTdHtdllfX+fo6IjFxUUeeughgiBwm4hDvvrVb7DhTC6zs7PcuXOHF198kbm5ORYWFiiLglu3bpHnORMTEzz11FM0Gg3efecur7zyCmVZ8sQTT/DMs89y7vx5hoMBb735Jr/74kuUJVy8cIWDvUNuf3Sb999/j62tbY5PjsjSEc1Wi3PnznHt+sM89PA1FpeW+MLnP8/k1CSvvf4at2/f5qNbt1hf3+DevVWee+45Go0GWZZz5fIV3n/vvWpzcnR0RLvdptPpcP78eebnhTKYmJhgYmICpRQv7uzwSj3mX+gO+eq9Dd66crGi4P1fH/f1sQc7n6XmH67+Aeity6aEZqtBEsek2cjpYkqiKBC9mRnv4CuNjh0LLv3f/UU1FoPLENSo19xQ1SWpJVhrZOiwY72CFxWXZcnx8THT09MYYyuhpnf2+iHNUhLaEO12FMZkInwtDUks1VlHR0fVLqzIc3L33kr3UGw0GyKKDgKwhl6/SzAShy01CSUOtIJSqs2UW+gxVJ2t/uSNBaiC4FhburYM6zR/YBgXeXsnbxBJyGfkDAKmtHR7XUaDIWEg+rzA07auTqswUl5vlBSPjdKUtDRYHdLQDZrNBkMsypZE4diqX681pT4qz8hLcTklNYm2ycvSCbGHrng9IM8K57aDZrNB0G4TRr7KzUeSKCwhMKZfwYf1OmG6GyCUliFJ2jZwg8X4WBqnK/OBxOKeilx+nK/7kZ1zUVihMXH6p0C+JsO1dcPJ+Bf5zcPph3NZFhJF4hszwCGHMigaF3DtA4HlvdnqK9b11IrGDJR1tV/KD67W7XY9nRMIChRot0Gw1ffK8GarY+AHOWsM2oLSgeCC4uqorr3TQt0gCFDGkBV5lRzvQ6P9/an0GF0ex7m4l7WAlk2LG7qVo2TLsiRwMTRFGPLB177M8pvv8YmtPX7luMOvXVjkxflpMo8w63GKvV+0/D3e6XRcuXbpMqJC4kA6iwXdK9xzK5RNpxb6u6Xg6KECoyE5iWj1m4JIWUNpDXkeVE4049yv8kwRva/XyYHPt5NzFGKrr5dlQWkUWEPm8twGw+EDbkFfCh8EgZg7kCw0H3w9GAzpdE5cR7QzXzmUPQhDwigkjCJqQR3tqFofiOufn2AJXoflP95i4690+fHnDcGf/oB/769fYXLyU9z66DZhGDAcGvcMU1y6fIlPf/ppzp8/R7fbEY3bcMirr75Knuc0Gg16vR4AV69epdVq0e9LA4RHz+bn52m2WtJlXBbsHx4wdJ2pk5OTzM7NopX83I2NDU5OTmi5RXRiYgJrodfrsb6+zu7uLrVajeXlZRYWFsSUd3zM7u4ud+7cYWlpiUceeYQ0TTk4OCCOI86dW6Yocl5//XXiOObqVdHj3b17lzzPOXf+PD/64Q9JkoSFhQWuXbtGLfnpAcUf52UZZ51KV2uDTueENLWV0zjP82qDAlTny69JP4nGnN48+mvQ/3e/Rvq/fMOF30Cdjlex1pIXQgf64dObY7TSFRMxGAyqQbLb7TI3N1f9fn/Pyf0UVLpt/9m1siTOwDQaDRgMegwGPfe8jERXrgKGgxGjYUq91uDM0jKTk5McHh7y4YcfkqYpCwsLTE1Nsbu7y9raGgsLC1y8eJH333+/MmPevn2bRqPBM888Q61e557TSBZFwezsLJcvX6bX6/Hiiy+ytrbGM888wxe/+EVWVlbo9Xq88frrvPDCD7h35z7t1iSvv/Y6BwcHVTi3v0drSY0yz7lz+zb379/ntdde57HHb/Lkk0/y8LWHWVxc4uDggLfffptXX32V99/7gOFgxLlz51g5f46Hrz2M1pr19XW6nQ4ffPBB5Zru9Xo0m01OTk7QWjM3N8eZM2eYmpridrxJCVw87vC5+1u8dO4MpdYoh8h/3Nc/02AHVCffn+BarUa/L5VAxkBRl4WyKAbU6wlJElOMCsmnQ7uL0Tj3n+jWTi8afqfhL7RmvQmx/M5Go0EUiri8RODoKAmr9xUEAZ1OpyoclnTr7MFqHGcpV0rR6XVIi4JIacktK0qiMKLp3GdxGHG4v48pSrGhK8Wg16fZbDA7M4MJVFXa3Gw2aTTr7O7sMhoNyXOI48DpbcbDgDHu3WtxCsMYDLDWOm2SCKilaEIWWblJGgSBpiik/sw6UXktqbnOQa9lAqUCBv2RQN5hJOJYJWaOrEjJi4JG2HDatZJGEpNmOb1eFxTMzszQbreln7csydOUIh1VNW3NOGaUpVXnamkkCsYng/v0cMktFMTT2IK8SAmjgEA704IOCJVCqQitjJgwLNIDjI8TthVlCacGId+96gcOpcamBDt2MMdJXMXjRKcGN0+9CgAl2X8a1wsqJ0R+Pg8G+fr4CYnFCeXPOFo3cEidchsYP4x4GjZQEFYIiTOTIJEZ3gGqbAlGYVVUCZKxvilF/h6EESoUZNaU42Bia8ep9XnuEsuVQyKRruPCOXl/0s1baXy0pnSD2Gg0ojSBQ3ZUdYx9B7OXVYxf4+NvHA3rwEO09mii0N/DmSle+nf+KA//w29x5s13+fc/2mRyeobfabVEYO8Wj3EU0Bi182hdtXjlOTYvqkHQZ3+JkUsMC40Qrgc9fuffkB7ji99dYm53ijIqseU4F8y4mKDsFHJZ9eBqTYCnnCXLK3cIojGW4ShjOEolEN0qsrygPxiK+cvT96foWGPEaOWpX9y1JJ/PZd05NDWKI4I4JgjFPBNGESYz9Ef9arDwbIof7LSyRG+GLP0bDQ7/ZMqPvpkTBN/ij/yVL9JZmGdzexuUyGMWFua5ceMRzl84R6dzQp6mzM/P8d5777G+vk69XgcEZfOogx8ufFRLFEUynCEmtKPjI+6vr1MUBfVGg5nZGaxSMvA5o4VP5p+YmEBracbo9XoVPeiF5rVajaOjo2rgm5+f57HHHmNxcZGPPvqoWvAXFubo9bqMRiNWV1dZX1/n5s2bbG1tcXx8zIWLFyv6eGZmhomJicqh+dNefnj6p71K1yveaDRoNOocHx9hrDhWTyNwfh09PYifvsb9ffzTWiz85v8nadkqEsqKxvz0YOfv7zzPxptDt277KKfBYEC/36/y97we0QcfW6cTxIELaTZiNBqSZSlKQ7vdolZPUFqR5Sl5nrnvlQvau2KHw5Fbk0OmpqYJg6jaFABcuXLFtYe8wtraGk88/pQzLuyxvLzMb/3Wb5GmKZ/73Od4+umnMWXJrVu3OD4+rtDI1dVVnn/+edbWVvnGN77Bl770JVZWVuh2u7z11lu89NJLbGxsOLZxxCuvvOw2KgI2BUFAHEWUhYuYsmJO63Q6HB8dsb62xqeffYZz5y9w9epDXLhwkevXH+Gll17id3/3d7l9+w6P3nyUhx9+mDzPOTw8xAJHR0ccHh4yNzfH1taW1NlBtSldWFhgaWmJ//X+Oo284I92hvwrL73BN97+gLWJNn/j2acoT5Uc/O+9/pmpWI+keYeWpCoXaBWRpnKh1hsJZZnTatWJk5giLVxNTkyeF/R6Axfways6BwTSDV1nntd1WGsoi5zhcIDWqtphaKUonBjTIxeTk5MVHD7uWoxcvl4Xn/8zNTVFUks47B6Tj1J0ECEOX0ORl2By0mFKmUgsgGiUZKGo12rMz83TnGzTz0aEUcRgNMQCk5PTQMDu7q44dnWEDhKCKBYhP2IXslaNIz0qOZR18S0SceE4NYwVR6fFkGYShRAoMQIoR1N7GD2IxEGH1tRrDcogcg8GEdiXpSGIZEgqSkn4j6OIzB13kIEmzwVad2Chc+YI0iI7N0WtnjiqRWMw1OoJa/fXiaKYxcUFQDExMSkPr0K+boxoMcoyf5DZcpRsEsVopBDba8WsUqdq3kqH9J6iBP3f7fi/KKdXMkZS/QMtuX/GiJEF5Zy5pUOylCz8gZJAX48kWixWoK4HHpSn0ST0uN82QEl3sBvkfAWc/6waX1Bn0U6or5Uo7LQ1LpPR6e80GK0xVjwxWo8R3VqtRlyvoUJN7jU4RmQLBPLA8vE21pgqK8/zr0LbjodVv/BUf/mjaq0zGhniREK2K4RO+VzJsZ6w+uHuV3m9WFlKfIq0WODoWfmjJ5fO89K/9Ye58bf+f1z93u/yR195h/CZJ3j7wlkGoxHD4RCfmemfQX5R9IOdN8tYwJb2gQUt0AFRCA8VAxau7POdP9bhpWcUj37vMo/+9Ysod2iUi7MJggDrIidMkZO7XtnTQ6QMaPIhwiBEWcvJ0TGjzLkI85KitNI8oTTabSZ+8nj752lejK/pMXrqh39BaGu1GrV6nSiJsQpSF3eSD3KyUf5Am0n185Wt6qXi9zWX/+M5GvV5fvCzb1KW3+GX//wz3JuewlrDzMwMN28+xrlzK1hrGA5HTE9OcufOHV566SWOj4+54nLBRNN2k6mpKYqioNPpsLm5iTGGqakpZmZmBCmPYo6OjznpdKjVa0xMTtBqt0W7mOWsrq6yu7vLtWvXWFxclIiNNOX46IjtzU36fRHDLy0tobXm/v373Lt3j8ODg8rlOjc3x/7+Prdu3eLcuXPUajVWV1cpipyZmRnm5uaqmqrFxUV2d3fpdjpcuHCB119/nenpaVqtFs3m7z3Y/eqv/iovvvgio9Hop39D9Yy0D+goPQX/k4icv55Go1EVo+TPm88s8xuT0+fztLni9D9baxkOnbbbUDELVVxOGFbyBU/r+tq6LJX31+/3q0H3zJkzhGFIp9NhOBwAJagCS04QSDd6EIHFRUnVYsJQjCKj0ZD+oAdKYqXErBE693XPMWh1Zmfn0EHA1tY2R0dHzM3N8cgjj7C+vs4rr7xCo9Fg+exZdnd3WVpaIs9zDg4OePrpp/mZr3yFs+fOceejD3n77bfpdDpOe9/j29/+NvPz83z1q1/jySduMr+wwMnxMW+99Rbf/e532dvbk+OsIkyZMRqWzM3OuCg2XR2XxKFrewf73Ll7l/5gwPHREbc/KsmKkuWVVa4/8giXLl3ixo1HWVk5x/nzF/j2t7/N9vY2e3t7MiMVBe12u0KnZ2ZmaDabJEnC5ORkhdqeP3+ep59+mvv37/P/jSPmU8s3b6+x2O2z0O1z+MEdfv2Tj/2e1+hPvv6ZumL9BdpoNAiDwMWXhDQbIUkidnhLQZJElCZzJ1TQvW63S7PZlgLoYVpZ9D064xcdn8vl84DydOQ0CJrRaFjt4r0mTaiqwqGGcuP5CIiiKGg0RJPT6XSo1WqMRiO2traYm58DFMN0RK1WpxbXCFQIpSyQgZJEb5+M7XV71krPX2YKOumARqPhapNKRlnGSacrlKiNicJEEDSrUUEgXa1lTp4XlGUueXz+gW+dHsq6fs+AitppNBuYUqi7IAjBuF2bo8aVe3h715/sXsJKi1gWhThhB32CyBJEiigO3e8P8UNIs9VElRK7InS6RRklur5COoGLTBbaKIlkMS0MKpDOy/mFOUajlCgKXTG1VNd4ukFufhkGjC2qgUop6YYNw4AASfkvrUccBAUrUdXAJuCGFRrTzRVa4KDxcdEBodZyrYbjJG+/A660eEGAdjEagQ6cwQO8Y9UCysWe/OQDtkKffI+qch2s1mnZAOMaV07r67DSeBFoLaYC5PIP9Bjd84MKCM2rgvGD3CNzZSkIZ57naG+ZMGPjQVkUQv1KBo4sFtXw9uBg59EeQVZl+PfVe7j8QblMS/wUXQUy/wSNpPwRNB5VdSirMWhlH/g+U5YQR7z9B38egCvf+13+yEtv8t1r1/hOs8m9e/cqBCKO41PxPbIYevF3YUq0P2F49FKO6WXb5fZ/fI//z88VDOuKz73+FJ//9cfZLDZJTSpnWuEo7gBrBNnPg4DMjjWOpzVOSsl5iaKIOAzRSjEcjNAqxKJIs5wsL8E1ZiiHtpxejL2kw9jT9OmD0gyP2EVRJOYnaxhm0p2bpikmt9jitP5r/M9ajX+XtZag0HzqzmO8bN/lpU/nfPnm+6zcW6CfxDQadaIwYG9vl+FwwOzsLL2+9LHu7u4SBIGrNLM8/PDDnDmzVJ2Dg4ODCq2bnJyU53aRk+UF6xsbjNKU+cUFJqemqDcbFLkEFR8fH9NsNpmfn2diYqKK7tjd3eX4+JjJyUnm5+ek/mowYHt7m+3tbZaXl3n0xg201mxsbPDuu+9y7949lFLcuXObwaBHq9VkcXGRiUkJr97e3uaRRx6pzBo+X6/f79Nqtf6Ja/j0a3Nzk83Nzd/z6/7ln3Fh6IamPPsnJEz++zwS7Dctp6OHvMb8NJ16+vXTrqHTyKAPpq9+dxhiLdU15o0ZgdbOUBFUPzdJEi5dusRoNGJjY4PhcIDSBqUNw1EPlKFWC5mbmyKMZF2M40ieE9iqBk5+R0CjXkcpzfHxMTs7uwJMBCHNZoswiNjYWGd/f59Pf/rTJEnCq84k8eijjwnKdXDM7/t9v4+/83f+Du12m69//es89uijpGnKnTsSaeMH6qIomJ+f5ytf+QqPPnqDdrtFmmX8+Mc/5gcv/IDd3V3yPKdeq3Ph3DmuXr7KysoKS0uLVTC0r1SL4zpKwc7eHi+++CKvv/EG3V6XzonQqnfX1tjY3OSpp57i/PkLtNttvvSlL1OvN/id736bd955h4X5eQKHxh0dHFbRPN5kczq+JggCzp8/z6c+9SlWV1f5TqNBsbTAz776NhODIROpj776eK+PP9gpoXC0UdWuILCaelwDqwT5yQxJrSmIT57TTKYAQ32yyUFekGUp7XaLKA4p3AVbIlEgolO3hFp6ME0hu1AYhxlmWeoe5NLHGgSKWr2FdhfyYDAgCDTGlpQmp9PtEiYJS2eXqbeaSO1ZSlZm9Id9Gs2Y0qaM0h5lkRHYAFvC9OQMWBgO+piyIE4Sp+PSJI0aoyIFm2HKnOGgT56N2N8/oFFLsKZAK+0WIZc7ZQzYiHqSyCG3Jcr3RAEqHOultPs9CgTlC6QCK09TwBIFIbYUtbVx+jOtI1cBFVDmBcpqWTCtyxGzhiCSz5ZmQ2IVoLWnuSy1WsPlbSmXWi6u0EDLQBHFom/UpsGo36UoCtIsJ4pCAk8HWmgkdUxhsGWBNQXZqE8casJAhjBrBcHwxfRKe/qUajAxWqI/TFGgjVygWmksMQqNsiXKlnLdYCgr+spiA48FKdBaaKvIUb6hJkJcwbb0zldH65uyylErjW/78IG4YmgIZCWX1gdrsaVo/ZzPl1AFkljhgoGNKt1eZYye4JzQSoMNBamzRmJM/CJeWtELyoAprmmBv1SlocnznN6wT+GGJOuoWKsVpSnJMegwIEZcs0kUP2CgkIe4hMb4gGXvcLPu9+vQC3Yll09q2XyYqv8/RwdVUR1UsS64er4gELp2rEN0w68fcJHB3UQx7/2Bn+PMOx/Q2jvg4t4BU9cvkaaSbSYItWKy1nZDpmQFlqbAFqWj68VJLMGrAUkcc6E44a3/4B7f+sWCelHnZ9/7JL/0o2+wF+wSOddhgCZAHM6hVlitMEqCkr2HuTSCqBqnbXWXMBZ59tXjhFajzczMPKDICsMoy0VbNErJynEbjB/cPKoiMToSYBxoobr9YF6UJWlRUJiS/nBIb+ho3bKkzEv3DHE5g9rrOccaSK8DlNDZhCeyR/lX76T82tW/zV/6v+zxH//tIWvJPPUk4ejwEK0hm5pkcqLBcGB49dVXODjYZ3l5mW6vS6PR4OpDV0WDO5Rw+p2dTcoyp1aLaTbr7txYNre2ODw+pN5scHblLK3JNmhFb9Bne3uboig4e/Zshcj0+3329/fZ29sliTTz8zNMTLYpioyTk0N297ZZWJjj4YevOUprk7t373BycsRDD12m2apxbnqZqakJ5hfmSeKEeqNBEIbs7e5SqyVMTLQ5PDoEBWfOLLG3v0+WZ/zCz32V+dkpDvb3WVxaEo21C+Pd39tjd2+PZrPJ9NQ0k5OTJEnC8fExa2trDhUTs0CeZ8IsONlIHCcUhSGOAyeNkA5wf7/keUFZSNC9cZllvt1IYSnygnTku1VFShMEkk8nUSrCZo3SQXV/jg1C7hlmJIuyMGK+QUn2KcpSWpG+eP2Xb5byg/RolKJVSBh682QOlESxIs/7ZFlOs9mQjndKtDbkeYq1hiiKabXaFEXB3t4eq6ur9Pt95ufnqdXrGAsnJ33CIOHGjceJwhpvvfkugY557LEn2FjfZGJiksPDI374w5d4+ulP8+lPfwaCkLdff4Xnv/c8O1s7tBotmq7Z4atf/xoXLlygzHOO9w+5desWLz7/Irs7u2Ch1Wjx+OOP8+mnP83lq1eZnJoSlkaraiOqrGigjTG0Ji8xPzPFzRufcFl5t9k7PKR/csKt999jZ3ODM8tneeSRT/DIJz7BjRs3ODo6YP3+Gp3jE6YmJxkNhmRpxvb2Njt7u0zPTNOekASJqckpms0mgQpI4oRzK+eqDdTLN2/Q7g34yhvv8uSdNV6fn/6449rHH+y00VBCpCNCJQ8kFSrKUHbwWTrCFDkq0pTZiGKUMur3pUx4RssNdXhMlmfUmw1Oul2CMHLaKRGup4OM0hqX1SULnXKLDuDQM1edpKQkvjSSlyXagIDSFAy6A1qtBqVt0e13aQ3b1Jt1iiJAh8qhgYq8TKnVROBfFCmWCGUDhsOBUDhBQJrLDiRMYuIwIY5i2pOTDIY96jp2KGAdhZUgRrfY1et1ms1m5eAaDUcyCGjJOgp1CC7zTAe6qiVCyfcoJbVkYOXGNJLjpYwhDGJRhGlx7qJVRTXmWeZ6eb2w2+mT4ojAhugA0UCEUjBflhDHDZSOHKooGV21ujjTglB2YkWRESpNUq9RVy6eRClqSSI6o0wQSFsYGs0G9SSR+ph0cEqH5do4lPRxgqASQn9aV6FWEoZOi2gk3FejUGGEtiHYVDYCWksbhTJjgwMSyaJcJyqhprCSQ2aUIQojQQDDkCSOZUi2hqEzxYg+Ka92vTCmS7HO6Wmkv1YpMVqEKKeP8SiYDHHKaegCp4MUak3icnCaOmsMgQWlnYrQgkx/MpwGWqONxFtY6xBAh7RlWU4ZjNEda60MJAYKbLX79HpE3MPeWB827AY1p5krSxmGlBto0KqiXmWhNu78SS6cXKryPq1xC4hVLtpEO92iRtYXcaX+pFFDKyrDSaQ1Nk7IWk3YO2D+vVuceeQyw36fYSZSAYkSKmm1mtXzIXf3pwxMWrId3TG5Hqa8/O9+yLd+oWAmneJP/ODf5OrmBYgtR+FhpRe0CO0ZqwBlQ6wpKbUmimLRrlpLXpYUpSFyFLPQyorSiM5OKdkURTqgKCxWGfLCSsRFIyA8pX07TekGQeAWEgjd0Iu1UBbYsoCyJE9HdIcDjrodRrmLnTE4E5ElCoOK4fAoWnWsjSzwtVpMq9Ui0TFPbt/gr1/6+6RJQTCviTYgiSKy0YCjg5Is7VFLAnr9lPWNdSyWvMgZHA24evUKZ88uk2ay0Tw83Hd9pjmNRo1Wq4G1JcN0xMHRIaM05ezKCotLixRGjCFbO9usrq0RhSGLi4skScLJyQk7Ozusrq6SZyMunr/M9MwUWsPR0SE7u9scHx+ysrLM5KTEcNy/v4rWmqeeeoKZWaFUwzB0rNDQmSni6v4o7DTzZ5boD/qAZW5+jr39PQaDPs996fM8fEWE+gsLC5XmTylpzFhfX2d7e4f5uXkuXZJGjMFgwGuvvSa9tXlOURYEoSZyAdqSDVh350M/oKkTPbXEfBkjG+zSt/HYMf0qTmtXGUdMGGqSJHKylFJyHNMBWTYiTUeVXEE7CtSRCqRZJlRoaQldDA8OgbcmeMB57pMlvOkNQiRHXZgCHSiyfMBg1CXPhK0JI0H2szwlTUeY0tKot5mbkxqy4+Mjjo+PKIqc2dkZ2q0mw8GQrc1dpqZmefTG4xwdnXBwcMKFCxfo90Z0u32eeOIJ3nnnXVqtFr/vF34/zWaL27c+4rd/+9u8+867jEYjGvUGNz5xg69+9Wtc+8SjZL0e3U6XN19/nddee91F6Rha7RbPPPMMX3nuOWZmZ4mjSMAPJWuTtVYqC/MUW+YCkihNogOuX7nCuaUz3LtylTfff5c791cljHtri4P9fTY3Nlm9d4+f/frX+MT1R9jaWCcdjcBamq0mzVZTNt15jtUihUpTaWBJ4gRlFa1Gi5npGfpL0hM9GAz4zidvcvPuGosnXS6f9D7uuPbxB7t+fzC2/iMBwgLvCk3qbd5RFDAxucRw2Mci33ty0qHREPfoyckJ84tLJIlkr3mq0w9DclHqSsMH46wcLwyVB6JySMHY3q8DCQUdDqXKpdmqMzo8Ymt7ncmJCeqNOsbklCXoIKLIchnG4pAiL4l0SKAijHO86SigTA29fp+6qWOw1LTY6vv9LhNTTaampgjDiKIomZ6e4uDgkCzNqhiGmelppqam6HW6lEVBmUuGVKgUOgxlDQ8UyofGqrGeKnCRG4HSKGvJMrnpTWnw/ku/YGvl9GhGUCffwGHdsQ3crjIMQ8IgZjAcoLCEQUhRGOq1FkEc0hv1ybIUHeBoAkH2iqKgMIbQis7RV+YY065qcIJAOzTVa01Cyd0rcDs6Nyg46uv0AiTp/mOkLNChhLAWxg27EQoRqmu369TajodfJRRvGAZEcSwon4/NMc6QgiL2Tss8h9IFIlt/Y4tWRt6X7w8Wh2eR5fK9xoCR9xooodg9vRFohUsrroT2VPSx0935RgojD3JjJVwZZG6UKi9FaQS9te5nW7cYjDWZot8TJYMMY+GpiA7KAqMlKLh0VKgVG678GXA5UlpaRpSqnNN+aPE6LzwephRQurlTUETcIoQdl8dXQdP493qKfn1AJ6THejvABprX/tX/A5//8/8DMx/e5uG3P+S0I680BusiNeI4ptPp0O/30RXlHmFc7dc1NeDlP/4Gv/kLOVPpJH/qpX+Hm8ePMNIjhmb4QDZYFbXEeEN02mwllUwpcegaLxxqC+JGTfOSrCxEXhKEWKtQQchwKDE/pYX8FP3mF05PIwduUypyAhd0faqRpjccMSwyRkWJVdLWohFUN4lCGvVaFcJ7WsunlMKWMgA3GjUmJ6cIwoCbJ4/w5MENXpp/g1f/jz0u/7k5Oo6G14HCdg3372+wvXvAcDBkamoKhWY0HDE1OVU5jsuyZGdnh8wN3o1Go8qm63Z7letvot12GmXo93rcuX2bvd1dPvGJTzA9Pc1wOGQwGLC+vs7BwQFnzkgAcaMhNZYnJ8dsbm5W+rDVe6vcvXuP6elJrl+/TrvdBGXZ3d2tOm+3t7cpy5JWq8W1a9fY3d3lgw8+4DOf+QxhGLK+vs7y8jJLS0v0er2qe9a7WL0Bz+vezp8/jzGG4WDE8fEx7XabRqPB4uLiA9dS4PSQcRzjBf2n5ROyaZRzZKwhDKMHqFj/8uc+yzJSp8WT51v4QJ/s6QFwOBxWg53Xihkjg1hZlBRVO0VY9ckKmzB24frrJ03TKhhZzrXBmDHK2DnpUhaSTal1IFIhZ3wxRkxxCwuLLC4uUrq0BH8+lpaWqNfrVZ3d/PwCs7OzvPTSSxRFwdWrV+l2u0xOTlKv11lbW+Nzn/scV65cYXtnh29/+9v84Ac/ACMo+GOPPcbP/dzPsbKyQjEcsra6yquvvsLLPxajRBiGTE9P87nPfY7PfvazLC4vMxr0OB502dvbY2N9nUF/IJKl0YhsMMQWYl6anZ3lwvmLXLn6ELPLy0zOzrBy+SJrWxu88847vPPue2zv7HLnzm22trZAa770xS8wPz/Pu+++W2klJycnWVlZ4aOPPmJt7T5xKAHWM9OzFEVBEiU0m3JssiLj8PBQrregS69eY/Gky8317X9iLvu9Xh97sPM7kNO8vocMh8MRYegQikjoozCOiMKERqPOyUmXfr+PUvJw2NnZQevxztoiQvakFjv9kSyEQSjl7X4BNi6c01hDqCWSoLSyU7fOEYSytNsT0l2qFefOL5NlmeRAlSGlKRn1hkxMTFTOs/FuN5CBIRDXpgKCOCDIA0nvLzIgATeECFqQMhpl9Ps9Jicnq51Umoq2L0tT92AcoytFUZAXhiQI0aFo5BSym0VBEOqqFs0PSiDRJj4P0MfHGAuBsUShIDQ61OB0O1pJOr+/uYMwQAcRUVhz7lxLFMVuYQuJoxqJLen1ewyGfcIwZDBAksa1IogiIsZoau60Mn4BbE+0SZIavq5K64DSWIeAiP5EORpAK/0TixBU6ixrq3qjILAOhQogFBTL6qBCbAMUXvV1Wncl3lGq4z42Toyz4Ixrt5B6NnlPYRC68+vQVS2/oSykN1G5P2/9U869Tp8v5XR3AEaZSu9lXdSM/4y+Lqx0WXlCjziaHYMpMvJCqBurFBaDdrUyYRhgtP/cEhnjE+6jMKDAXzvKIY7y81VgUca5c11g9HA4xJSi3xw7ZLWfleX8oNBKri/5LH4oVl7dV1HF5oEB1P8A76j9iZiUn9CN9M8s0p+fJekPmBgMuXr5MnfurxH4gGgDvW4fY7r0epKx1mjUiMKYOK6RlIYbdsD3/623+I3fnzM1muA/+eH/iSdPHkVHci9sbW1VpeindZOnBzt/XXr61NqSUZpSd2HFcqmNNZ4Wy2DYp9sfyL2lA046A4lRQlG443C6+N0fG9HOuk2Ecu/HbRAsSoZChMqW52pEFAjiHEXBA73R1f1zSoMlz2sfwBxRj2r8/q2v8dLCG/z27zP8Z3/+hO+MWsRxSDrKyDMxPG1v7TAYDIljcbe3Wm3m5xcY9AckScLh4SGbm5tVdt3i4iIAaZpxuH/A8cEhjVaLRqNBv9cnimNW762yt7vHzMwMKysraK05Ojpic3OTvb09F0GySK1Wx1rL6uoqb731FoeHh1y/fp1Lly7R7w15/PHHOXv2DNZadvd26HZO2N7ZZnV1tdKYTU5Osri4yOzsLFevXuXVV1+t3LQ7OzsMBgNarRbD4bA6fvV6nbIsqdVqZKeMM97U1znpsru7y+zsLO12WxZiF7HV6XRotZoP6KZqtZo8B8zpjlhHlVqcPi2o5B/+fXgQxfelWwfkah24Ws6wehZ5S5Y/16cHe4+qB4FIbJQKCAJLGPrmiPG96aNy5BymVU5eGEQkSZ04qmENFHlJtzvAWoiimOnpmSqIWDTl8iyZmpqqgpdPTk4oioJWq8Xs7CzWWo6PT6qBOggC7ty5w/y85NsdHBxw48YNDg8PGQ6HPPPMM+RZxve/9z1efPFFQbusZXFlhc985jOcP3+eMAzZ2tjghRde4OWXf8zu3g5xFDE9O8Pjjz/O05/5NHPz8/S6HbY273Pn7m3u3L7N/t5e1Q5RFkUlAypLQ7PZ5M7tu6yurvHYzcf//7T9abCk2Znfh/3Oeddcb9793tqX7q7eG91YBxjMYGYIcIYWxyZpakhHkKYdlE1ZtBRU2KYkW6EPtiw5/MERVtiUTIdokZZpSrJEkQ6RQw4HAwIYAANgutF7dVV1bbfq1l3z5p7vdo4/POe8mdUA6cYH5UQNqqtuZb75Luc8z//5L1y4coXNnR3aqyucP3+eq9eu88677/Hx3XvcufMxb7/zNp//3Gfr+0iXFV/+6C63X7pBu91mdW2V0XjIgwcPCIKQzY0t0iSl1+3Rasm5idOYR48ecXR0RFmW/P2v/zJ/8b/4/7J7NuDTvn4OuxMXQ+IWiyyTQOtWq0nmZM9lmTPP5mT5jDDS2DSulZ4AsTPltE4phutaJI3BgjWuuLHoIJJiIojcCRcY2yqI0oQgED+ngIiqMkynE4lYacQ1jHncP2ZtY4VGswWYWoZdlpKN10ibTCYTcltQFDMajRZxnEgSQRgQhQFRI6IbdSjKgiIvGE9GrPZWKcKAk9NjTk6PWV1dJwzFwwcsYRRijTyEvltJQidVNp73BiqUqKNIixVFoF0kWCDIT1U53oVBHhrn3I9yvldmgeiEwcKpX2lB9YwVr7pAOYNZWxKoBB0EtVLHWpGjz+dz8jInSAPW1tYpq9xtQLLAWAtFllPYhZdRu90Wvp1DH/I8p9PtoJSD58OYSIXUCj3NT22cshDpenGRBQm8h5z3SJNRs4yc/ehKig03DtRefCJFh6CVukaDfIdsrCVUIrQoy1K4ja6IV0o7gccCNQ4DGQlXVtBBrTXW8TFAzr92m6hy/Bisq8+sixRzaF3lUh+kiJT3tmVJUQgSowMx09UBaGUcsucC3h06jTfRVeLpJ59bQeWQvaXC0YskjJVItMBtBMpaAivNVFFU9SbzFIHcOuGDw96Ur8GUuxpO4WqNE1FYar6ecveoFCaL8y9F/cIg2LpCuGJhMVOFIbd/7at87v/xd7j2B2/xmT//J5kWOcPRiKqsyLOitn9I00aNTMTGcu3whPCVU/6Lv7TPD78AvazLv/H9f5nPnb0mBbrbB30oel3QuaL8qTGxQ1y9aCzPJSM2yzIaabw4fjdKDkJNs9WgsjCbZ0ymM6cuN+SVoTQLdNAb0tbkdqXqwq6mJCwZWsdhShBHEIZEaUoYRAQqcOavEtW07MG1jILjfD6DQLG62qORitVTPhcU1GjEYNlNSKQg1AyHE0ajMUnSIAxjrJW1uCgqxuMpYRgxnU6ZTqfOvqLnGlvLdCoCiDzPub6zXatnxS7iIc1UEis2NzdFBXt2xkcffURZluzs7LC+tka326HvYqQGgwGvvfYan/vc51hbW2MymtFoNBmPRzx6tMejRw9rm6YbN24Qx3FtHzEejzk8POTKlSvs7u4yGo145plnBA2ZzUjTlFarVQsL4jh+qtDzBZYXDYo584jj4+O62Nvd3aXf79diCB/z5d0Z/MRpsd45vqlbR33jt/BuXXhwlmXprqPnTWq8j6m1i2u93CgsHmHfsPAJVX9QT4UEsFusxf49FvY5hnarw+bGNt2VHlrHZFlJNhe1fBKHJElKEIQMzoYcHhwzGAxJkxa9nnDCylK8TIui4Pz58+zu7jKbzTg9PWUymchYezZjNBpx5coVhsNhLZT6+OOPefHFF+n1enz3u9/ld37nd7h37x5hELC9tcmv/dqv8corrxA7jugPf/hDvvnNb3J4eECn2yaKY15//XW+/OUvs7W1xXgy5kc/+iFvv/0Wjx4/lGmAE5Z5OlMAhMj5Gg6HjIZj7jmU+DOf+QzXnn+O3uY6O+fOsbqxyeUrV9l79Jh/+A9/mwd7e7z11lt0Oi1UWfLH37vFN977iDuPD/l//tpX6Ha7jMZDjo+PWemuMJ87IVSSieAlCul0Opw/f74WhAy05oNXX+TzP/hDPu3rUxd282xKUeraI6qqpFCSDVCRNhoUhSApSRKRF3PyIqsX86qsiF0aRWlsHYmD8lByUSMOwvdRBEG8WGiDwHGYvJLEj3IU4/GM42Mxp4yTsOYHjCZDxrMBrWab9Y0tQPgppgKtY7qdHtbA3GU2ZkVOEEXCaaoU88KSO6VtWSxieExZUlFSliLhBlhf2yCKQubzOVEUUZWSfOG76Sp3nbrfgHVAlUnOAFpyQR3fVQoCJx5RKqiLBFFaOoNYluwpjJhQKuvSEZQUeWVVosuF9N0/6KEfr1YFoVMAWVtRmoqysKjAcxZDqsoVQBhXUGnm83k9bliOiMnzgiIv66xCGUf4AscVKGphb/OzFiP/99ahRf6vNSJcEI8hMQUOlAYdYDVUWoMxVCxC77X7s2XOCvWf+7GhX9j0U8dWFy8OktFKYzVOKKJcUSNFnQZBJpERmXIsAa0Q/mPg7FMsi4BsZcmrkqrIqSoks1YFaBVgjc/QxRUcckRiH+DGOp6nZy3WjWo9GdVaN6rz3EVr5b7DmTdbalqDt1sI9CKf1BeHWFMXdihX0y0heAuj8UUYuCAAgkDWZd0yglCX2vKzfkS+fBc8+vzrbN6+y5VvfY+v//3f4buvPUOeZW5UHNfn0o/7z81mbF3d4zv/9jHvvgIn6/CrD7/Mn33/N7k6vUSapu55KGqUeT6fP7WR+VF3vd64wt7z1vwmWJYlVVnKqN2jt+7okyQiTlMgICsq5pk8D7OsYF5UjMeSIOE/x4/V4jAkipzaV8nap/yNb634YCYxhTUUlUNES4MpZQ2eTCc/VdhJYaKJgqS+f9rtDmEU8ujRI06rfn2+4yQidiNDjwKNxxOGgxHxUoIBUEdvBUHAkydPyDLZkDqdTm0tdXBwwOnpKa1Gi2tXrtJuNBmORzy4f5/B2Rndbpft7W201kwmEx48eMDp6Sm7u7tcu3aNjc0NRqMRt2/fpt/vc/XqVV5//XXa7Tb9fp/jo1MGZ0OOj4+cWMFIFNnFC1y5cqWm9IRhyMbGBnme8+jRIy5fvsyDBw84PDyk0+mQZRneVNhaoV74ZtWP4Jctjrz5slKK09NToihic3OzNm0eDgcEga4nWanzQ5XGIKs/QzmyfqPRcIbMtl4bl2PhlukA2o34rVW14a9H6moTJSvc4CzLmE6n9fcLo3Cx/lh/jRfiiuV737+WFbtJnLK2tin7pVVk80IElErMh7VD/ufzjOPjE6bTGetrO2xtbjmbkyGDwQBrLZubm2xsbDAYDHjvvffI85xXX32V27du1Q1CnudcvXqV0WhEGIZcvXqVP/zDP+Rv/s2/yaNHjwR1bjZ5/TOf4Su/+Iv0Vlc5PjrizTff5A/+4A8Eie100IHmpVde4Ze+9jW2t7c56/f5/g9+wLf/6T/l+PhAaDuh8OxMtUDFxbpGUFLPexyNxozH7/Dw4UOu377B86+8xHPPPcfG1jbnz59nfWMTYyy//Tv/mLfffptXXnmJ86cDfvXmHQJrefbREy49esLpxR3W1tYY9M84Gwzq50Tj0HTdRGloNBrs7OzUDcFkfZWf5/WpCztLRmU0RbmAp8tCeEdKhc5XKyCOQxqNlKgKmUysRI75hcHd2GkSC2HUiLLRGKckssqhFBVKhYShRqsQoy0BIZGNyIsMAhEMqCBAW4G7L1w4T17klGVed1ydbhcdKZqNFlgZeWXzkvF4RrttSOImWo1JYkWSNkBDq9tiPBlTGAkgjxoxGtnAqkqUnPNsRhDJw5Rlc/b3HzMeT9wYUjbCZrOBUkGtQowcCds68YAhwBhLXpSosCRSCHLnIfGiAgxRKCidMgG6FgosRkhi7TJBq4y01RZ1jzb1KLCyFaY0tU9dYcRKQ4yaY7e5FyhtaaSJKEsVzoKhqBVO1hpCrYmj+Ck7Gh/N4xekk5NToihga2uzHvNWVeFqDt8N+sIrWBSnrtjwxSefKPoE0VSkSYo1lnmZy33CwlfO5UVgsORZLsTYOkje2arUm3TlbBmqunh62s7CL4QIZSCUEa2MSaWQwzjLlTrIYWG3YgGrlUOJXHGotUMUnd8UiMdZEKJ0jNYhRuGEFNI5OgkxMjap3LjQFSAAxvgaFVgII0AQAauUKJWNeBmaUrhOYRQ+3cGrpSxCj6I730WlcIIcNw7CbSpWvrstXZfmxpJ+t1hGAGtk7OnJ60+9lFIYrTh55iqXv/MD1k/OaJyccjzo02y1WettkKaps/oo2JkPmPyFh/zf/nTO0RZ0sjZ/4vYv8hdv/g9I8shlqEauKcs5OTmpI6w+2Vj4675sxu6L36oKqTw/zlk4BR5NVlqeTXf8YRDSbLVBh8znGZNZQVHZp8Z+Hp1pNpvEYeiaIVlncKNYj0qXxjKZz6jyklkm2aJlXlBmJUWZkzsj6uX7ty5MdYTHrauq5NHeI4oyp5mkpFlMGebkVyF8YNBxKHwpY5lMJkymU1C6Np9fW9sgzwu0ljQNn7nqkSyttZuWCBdu66KMmcqiIJ9nHB0dMZ/NuHb1KisrK8wcSjMej2k0Gjz33HOsr69jjOXw8IAnT57Q7XZ55ZVXakPh+/fvc7B/xHAo2adbW5tcvHie3XM7rK6u1mPQR48e8eTJE1ZWVrhy5Qp3796tVbh+DN9oNOrr4O2MoigSY3b38qPN2kakLWv83t4eo9Go/u6tVouj4yMmhxOGw2GN3HnemVLS/PhmYWHbEyytNfap9cenkwjyF9b3qPfm9CvjMgKuta73Z48Me2QvihZAiVfAax0QLaXpeOTWZw8XRYkxijhOAE1Veh9WQRDTtEG71SHQAdYqJpMpoNje3mZzc4s4TqQYPz6m2Wxy4cIF4jim3++zv/+Y9fV1VlZWePDgATs7O3XcZ7fb5eTkhHPnzjGdTvnd3/1dHjx4QFEUwqF99lm+/o1vcPXaNfI85+5diRA7Pj6ur+Nzzz/HN77xDc6fP0+/3+effvvbfPvb3+b4+NjFMboitZIAglazRSNNUdZQzIRGMRlP5NmrJPBgNB4zzufsHx/y4Ycfcv3Z53j22ec4f+EiN27c4ON79/j+977L8b37/IVvfpfE8VEV8IVb93j3ynlWVnooC3mWs7+/T6fVESFeGIFWNFsNJ7xJ2d7eFvFm+OnNieHnQuxmTnXq1DimAO15IAGT6USctueKLJ/TbjcFxStLlK3cmNG5ORtPxFwQN+M4QilqXkPi4rGkGPQdaEicRg6mXkSsBH5kUlXoOGZtbY1ms8HRyRFRGNPrrdNstLBGczA94vjoFE3ESqdbB2J3eitox+nrdrtMsxllVtBqNJGolBlaK9qtDtPRuC5w/bjGP1BilDih2ewQhbHLs134C6E0YtMgSiiLrWXu0slpMGWdrBBoXGi977Rk91RaOHOBseSTKdaUqDASv7NQNlC/yJXO8Fdr7S5Z6To/RVVBXswoywJjY+JWCxXohS9aQ/x8rKnEwNeNP4NQ4pvmWcZkOmWeZSjkwW63W5LpqP141aEySvn6p+YlWV8M+UmjQ2+s8gR8GSXKiMrKfaIVZBqTZVSmBON4iVqLdQzij6YsBImm1ZJrKL5uSlSi1hc0VsQFS2jNJ1++IPTHpo1CVY5i4P6tNyX2nD7/NsajaSjXZfsCU7mCABQx6BBF4GxcKtcEuDOgBKEVJMYt5FpJYfmUYfMSR8wKV8+iqBQUpiRwKFcYBGTZ3MXjWaJIkAClfeHlOlaH8Ml10QtUTQV40URNtbAgpaobxTpBj3HX109xf2Zdt8zlcwX2k9df4eyb32X14/v85Xc/4j9ua6LJhI3KkKQpeZExe+kRP/mljL/75yriMuWrd17lv3Pwa/zCyWelQQrcmB8hjGd5xoMHD2qC/HJh51Eu/3vfYPlNuKoCaXRczFiVxBjjNlQlTapWGlOW5FmJmc7Ii5IsKyiNwiBJEb1e76nP9lxVcfLPyTOXC7qE2E2mc0bTKXlVUbh4MWUVyiG/kgKynDG6yNsN3H3r0ywODw9oNFIuPNxkdbjC/uYRH71xwMrDc4yVcoWGmCsbI56dMsYTkVxRlMSxpd/v176hvrDxPLOjo0OajQbXr10jSRJmmXiHzqbCzdve3iaKZJR7fHzMdDrl4sWLXLt2jaIo6PfP+PjuXcqq5LOf/RwXLkoSxv7+E+7dvwdGYpi2t7e4dOkizWZKZUoeP37MyckJDx484OHDh0wmE2e8/Cqnp6eMR2N+6Zd/iSiKmE2nxElSFzC+KF0IHZ6+P+oxtbYuNqxR57i2WrLetZpN7j+4z3A4rN/PN73A0n4RYIx4v6UuHtOn1NRNqhsFe6qBVmIh5OMhVT3WkDXTODWtFI9hfS97rnO302N1dd2pW6EqZfoSBBFp2iRNUyJH6PdIthjsyvfudrpITGNFnhfM5znWKlqtNquraxgj3Mo8z4mjmM3NLYfiitHxYDCk2+2ytrZGGIYcHh7y6NFjrly5WiOmL7zwAlVVsbq6SqfT4eTkhPX1dX74wx9y584dGg3hXXY6Ha4/c53r169jqoon+/v86Ec/4u2338ZaaaCuXr/Ka298hp3dXc6GA77/g+/zrX/6LQ4PBeXttDrEUcN9VpvPvvFZrly+QrOREiiYT0eURcm9e/f48Y9+zMOHewyHI/J5xsnpCYPpmMePH3Pn7j329h7x+huf5cqVq7z44ou8/9477O89pDmZAXC41mOjf8Zu/4zmaIzpNFlbW+WsPxD0uN1xtYECLXuZF5UmSeJ8HhfNxqd5ferCbjTLpJpGOEZBEjqej4wkgih0G7aYw2Z5ThAGpI0WOrXOk8mSl6XwgayiKEtCrdAUVGUh6QJlSZnNsUmMMhVhENY3U+j4aKYqsWWJURrjxmqhCiGSRTkOEpTRxHrEfJwxTabEKqXZbNDr9Bh2hkyGQx48uAu6EqNjXZGkKYUpabXbtFpNTgZHGB0TxDGUEIUJvd6aVPL9OdYo4rAh3WoQUFSG9XaHU0cKTbuppAcYI6O1IECFEIcBuqjIXIFcFookjQnCqPaFK7JCVD8EUJaykQqWgwqhwmCUJkxjWqZNVUEYxYIGWut4GYo0SiGCIs9JgljMJq0FW6GVJYg0NsIRvkGrEiefJNAWQofM6IWTuVaKyloqDWESESYRk8kUjSVJQ5I0YjQeogNVd2A6CJFBqa3hf+O4deKkY2SQ6UaE1nnfCURu0Ua4h5U16NASVJa4CghsiApCCkqsglCH8r+BcPuSRkyj05HNMy+liAscX9EarNISxWN1zRGTRVFjjcxTLRqjLMqhMrV6tQSNFN+VK8zF2sUXZMbbD9fjltrGRwVAgLHipydefabOWLVWU6nKNUMInQEXSxa4yCEjBZlxRWVdMLvrb0qvrKzEu08HxFGIqQxlVtWEem3EhkVTyc8qg1FurOdmylYp5/GnECd6g1GWSllMUC02GeV5kIvfe6aecuNqq30x74l79f/gx7PzOOSH/9M/xzP/2d/gf/1vP+L9FwEyYCAbloYHl6AM4fztbf7427/Gr0+/Jir1KJCG0CNvbqxydnZWxwsu8578tcEVlYv4v8VoOyszCMTzkFCjQoWKNEZVlBYio7EIOjOdzhkMRozHE4qiREUx7ZWexFZpMfwuqoqyrMiLksoZDvvEEB/FhDtflXEeZBaXOe3Ol+PH+dG88abYziBTowgQUVm7GWOrOYP+IfNpBIHl4qMN9jeO+C9/q+Rf+eEjbp89K+sKgjZHoSYONYESHmdV5BTZFNuIKbJ5fS5FXU0dvdTv97l+/TnWtjawWjGZTTnpnzKbz9nd3WV1dZU8z7lz5w4ff/xxjcp1Oh0ePXrEvfv3IVC89OrLXH/uOsPhiMcH++wfPMFqxfWr1zi3c55ms0GjkTIYnPHkyWM++PADxuMJ04mgoteuPsPW1hbNRpu16xuMx2POTs+4eP48w8GQXq9Hb6snMYZK9iOf2SxxeE/fpxYonTCw0WrKuDOXzOx2o01nRcaqWZbVE5rl+6yqyhqpk4i1hCSNsVYa7ygOabWbtNstod8Y4ejqQFFWBVEsVk5hGJCkCSg5xqIosUYT6AQIiMKUtbUNkqTBZDIjimI67TZpnGCNoTSGQGuajSZRENJIm7RbK4RhgrWKIq8YDccUuaik40TTaqViEVZYqgpm05IiU3TbazQabbKsZDLOyeYVENLtdCmrgtHpiNOTMzeG3abTWWEymTjDZ0u32+Hk5IgoCtne3uG9997j+RvPE+iQNG1wcnLCrVu3uH79OsfHx7z//vu0Wi1efOFFEbMMh7z55pvcvHmzVs9fuXKFL3zpi3zms59hPBvzg+99j3/yO7/D/fv3RZW7vcUbn/0c586dZ2d7m/W1dXq9FZoNCV6wVUWe9wgCOHd5l3OXd3jn7Xd57933efjwEVlR1Ykrw9GY09M+9x885Otf/wYbW1ukSUJ0eExYFORRyDc//xpf+8GbbJ8N+fyHd/jHrzxDlCT0VlcoiorJfMJ4NqHZaaODBfrqG0zxtFxEzn2a16cu7PKiJHLh3N78EuWVfKLS891FWRYMhyNipSQEWMGsLMmLgjhJwI0rsjyHQEx1z/rC+UiSlEBrkjh2I0NPJq0oi5wiz2qHfCwESmwGJpNJzSnwXdK53QtU1sgD3R+SzQU53N05hw4CuqsNDo4f1xyDohQEajQec+HCBUIVMDgbiGlgo8lp/5T5bC7fKQgJVECphICuKsN4NEad0/R6q5hSVLHyQFdPdWFWW0IkL9HTmYxZjF4cEwyZcy1MZJUOwAq/TPp2yZlsNJuirMQZoAYLzoTvoCIdoC2EQeRGalZGe8oLBbSfNILyLuie06fqm80jMtqNTjc2N1lbX2M0HFEVOc1GioRKzyjLHGuFZ4JWgnD4IoSl8Zz8R30/eW6ZN/UFj1qCdapolCGKQpIgkcytMnOlobOiMMqp2RZB1vP5HGUgaDbdlNE4OoCcC2sVTwXay4E5HM7jVQtBgJ9NCpXO27Usfk5Q0kD+jUO05EH1CJakEizGwwukRaOxSjwdAysec9p1dXLM8hFBEIhJsjuPnspQ5Ys0A1sXZFIol2Up9wleqSoFqoxcjRv/OqTVo65Lv5RTs4rtjMEqf84/eebkuJbwxMVp9OeoxvDUU/+Dhcn6Gn/1P7zE3/vso5+G+iyEk4ALb6/zp/6zr/P5y58j2fB8Mo8yUiNZggT1GY1G9ZhqWRVb/5tPILZaa3SgiaKQrNDkRU5W5DSNoAyGRRNlFcRRQtRNaKRNspWc0qFsUbOFsYbRaMhsNmeeF2SZ80/M5/XxLK8T8vuARrvxlP2TP65PjpGXc0c9whSGAdZUhKEmz2bMphpjInq9Ln/s73+em5cecLA7YfT8Cd0fv8jQeRAmaUySxE6FX9FopBRFxnA4IEliRqOhcxqo6uxtawXJU0qxurpKoAMm4zHHR8ccHx1jipJzO7us9Vb5+N7dWsH6yiuvsLu7y8nJCcfHx5yennLj+Ws8//zzomJ+ss/xyQnGGnZ3d7l67RpplDKbTTg+HnPv3l0ePLzHYDBge3ubl196lZ2dHTY21ul2V0jSlDhJGA+HvPvO28znc1ZXV6lcDqheGkMuK4s/eYda+/S5TZKkjmyLoojNjc3avqUsy5pj59Ffb8XjHSZ6vR5xHFKZksAGeI5dFDu+Y6CWxBVyn/rxfavVkmNyxX9ZGqFyVJZGo0mzKak/URTV/8YjY/75T9OUMAiJophGo1lbHUnE2FgiJ+OYTrdNp9t2a1fFeCTZ8I1GiwsXLrKysoIxcHY24OxMrM12z+0K8f9swMHBIXlesLW1xcbGBpPJmJOTU9rtNu12m8PDI7QO6rF8u90my3KSJGZ/fwjAV7/6Vb73ve/xwQcfcP36dZ5//nkUcO/uXW7evMnDhw/Jsozr16/zG7/xG3zms58hq3J+8tZb/O7v/i6DwYDPvPYazz93gytXrvD8iy/RaLWIXNKEN+SfT12EoRL2bxAFXLpyiQuXL/H8889z+9bHPNw/4MnhIaenp4zGE/r9PrN5Rre7wue/9EU67TY3BmMaZUV/rcfHN64TD4b8977/Jv/Cu7fIAvj2i88QxDJiL4qCyXSCUqpOX1lO2mm327T+OVnGP+v1c0SKacTtOnDEa69Y9LmZJZNJRpLIwcznU4oic7loEqGR5bLRBFFIGMcEWUZVyoivqiyDwYBOp+0iWRLSVG7gRqNBu92pod7RaCRqvkAL0kJVz6Q9d0JsVKDdaFKVlizLKfKSaTEHhLiK0sSpFCJBJDyLzkqX4+Njzk76NHVKVlaMBgMUUGY5A8crkcpKsbmxKaOhSh6WbJYTxym5U5UmaSpO+J+wJNDaElmDzXMXAC8LyaI6l63fuNFKUZZiNaEkVcI6tMYvO9orLwBjSuIoJlCKbD7FlAWh1pRlThzGKKVdsYm4mVeAkpFfWeWyaeuFmMAXgtoVXbZyXD+n2lRKsdLtijrTHYvnryyrXz/58oUM7si9Wa2WanNpfGfQ9mnll1aKvCywpSWIEuESyU6OxRLqmMJUzKfCtWukKaGWkZIpxfhYchxlNmGsFWNdn+nrjkq2fKd4qEtq+bmaC6gAbWt0i9rMVxEEyyNep0JzHnC2HqUuPOD8hm7caE+hnFmwqMitVZjSYlXllHWLhAFcoQVgWBDpcRu8/LegfSp2PlgeXfS3jyvalB/5q8Xo2NcR/hpZZ4wd+K5SUauF/Wt5tAU1sLd8Eyyhdp+QUSgYd6XhiJ5o1v9Ow92rkAYJz//4Gi90b3Bl83Idc/izXnmeMxwO67HfcoH0z3r5jllrXfNfwjAkQ1S1WZ4QhSGxG3vp0H8H2STCOKGzIuiMsYqsrHj8ZJ/Tk1NG4zGVFa2Lsaa2NlnmXjWbzToJIHGF07K5bd3Ju4IQFqpGb1thqopQCU84ikLyPMOYlLKEweCMycGUrXc7DH5pwk/eKPn87xww7G072x/ng+kKTf/+XgGfpmndMLVaYvExGo3qjMy19XWqqpIoqf19JuMxvZUe53Z3wVoePnjI4cEBv/qrv8qLL7xAWZYcPHnCWb/Pa6++xnPPXWM0GvLBBx/QP+vTara4cO6CNNw6Yv/xPicnxwyHA+bzGZ1OhxdeeEHEDI0WKys9smzO4eETPvzwQyaTCb/wC7/A5tYmH968yRtvvEEUJWRZLpFbCkrrG7DFyqNqsYOujc/Lqlpkii75sKZpyu7ubj2S9jxkH4NprahkhSOa19FS/nmvnBG550daK4Vgs9kkimLP+iCOEzrtLlgxigfh4xVFXjctPjPdXx/PgfS8Ua11XZR7D1qQHG9YoJVpktDttEmSmLIUW6/DwyfMZhNarQZr62u14rbf75NlGdvbooQGub9H42GtHs6zgtOTM876A1ZXN2i3u5wc93n22Wfp9/u0Wi16qz3JJI5ijo+PuXLlCpcvX+bv/t2/hyXg9ddfJ01TTk5P+eCDD7hz5w7T6ZTz58/zR/7IH+Gll18iDENu3fmIH/3wh1hr+frXv84XP/8FtjY36bTaRGlDmmalmEwmjKZT+qd9Hu/tMZvNKYo5SRrxzLPX2Nxcp9lo8MLLL3Hh0hUOj045Pjnhzbfe4sObtzg+OWEymfCDH/yAcxcusNPr8UuPDgG48/nXoZHy1vVLfO7OfS4cnfKnfnILG0b84NXn0VrELicnJ6RpA1NVTmOg6ng+uc/i/79r1vLrUxd2jUYqSIMCUfDo+u+srShLXE5cLokLrnPO85xsOnMpBxWTyQTDlCiR/L9sNqXbTBxyoOn3z5wpY8bWthgX+i671ZQFJI5jfE6lNgFaV47oWdQPyMrKCsPRCO89tvD9qQjDiNFoQn98zM6FDVEu+oepMmSzOZmZce7KDoHSnJycANSWHlEUURaFIyZrVrorsukqJLEiClFGGFe+OwPcIiEPp1GWpNlAu4q95shU1RLsqmoVZZEXaKtdRJZYEsjJ10sPrKXMM3EijyIajmQ+GgwF8UKx0u3R6fQwxjKbTSkKyQONIueBp0Q4UZVFzdfxKskwjKCSUqCRNghCd8ymcmKCypHwodFYGHzWhdLynr2M1rEo8nwSQF08OGQodItH6FCvIFREkSYvLHlpXUSZVykq4jCCVBTP2WxGEgY0koQcSS+o3OdZU6ECiXWygefBOTWE0m7C6Qo65f7cLgo3y0K1KnSuZcTu6dDu2jcKsbCxdSG0eD+lcE0TDgtyI3Kta5RugXlSW6wYJ3KgPneLzQG1QEG1EtGR0qCNz0EtFwW8w4ylaJV3WzQNC5GEiEQ8L8ghHdZQ+fzepZe/P+Xwn/5L60/Z0ih2GZ3z90h8EHDxP1qhKuTfb25usrO9wepGj62tLWfM+nTV6Iuf2WzG8fExfWdu7AuSZbGBsbUC5qfeQzs1sph7h5RVyWw6JdISuRZqiTELdLBQFrt7OssysqLiuH/G0dERs/kMpTSNVMjoWZFT5ZlMKZxrgCfkJ0lCWVXM3JjWPyfLzdJyAbpsMqu1pipFlJXEkWggrSQEVZViOikpy4rNmy1u/RK883rFV/YfMg4ahFGCVtRrqi8WgNrTbe4sY1ZXV1ldXSUMw9pzbHNzk93tHUbDIY8e7vH40WOKLOe5Z5/l+edu8PDhQx7cv8/mxgbPP/881hju3r3L7du3KYqCz3/+szzZ3+ftd97m0d4jXnzpRc6dO0eapIyGI8aDMQ8f7DEY9NFac/36VTa3Nuh0OsRxTFmUvPfeu9y8eZPhcFiPQldXV3nxxRdJ0waPnzzh2eeeJcsLSmNqCoNVCqNwvxQqkPGn8mh5VUkj7m61Cst0PscMzkjCkN3dXQD6/X7d4KZpWnPWeitrnJ0NSVNDs9mu/eW0ChaNxBInrzY71otxcNposrG1RZymzPMcC6ytrbt90dRUDF9QrjqTfP/eZVnW932cJPR6XbrdLmEoxZ6x3jbJsL6xwebmJkkiSUST6YTBYEAQBKyvr7O+tlY/Y/1+nyAIuHHjBisrKw59EiX4SneFbmeFsizZ23vMbJZz4cJFwiBmNDpldXWN8XhMr7daF8k+qeH111/n7t27vP/+e7zw/PNcv36dtNHg8cMjPvroI548ecL29jaf+9zn+MIXv0i3u8KHN9/n93//9zk6OuJXvvY1fvVrX2O1tyrPb1FwdnrM2WDA2Wmf/cf7PNrbY3B2xvGRCHOKQvjbz924zmfe+AzPP/8Cl69co7e2Rrvb48q1a1y7fp1bt+/w/R/8AT95+12m0ylHR0esJxGxj3lME6I45rTV5G/88hf57I9+wjfu7/O1m3f5+MoFztbXMEay7KPokDRJaLip1zLH97818YSPpvKLp4zxFhCxDiB0BqBllRO4m6SscuaTGVVZEcUpgYOWfVeqHf9obXWDtVXhQezt7TEYjNA6JM/Fx6csS9bX18U4MisJg6he3JYDs4fDIaPRSMKJk4QkDtjYWKMohdMyHnmYM+LO3mPOXd0hSWKKXGKJOp0OrUaTIsvJ5jOx13DB6B6uXV3pUeYV6+ub8tnKEU4BGaKFJI1AuqlAE8cNKvcwaTe6Vm68GkQRgZPH+47NVE6B6zo0Yy3eu8g4fpYQZoUPo5Tz4dGCpkaRFCemKmgmMUkQMJtOXQpESRhK14i1lFUBqLrr8gWPFwb4QrkoCuKoInJjcW1xIxiJ7cI5o5s6JN5zgRynzPmw6SV0pkZx3HcWL7OFAMC6UaZVjohvxQtP3kDG0Kp0VgFaeIHi3ydKXGsqbFWSZ1OKKKCRNtA2Ep6JUx2rQAoWGVH46K+wRnwrIwWd0nJ8Uu/4zt4dg3LnCWdTsdT8aOu/K/jBzvJgVwfU7wHed8oJZ6yz+NEahZZC0HGA/FjTlNIV+8VcXvIzdZFRmVqdvShdZFYp503uF//OIH56FusEHqFb6BfPu3C9ljzg3P9pHNq6VNQuFyBik+JI/ojiuN5UrR+hqhpRkCglOYdpIyVqJfVz7zc+HyUVhIuR2jIykeeS0+g5YZ9Uw/7zXr7h9GuMbIxC2Qh1QBQF0kRoLUJhJefWGMtoLEH3k+mc07MBKGn0Nja2aLRaTCYzTk5PyLC03HjNb+TWWuEEFgUThzJ+0oB2GfH2nK6nijuliKOQUKsavS/yKWAII2no9MCgKhisQPbHAho/mnPzwQPOnbtAs9msjWX92jSfzxkMBuzt7XF0dMSNGzdoNpvMZjM++uij2qoijEIe3H/AgwcPODs7Y3t7m2vXrhG41IfxcMhXvvKLtNIGt2/d5h/+g3/AYDBgd3eXb33z9whDRa/X46tf+Srr6+uEYVgrcQ+eHDIZT9je3mZra4u1tR6tdovRaMj+/j4PHjzg9u3bRFHI5uYWL774Iltbm64BgRdffoX7Dx5wdHxKq912VlqIeXuSUrlbI4oTcNMN49bDsqpcbrCc9yzPKVyWr200aDlfPI+aLV/POEpYWSlIkwbT2RTtC7q64aO+x3xRvTBJrtBhQGUVOoxY29gkThuE84xGU8akeV7QaAjK68ECb6mztrb2lK0L4I4vcQWxjx+UIq1wVmXtdpO19VXSRuL2gYzJdES70+LK1cusrvUAmEwmbuLW4fLlyyilODs74/T0lKoyXDh/gTRNGY9n3L+/R55VdNorDAYT1tc2iOMUpQI2N9bqdcyPko+Ojjg6OiLPczY3N7hw8SJVWfLx3bvcunWLqqr44he/yJ/4E3+Cra0tTk9OePPNN3n7rZ/w3I3n+Po3/iirnQ79k1Pu3L7Nw709Hjx4wMnxMdl8zng0FpFjJZGceVFgTEUYaT6+fYfxeMTDh3u8/OprXLhwmdXVDcIwYmVlhc9+9nPsnjtPkjZ4862f0O/3eW4+J5lMyZOY/csX6kL9II35T1+4wlGR8T98dMJf+OYP+A//2Ncok4QgCJnP5hwdHpG6Bm8ZFAr0Akj7NK9PXdj5Kr8eJboudnmbWlaWifJHbv600UCj0GFMWZbM84Ke4x8MzvrkbmwQRdIVeDXnaDSuF+nRaEyeF6ytrdamj0rp+gt7Gbhf4IbDIaurqwxHp6RpgyRJpVNuxsxnc1bXVklPE/Iip7vSZTadEQYBnVaby5cukc8zqlnFaDik1WzVnm3tdpvdnR3m04xm2sRYQ6ACGo0G0/mMqqiwIahAE2hXnASaIEyWumpFlWeURYlSMqato6OMcWkNgCNNm1JyKpUFHQYeGqoLBu//B5YoCgh0XNs5hEFIM22QxjGj0Yj5POPk5JQ0lcgbSQ0JFiOBPMe5sWExbqFJUCpHUtyk0KjKnDyXvFlYKJdtzf6r3DHiRoTOIHepsPMbvpfe4xbQOIolo3apcbAOXbPGBVmj3CjVOIpA5caVjheoDFpbwgCM1igjohGNeAcuwEI5Vq/OLauCqEbNrENHBTYV9NeNaZx/G1pLceiuQ1WVbjxqCVRQKyeXxzv++4ehliK9VjvL8SwKJgBdj6YXnC73fr4QWo72cu9Rfzu7KNdquNi/lDy7DqNz77qMesnxWjeiUq4Y9O8htixOLMHi2fdj6p/iK1lbo+NiT7NAbpX1WJ6q0S6gRuYjpyhNo6bjDLW4dvU6zz33HO12W0ZUUUQQ6rqg9Z8pHmPDxdj/E2ix/97+CD6J5gVhQGgX6kmLpSwKZsxI0og0ScSCSXnBhaptbVCgg8CJOiLanS6rq+uUxpDnJd3uCrrboeGeP88RHo0kracyxmWFLniSnzyv/jv5//VdfqvRII5DOu0WSlmKPHeos6EyMmK++HsrXHttnTtfP+Fv/esn/KXfinm/KHhw/76IsZbe2/vOnZ2dMRqNAOoIq8PDQ/b399nd3eXixYucnpyw9+AB/ZMTNHB+dxdbVXz43nu8/dZbnNs9x2dee42z0z4/+N73yecZL9x4npdeeomd3W057k4HEP70dDrj4f2HnJ31CYLQ2WlsuKIvYDA44+7duxwcHNDvn7Czs8WNGzdqMCBJZL17uPeYnZ3zXLh4kf5Zn7TZFNssrSmNeK1qHZDlGYkrspYLLKXFVkkbbxJsn0JNPTInEXdL94xDuONYEjGKoqx5VMsqbBCV8blz5xiNRrRaLRmB5wWRn1Y4flzD+ec1HV3Jq26ttY6+1HY8vriOQBNTekGSFwkdXdptscoylaEoMowpCUNNt9uVyDYMeT5nPB4xm01ZW+tx9eoVN+INao/Gc+fOsbW1RZ7nLplkj0Yj5dKlS0RRwuPHDxmPJuzsnCeOG8znczY3twl0RBwnrK2t15OtJInrIvnw8BCwYmkThpycnHDzww8ZjUa89NJLfPnLX2Z9fZ3hYMAPf/hDbt68iTWGq5cuo6zlO9/+Dj95801u3brFcDCgrMT3NVDCa8P9XppWcVQo85yZLdnb2+PWx3f48Zs/4fqzN3j9M59la3OLXq/H6voGq6urvPrqaxwfn8j5fLRPlOfM2k0GWxtou+DOBnHM3+s1+OJpzI2TMz7/zk3+ySs3MKGssWcD4bD6Ub9yzeCna0MXr09f2FX5YhFWCh1EaO33CllwvLDCmy16N/U4it1IKXRdn5AkW60WK90ew9MTptM51jr/uU6XJEld7t+UTqfjsvDmkh3q7CCCIMBY2dy9v836+jpaa6bTKVEcYsqc/tkR585dYKXVRGlLv39CPAuJk4Tj01MajQZZnjOfzojCiDSOaTWbpO2Uo4ND0jSl1+vV6GCz2WR9fZ0oTDg4PCRJU5pbTfFsqrwvmoy/lg0uvYeR0ppmJEbKVVlSUkBF3RUq5UQp1oqdh7uqVVVRzowEydebk0WQlNIVc07FhsVWJbPZhCIPnRFxRFUFtXqt0ZBRrXUcxdW1VaxR5M6vq6ok8aDZaBAGCWEAIYucSFOKIrBe/P102C7zyvB/6EQPT3vF+XOjjMt9lR2xRqTq0R+uDlEeVQvku4aKBIWhwtiSINDEoXgqlQaJwUlDQq0JNJQYV/gJ0ulhUU8dECsf/51cN40XIJinPluQSJGnlxXkZS73CC5yyp2SxXfVrhkJ0FqoAR7RXLaocHUc4oMoKJDY5TkOmt/YcYe/9JyKks6687Tw1asR0rrgcK5/auHXhyvCFarmNMql84ijFKwaH3m1uLZKKUKlMVoSPyxPFxuLe0IvRtSWhVjGFYzWusbGFYGrs65Mtbua7sUe0Sii3W5z/fp1Lly45Io6W5PEdbBA+/xnDgaD2jR2uWhbfqmnilhb35dS7Jf1xm7x51Ca3dlsRhyG6LRJrBKXOCPJOo12i6TZIAoTGs22S9XRTKczjk9POTk5odlqstZbRWvNeDxmPB4znU5rAr61nkriaRwLpe7Ci0y5wm/RMBljaDUaNNNUKA3G4H0iUdYZVpeEmWL7vQ4f/8oJDy/CP/h3D/niv97jH90f0Gp36+v3SXGB/4wwDJnNZty+fRtrLS+++CJBEPDuzfe5ffs20+mUa9eusb29Tb/f5969e5yenvJbf+bPMJ/P+b3f+z1GoxHf+MY3eOONN2g0GkwmE4ajMwaDIePxmP39fZ48ecJ8Pmd9fZ2rV6+6qY80/bdv3+Vs0KffP2U0GnHp0iWuXbtWCwx+9KMfcXJywrVr1zg8OmHv4QGvf/YNWu0u8ywnDUICZWUfU5owjkGLwhml0WFEEMWugRYO1LKiehmd9veiP08euZPrImtHp7NCGMYubsybBYvAB2BtbY1Go8FwOHT5veItWBrrRG6KKElZW98gywt6vVVa7TbtdgufKevFNj7FYNmnz09h0jRlOp2SNlLSRuKeBFMXmXEc0WwJB08seeY1FanT6dQgy2wmKG4Yhpw/f552u11P3g4ODtnc3GBra4uiKDg96RNFCTs75wiCmGYjZGVllaIoaTZbrj6Y4WMp5XPW+P3f/32CIOT69evEcczJ6Sk3P/oIpRQvv/wy165dIy8K9lzyw0c3PyKKNI8fP+Y/+Y//Bg/u3ePo6AhTlkRhhLYyhZzlBVVRyP6kgnrPVg6BL4qSWTGnMIb9gyfsHx6xt/eYK5eu8NJLL/Hqa6/T6Xa5du0qDx48g8XSfOsdAMaNBoV9WhAVBAGPMfz13R7/3r0jvvHOTd7e3eLx+ipVZZlNp4TBIvUGpHlayTN+ntenLux8EafcKrw8WvELo3NMqkc8FQZbCZ9MITyptNGknVc1Vand7hAHmtPT09oNXuuAIAxZ29ig3z9lZbVHtyfxG6WpKHMpRPIip91o0Go0mM0V1lSMRgPhvUQBZZVjlWU0GfFof4/1tXUePd5nPJrQ7rZot1uMpmOSKMU0DKPhkPFoRJkk2MoSdxIazSaD4YBmu4UOAvLZlLR/ShhHbG5uYbDsPXpMEIWcO3+O8XSKVy95I2Fcoaa0KAp1IKTNWCvKPBfUjdKhXIL0BdpitcRgFVWJsbJZlmVFmWeiyg1FcYl1RijWSu5kKDmgpqokW7cyFAhPL3TePXmeU5Q5kQkYjac8fvxIeHJBzHgkJqjNZkPUpTnEscukdDe+98c0dmEVoSs3kNN+Q/f3i6vOFoBV/XulZHitA7FF9GR/43hseMTJ/2zNYVKSsasUcQw61BRlQV5kWFOBLVDWkiYNlAupDpDio1IVenFUjt/CosCpXNFnrCAcHhXzY2LrXdstpiohjBa5sr5WdAWZ59zJexuEf61AG1e8et6ajPqXNwhrde18bqxHKp86fe54ZBxbq6c9yqn9TwryiALr1F7oxc/JtVkU48ou3nvB2aH+e/EyDJywZ0lBrXDedQscv0Zs7eLaqiVhjgceUY6JqRTaFZ5KB/z63a/wu8//AafnBjz6yimf//HL7O6e4+rVayRJw/lq2trA1Y+1TOV9vQzD4Ygsy38K4Xrqv93x1ohmXexDkWfMpxOKUsY1UooGGCO2D8rMCAhROpJV0P1DMe0WKoYOAyajGf3+gP0nT5hOZ1TG0ltbBaWYuWZrNB7XKvperycIkuMHG2vI5nPhvVUVRZ5LsYgUEEEtUpHz0Wg2CELJuQ7cM2WtQWGwRqyDbGW5+N90GGxt8/5vHfCdX6n4jdfGbAx7TLKiXu9NJTmaOkkIlCbPckEAsTza2+Pu3Xt0Oh2uXbvO2dmAu/fucXR8zNbmJufOnWMymfBwb48f/ehHYC2PHz/m/v373Llzhz/663+U1994g2w+5+bNm4zGQ6fKrHi0tye0mjjmueee4+WXX6YoCg4OnnByMmE4HHByetvpu/gAAQAASURBVEwYhezs7vDSSy9y7twuvdUeDx8+5KOPbvHB++/Tdvy7V155lcFgzMHhITdeuEGW5+JDaF3zrUJnCJugdUQYC5G9MoayyGVPsU7g5vZAOe8Q1M9wiFKF2ze12BIpENGVotFoCicuiYmcVYypSpd4AM1mi06ny/r6hkTYOf82U/nGT0CTtbVV5vMpzVaDKJbYSL8WV26a0Ww22djYfMp+pShy55uYAeIGUZQFunCgjBaUud3t0Gy1MVhGjis3m4mKuNkUUeRsljEajBieDVlbFbPh+WzGbDpjPpsRBiFra+uuOJXItpWVLnEcEQaaVrdLmqSYytJstKhKy3AwpipLhoPxUx6DnU6blZUus9mE09Njnjx5wtb2Ns8++yxxHPN4/zHf/8H3uPnRh2T5HKVjvv2db1NmhXDI1YIaEmiZpMXNlHZznSSK6+Ywz0vQ8tycnJ0wnIyYTScO8dbcvXuPg/0DHjx8yHg64+WXX3EUM0V8esZz3/sRRil+/NmXmYch2WzmQCHv16t4a6XFByszXuuP+JWbH/P/+sJrItrIi5qrm6aNGm0tnNHxp319elVstOh0tRLEQWuNqWQ3DKwmcGkKWHG7p5AbuVQypooISOKEbrcniEomPl1hnNDudpnO51I8BAEqFCi+qApm2ZQkTVGhojAFjUaDMAzp90/BFlQmZTQaungrQ5omUkCFAWEQEaUJk/mU3cZ5Ll6+xMHBIVEas9XtMPp4ymgwZjabAVqMgy30hwOq3LK5vUOlNLmxIgiwlrPplDiMOT49RUchg/GQx4dPsIESzlwU0kojcJV34fz4VKidRxgymgw1SsUYK+NCUbu6BQILVlMGUGpZrJU1qLKiMsJhRGuqUjLusA7hw4J1+Z9IqHgURShgcDZgOjthNh/TbLbEcT0JaNNgMql4/GiPZnOTVrODCkJinaCUYT6ZU2YFgWoSpYELovcJIYJgGFNhKrFnSKLYGS4KcmiscPdUsKR5VE8jenVBaN1w9KdUtEbG2ggahysmJM0mwCox4a3yjKIsKPMCbEhlK0mOsIEU0yp0cWSGUjnRgrdjVsqXQGirXU3nkGitUYToOpIHKioCZQndQhoqhXFFpKgjA7TVdbHgMCG0hcBCVRbkeSFcNodsS7emqIyloMRo8fEzxmIreQeR5wcoIwioWQqOd6W+4JLGf2iwdBalSUNXMl6uqnoECW66a0F7JMJdIl+UaYfmBYGQsHNbLKHM8uGBK8a9AlaAkAV6pwJdI9JlXVjKsXrfrjCSRI6N+RovPrnOd67/Ibe/+pivvvd50rTh0G/lIpRyhqOM1d4qQRBRlWJBZK2RoPFZTjbP8fGFy2OzRXEnfEjj0Uh3FquqYD4bY4rCjWgsoYpBBeJDN0dSIMox3ULT6bQENazjnuS+nI5m9E8HnJyecjYYYFE0my2aDcna7p+dMZpMyIsCrTVpo0HSEApJFKf12K/RaNeq16Is3AZeYU2FViLwymYTolDTaiaoUDwYcQXd4rtJ46KURmWGV/7GNuPdnPtf6/N7fzrnKz9u8V2dMZ4KepLN52TzGd12WwrDsqDVbKAs7O3tcXh4yC/98tdI0iYHxx/z4OEjirJkc3ubvCy5decOR0dHDN148Xvf/Q6z2ZSNzU02Nta4desm770vKJ8vbL1Q7vz586ysrHDj+eexwK1bH3F6LEKUtY01Ll66yOr6Kmvra7RaLeJQ8+5777C//wRjLH/qT/9p4ijh4OCAeZ6xfX6b0XjC8ekxvdU18bZ08YTGyn1nbIUKIY1DglC7NJuMqpSYMesaZj9hAGlqArSgo0EshbBaNGt5WQLa+ZWK8wN4LqmlrCxZVrjowIA4SUkbrfohMkUpd1UgNI71jVXyak6v1yFtxqjQYrV41BkMaVM4ap1uhyAIxV2hKBiNRmKxEiiiOGaaTRnPxpRWRrnzoiCIY4I4oagMJ4NTl0usmM4zOu0V2q0uWE02K5iM5lSFYWt9k26zQ1WUzCYTMJZz586zvrZOVZbM5xlJGrG61gVcokWosVUFJoRKmsKTgyFHx0c8OXxMWc2Jwpjd3V0+/vg28/mE/tkxe3v3KKqKrZ1tNne2OB2c8tbbb/GDH/2Ak7Njmu0mcRxJmkWjQbPRZGtj07kiGJJAs9LpOl5rQre7Ujdyw/EUIuFX7j3a4+ZHH/He++9zfHrCeDJmPJrTSKcMx2OU45zv7OyALblw9x7twZAsibn38g2UtkxnY5mKBYpOp83mxgaj0Zj/64tX+D/88EO+9PFD3l/p8PfaKbPZHGMszWaLk5NTef6j5FMp+Zdfn7qwCwJPylWA8JesreoRin9p5WKTatsDUc0Zq6hKQxX47E4lvDElqEichLTaDfJc4q3KKkcHsLa+ynQ6kcIwigCJiZrNpsL5mE6Yz6cyIm21iGNxz66MkFyDNOLixZSzswH9sz6Bjmg0msznGQ0d0m62UCi2t7bQWjGbTV2BZZnOZsRxwqrrqqX7TaR7K0tylbOyusqVq1e5+dFN7j14wNr6OhbLrMhZWe1JIkZZEYYBPutTuIkylvLeQUFYUhSldIKmIgw0kQ6AJkmWMQTKopDMwEDRbDUxjo8ThxFRGLoO3shDqzWhDggDiYUJg4AwitF5znQmjtitVkuEHVVAu9MhTSvCICUINJ1uWwyBq5KilIVpOpmQVAHNZipX1rqCzGqqqnSeZ9KxhmHgUDcjBZI37PPjufr/uZeVP/AP1yftUaxdjJr8puwzhbXWlA4dNVWFqSrZtJDkB6MDGcUGEplkA40uBXcpq5JQB0959fki05tvSNG0GEVJkeNGyIHw6mr/OYGtqIO6japHrlot+E9y3cWDsTIe4/KehU4AYiqHxPnxtsbHsOV5hrLURfsyJwy1EHF88qXcOVbKZd76UffSaFxQiGCBurk/84iWdh2lscZxjRZpE74oXkbgBCn0KmJB7LxS1j51nV0D48fwLKOKkKYJm5sbpKlYOyh3DWazmXBS4hgZaQmqMp9nPH78mOPjYzGNjYOnaALL3xn3PNY5ue66V85IuKYNuNGceBEqN6atMFQEzoNMik7/7w2myslmGePxiKosWe31qCpDr7dKs9HgcDRwFk5Ffd8XRcFsJukVWmdPjYf9tY7CiFCFBNr5L1pLkWeEDin3Fjf+zP7UM+f/w4LKFTf+8y0efvmM7/yq5V/8kiYbf5ZvfutbMmYPA+IkcuPPSjhYQUhVlXx8545wpTY26J/1efPNN3n06BGfefU1trY22Xu0x6O9PaazGTvb27Q7HTrdDleuXqG7ssLb77zD+++/z/6TJzRbTa5cuUq71eLChQv1GNF/98FgQFEUrG9u0mo32draJGmkRHHILJvx7vvvcXZ8xPvvv8+zzz7Lc889TxKLT9vW1hanTgzSaokwJEmbREmC0oJ64/m+rlHxdIVAa5I0wdpQ7lknSJLUIAvuGdYo9+eVay5tvZ4Jj1cK66qSrHHc2NOvIdY97+KVp4kiN+Z1a4OphJpjqopmo8HW5iZrq6skSeSEZdLsWVvRaokiVwdaMrSrgnmRU5iKRqvJxauXZOKRxExnY7JihkLRPzumMjmtVoNGM5GEJC1UmcoaVtfWWF9fpywNppoxnU6xVlwT7NK92+12Wd1Yo9FsUBQ50+mEsizQLmpRwqispOAUYmVWmYr+aZ87d25zcnZEs53SaEr2b5IkrK2t0T/t88GHYkj8hS98gZWVFT744H1++7f/IQ8fPuCZZ57hxRde4Nlnn+X5556Tc6AUaZy49CEWFqnuGffUgizL2NwO0UmTMIp45ZVX+MVf/Cq3bt/mo9u3uHnrI548fszBwRNmsxk3b96srV2stcRhKE+VFpX7MM/rzGGlJKljc3OLLMs4NIZMKwJrubx/wGxrlYmLD/NWJ4PBgHa7TZEXP72Y/3Nen7qw8y8vba4qIbnHSYJG7D18nImPYLJWxo44kUOeFxgjTthxnBIEUoRoLfmhnU5LYnXynLIsmE4nLhw7rP1/giBwESVS2Fkj48s4SWi2mrXJYZIkhFFEEkoSQ5IkjEYTqnJOu92lKDIGZwNyl7uYzzPSNBHBQlHIHB7tMvmsE0CE5KVw2YJQfNSyImNjc4MwiXi4t8c8mzPP5thA0+qIqWBZlURFIIt+KCNVKYQCWTAdPGvdSK6yonYtrSVNIhpNIcZOJhOZ1xvhBwZaMxyOOO2f0ml3iByXw1pNXlTkpiRxLuemrCiKiiRtECcCOVu1cFKnLCVc2ikkhYchvByFotlsgq1QtqAqXLyOW6iCQHsvZZTSzOcZ1sb1eG5Jx/nUvlJjGpafen1Stej3X2u995tDkdyIs35Y3SKMsy7RzrIEcIWdwpgArRe2FOGS2/di7Ov/VtX3s2Q76qXxI07UUNUcKIPntinpsivlFJWB2/BDx8+Sn9E6BCdSUWg3QnQGxNoXsn7sS80fK4qyzi71is2lk+RoEj/jvOL9AoO6YLXGq18dD0RpArUYCT/Fq3IRYkpVjsvnObcBKhAUzo+t/STY1tFycn+opeLk6Wu9NPLFX0//DEIcxaytraKURPz5+yIIhOTdajUZjyZMpxPCMGQyGXF4+ITJZCSilmrxWcuF8Cd5Y35cVVZFLUzy51gKuoCiEJuYqjKu0SwJgrAenwRaCzKJKKvzPENhWe2tsL29Q6BDgjBkOBgyHA6fCpz3nGHhCc4wdhF15o+3JlcHyoWZB7XiPE5i10SES0Pxn/FytADjiujuzQa731/h0S+f8ff+1EP+te/8d+kPzvjggw9oNZviYqA1pStAS5MzGg2ZZ3NWV3sYW/HRRzd5/7136a50eP4Fsb145923GQzP6PV6XL5yiYsXL2JKEckdHh3SPzuj1W7zq3/k17hy9SrbW1u0mi1WV1eJYzFDNsbwcG+P4WDIxUuX2NhYAwXT2YSDo0P2nzzmw5sfcnR0RBQo8izjtN/nww8/5B89/Mf0ViRabHt3V9DxOCEvSmbzGWEcIZxZJJrRNWIqcFMELYhzEASSk+w5G9Y6L08j3p4OvbPGUJWuGHMj7MqLvzAyqdEIfxqWLHiqmpcOC3qD9EaCIBsjzQJYWq0GYaTpdNru/pT7oywL8jyj2+2wtbUFGmaFcLTmZUZhS3QSsrqyIXZP1lCZnDKTFIvhaEBlpPgVxb6g/1VVEYURzbhBp9N1Y01pTKM4InX+sUrLHtdstdBaUZY5RVEyz2Zk+Yw6Pi2Qqcd0PiEvcsajMYdHh9y/f5/joyOKMmNnd4vT02MOD59grWU6nZFlOQ8f7NFoNLh+/Tp7e4/41re+xQcffMgbn/0Mv/7rv84z169xbmeXJI7FKshYiiwn0FqetbzEGOEZVi6hBwVlURK5/Nxmo0mYxHRWV9nc2uIzr7/O/pN9Pv74Dt/85u/y9ttvMxgMOD095fDwkEaS8Mz3fwzAvZdvMG81KF1oQlmW9TO7urpKv99nPJzwt6+e5y99dJ9f3T/mewr+m26r3uc7nQ7Wiul35lI1Pu3r57A7WZhiZlnuzBALjK2IgsQhGOKuLekGsiGJGa7BOMTDWoGDfdqBkMENQagIQlFDBqF28+wK40w8p7OJu1m7NJop3aLDfD6nch3QPJsTxRHtTgfliK+VNZTOC0ahCXRI3BDUpihKNtY3WO31KFyI9mQ8JggESs/znLwsKPKCOE0Jo5Bmu7WwUpCZE+PJkDCM0KHmxgs3GI1HHB4dMZlNZfxoLUVVMJ4ZrFYURtAaOR+GqpKRaVHmGFMKGT8SP728KggqB8m3mjWqZBD7kFYqYcGP9qjl7dqb2VZC+k4TMTcstUQdjWcjGi0xxiyrUpYLKzyuKIrRVsYFWrtc0VCMR2/fekKzlbC+1qGsSpI4FgTTiuN5FEJReeKt63o9AmdV7U/nkVx/L7mGGI3nuX2yoFugSD4XdYGquHFa5dTDbhMOg4A4DMCGaB1TFfL9lEOPhZMV1wTVqsyoFZ/Ln+uqPMsiv9H7QPkOzLqflfuiXCoYlLOskQJTEWCMko5beRHSonCEhfpUDoC6OPPoH44jo7Wm2Wxgqpj5XBI3anW4V34iP7/0dvWxsqij6l9ijyGIoPc8XIhz6rNSowZmCdlSgXbGxu6TPllHLBWAPzWCVwvBgv/T2mrbWoaNCU+6R2ireHn4LK1Ws+bCeATVN33WynM7mYxpNJscnxxzfHJMnmdI5JZ56rMXh2ef+uWtVDxPyT9TSinhuBZSfIlvZwlYKlMxdguyUgrVaaPTmNBd5yQO2VhfpZG26LRaiNP+mOOjA2bTaX0cXtHrj0E2TvXUsWqt6+fdYmWkFjmBUKgJlaLZlIxnY8VF391AC95jfe6VcFAtqFKz9WaXJ18Z8t5zOTv3K35t5Zd59HiPRpISBtLEWBSNRsJRv8+9e3cJw4BWuyMoy+kp09mEF55/ga2tTbcRz9nd3eHy5StcvXqFTqfLdDLh0ePH3Lt3j82tLb76C7/AxcuXsEgTXpYVx/0TJpMpxlQMBkPu3bvHzvY2la0kdkxZbt76iP0n+6gAer0eO+d2eebaVVZ7PVrtNmf9AecvXeLWR7f5+P49CmNEtNdbrXOTawTaWKEwWNmTlA5F8a5wuc1Lz5BDxZVWKOMi+IwUetabfCtFVYpNk6pEeOPXCpmA+dx0QUCNqbDWr7uBm475Z0NEX4Jyi4uExII1iKMQbFWP68V4OEWpnqwTVGSF+CDmZU5uCkk/SkKs0RTzGaULD5B7OiMMJcfWF2/WCuCRJCnNpEEYxoRhRJ7npM0Gu+fPy34faPKyRAUaqyzT6Zj5XFE48aMIRBRlJXtDXmSMxmJTc3p6yt7eHvfu3SOOY9bXe/TPTlFalNHNZpM8L9jff8iTJ4d8/vNfYD6f8+GHH/LWW2/x0ksv8Zu/+Zu8+OKLJGGIKUsm85zhYED/9ITJeCJTrDCkKmQ0PJvNmM1mjMfjWiCSNBpEaYtWu8X2zg4bW5v0ej063S5XL1/h/PlzNJuy9968ebNGlFeHY1YOjjBa8+jKBaqnnmNTr1utZotGo8Xx0Rn/oNPk3O4m/+LeAb91MuCfNFOq0NSJEyAFtfex/LSvT6+KLRRxHNFIhRtQlgV+BdeE1Hnhy+OcQLpBKc5EUGCtdKNCiI1AVWhniLjI6hR/MLGxsPUXm06noCy9Xo9GM0UHinzuOQoVRVWRhgGNVpNqPJbPSFPJBUXRbncFLjaW6WTGYXXI1uYmo+GQw8ND0kbCuXPijJ5lGZSaXBVM5jPxlInCmuxaFAUr3S5BKNFo83lGEIfESUyr0+LwwR57jx+xtbVFls/lXGBJq1jeK9AC61vZuOtNRwlKUSlFQECYxBgFURqjAo0pKzdmrphmc8IwZGWly+lpn7wUhEGBGJ22GmxubaGA8WBEnpdM8imz+RyUYp5lTOdzFhY1Ea1EfPK09qasUa1o+/jjexTlDr3eiiRtaE1eZM5BXSB7U0lh7xcZb2qtA0GGapTGLMLrtdaO1P/TRd1CiSfWGoKW+YgeyTvFcb4CpQm1cNQCHYENUSokUIrZdE6el4QeaZNPqPlzy3QC+RtchaExS4T4ZV6WjEkdzxCnlnX/3hiHjDnESUaftkYtdQ0LLhZvfwjK/bnWtv7e/mf8f1cOTUyaDYcWqvq+rMqKAPd4Lt5U0DT/5wKloQhcwoU0Pj5eR9lldHTZYkMWepTzjwy8qkrKBgtof7zKARvuhOil4nN5nfDnFXCWOn4Mbvl/v/wP+Wj9Ps8OL/MX7/z3CVak2YijSD7b4kREMJtNmUxHzLMZZVXw6NFDhsMBFvNU01A3FZ94fRLFE3S0rMfnuNNZlVW95ljrk0cCiqpiNB67JkARJ0l9vcNAE8QhQaAYDgfiNn/cZzQcCvru3r/RaNSobJZllGWFsfqpcZEvPgEMhspAWebOb08Rh4ELDffNEPhcUV2LZNwNrgM3Mhde19c/+DLx3ff4wTPv8G9e/Wv8r378V7h06SLDswFeVaM0tNpNHh08YTA4o7uy4hIGRmKDoiw7uztcvXqFt99+m2azwe7uLjduPMv29jYHBwc8eLDH3bt3mc3nXHvmGVSgef+D97n/4AFRHHHWP+Pk5ERU+9bW2Zztdptup81sMqHdaVGUBRvbm3zm9VfZPX+ONE5oNhLm8zlBGHD12jUuXrrMiy+9TL9/RlmULnqylPPuiqwaJQtcOowW6o0OHX0m8DezPHfWK7exdQNlBPJzG6E0PLakpiJoLdy4+t+BW8NkCpQXc6yxZLnQRtIkEfRVB0Q6dLQXeYaDQBGogCASrql1410PnIAIaCQnWlEYQ1mVFEVGUcrIczKdCFUlzwVIqMeGljgW2xdBs0rXfGrSNKaRtgCFqdx9qGQyVxSFcEXHQ4o8F+DFzDGmJM8LyqKiLI1b06XBns7mHB8f8fDhQ87Oztjf3+f4+ETixjoJzVbM2Vmf+XxOqyW8s9u373B6esbNmzf57d/+bd555x0uXLjAb/7mH+eFF14gikIe3n/AR+9/wP279zg8OODk+MR51WqiIKR04IM0gpMahDLGCIc3TgnCgO7KCmsb61y7fp1nn3uWjc1NNne2eOWVVxgOh1IkuvrkuR+8RWs44vDCDnduXHtqbfGuGKJwjwmDmCzLyPOSv93r8MJgzIujCX/x+Iy/trPO2dkZZVmyurpKt9ut185P+/rUhd18VqJVRByFpEkKicXa0o35Fhwh//LdoHQjCpAxRu1hpaWTFTPZEi9v9h2HMeVSBy0y77IsOTg4oCjyeiyGWnjqGSu8uDAUVa3NMgZnQ1otcfguihJrJc8RFPuP99na2GBzfYPjwyMG/TM21lZFrRSEzLOCyhQ0222CUEx+ozhmc3OT6WTCaf+UZqtJkqZkozmj/RHdlS5RHNFd6fJw7yF5UbC21gMlKt4gFHg/UgGm3tTEFDdKIjzoHjh+TmkNpnBWM1pCxyUpAZelKxB4p1uKOa+zTKkqsVaYZzmB0rQ7XYIworPaZjoXP7v5fM5kOnE+T4lD4Mo6fizLMqbTKRvrm1y9eo28Kqi0YppnzMtczrmpyKqS3FREKiIo/HXT9WITx5FDOU097vO2EcqhPFYbQQ2WNvxlN/1PFj2CbDhj4LJCVNchRDFirBuA6DcJg4gy8ufGjTONoMEeTXz65TY/FmIKOYanUR9x5c9R2jqYPaYqF4VQoBUgFhxgUWbBCfQFhLtc8mlq8csiiGtlPSfVjXd9IYit732fdemLx7KqhGqz2D+eHsu691NWzHdRiMraIRd6yapH6EM+yor6PtR+1KiFWO7pethFkepYRaLmVR4J0S5Td3FEywWf5xNppfjO5Z/wO9e+T2ADvnr8ObpRh6AVPZ3m4r73ZDJhPBoxGJwxnwvv5/HjRwyHZ3Jvu2nBshr2k+iw/7P654ytvcEWn2fc/ef/zI1vraxn8zzHnJ3VqrZmIyUO3Sg/DJzlw6mE1U/FBaDVaDqhRFIrID1PqSjKpwq7siyXNmBDaUo3OTHEoWxOPpbMGEPws+bx/uWeJWvEHzNtNvnsa5/nt5L/EX/W/M/47V+5wxdu/R2+dO1X+Ed/+GOHAMp6HkURlSmZziasrPawtuKs3+f4+IhAa7a3trDW8OTJPtPphO3tLYyRUe1bb72FMdJYhnHE7Y/v8PGDe+zv7zPPMnq9FZSSLNZ2u0OaJhRFyfrGBufPnyeNI9qtFijLczeeY21zjcqUHJ+c8M7t9zg9OmQ6mTAej7l69TpbW9tcv/4sKytdphOJH1M6IIpjmq22E3o5myFHHdKBcp6hoAIlz7BFohy9St1HvPl0HiOTgXqxcgWf76P8mrYo6hb7nffArKoKk8saUxQZaZmSJik6tDUlQWEJvMOCUuAmSP7ezfOsbkiUwvH5SrL5nLzIqCoZ8+fZXJo9IyryIq8oC0NRGLDaRXG66ZqW9UHM+C2T8VTQ66qkKGWCN89mzGZTRqOBa54qIKMsC4pCOOTKUT6slQZnNi948mSf+/fvi9BpPpPEiSpnPp9SVU0qp6bt9VY5Pj7h/fc/4OR0TKNxyMOHD/nCF77Aq6++zEsvv0wQKH70ox/xnW9+i1vvfQAOaSzygiSOpSkrSyy6NlL365WA5c7XrhBRWf/sjId7e3zw4Ye0fq/F9s42n3njdb705S/xwgsvMJ1Oef/99ynLkt079wA42t1mlsQos9gLvLpXCu7IFc4hxhjGacrfv3SB6zdv88fHM76dlxyOpElKksQlef3zHuSffn16VWyQYm3IbFYSlZYoFpJ8EGhUHUEiG5KxYgkg/mSePC7cLRERKIoyp6zEWFYHwj2QDctgERTEE0m9b1ir1awNPL0MnKXuW7hJbmNTEEYReS7ZsEmSMJ/nVKUlDGLa7Tb942Om4wk3nn+O+WzG3Xt3CHRAs9EgzwrKwlJM5/LwWImJGY5GXL5yhSAM6B/2OT495rnnnuPChfOUpqLRFNLl7qXLnA2GmKpy6rWCMIqojCUIw5rY7BWRcl5kLGCVEuWmI+hqnCjFGEHtKuseatnUgzAkbTTc2E4RhzFJnFCWJWenfaaTKZ12mzAICKKIyMT1YjPP5hTOeNOiCNwmVJQleSYP42Qyk4DrtTWqoKSoKrKJyOwln7dkms1phFIgWAuz2YwwEq6C8dmyGApT1n5+vturzdjUYqN+uvgRdeLitRDy1D9TVcRRgtbCWSmKEqwVA2UlxYMUszMajdQVW260ZS2fzCiVc8lT2abLnoRaa1TpRmF60fHrQLtxsx/B6qViwbqxnrxpVfnh2NNokkdLUaCsjOOMsY4LpZ46yFq0scRb8yPU5aWgRurqQk+BFhVfvWhYiykrrEPjlo8HcChzgFsT5Z5RvgCWzzRS0cmG5UfnPg7JWlBiyeOP15/PwJl0BwiiOo8y/u7zv8csyvgz9/8Y/9LdP03oCljvw1UX4K6w65+dMR6Pmc/nzqi2z3Q6XXAQWSrafkZR5xExn7RSudF6FDkfsiXUrKoWiLM1lspn82ooHB92Mp3SaKTgNuKyLJmMJ05hOq/H8o1mg97qGnEc1+o3rwitjCSi+MLOm+VKt5/XhV1VFgTuWP26+NOj9KdfXswSBCFxkvLGG5/jlVdfZbc8z/94+Gf4N3r/Lv/Jr9/nP/j4lI3NDYo8F7seZ92QxBHGVLWNw2jUZzabsbLSxVrDt771e/z+73+X9fV1Vld7vPnmH3L79m06nS4vvPgyly5d5vLVK5TGoAPNeDrBAokLP09dikO73aYqSxrOP3Q2HpMkMYPhgNl8yuNHj/nJuz/h4d5D9vf3GQ8GdLsdwjDi5PSMOE6YzuZ89rOfY56dkVhIG1FtXGzc06+1ckk+uPtVCiZt1IK1UMlzYh3ftM57NtZ5cTozb+uRvCUagkZM2lk0EEEga7+1IUonT/2dF4mJ40AlIjTP5TXOCBsjKvAFFO8ShqTYV1ooMn7sWFWFUHDctMFYg7YRGCX+nTYgChJ0JAVYVVpMIKrdQIlNTj4vmE2m5HlBZSvZsR0fdTaf1RQmOZ65fGbhM43l+5dFRf9swOHxKfv7+4xGIymYMVgl/q25Qxex0kgURcH+/j5nZwM21rpcuniRz3/+8zzzzDP0el0ODw64e+9jfud3/jEfvfcBqihpJimgCLWmcpYhCkkMKY034Jfn20d4gRS6tY+lqZjOpjx58oTbd25z++M7HJ0c8Uu/9EvcuHGDW7duURYLoczy2uLHsP7Pi7ysn2fPuQ7DiHcubPHD0YSvPdjjX9k/5t/a2ap5tr65/Hlen7qwUxqsrZxpbYiuhPTtizGcCsy4IZF1D4cOxffKK360FnIv88KNWt172EUguXJwv4e+/YnyvBq/8CZJIh5eLltVB2I9kCQJYRgTKI02kM2m5PM5eVbInFu3WOm06XfbPHy8R6vbIohDOr0VClMyHI9IooRm2iJSMbYwYsNQwWg4oNrKWN/ZoLQ5Dx/ucTYcoEJdS8pBYfKcbqvNbD4Tla2Lj5GLr1EqpDKVS5PQdUEsRZDjO7kHNdBivVFZXOyW25iN3CCJ85YqiwJTVWhjsEVOEkUYUzIanRGG2ql6C9lcw4hmmDCbS1zNeJwTBhUzXRKGGZ4wW5QleVkRDgUajqOYtV6H2WzKdDomUCG587MKTEGkI/KsYDgcEMcRK90VIXZrRWGFlymh0wtumfwyoCpQQr5FCZolm6gzalZCyA8C5bpHJcIda2VxK3N5MJ1wQRbF0o10QQeWLM+ZzsR8MwxDjCkpTYm3NdHab3i+UdGIyFNhtXjDWaWwLtIsJCKKRZTh0wGsm7Iq5UyX3QLvaWh1EelGNHXOq1aoUIrvWjBRmvq9tJYRr3LjSkHInP+fNdjSoIwhfArdXGwUy2Pk5S7Sj7qWQ8i9uta4zc19FNaPfetR8vL7u1GtKp3FjYMjrXIRZRqlhdcjD6ycV+2Ly0DXhe4fnH+Xm2v3WctW+FN7f5SIsPbx80WOHzPK4if3ldaK4+MjBoMzkiRG664LOF9k2i6fA0F9P/GrslSFcOwaSUocReL1ZS3KSIGXz2eULk9ZzGXE1wwjBUFV5hT5HK2EnxyihZuc57LpGwNGYgDTOJEs7bJkNp+LIlIrF/emHFl+cc18TFSWzcmKOUWZkxcBeVFQKUWlA4m305EcF+4a4JpBTC1MsVqEAp1ujy996cvs7OxijOU3z77O/731n3Ln2j2+e/67vHb4Fd6szgQddmt+FIXEUYhWljgKybIZWTZjI1nn4Mk+D+8/QCvFxQsXuf3Rbe59fI8XX3iJ1159jcvXrrC6ukqj2aSy4uenA02cpMRRQFFkYIUSM53NRF2uDfuP7/Po0WPOBgOKPOfw6JDhaEhlKrrtLuc+s8va6ioXzl+g1+sxncw4Oxtw1j+j2+qSdQsePHzI1vY2K6uJqLXdsyuol1j9VK6QQlnEZcjd325bcvwioZRIh+aKOaGbLsblsq4pbVFW13scSJNnUYShAhUSGImKRC0oEHLhLRUFlhKMrtW62opoTfJd3dpjfWZ7gTYVeSGF3DzPmc2mYEUtrXSAQVTdSsk4Xp59RRhIUxEGst+WTrBRlDmjyYiqFAHldDZFbJ0iVweUVKUXHJUYU1KVmaNtKUGfjWU6nXLWHzAcTxiMJ1hlCePQNeNC9ShNyTTLmc5ymo2UQBkibTk+OWQ6HrO2vsbLr7zM1atXiaKAu3fv8nDvAd/61u9xdHSENoYkCCioxHtSa2c/46YUGiL3Pb158zKSH4UhOggEGQfiWKgfeZHz5OAJ//Tb3+Ha9WfodrsMR2O6YUhYSu0ziSWIwXsH+jW1LEusUUxmOZPZnGa77RpsTdpuMOm0sMDlvODlwYg8l3M3n89YRLp+utenR+xC2ewkl7RwG4wsyGEULlWpsnXJgchCXFYyW1fKMp0VKJ0QhkqMABH0KVAL0rDnmMgmLbDt8snxYcfGSM6hMlZyIF2BY4qSRqvF6soK8+ac09M+pbMRmU8LGklKu9Xi0qVLvP3u27z5k7e4cOE888zxD6qSVqtDQzdQVhHFEa00pdNqoYzl5PAQHSka3ZROt810NkGdSefbNZbZdEblEXmtaCQJZyMh6AdhhDVSuda8H7dJ12RtJV5xytia9G8r2bS1tVQOZcItMIHWRGmKTRJsWRG4B73I5mhbsbbWQ2mYZ1OCSBaGNJXIm+k0Fy5FJVLv2WxOhHDr2p0WsbN7sIHCVDAcjkmSBq1mm8HgDGshTRvyYBeGwXCEstBqtUniBIxiPitI01i85gpRD0phtxhFSyElyG9VyWZjKurNtrLS1csGLf5qWib8RKGQ/v3oUGwCAvK8JMvnVNVMVGnWkqbeOsF15MZItJu2BIhwQD+FdBgsgqIGSmGpXMEiBVwQhvX970czUsE59LlcxGKpT3Rd3rfPF0naeTgGYSiLd450xaUvRIJ6ylOjMdZS4Ow46oJhqRBbWqw+uXgth4H7X1VV1ZmqQRQKKmfFOttDgGrp/xaf4355BMMarHWFojPXrovcpVGwRzMCLQV9ZQ1ZmPNfP/9PKYOKrz/4CpfmuxK7Zx0i6F7ydZ3QKS8Ig5D5fMZwOKAsC1qtZp3eIGkAQd2ZLycHuHejqoQuUjk/yVAFRGEk0XCSbSKnvBI7i8pUblNd4m268zifzxgMziSuKW04/o4UWEEgHp1xktBoNImSBlkm1IjxdCq2Dz5hQmmU8fwcd66CwMUuhgSVhkgskEqNNDhV5UbDgfOd/mk+oW8PPbfo+vVn2D13HghQGrbNBi/nz3M7vsff+lPH/MnZ7xL9wwvMe2s0GylKK9dAh2AFkdQu7SSOQ8ajIYOzM9qtFnk2p3/S51e+9qt86Uu/QJomJM0Eaw0H+4+5/fEdglgUg0maShNYTCmKgpOTE8nMzRfUkOFoSmkMFy5cYGVlhatXr3L9+nW01qx0VyiynDRNSNMGs+kMWyk+vn2Po4MTgiiku9JzhY0Y8ZamYp5LM1sZIx6KSiZAOvRIr2sicBYnpRdL+KmKN+52uda1bZEgbtaR0D2/zlqQyrGSRlt5WzDjRBTe+qSkKqGoxUmLl0eXvA+eXxeEn+nEEq7IM7ZyaRIxjVZLlLNlRZWXEulpcLGNovBVStTxWmsq62x9ioLhaMRkOnFKWOGKYQPEjF8KuqrIsEZUvtbxoP1zNZtlHB+dcHh4JMWmE1K6s1L3+ZUxzPOcrMhpNxOiUBGHivHglDi2XDi/w5Url9Ba8+DBQ7773e/wcO8BZ2f9WuwUhAGtbpdup8Nqr8fm+jqBDmRPtZokbtR5tE+vBdBoi8/r6VnfNevS5Jam4tH+E27fvcvb77xDr9djdnLKb717i82DI2bNBn/46gt1jrWfeHh6RW4rRqNpHU/qpw1hGPCtz7zEjZM+zzw55M/vH/HXKxHUeHHnz/P61IWdz+0LI7HoCMOgnt0/DRN6bp0jeZdywbNsjlKQj2cURYOVXkcKQy2u22pprLZ80ypnSOvhTF/wpWkq5MxSDIsls07X0T9+cyqKwp1AjWLA6amMZ0CRpClXrlzl4cMHTKezmpzbajVFVVQYyrxgPp6QZRN6q6tk2Zj3P/gJO4fn2bi0w2g4FvsCFzUGYg1ydjaU6LBmiyAMmWciN48c2dJUi3GX/Jmp82KN66g9R6q0VjYT49MlFNR8C/dzStImgiQgcpjQaDyqj6coC8aTAhVElEUhpGxjOTw8pNXqsLOzg9ZaRBh5ThAGAleXpaAEjss3nU8ZzyYMJ0Om8zkd06G3Kp47SRCRzTImY+HttZrNekFGJW5zldHbTykUrcIa7QZaxtcsdRFgPYfEFyK+9126X8qyZD6fMZ9l0nnrgDCMah6nbIzabYreSy3ARApjfXzTzxhdWYNCu8XLk8mcTYgWw2Hj0VTX9Yr4YCEIkudC3s5zTWFhXrpQywVLRRvYqqIq3QhYgTdHNpjF6FpLkolwrmTj+WcxMpY5jMtkfL8AlWVJnjkOq+vYq5pq4U7HEuL1yfernH3CghPoJxTye+OaEW/PUh+/65BtkfOj8+/z0dp9VrI2v3nva1AhqkR3Xp4SLtjFmFIry8HBQZ1j6hWzck18HnJQF69PFbmVwVoZ1VduAuARTePPj//1M7if+MNzr6KoGI3GnJ6eEqytEQeyeURxTOj4wbKpxAzHM548eSJRYo4750d4aI0yQT0y8p8bx7Hwjk0OgXL0FzlPgqQFsrkiNAPl7xUsSgstxrp7UWnL7u450rSBsVbGhTrg3z/933AcnvKdK3/Af/BXR/yVwT0Of5QyNoY4DumtdN15htL5CoaB+B/mWVbfU/P5nDgOee65Z/AN061bt7h//x7379/no9u3KDwioRTz+ZSynNfrt7f0WQSjR2xsbVMWJdtbW3TbHfYePqQsSubzOXsPHrKxscGVK1dIkgaTyZTpdMq3v/1tvvKLX+HypUscHR8zGg7FHSAvmE+nhLHL2CZ2PpwVupR7zq85lWsEyyzHlKWg5EAUBIQ6JFDOcsr487tEGzBVzee1mLrBqKqqfl5N7WlX1j9TeUWtXiQn+HNbK7hLU9/TVVUxHo/Jcxdx6CYmvpHrn/YZu4QTYywqiIjjlCgUT0xrJFfYI1xWuWemKMgKKRSVVmKTUhaydpqqLuZMmaFcM2HcZ/hn7uysz/6+3OtWuei/wNb79vKalGdzinyGNSlVpRgOTuj3j4lCzblz2+R5zu///vf44IP3uXv3Y6wVP9xer8ezzzzDyy++wKWLl+itrNBqtQhQzGfCK8zmOVqHtFut2sYH93z7NQkfwecEdkEozeVoNuPb3/0ub731Fk+ePOG5B4/44u17ZM0G//hP/3H6vS6RtTW67os64T+WTMZTwiCg25Xn56zfZzwa0dnd5e76KtefHNIqSlLXlPrpxM/z+tSF3YULF+QhKzMnw/WiB6cgxXNUxM3cWmoH+KqyWJzXVwmFvznqB8C6/MwF/8BfXNl0dM2TWSawx3FMVkiBdPnyZfI85+TkhJOTkzqebDwes7LSI44ThsMh0+mUqjJMpzPiRoNG2qTbXWE2yyhL4bCcneUcHhwSK02ntjjJKcsGm1trzLMJYRrRabUZnA04OT52LuQQRQXddgdrrKQIIMaIK90uJ6cSEmyMdPzadVd6GTVxC0JVeQWUQzVYjOOW8RJrLFVZUmSyACprScOodudvtVoUVUmz2aTT7VJUOdPZxDmcK1ZXe8zn4ku1urpKGMUMh8N600vdAiKZgg2iOK7l+EpbsqLgbCAISaQjGklKZSpG4xGmqmi1WiQNMcmM45g0bThoXsZ2y0BT/VILNMi/PKneF78oKfJkYRNOpXASPGlYE4YiaAiWRo7eBNYvjEGgMVqUhfXoRO5mvIjBj9twqRMW509VVW5Dko2/dAWYeOjhUlmW+YDUnZfWgfs5VRf4C680KZCsMc7HTY4tcGMzEEVuaQ0+tq60iF9WWbqm198z6mf+8s+a99CCBZ9OO67novD2gg7q59x/56cEH9apoJdGs0uXVK4zUjwbP5p2JHCUG+Yow/sbdyiDkguDHdbGq0yZE1UyOveo9vLIuN7MRpPaV0qEB7LGbG1t1WHlvihb5hDKd4JOW/hJo+GA/FrF7EJFtJcQH+ta2BGGoZiguwJGak0Fn2gI/KmbTKZ0Wx3ChuPTROLzmSTCI5tMZzzef8zR0bFr6uS1jNgFPM0BMsYwn8+F86gqglhI/nEcEyhIohCNILd+bF8Xd4Hc87gmqawqet01VtfWUDogywtiLR6Um2qTv370f+Ivbv4Vvpv8Af/VvzTnz9855ccHLZqdDlhbm79m2ZzQ8e5KR6ZPkpggUJRlwblL54iTmI8/vsPR0TG3795yDTY88+wzrG9soIOA6WzGdDqmLDOiKKzRyTzPhXqjFKf9AYPBiPv37nHn9u36mRGKR0w+z7hw4QIXLlzg2tVztNtd1tbW+YM/+CEHBwd0Vrq0Wy0m0ynj0Yi8LJhOpiRlRWFLrDJYovqeXUbsjKmoilxSKPIcU1SESqOiCAKLcc3XQr1cLa3xpTOTlumMF19JU6JQJgBTITmcwiuWKcqMiorQrbvL1AeJB8uYTWYycXKFsOSQy0g/cIWJdfeN7OMiakuSlLTZFlQtioTLXVUUeSGFq2tKK2PIHT3BWotCUxY5qIDK7WfGUVpsVdTrknVG61jF2WDIwcGRTHWUorIVuTvG2tqn8lw8CLRQaYJAYU3BYDRCK8O53W16K13u33/A22+/w+HhIVk2I44jnn32Gb74pS/w4osvcuXyZbl/gpBsJrzWylrKsiJKGsRRTBSnqCCq1wXt/ACVo8aIV2RAlmegFI1GSseJeN5//30AfuXxEQrYv3SBe89epTzr142Uf1/v/9s/HVCWlvX1NS6cPwfA/uNHFPmcOAr5vWsX+dxHd7gymvDq3hPunTvn1pP/ljh20+mUsizFoTrL6HRazuKiqqHMspSLEIZO3WngwYOH3LlzhzRt8NJLL0qXWRWEYSDO6nlJEIqdQLPZrMnBvvuQEdNig4p9V2UtcRyjSsvR0RErKyu02+1aMSeqIvm5wBUVzVYTiUISz5/5PMNYUcn6AnIykQidQMOsmFGV4p0nCFfF1atXee21VzkZDojjmOvXrgvno39GGET0eqvOyFFObT4X+TgKhoMhQRDSaKTYqiKKEypnjgyy+WuUMyguasWi9du0i+jSKA9e4PyEMdqibEVVVswKsUpQWhNGIZPZlOlsxtr6GmmakuVzoghHdO7RaklBOxgMBVEIw6dc8NM0RcKsA9JGWh9rEifOxFVGgfMsI4likkaKLQ1ZWRDmougtypKYCIWM4LXWYgys1GK8tlRIeBDYPyDuj8EVVcuFXVVVdXcchiFRmoi3oJYCz5tsKreoZo4TaKnQKhDOJ5ErchZIji8ctOPbLUpNJ7ZwpOkyr0SxZv3fOoTOWJQyghbXG79ZFEBai/F1EDxVoMiYVAjQ4pAuo3utRPlrsRSlrTlslRUEuCoKZ3kRCN/Hj0r9cwRPIYP+vz31QYxb45rLqp3ZqICV1uUCCyIpCORihLvM5/OKE6X8RfM/7+7bJaTPGEOJjKmjIGC/c8w3r/wBYRXyJ+58nagKKYzcY0EZuOd5gWz6Rq8oCobDIWtrEiu1KMJiut2u87mT4uj09JThcFivMUEQSMRTGHM0POLuv3rC/r9wRr5esvFOh1/43z5LeCDZuMtm00prKWJrcvTinvUoZVlWzLM5aRIjom2hAszmc8aTCcPBkLOzYV2o+mviUVrhAC66Hn+/e0NVQwkzRRiHdMKARioqXFUVi7FT4FNvHNKopMCujPB5e71Vuis9jEXGcplc4zgJ2GCT/+jg/8j/ZPt/we8/+0P+5v/+Pn/yL6/xwdBSFBmNNAFrXEOhaDZSoiCgqvI6pSLPMzY21jGm4t79jzk6Oua1V19lZ3eXjc0NemtrtNotwiiS+yAKUAidZNm/yzchCimI9/b2OD4+Zjwa0e/3hQfpONb9fp+PPrpFs9mi1ezQbDZ544032N/f55233+H1N96gLEtOjo/JisL5cWqMMpRFJmNl7f03heeaZXMwkgCUTaeYopT124JNEqqwIgyXXQlUbetklMKYgirwE4vSIXEGH3UnKmzJ543jkJiQVrNB2W1zOugzmU6YzaqacwmWPBfvtdFwLKrXvFhau10cok/BsQtkLEkSwiAkDAIwRox083xBsHAjWZl2LFvtuIa3kikHgYy0jZVxszz/QsMA5ca8AqQcHJ9wOhjKBKrmtAq1oXQmwcatjdZaMmsoXC2AlgjGNEnYdhZeD+4/4N7d+5RVwcpKl+vXr/GFL3yJL37hi3RXuszmBZPpgJOjY4ZnZwwHA/Yf75NlGVcvXxVT82aTRkNEgEma0nSq9MqUNcIZRKHjcZra0ujg8IgkEbGLDhbNtqDLiylM7ZVaVQyHQybTMZ12j263K/tpmtJopIjPXch+EvFeq8Evno34/L2H7H35CzWQ8vO8fg6DYlP7RQ2HQ6qqoNNp110UUKs80rRBGEaMRxPZMAJF2ohZ31hzxolSlUwm4hI/mY6Yz2eCKnXEeNiP1iQJQdcLn4eX/UlL0pSzszO+//3vc+XKFVZWVmqI0xedURTLvBtNluRYC9PZnNMzyWwERRQKJ2MymVAUJb2VDmmz6SwUhlgLp6d9RqMxnU4HoxXT+/e5dv06jSRlMBwxHAwklQFFmjbr81JkGUEc0e10OT05obeywnQ8ZppOaLUkC1BpRVVaAhc9JmISP650iIC1rrhTvsYRzzAtHWOgNLasKPOKsqpcDqgUZvMsYzA4kwfElERRzGCQc/DkiG63R6vV4vDogEajRbvTRmlFlucMB0Ms1OkXpqzo9/uAZWWlQ7vVZDaT65ymCZUV0mqj3SafZ2TzbIGMOfuIPJMxuUqUQ1EcxuSiqEBSFpabFLv0vf0IdnkUWyNhPj0hCIhjsbUpq4Lc8RSWuQrWOgNXo1DakYpV5PihjtD/CeTJeN6jdaNvx9uSoiuqn5XlYsdXfPW1ZFHDLhdHvtDwna6MNky96BtlsNrWjYsvxnQgkWkgt4n/nH8WYreMevvjWhZS1ORnW9WII0rQQZSnRzx9/H5EJDY8P6u7dMUdwk10Eqv6GEpjoCp5Z+sjhumYlXmHz+y/gLFSgGS5CGPCJUW1/zWfz5lM5Fl65ZUdrl+/Xjd53vpDvrcgAw8fPuTWrVvs7e3R7/fd/RlSBIb3/sJ97v/6MT5x5fjVEW/+q/f4hX/nmZoHFJXGjfODRabx0j0i0xvZUMuyYjqbSXNZCCHdWMNgMKB/esp8nqN1RKslnB6Da5ALiQcsqwrv+eWvWy0eQZJjVAClrTBnJe3tLaJA+G7arR+hVnWR7hXXylqMKVBKs7a+wfrmlkMLtZuwwNwVCF1W+L88/vf4l3f+Kt+/8WP2/syQL/6fU94pCljtobHCN9UQR07M5MaHxlYURcaHH37IvXv3uHnzIy5evMjuuXP1mKosSybTKc1Wq0bntJb38IWIpwmUZUmoItqNJtcuX+GlG89TFOI4cHx0xGQ6IWk0ePfdd7l79y6/+7vf5Py5C3z1q7/ElStXmM1m3Lp9i53tbS5dvszp6Smn/T6dbpcyz4kSx20uSoeICmpkraWYz6SBLCvKfE42nVPmOcUs48xaGWc6Ky1rTd0g+V+VLVFK0pm8aNBz3zCgSrm+QaDRSsCOINRgI9BSbEynU4pisQd6A+0oCCiAOApInb2UX+sk1k5GimGSUkULdFPOa1FHown31TphiHtG3dTCuF8WC1pRKQ2hxrjGTx4QU/tQFkVBlucMBkMOD484PemTZTmffGQ8KlivWfhJjmE0GDFttwgaKYqA9bUN2s0Oj/cec/fj+wyHEzY2ety48TzPPvssW1vbjMcTzgZDPrr9Mbfv3GHvwQPGwxH901OqsnRxbE3hGzYaznxYVNRpkohNlZVnrLIGpTXzPKN0HMXJdEoUJ1y7do14Nmd1nmGBJysCAMVRjNIwGo1qju90KnSAMAhoNhKaaUwUKOIoYGN9lbOzM0KtSJPkp2gevpn8eV6furAbDAb0VqXSFAjd1AkSy92mMZJMIepZzZUrVzh/YYckiUnTuL4RAZIklUU1VLXdh2zIcQ1hhkGM1mE97h2NRvVGEjiFVhAETCYT8jyX7NQ4rsnIwu8oCcOIqqwcOiFdlQSwy2e3Wm3KqmQymdZd/cZKlyRJHVHakCSps8zIaHTamEDx0c2P5GeMZXA2YG113QkHUrRTqiqtIZdu47SsGA1HNBoJw8GAMAzZ2NioC2RtNCiDVZXjbQVLSJZ/0NwS7C68VqKsjbTGhiGldtwMl7xhFay1xMxzOp+gjMRrbW9vc3pytmS0aDgbnIFWrKyskLruZTKZMM/maAsRilgHZNmc8WBAp90mUgHYina7Q1lVTOazmjcSxBHGCSa8ajQM46UbVyESePfLLtneVNZ5nv30/Wjdhg84BEg4UcohSZ7TYYzkDlemrJHFZcEAQGFZoHrKFxvLBZZ3dZfjtXbB1ZPCR9X8DOk6EV6ewnXk7nt6c1iQAl3+Wc218fJ2f601VjJ2rZJrXRmsEs/IIAhIUp/ZaxcFqBNOaMTFb7l48788AvTJ87D4vcFS4PNQUU7N59BHjL9mi5cvsuQe/RmF3VIh6DelmqOnQaMx2nJv5TEAF0c7JMQopZhOp2RZJk2QQ+r9NZZxVkm/3yeOE7a3d54qAvx5LcuSZrPN6uoq169fd6HiH3N0dMTq6irNZou/Ff2XvP2V2yTE/M9/+Oe4Fz7k//PGP+Ls2pRyyxIehQ7ZUQueZqmwWsZXn3x51XeRl4xGI9I0JQxlLRsOh8yzjCAM6XZW6PVWaTQaNc9rOB6JdURRQbUQfHhOlf99gKYSSj9YGW8Hyhs9W2c7Jf+Nt51Rql4buq0O1649Q6PRlEkG1NnFthLSfVnklAclf039+/zl8/8W//mf/yFXv/qYL//tAPVfJwQXL1EYU9vRKMVTGcCz+YyPP77N+vomu7s7KK34zne+w8HBAa12myiOUGHA2toaxkp26Hw+Joqk2ZjNZjWNoixL4iCh3eoQRRHnz5+n1Wpx/fp1ZrMZvdVVkkbKM888w2Qy4dZHtznrD3jmmWfY2toWw9dOl4cPHtJbXSVNUkr/3FlLaC3agMYlPBgRMGit0cZQVLK2BsqhtWUlTfpkIg1WFLu9SWLLfGMhCljXGEQR3vrIN7LKgskF+YziEGO98blb8yNNs5USJyGTSSB7lxv1RlWAttTjduGV+gbTkOclRV7Ve6bfW+v4ToeUWS+8slKkyaFZsJUr0h3XtZ5dKCoLlRe5Ky08TyNrzGg85qR/xtnZgNN+n6KQPc2rvRdN88IUf1EfKEJVMZtmzKcF7aRFEjZZWVkl0CEfffQBx8cn7Oxsc/Hiea5fe4adnR1OTyVG7u6DB9z5+B6T6YQwCImCgLIylJXQW2ZZxjzLOBsMeXJ4iHbTQGnIIHRpLp5vUblGKowjtAr4yi/+Itvb26y++Q4XT84YNht87/nrWGsJY7m+0+m05tn5NTKOYhpuHdBaEraajQZTJ6BI0qSepli3pi5zmT/t6+fIipXRUhiHxHGCtRJSXFaG0EGPvkv1UGQYBs4DKnEXyxEq3TMvXy6m0VhlxRFxgbpr87yiJF4yGEVRNgp3k4YEVnHlyhWOj49ZWVnBO7YrpUiSlMl0xnA0QnJCQ6yxzOZzrIV2u+34bBVKQbPRZGdnB2NK9h4+5NHjJ7SbTTY2NiSndokHOJlPJf9RKcbzIVVV0e322Fhbo+Uy88IoIp9OxNvOdW9pHDOZTGi3mvR6PSbjSb1J+e/uiZK27mmefpmllqcedPnnzTmmWyxl4Ym4FVohvlM2pTIF1oqo4uWXX2LmxAbT2YzKyMLjFVT+xgzDEFuU2LIkCSOUMUynY44nU1a6HVQgaEgUJ+RZTl4UUoBowUQra5nNM5rNBo1ms94Ia+GN9V5orrBdtHNy91m1WE/wIz/50lppdCQFsKnEY6ysSirvJ6fF28+P5v3ocTFyVfWGpGq18sK4UhZJ38HKGZcRaohSXrntCw2/wQdyHT0y58UQviv2I2W7FDCv9dK9sCC8a1c4+vFnZYzYrnj+n1lsALh/U7Mw/Sjb4rJcnUP+J8UruK9mfUalM1yVLV4KVf8jn7gvl/l3ywIoX7crV2B6f0bf/StrRWnsUTlb8ONz74GFlx8+Sz7MmZZTDp4cUJmKy+klWs1mjfL4Ymc4HHLw5ICT0zNOTwY0Go0a6SkrQa9tZdjY3OTFF1/kwoUL9FZWuHL1GhcuXCKKQrK8IKfABpbetMtXH3+Oq/oc/9Xrv8P43JziWWiPUrfQusKx8BYxokBdPh/CuZRzWbrM5TCMaDSbNBotms22RJ1ZaDbaxM53snJrV7fbpbcqtA5lA8qqIs8ycme26vmk8yKntIVEEvpsW2OcGC1w993S/YGhrBAifJazsbnFy6++SmUMlRUD99KNeWUzk9xcay075Tb/uw/+l/xrF/8d3n7uA+79mxV/dmuf5/5xzM1igzDQhFHk6BWCFLU7HXZ3z3HxwiW2t3dZXV0jCAKyouD0tM/ewz0Ojg55cnjArVu3ar7WPJuAtZKXiaiMxVZJYUuIQuFc9no9qqpidXWV8+fPc/36dVY31ul2uzz//POkSYN3332fH/zgB2xtbbOzs81sNuXo6IiyKOitdDk+SgkV2KoimxXOnkliHkvnkqAVFFVJaSyz2QxTlIyHQ6bjCaPBgGw2xyD7o9ZB7UMYRZKSorSiciPGKI4ItMZ7t4KYBGuj3WYua1MQypoUBAFhGmFcYxhoTRyJua1ocRVpmBDpgOlsynw2l9G4K1JMJdfd51ADNd+uqirp0/ztwaKoW+wt0qR6Eb+YM1OP9IUvq1Bq4WE6Go45ODzkpD+kqixFVsoypWRNWiR3LFNENAqhmmilCLCEGDSaUIckjgP44MEep6d9kiTixRdf4MaNG5w/f46qKvnwww/40Y9/yEn/jFnuC3YpOpuNVMAGrYW76mkJbl3O3P4QhoFzZBBQJAxDokToWoHWPPPss/zGb/wGSinaf/1voYCDXoeDZkq3LNCNuJ7A+DrI7zetVoveao8oCms0T0AvmWQmcQyJ+Mz2RhPagyFmfX0B333K16cu7LY2dmU8WpUU+VwSDUJNmqaQaGK9uDgiMPHIh8zbBd73IykJHa+MkOjLarHB+AKxtjQpDWUunkYKRSNOIE5reNJUZS17lygVeRBmsxnzrEAFMWVWMp5lRJHA3lkxRyEGxkVhKIqMk9Mx1i0kjUbHdYojsmzOrJhT2JIwCAidum5tbZXhcEBRlphizjybM5uOOD09xFLSaPc4f/4iURxT5sKVsmXF9uo6R6Xh9OiElV6PqjSMx1PWN9alyLQyXpUazZHlLU4N6sBqW4iM3EV0RTpwfBrpwovSOEgfYhUQWkUUyAMZAqYUN/KMGWmSEEUhheNPGjdmrIqSSgcieUcEICYMyarKydkrSmOYTSfkRUan02GaVzRaQsQNw5Bup0tRFpRFSWHBmgrynDRtiDLP1STKevsR19M6QY1SltoUzlqoFtKGJexLGG3O164y0kEGjr9mQdRqnndZFvKga0mf0EqhQkUcRYt1zeLG2J5XFxEoZ3VQ80AURT4nL4xDIrUb/YoHoVceoufyXeqjld/UpY+VBAzljIu10oSBWhRsVgAyXwxZU8gz8f/j7b+DLcuy807st/fx1z9v0lVmVmWWt22qutqhAcKRED1FESNSJAUQ1ISCwdAMiZEiKClGMRoFQcZoGIoZESPEUARBA4AgWiAb3lWjfXV3dWWZzKpKb55/15tj9tYfa59z76tqgIUIjU5ERpr38t17j9l7rW99xuagrbMBKfduhUSD6bmZcVWsKbF4MAW5Ar/8EhZjZePCatBu8TfK/RzrCN2mGjlbU7j35RZpq0p7XiSCbW5LUirMVKmCxVZZtNpdexx69LPP/Aq79UMu7zzEp15/jul0zHA0YjoeO6SlSRRFsrGWyjknmKo3GmQmILcRx4Ocu/ceMEszTp05w+XLj9JutVhb67DiRAK7BwMajYaMPzNNjym/cfGLKAs/fPMzxLnHstfkVH+D2+0HdP9CinrI46FXtimu3+H+vREmzyGXIsj6XnVPiq2Kh0WjCcmM+FBmRtHwY5JaQuAHcyGIizfSDjmqxuIIv1Ir7UZsZedfVCrF3BSkRY7JM6aTESbNKKKCwll2KF1QaFttzAZcAyJTlShuYq1ilmZuUuBcCKyUHXkhxUNSa/PmW+8w6Pf5rwY/yb9o/wK/3foi/+xvH/P4J2/wl34y5Xq2QdKpu0xsiOIGp0+f5ZlnnuHChYeJwgg/CLHG4vkhYRSJOGA65fj4mNu3bjNLZwwHA4aDnvP1zF0EIxx3j+l2ewwGQ3r9QYXmKaW4dfcO0yxlOBlz6pQIJzY3N3n5ky8TxRHvvfcev/4bv8onP/VJmu0648mA4fCYKA2JA0s+HUA+IStSp340ZLMZ1gq3WgPTyYRZNmM8GooyNhVhw3QyIZvNJBt07M2nSUoR+AGBs3IpigyLFfGNlr1U6ihX2FkP45rSsrgDKSz80KVhKFWZHGNFeSmjPx+bpRSTKeloJMKGan0Uq54SlbPu/5YrqDZzSzHsyQmJ1GLFwnQFSpqKhYU4UIO2BVma0+sec/fufXq9AWmqUPho5Rpd10QjwUEyWbBeVUxqpLnWShNohbaF2MhY8Yrc3b3HzTsPqNVinn38MR5/4nHW17c4Ouzy2muvcePGdQ4OjyT9KQnwg4Bmo0m73WZleZk0TRkMh8ymM4bDkcuElqi1dJYynU4AqMUBNce/a7fbdDodtNasrK7w0ic+yantMzz4xqu0d/YplOLdzQ1JJbEyhi/pVGVc3GDQx/c9mq0mQeSjg7mNTr1VJ7c5uc0Jo4BffvwiZ/cP2ez2+MwXv8bvnz5Dlv9P5WOnQjzloYMA35sxGnaZZTM6nQ5Z5hFHmnq9vsDdOSFzBBRFLp1lHEdYJSMmEHNIyUUUdV6pWhMfO1vdOJK7KaoywTHkAZJiLKn+v+d53Lx5k9u3bzPNpMgwVqDvIPTIMkm9qCVNJENRfH8kZWHI0tIyYShijjAK6R4dM+z3CD2fwA8wRkLk2602hTF0sCIDz3OCwKcwYu/S7XYJgoDcdQ7DXh/P89hc36A3GrjuO2V/X2J4Gs0m49GIOAxFWADV+SwXe9+T4Yt1XAEA67IslRXPwNlMHuoySFojHWgSJWAUJjdkWU6v2yeMQ8IkxlpoNZukuRUekOucBXkQJ/3IjcDQCq08oiTG8zWeFhh7mgtJtiSdlqMIz/3K80ICop1Jq6/FJ8xN5inTAeYWIXbhDlILf1cnfisXqtJB3liDskrGUe5nWkzFoysRn8B1vXmekaalHU1ESTaev7LvEBDn/WbL9AK5x4MwEKuAqhB3aJ9b/BYH6dVY1s4LKwtOwahOeN1Zx7nBLFh7IIHzhUP6Sqf7sugvLRk8h5CVr+taLOesr+ard7mQq3LMMpfWC/LHvMh0oeTlT9XV+f5Dukk3xiirCqWlSfA+wPkDS8Frp65itOH83mkaw4TuUGgCWmuWlpZQSlWpM2Xzdv36dQ4ODnjiySf55NlLaC9B+x6j0ZgoqbG6tk6cJMRRhO8LKj8aDDBLht5xl3ya4vs+/37tN7lZu83qdJkfvvVprDG08wZ/+upn+X++8PN88ZnX8B7X/Nmzn6XxTxoSteQaWQPkCxe5bGB9z6/i3vI85+DgkMlkSqvVotFokCTibzcYDsS7znEmgWpisbgWlihQKSILgoDCGlFmG0PoafLZpLJuQJc+dXJtrbXkxkjaTFZQuFSgyXQK2gflgzLkufMds1J5ay1oVn8wYG11jWCq+b5XP8FzS5f4H/7EL3Dt8bv8m//6Hn/2P8/Zzx/GOMQjSzOydMbNGze4eeOWFK9KU280aTY7bG1tsba2TqNWp91scfH8BfIsr5o635dGJAxD0JbDg316vR6D4Yj+QER8Ozs7FEXBnTt3uHr1Knt7e9y5c5fTp0/z3HPPURQFTz/9NL7v8+abb/Kd117j6Weept6o0e0ek86m9I6PGA2HxEmM9JvOeirPGA0H+K6gGo9HzGZT0pmIrzBSeOZZiilyChSG0jZDNvaZt1joOYFXaWm0MGJTaKyRvbBEckoqiFIK7Ym1kqc9l4ctYqrQ8/GDEJSsr1OXarI4CrcYjCoWfubiqgSKALFzsgtrQvn1Mmu4XEnEPN5WExQlY+SsYDCbcHBwyN7uPoOBgASmCPC0rcywy3UA16yqCh1UzjR7bikUKIW2Ej9qjGE8GdHr94hrAY9cusT61gbD4YCjwy5vv/0O7757nTgOOX36NI8+epnTp87Q6XRYWVlhbW2NIAiYTCYcHh6S52JHNB4PGY6GHB8fcXR0RFFkLHU6LHVarK+vV8/q2toas5nUO8ura1hbcO7f/X9Y3j/gRqfFr1w6R02VTiHyiYIgdBQCycpttVp0Ou2KI7worhCnEaGV9U5tcavdZHPviO37O7SOjqva5sMeH55j1x04pVRMlhqGgzG3bt8kLwpW19psbS6xtbUlOXzvG/GUxqB5bpmM0yoSK/A1nh9UPJhyVFYqXkXwUM7i55t6ecPPbRfkK+UiqLVma2uL/nDEYCiRWWDodFpOFSnByivLq1irePDgAcYURFHouCs5ee5uPDTLyyvkWSZBybMZhQtMTpKYOPDZPzhg+/RpRuMRaZ4xnUww1mcwGBC6kUG72ST0fG7dvImnNasrKywtLzMaj3nnvXc52NtjMh6DUow8TRyFVd6jVqqyGZFnqTSAdYt1Na50Bs8unqkyxlWK8WgqwIsVYUcYJxjbdY+qJ5tA7JNoEQCUxpYCFUtnnRcFYeBLqJ41BMqj2awjmzwYbZjMsor7tLe3x9LSEvV6nVarSX9gnB2JJpumaH9B3Tu/W5hDWifHr3/UYSnH18JN0R5VPJoyQvwv7w/pohxKokWlKw+OoC1alYpLoR9gpDPWSjkfRzFlzfMc5QWuqxWTZK088txlRipbTThOIHbuo1Xmpa4yK32qykVbe+UmQRX+bQrX/DjKg/Y890xQ5VhiHUb4vnNXjYG1W8Srk68qWlxJjlYLRZm18xwOSxnBVhYwjlf4R3BAlCuw5XOJ5978a/JT316/yVG9R32a8OiNcwyHQ46Pj7HWcuHCBVZWVk54XQVBwHA45P79+zSbTYfmBaysrVGrNzDW0mx1iJKYNBX7B6EiGCazGRroLHXI0ozeqMfPLv8bjLJ8787LLE2bFCoFDD/w3ksUuuDfPvrbHNa6fP4zv8+f+Z1P4H3Zq9SJnvYorHWFsYhqoigCpbHrhuELKe3fifC1z3g8ZjgcAji1m0/h/NeyLK/4x2VzVNIgSu5xWdCV+bcGS2aKarMPg1CaOb1QOCsxzC1UQV6IBVWe51h8JuMRb1y5wvnzD1NvSJ70aDwFpcnywlliBOQYarUaaZ5x/fottk+d4iOnn+fRrzzM/7v9i/zSp36bX/qpXf4X/8ca7043GGc5R0cPuHbtKp4XVPdo4AcsLS+jtTgIrK+vs7q6wvb2KZaXlyXtI0loNkXJGsehrPUYtzF2nO2GnOuSW33v3j3Onj3L7u4uOzs73L9/v+KsfuQjH+H8+fPcuHGDm7dusbK2SqNe5/j4mHt372DyjNl0SpzEFGaKddSVdDZlMh5VNARjJGfUlJusLUQ9i4w2DQqUo4SUdAStKaylUIKmF8aQqRKtKfsrec6MmXt0KoRCUs4MMiUKWs89P0o5xLssrvBcQ2rn49VqbbSIGbJ7T9JJzheiUvX/XZ/hsgEs1xNNuQcrZCqSFwX93oj9/QOOjrpMpzOKApnSGfGXnD/rZT1Y+kLqim6iEN54uf55SuErD6sgzTMgxypYX99gY3OTo+NjHuxc5ehowO7OAbVajaeffoqPf/xjvPSJl2g1W5VgLk3Tiud66tQpPC8gSWJqtYTReCg2R4M+xhTibZfEdDodPM8jTVNqtYTj4y4geoN3r13jkfeuswL0Ao9JntFywkdjJE/Z932Ojo44ODgkCALqtbpMqxSVFVOpmC3V+Y16k6Iw/NOzG7S6PR47POaH/uW/5cH5s3/o+vrdjg/PsTMKY2CUjtjb3yNPDdubpxlPRoz6Xe6blDCMnRq05JuoasYvvCbIc4u1MjJNPU0QGHH99+au8GWHI/CuqjZa7RAD2QcLtzE71Y/rUErFYKPR4NFHHwXtc3h4yN7eDhsb6258HDlz0Jha0mBtbZWdnV3nbD6i1+s7PodxjviKOE6wRYFWmizNGI1G5HlGUkt4++23naN5W05VKTXHkiQR1hSMRgNWl1dYX1vh5s2bvPveezz55JOcOnWKyw8/wmQ6YeZg4uGgT5Gm1BsN4QE4w1tckaE8KRpkDdFQGDfSk+iXcpSiXTlhLYzHMzwvdOhUhheIejkrMjl/1pCmGXlhKyPQctQVhiGRG9mWY0XxTEtJ8wwN4vTuBw7VCSkKU20K1kqeZRD4xLFIxMMwFJTNuHgj7TnuZUmolcUPAKvm5PTFeWaF4i1iYguLSNkAFGKgWd5X1hpmM1FSStB4Q+7HNJsXxnZuLaHdyLFEQPzAPYyFKyhLXp5DBgVXc6jeQn1l3Up+4iPYhYLLITTyNelqtRZVIzgdXfmflcUPtFNLycZtlBgX27LwsvbEYl02R+rEe5ifx0V8tFzA5SvOmNnIZiP8uLKYKzejxcPNWJW8zxK0K4Uwi8YxSilmfsY/e/HzDKIxP/DqJzj/5ha7B7tMp1POnDnDqVOnCILAKdbn48p+v1+hd1//+jfQwRXW1rdYWRPfunqjhe8EWqUdUhRFNJoNptMpaZbhex7Xl29xL7pPkPs8e+eyG5kVgEFj+ZPvfJIfuPky/9n3/iPeWbrNK49/Ez1O0coJZKytUkQsUKvVOHXqFMdhl3f/rw+YPp/DP4DTv9FkaWmpUvFOp1N6vR4mF26mMbKeheFcoVxmwi46D8yfxzliF4chcejju+eh8vy0Mn81js+VZpIkk2ay4d2/d5dTp05hLTSbbZZX1plMhVQeJ3UajTqTicIUOYNBj+Goz9raClGS8Hu/9wq3b95kO+twenWNN5/a5V/+n27wF/4PBdf1NqdPbdFud+h0lvG0z/Fxl8PDI/K8IMtzbt+6xXvvvkMYRQR+QBjKNCQMQ+JaQhSFziw+IQg9okgyvnNjqdcbbG9vs7m5SRzHbG9v0+l06Ha77O8fcPXqVUHovvMdVldXKQpBswJfuE33799jf2+PQa9LHIWMx8KZ1jojm0lcXJFlVE1rSUewFuNGpaYoxKvUUUUsoLwyz3he2IkgTMtPMm7TWli9ykbMuOSJclw6560rxDZJoi1tNbZU1RpPud7gRBOLSRXKAgujvPfXb+8D3BfXifla4L7PTSTkZtdkac7BUZc7d+7Q7w+YzYRbLZ6eisA1JuXkRNZQXY1khWrgYr6s5O3OP7O8B/HQy9DasLS8zPbps0xmE6698w77+0fEcYPnnnuWT3/6szz88AXW1iWb2NN+5WerlKLpqByVwbgShLAUdjYaNZe1HKMp7VgEFBqPR0wmI7rdLrfu3GXy7nU+e+UtAH7/wmkk7UJQ2jwr8LQPVjPojzg6PEbh0eksO5BkWhV25a+ygVNKkSQJ+7WYnzy1wk/f77J+/wErD3b44xwfurALQ/EF6w96mKJge3OT9nKbLEvp9g44PJYuKYpizp49i1JKiiOrAI88B1MofC8CLHmROaSuQHvzBXI8HlccuzAMCbyg8hgCQRQUSqKvgsB1g6Uow1RIk+dJOobyfNrtJju795ilU2p+rRqjWVuwsrLE6dPbHB8fc3R0xM7ODt1ul8FgIGqiLCObpY7ELI+StWI8CrC0vMTq6ir7+/ssr6yw2mpirWUwnDIYdIkC4Q9kdsp+mhKFIUvtNu/duMWbV65UC3AYhrTqTQI/IApFcSdeQ95JyL5M6KiexnmoeTm+LAndJc9qNsuYTlM8PRVj2zzDpClK64qnEycJtVqdvDCVa3np0zOZTJy1TJs0S/E9T/gxiGJOeFoW5Slq9WSOOul5FFKWp9W/KaXwtC8oaJXCsIDSoVzBUqJPZae5uODMu1WLxXOFlXLFkJyHnKKQgsma8h6Th1wMYj2CIKx8GJXSZNlcOVsumJ6nUW5Eo5QmNxlVc+7uydzK6NrzfBl7aVcQlcNW9949vahyFlSwXHKVcopEN0IvFa7VYW11Tuc2KLJpSN0pYw5j56+3qFhf+EGSf+kK6/kQu7yfxHfPuv9vLOB+rrUWXcaGObXswgTHfQ7h0ck5Uk5x7Aq86nvmJsk/+5Ff4frKXS49OMcP/MGLTCey8AVBwLlz5/B9WaBLg/EyzeDdd9/l7t27GGNYXV0laSxx3B0yeu070vwpzXgyo91pc/nyZc6ePculS5dYWlpy1IGc8WzC7fAuA39InEWc6q2jNZKh6YQ/WIVX+KxMOryzfJtxa8ZKQ5EOS08/iR/LCjFoDZ8Oif9ig9ufvM74ktwru39vyKk310iGCUtLSxW9odftLfjglTQEU5nMlshdiVSWquIyWcdgsVqRTqeYWkyzFlfKWc/3KDxL/6EZxSSndiMiy3JmaU5uCmxh2d/b5b133uX46JhWZ4XJZMaDnV16vT4XH77MbDIhjKQhi5NEUHat+fZrrzEejVjf3KaWJPxvXr3AP93617z51C3e+Uv3ePzzF9mNa1y+fJnHHn2MTqdDnluuX7+BUpqjYynAjo+POXTr7nBYzP3fHCggCmRn+4GjIxhLUqvTbDbZ2Nhgc3OT06dP02yKUnZjY4N6vY61lrfffpv/8B/+AxcvXsTzPKbTKXdu32Y8GXN0cADWMB2L5Yf41mUoRKiirOR3F1mGknEIyoByo1prJenFc42SAXBuEOU4EVOmwLgJil0Qvy02XbgmUR46WfcUWKspIworVX05KrXlnMKtneW6VTWZ88JRLwi5ymO+xn2w+au+B0EabfU6yq0Disl0yu7hHg92H7B/cEg6yyhD7YW+otHaFXaeJ4k01SSgbDDnzaF2KGX1LFhLoSHNDb4Hoe9Rb9SZzqbcvnuPO3fvEfgha2trPPvsc1y8eJGVlSWMCyAwheHWrVvcv3+f3d1dALa3t1lbW6PdbrG2vibTtHaLMvYtCgPyIpf0jUxQvm63y927d7hz5y63b99mlmU8VSh0YUg9TVqXglCoFKpCCAGngk+J45g4TqpGHKi+T2tdWTKVJuRRFLFTr3OvmbExc/nSf4zjQxd26WwqBHdT0G7VCXzFeDTA2IJ2q0V7qc5sOqXd6Th/IhkXWcAajTWWKKxRrzXJ8pTj4yPSNCfNJmTZiDAK6bj/Wy5M1lqsb/FVmToBWnlkWc7e3h7T6ZT19VWSJKmUjuX4UClFXK/ha48wDHj00csUhXDo3nnnqhQqUQ1T2Mr3qgwTX1rq0Ov3GY+mDAdDusddsjTFFiVKKGOwej3G83y2trbY299nb2+P02dOE8UxnVbgzJBFOJHNUlI1pdlosLG+Rp4Z3rt+nRvvvke9USfLc9bW12XMV0gOX+iX/ltzGr+oj+Qh953XXykMUHruUaa1X6FgxlhWV9YoCmeAiSKMY7mBUdg8c0quEN+X4rrX61FyeUr+Yve4y1HvCGssy0sdaomEaGdZDtMpQRhhEfSuVDGnqdzUopR2KQzOeT8MAslyLBEr9xnVIlqHFfs0VaK1ize4qkaGlnLU6lfXv0TcFkexJZpRqyXVzzIudUJrTRKXYyOJfzHWks2mzg8xqCxWZNG0FYJWZTtaFx1moQrzLt9tOYpwBakx8v/lus25aoWR7tzHLd6uiKs6fbchWNeZlwOORfzy/cvAvBguR6tzw1FrjfvRDgleOMcyUnZbkys6PCtXyLgRTjnGqRqmcgzsuu7Fa7l4WGv5zqlrvHL+mzSmCX/qK5/Cdg2j0ajaoGu1Gv1+v8oMzfOcRqPB8fFxFcMVRREXLlyk1Vnn4KjP/sG7DIdjZlnG0vIK62ubaO3T7fe5+s47PHb5Mu12mzCKiJKY31z+HQCeuv8IzVkspr/KOEqhnNfCWL7nnY/ylVPfof+5jKX1AG8i3oxKKUxiyX5QMf4rhv2PPeDN9gMAlicdtFUcbByT/N1lVv/7ZXpdsTna2Nhga2urKuBLSkqZidrtdl1Szjxto+z0Qe4b8TrS4PsURSACMqPAarQquP6jx9z4G330TLH86xGn/qsaauya5KJgPB5x9+5tRqMRrd5AkmwsTMZj+sddjouCeqvG2XNnqTdr7O+PePf6e8zSlI9//EUef+IJkkaN6WzC8mCD/+3q/55f+dMzPvMfbnFzcIr33hXBxZnTZ9jc3ObRy4/geQGeH+K5bN9er8/BwYEYuI6GDMcThi7isd/vill3kWFMwXg8Fj7XYFBtum+++Sarq6ucOXOG1dVV2u0OjYYgetevX2dnZ4fNzU1arRY7u7vCvZ5O2JjOaA+GeGoB4UdeR1lLPw54EHuUD7Q1BpWXaS/GEf0tvtZuvFjWZfmJphZXwLw/yF2V/69cT8zJ5qj8ygmEe/7wlEsMIK2dLYVm5foAzv+zHO9+t2NxRPvdigeH2Dn01wLTqUyWDg4O2T3YYzgZkWcW8JDcWBkLe3pueC7JQZIFvVjYaSr9fjWKrd6ZmwwUhfg1Jl6EsZZbd25z8849NtbXOH36LGfOnGdpqVNNmQ4PD3j9yne4d/c+b7zxRgXWGCPj/CRJaDTrnDq1Tb1eo9ls8NBD5/B9aVSHwyHHh4eksxnD4ZDRaMTAmWAXRUGz0+GJm7v4RcH95Ta3tteqfVk7/nmeG4cSp+S5OIhEUey8Tuem6iUIVQIeVcGrFEmtxr/42DnaX32NC4fHf8j1++7HhxdP+AI1BmGNLPdJs5S8yDg8OqTZbnDh4nm5DZQiz4yDtiVz0tMeg8EYrRX1es35ERnStGA2y8lNhucLObhWq1WWJVVxR1msUJHLG426c3MeVN1/WRBmWSbjCrcRFUaUVbiYmFOntplMphgD+wd7lEbA5RhYvOVWSJuGXtIn8EMGgwHZdIZiwmTsYpzygslkTBAErK6t0uv3uXXrNhcuXiAKpUIviy9M4RIjBEE5tbWNQqxJOs0W3X6f7vEx7U6HXq9Lv6/wgwDjTJbbnQ6Nep1q/3WIqCAyTiRgZVST1AQG9r2QLDOMhlMpotOcKPIxVpCzlc4KuSkYjkdiaGwMfhCwtrbG8vIyk8mE4XCIMcKvmcymNJstBv0+x91jfH9FzBx16WQvqMLMOdeXRWaepwv5woUUC44IPhoOZRHw/IU547w8qXKCK57GQoFYIYDzcX6JgJZLkimtH+wcLVw0twUoFWGSUjF/yLT28QufwgrX0ZR99QIxGVfMGCsJELaalwrqZdRCmSUN71xW5EYQ5b1bHiVPRlsZ3ZS2JtYUJ0ujciTjOm8XcPKHFnfVqf0uR5lkUPKJFnNexd5KPKuscT6KVguiUBVwguQI2GDmQEBZ2Cm3oJebpIWxP+Vnn/oVBuGIz37jBc5dWWcwnqvTl52KTcZr+0ynU2nY4rgqcMpCb21tDS9o8MjyFo888hhBGFJvNlld32Cps0wYhUyzETs7OwycmbH1pGm8Hd4FYH22TKJilMpEeWgd19CK0CeO4vKyEcUxMz0RLtZl6P3djPFfnl/D5Wmb77nxcb7/nZf57fNf418//e9584X3OBuucuPGTcIwYGlpiXa7XW3OJVWg9LsrIwElPH0iCszSosIYd/1VZTxsXOGHkWSAvT815cbf6GN9KHzL/p+dMm3nbP2diHAUiPrewmw6od/v0Wi0UEAcRtSSBN/3hG/UrGGxzKYzCmt4+JFHuHz5MvWoztHxMQc3r1MUGQ95Z1jZWOZe8wHXnr4Dv9nm3aMj9nZ3ufL665w7d55Tp06zsrLC9qlzzj9Q7KQef/wxAOdaIJSQNEsZDgdMJmNGoyHTmXz+LDcMRyPu3r1Lr9ej3+9z79499vf36XQ6bGxs8swzzxDHMU899RSTiZjfe55HLUnYHI/5gd/7Kuf6Q5bc5OW7Hd04ZKceM/M1v3t+E+N7FGnKSCtutmsy7kSE0VgjljGF+IAEQYBvF2gIJxocd71PrCPz51aeE/lnrezcygoqhgOo+X1T/ntVWM6fO1eyLSDy7z+UQwpPovpqoTiU/k0sfqbTGftHxzzY2aF73GM0HUtz6ZJxykKwROrm2dfu/i7HHAujWJDCVLk3X+4CxjWVZaJFmqak3WMODg+J45hLly+xsb5FrdYCJeKW27dv8s47V/nmN19lf/9Aove0rjj71lr6/R79QZf79+9W0X5FIUk/xor/qq8lZalcY+RaKLa3tznVavPUl15HAd+8eAY/CuWaO/WzLTRay/s9PpbmrNFoys/Q5XmcVsbbizQLT3vMnAF1FIUcxRH/wyc+wk/+5iu0J/MUlv/Y8eGTJ/IMa0VIECUh49EYq8Rvpjvocv36dQ4PD/G0R6vdJopi7t+/j7Vw7szDjFwk2WzWcLyRKb7vs762jvKXSNMZ6SxDK7Ex8bWP8hS+9mWUmRVobVH+fPFrt9vC+7KW0WiIscYpMD3iJEFpRZZPxQjUE/WJ0pDUY8I4wBrhC0wm48oUEHc7B75wXdbWllnqNDk+7opwIsuZTCaMRgMm07Fswo4jcfr0WQ4OD0lnOfWaj68VYeDjK0VQVxS55OXOz2VHQtPdQ9gfDFhZXSGKAtJsxngoY6c800SRRxL5ZKkhLyZEoc9kPAEsOkmq0ZusFT5gmaYTTAFhEjKaTMRd3+QoT9EfDbAedDpL1NVcbWi8giiMyJw4pNPpcHBwgLGWeqNOmISsrq0wcgtuq9EgiiNwm0qRF+SZxAuVxox5lmOCAus7jyJdBs5LfFwSRpXqWVaAeWFiXGdq3HnytI/Sc85lOQqTZkf6Pyn4FEaXiReiIBalqq1+R7vCD0c2B8ooHK18lAfa87F4ZFWc0cmRsES8WaySmDNsKcIoeSLeiRGIsrpaeD0tUVZaacetsY7rmGJMQeZa+Gok6hA+HHfQ11rsaFxBxYKVgYBA5Yy05Nop17kLIlr9m1NxyQ/Ri0McSgWdFN9gtQdFuVWoqsk4+Tp6XtS5AlFbJfxtadO4sXKff/vUb3F17SbnHmzy/b/2MXFqt+J91my18HxfTE5dOkDg+9SSRLyeKI3Jx9RqTfb2DllaiXjxpedY39ikKCy1eoMwSdzmYDFkLC+vONVgQZ6mHKgeXdVDWcWpyQZgsEUuzYJCNjw3auuM69TThFEy4d4/T8lHDkU7V2BWoT5OuLz7ED+y871c6J9m9XhJPNDcpfB8j63tDf5gPGJvb8T+/iH1eqPadINAnO/DQFDyRr1Ju9XBWCM2II4iMZ1OyZ1Zr8Xi+T6+r/Edh3GWZgwezrnzN8ZYHx7fvcjnvv4Rfu57fpWjz/Xg/644859F2AOD0ZZZmhLFwoOtJTFJkjAeDvA8S2epSaPVIK5FNNtN1jdXiJKI4WDAV774JV77zncYjIaSREBG/7Ee1HHjRLCF5cH9HXw/YDKZ0ev2qdXqfO3r36pc/9fX16nVElbXVmk2miRJjeZSh1ZrmdXVZZkyeAIsABRW/CpHoxF912S+9957HB0dc3R4SLfb4/r16zzzzDOsrq7y+mvfoX/cpVGvc9kP+eHf/gPWHuw5ROuDOEi59nRmKUtTGak9u9utvj71NPcaMVYpvnhmlWEcUijFW0sN4qVlaWZNmRAiY1WlFcpTgoi7Cqx0iVTgiidbrX1zKM8uGPpSjWArRNzKN59kbZS0BxE68X607kT/bBEjp4WC0a1qcjj1JqJQHgwG9I6P6R51XV6xh3GocTVFViXYoMT7tYzYYsEGyboh7xzc/+D7dOKRUkUrI1JNPU7oLK3QajTJs5zu8TEaXzwRd3a4evVtDg4PqNfr1Gp1od9oJWtsbvADv/psACUnUsRy7hph8J1XqKdsJeh56snHWcGj7e6LfqeB0nODdtnfNGmaM51lAiBZ8bDMckNhLFmeuwSOokIZpSF2+5KCMA6ZzqagoBf7ZN53MX3/I44PnxU7ndDvDxiPJxKpZYxUmAQc7R3xxjtXGA5HRGHM0tIyvh9Sr9cFhrShGzN6jEZDUDBLp/hBjUazTlILOT4+YjwcEegQowz97oDZdIrn+YzHghxFUcT6+nrlZdVoNAiDZuUPk6YpM+dl54e+IHHaoguLIadwG09uCgoj4c3NphDnC+conucZpXeRjMtEPdnq1FCqjjXSSc5myyilSWcpBwdH3LhxE9SEOK6T51acyDEop2TSCpSnnNmjIFBhrDHW5XT6ijxP6faOCQJFvR4Rx4JwDQYD7tw55uhoT8if1rKxvsFyZ4X9/V1sLIu7xPekFHlGGMYyblOQNBMKLRYtVgkC5HsBg9EQ7XsEXiidU2GwWYr1FEWekmdQqyVEUSzKYs8ShwGNeoM8l+IcJZ2Vcg+rRoqUPM2xhRst6oIwjNB+KeM35IWgIoGnKIpMRgkl8ugO2RtcAaFK1aiHUg7aV+LBZkyByhUGCZTWXmnE4dAhJXFcxnXVpRK1NNP2y+XVvTdr8soWpCxafK2rbFpddrN2vnBWa68txx5WRuEElMkNpkQwjRGDYzdG1S55AQ+yTEaAhSnIHZ9FCMSLhspSmEVRMlcs5znl6Jeyyy87eut+Pm7k6xb+Oa7pijHKPcNiKaqibl7cCe9RVLXqxCJcpl/AHFW31esLT0cZhdUF39l+h//ms/+KXjKkOarxp37jk5heTpbn+HGEHwZkpqBAVMOzPGOapgRhIF5oWlHvtKnVEg6PuqysbhFGTS5efIQiEx8t7UnCQxwnJI2GqIcVNGpNIj9kNBgwmQz5Kl/lVnCboPB5+cGzaNexl3Qno4SBGGh44vg8/7tX/5f8oxf+OcNHJ9Vn10bziZtP8Ve+/sNcsueJokjsS5yI5lO3n+HfPfabHDSPuP/kLqsrHSaTKePRlFkq17vMFC19ypI4ptloiplpImKmdmdJ0GEj9kzj8Rhlqe6jLJsxnowpyNn/GzmTiwWP7V3kv/jaT9Aa1jn9yhn+0ad/hoPPHXHnpwZs/J0YPQKVF4wmU3Z2H5AkEWdOn8X3DFpnhDEYO8X3QtrtJn7oc+XKFW7evIHJM556/DJRWKPf67NztFPxVX3f56UXP8HQ97h95y77+wcMh2Pu3n/AdDrDWinUkiShVotpNhvESVyZtUZJwvLyMmvr6+jAd+rLnHqjQbu1TLPZotlsst1qsrq+xvapU2IpNRzy1a98lSuvX+Hw4IDN9Q36x8c06w3O55bv+7lfYPXBDvsrS1x5+aMcXXzIJUNoAj8gzcRnsyhylu7cZ/Otd9BZytYb11DOONw3lgu9MQp4uDuSNQ7YqceENeFwv/fwOV69fJ49LMfHx/RGQ4Zpn7TI8LQoW8tc58BF5UWBS1xSJRI7vxFNhect8NIo+yYlTgU4mo5rNnXlFOBENOWzuljZWTBkFDal9F8USzHnLWrgwu4hz9x4wOunN/hK5JNlKZ5WREHIzNrKeNyUjgTOsDvQwn32HSpVpjeUXHCNxNBV76VEJRfWlTKpwmqFwRIozdbaBqur6/SOehwd3+bw8JggCJmMU9I0Yzgck9RiisJUWaxJTehB3e6xs61J8SvBjgBH0jwLSpoXGamnSGIJG9hYW+W5Z5/j8ccfZ//qe/M3aOWT53nmLMLAKkOapfQHfWbprBLclVZU1ootmbWle4F2cW3I/hVo/NAnKzJym5JZn912k9XhH44uv//40IXddDohzWYMhj2MmY87wzDk3EPnWN0U409PC4I2HIxpNJu0Wi3u3n/AcDigVkscb8tnMkH85PKM4XBGr9dnMhoRBiGeFnRLAqxdkLKxZKmY3TbqdaZQ3SzlSC6MIrTzrFNaOZWZIo5ipxY1Dg6WUbIXiFddGfFTScWN9C+Zc5avueSIxVFerZYQxwmmMLTabdbW1jg66lZRL6LWk1s09H18zweXeZvlEtfk+T5BGBEnAUm9ge8HeIGPRXzw8ryg0ahTrzc4ONinUvZ44pkmESjCo1NKOXGH4za+jy9Rr9fw/UDQGGWZjMcMBwNG94Z0Wm1qiTj6zyYTMAW+UgzHY3rHSsjsec7y2jKj2ZjBYECS1EhnKf2eRLy1mk2a9YZTzw0pHMIlRtQFKAgyUSTFQYgtDKHv4/kuG1LJGFNpXXEGK98lwFda/PgkaJSyKy196PK8dPf3sJkrcByEb7UVM1+H5ElW43wR0UqQPmOtoFFlcbYwQig5M9XzXKFTJSpGNZI8ce6d7Ymx5kTEWzmimI9WpLmwRRkNJVFVynJixFwGfGvUPAnFFXHWmAopKZWv5SjGuvzbclkvicvl55i/5ZM8xkV0svzeCln9I4/59lGex1mQ8buXvsG/efbXGYUTloZN/trn/yQbb3UYz8ZoT5SPyo0rZjMxgB2Px6AgCqNqbK09zcrKssuP9QmCkIODI779rdcZjIbkueH8hYusrq1x/sLDrKyu0mw3iOOIMIzJgozd3X1u2FvwmLtUbp2RpI0FeVLpX4ji5TvPoo3mq5tXZFM0lo/uPcmz9y5TLxKsFo7q4vlqzepoq8i9gvp2gzNnzgIB4/GU0WQmnmnOyLTIC6E0FCPSNBOPOV/TbDXpdFouelEKIs/zUG40nuc5WS4irzTKOP5UChY+cutJ6r2EWZ5y6eAh/m+/8Z/zs4/+Mr/9ua9g/rHl4b/fgLGqzne326XZaLK6soLveaSzKWHYRGnFvTt32N3fY5rOOHf2LE89+QTbW6fQKmA6mrBzvMPPNH+JI3pkWc5bb73Fheef4+Mf/zjWQpoJxea9925w69btyjsyTVOOjo7JclEACwgl48x6o0FcT9wERhPFEWGQEPghtVrCuXMPUa/XaDSabG5u0Gw0eOzRR7FFwb07dxgNBlIATmd837/+JVYf7JD7Pt/49Ivc+thzhGHohHZ+lV07dvdr7/Q2N1/6CBQF9YMjfM9j58EOd772dZ557ybNep1n9o6oZTKq2x5NUSMZl63uHfLk61eZORXu7653uJeNmeQZr7QTRp5y1078DuMooFmvE8dR5T8aBKVSUp5fY1wove+frH7K9cehPihRl84fQM1iMop7POX+tUbaD6uc2lzTiGM6OuTCtVskgzGf+fY1kizno+/dpvHcY+zWa3R7fWxezJc/t8ZrT1fK0rqzK1vkhC70fxWK94H3Vf1RiyjOqYpxnz2MIw6Pjtg9OOLwqEuS1NnY2GR/75DRSBKoPvbxj/LYE49y9uwZPE/GpGma8uDBPfb39xgMhuzu7rK3J2BJq9WqxqRh4OErsR4KfJ9mq8Xjjz/OM888g+d5DH79d/GKgmkY0FtqVVMjoR8ZlPIoTE6vd0xhcmr1BD8Qw3r5uq72trKeKK2cYmICzyf0A7CWdrNFFEX8wTOPcnH/iPiPXHPnx4cu7G7euk6j0SAIPFAGP9Bk+YzxZEgYBdRrNeIoceR1WO6sOpWIx/KSjBzBMp6M2T8YuAU6cOZ9E/b39lzR1qBZb1CvNyjCXGJj2iKqKD2c4jim1WwJf8751HjKdxEhyqEigrjJQi14hbHuIarHKCvK2gcPdpxx4bQiNJbcQK29DwSJl6KA8t9zcjyt6HQ6dDpLjEYjhsMRRTF19iCQ5RLVU+Q5mcvnG40nVTEahDFRnKC9Uq0rY7ey2BQp/xJHR0eMRmOCRgtPexwdHREE0uGKMCIHSq+2woU2eBQmQ3s+hRFjTc/3CUOfwNMUqSB8SkEUeEwzKGYpfhgSBwFxEKAs4vjeH5CR43s+y0ttcOez3+uTpjnJSoKythJEgNssC8N4MCLMCzzfw/g5qSt2kyjC8wOU9siNWAlYx4ebH45A7DZSbE6Rge9rybJMM9KsIIwClPKF5OzGE6VCtOR/FIVZkNM7OwGtKn+1Mudw0aRYMfdILBepksxuzQeLoPnvBvBkPu5sDLC2kvh7rvixzq6nNKm1VhIljMPOShHIZCIoUb1eJ4ki8kw8E9//uiU7RkQXyCiy7IZLPhy4Ucgc2yzHRiJkmXP7FgvcSsm2gACc4Bx+l0MphfEM/+OLn+c3Ln2VRprwd3/rRzl1ewVzt+BoJAacdRdMXliJbSpzVYuiIIoi52spPy/wfdbX12k26viBT3/Q58aNu0wmqZw7A3fvfpHReMLm9imefvppnnzqSS5cPM/yyhJBENJstvFHwfz6FU7tuCAgkWmVrUb2SsGLd57mpbvPyiZl5Gtf//YV7j7Yo8y5LFEJay2TcEp2vQAPrt64zfRgSHcyQykP6wtatL26ymw6YTIaM5tOmY4nzNKMWdZD+5o0nWFMTrPZIAh8t3kmTEYjxqNxVRjmec7gh3KyLUM9TXjp5rOVXYoxhpVxh5dvPc/vXvoq488UcMbDvGWqnMzBYMDdu3eJwpCNzU2wSE53r4+xluWlJc5fuEBnqc1w0OeNK6+TTiVxIGVGcVEEAsYa7t65TVav0esNWFtfZ3l5lbNnz/LYY4+zt3fA/fv3mU7HDAZ98jwnzaZzKxg3cu73+/RHA8IoYjqbMRqPwEqTVz4LcRxz5swZHn/8cc6ePYs1BVEQMBz0CQOfc2HAD/3cL7Cyu8d7H3uO+w+f5+5Tj1aCqNIkdi4Amq9dIAlFd0PZf8JHH+VwOOSnpmM6nRaN9TaeKfCynB/sjWk40c/D9/dp371P3Xkd/qWBIHsGeHlY8Fos69S3k5DbgeVokjLoDwmjkNAhlL4vwr8wDEAZtCfFbmDt+6hDWrh4jvpRUt2sEXsU0CeMz8v4SqUUpoDcSr67Go1pRQk/8uoNHrt3QGMwQgEzrXirlfBof8Kff/VNfv+Jh+i3mxwcHIn6132ushCqOX+4YD7GoLR6en9hhyqfsg+sGrLOKB9jnOF+EOCFIb3BgOPjHrO0YGNjg0cffZwzZ87xxVe+xMHBER//+Mf503/mR1ha6bCxsU4YhWJPYwouX34YEH78cDhkOp3RajWJ45g0TWXvzmfMxgMODw8xxrC+vs7a2hq+H3Dr1m2W3rhKkBf0lursbq2ic1FNSy7vDM+TvON+v0cUhU6wEbv1wDi+ub/A8bZVYbfQYVf3dxLHvHtG8W8+9yJ/9Y9caefHhy7sNjbW3Bw5YzodL2xyhslkTGgjqbB17nhDgigYY0iSiKwQpKGuBXoHxd7eLvv7BxibC5zq+y46wxkXukWv3FjKYPmhQy3yPMcPJRUgL3Lx2rOlJN4pkCyuADTVhuV7Ho16C6xmOBrh+yFJUnMnegJoWq2Wk0GbSrlSdnYgKEqWpY7gCUWREgYx7XaLer3OaNwTRKkwmFzGTJPxmNFwWMXg1Op1UcGlKQZxfNeejzEFWkOj3gSr2N8/IMsyhsMRg/6QSWPMqe1tF5YtnkvyUMt4MQwCgkCMHY215CYnzebJHgC+51GvxygM6WzCZKxp1uskYYvZdIZF5OUml7ipwPcY9Pt0x0MC36dRa9BqtYnCGK08usfHzKZT6klCzcHX1lom0wn4AZPpRDhWhSW3hZg8k83PqZaw8iyV0aqny7JHLqIxjstmjajHrIwX5DP7VWC4EHedVYLji1ltXeqELM5lM1D5j4ETTcg4o8glTkzMiefPgF5AEmGeCrL4KH7QLmBu0eNrTyYrMlkWpMaUFIBiITlFVwVMOfYVJW+tes00zfCMrYKiT3DdqhvfcfSscGCUMlQ6NKWd/YAgqsqNVkUkYSs/vMVj/iyehAtOoJRKlYSZE8ebmzf44oVv05gl/Ke/9xd48sZF+r0Bx+OBy1zWVTOVplLMjcfjuVo5SfB9nwfr+ywHGR3bFkK879Htdtnd2aXeWOKjH32Rs2fPkWY5B0dHDIcjPD8gjCKuXrtKr3/M5cuXWO50OH36NFv9rfkZc4V3NZxWUsAWispItSzalJLTWy7Ov/XFr/LKV7/5gc/9/uN3+eoH/s3TmvNnz0ruqcuCHQ2HdI+Omc4mGOQeOTg4YDQaVn6Q9Xqd0WDAaCCJNhaDF3hMPwv4cHHnDMtZuyoCygbh0s5DnD7a5PbKA+7+0AGnXm9LM+s2G0HkE1HK+h6F1tgw5MyZM7TbbXr9Hrdu3uCNN69w68ZNPBXSqDfp02f08ghVAz1VTGcz7ty5w9FxF331Ko1mi7W1dZ566hnOnDnL1tamSxAZyXpepEwmE9Is4/DoiOPjYzEgNjmj8RhjjXC1U4PnGjgUDIdD3nzjDW7duMnLL38CrWB3Z4fRaEir1aS1s8fazh55GPDa936awcYq8YId0wdEV2rRXUC+b3dXLGAuPfok585f5Nq774p6F432NRmWn7t0liCJOH3qFJ/80T/H2W9ewdy4Re+4yxPXbtJIM7CWT/UnfKYv136ox+z4Hr/bkLUYNXHNlrMW0sq9v7n1i9ZelWThHjrhBCtd2WGV97OM+MRZoDwkAnFOe7EYsjTjxd0u7cLSTHOUgmktYRz5/D8ub/FgZ4f/djAhNAbb6zEoMoLQh2JuuaSdsX6jXiMOJU9c2wVSTImCl+8PGRN/t6PkAlu3fhWFo7KgOOr1KApLZ6nDqe3T5HnOt771Tfb397h06RGef/55Zy6s6PaOAQEBPM8jz1Nn7K4c0luv/C1Ls+DhsM9k2KfVludiMplw9949bt++zfHxMZ9cuE9838OzoqSWnGawVkQ/s9lU/DTrNbFeU/M94yTlyFZ2RlWx5yg/oWs+lNZ869T6/+8Lu5XVJbI0YzKdCAKkLSiN7IWOM4AsUp5DV4TQY8jtjCD0CcPEFVmW4XBMluWua4rZ2NjE05p6rb5Q1UteZaA9GS8WhllWGiDmkiVYbkDlS7pfEkwsNitlUoS1lomZcpx38X2fWr1W+bWVweFJoohjSRooO93yIQcqsmOeC5ev9KKRtzAjCEI8T9FstaqHp8gyZtMZURiKe3s6I4ljGs0mKEEOJ7MZUaSJ4oTd3R2yLGN9fb1CBq0VXlyWZYz7Q3Z29qjXEw4ODjk8PGRtXbx5PC+Yc8OUrVIL8iJ1hWiBKQxJLORszyaMh2PG7mZuNhoEYUBJjM+N8JyiOCJuNRjdm3F0dEQS77Dc6UgWYhARBhG9bh/PQugc9XH3Q7lABkFc5bcaV2zPsoKssGhfFMIGUU8qx1NDySjeaR0cSuYKKGvQ2gr8r6Ww87SujHBFrWqw2pIbMWbGjTAX5hSOd1j+k5JxvilNeKmi1d6/2FvHL1nsskpVtcD6BltIoeB5nuNBWkGTlYhKZrMZ0+m0ioxSSgpKayxWGZcRbKsxbPnnIs3QhSUKQ+dfd7KYsgj/r8TwrCnQnk8YBIRRSGYEAVQgClC36Eg27NwOZbGxKj+fmPGeROwWv+f9hPQr2+/x33zPzzEJpvzPv/0neOrmRabTGaPRqMp9rdVEhZk6kUBZjJRoShzH3N8+4J/+yC/SzGv8g6//BK1JG9Ds7e3z4otLfOrT38Mjj1ym0WiiPV8C6dEo3yPPC9559xq7e7vcvnOLKPCIo4DllaUT71XNS1/HjcQR1EuOU/mZ5e9KCSn7A6MxdzSbklNZFAU7OzsfKJbLnzwcT/C0TxzViGNotdqsrKwxnY6ZpRMGgz79QY/RaIRSluHQc+bGIs4IgkBGYb5m8jFBhy4ensFPPccrnRPFEx3z/N4T3F59wPjjOaZpyVO5F33Pp8gLrly5wrPPPUen06FZb+AHPsPBgIP9fa7fuMFx94hWp8nDDz/CdJwym6Zcf/ge3aU+rT5ceGWddxsN0jRlOYxYXlnh8OiYa9eucefOPR566DytVpOVlWV832N9fY12u0273cb3fS5cvAgI1xSthXeZ5wyHAwIdkk0ztNaMx2MOj464efMmX3zlFb7+1a+xubFGOpsynYwJsDz/lVcBuP74Jbpry0ROrbl4fy1SP8o/l/5inucxHA5566236SxvsLa2TpI0mExmeL52XmQOlMhyHuzscv3UEb1nn6B3/jTvXL3GfxdY0kmGzg3/6YMDXhgJ+t4uDA+nOQ8fDb/7DfT/58MCr660uP7QNt1Pf5yRydg/3OOeLrjRtzxyNOCHD/u8fmpFuGmFJUaJI4CCIPAIfA8cV7VsTMXC72QZZylj6z74PmRSLAif53mComufrCgI44Rkqc7G6jpFbnjzzTfpdntsbpziM5/5LOfOnRP0LCvIC4mOBMVsNiGKA5JajNbiVlGimkWR4wceni9NZOh51GoN7t2/x+3bd7lx8wY7Ozu0W21K82kUaC33jB/IdC9Nc4rcOK6+KFujKEQpyWcvc57L+wuo7rvyz54SCk4SxYRBgEaAGKM/DAVGjj+GQbEvEGKg57mGShOGPlUMUzUuc0iGkQ9jdUGt3mB9bZ3BYMTe3j7GFLTbHbQOXMUck2cZnvalOjdyU/ieTxTKuCTLhHDveRL4nuV5xSuokDrrRlCA7wXYXBbhPC3Y293j/oP7TCYTgiDg9NnTAnl7AVEUU+SCaASBz3A4YGf3AaW9wmgki+X6+vqc37JgwVEu8tohUF4ZFQIoz6NWrwnH0Mrn0Lhxm7UYG5EVBfV6nWa7Q5aljMdTtrdP0ev1mE5FQZwkNdbWGpjVgr29XUCxsrJKUeRVXInn+WjlVyIJi0SRB4GH1gGa0CETyvGujMT2mIDReMRwMmQpWZbNABy7Va7lbDYlSWrU6ynpLGU8nhBHks6xsrJKOhzgO6Sue3xcRR9ZY4iCEN8PhWfhOtJUZeRu/OVbKvGDp0viMICIH3KLIExWO2EDVeHqQ3VfSI6wdaOpFJQlriV4vjzIpnBIVrl5l6+BM1lWpThAXqSMZipHpeWYvoTS328cqRw3yPM8rMnIc9fseLKZGGvlvRQFJpcxtUYJyulLfI0tDGiDdeeyRFpKRC+KIiI/wM6yOc/OdfhzT8DFZdSglU/g+6yuLGMt7B8fArKxlcT9E7Pn91Nf1BwJ0CfQ1JPHvECWYxJM+ZlP/DK9ZMDL7z7LD1x5idxFaI3HY1fUis2R1h5TF//VbDadRREUvmGwMuYXvuc3Oeh0ObBd/utP/Az/xRf+powMN7Z56RMvsba2wtWrbzAaT4jihK3tbS5ffpQoCTHW8PgTlzhzdoubN29w595NLp5/CNTcW6wcK8mW4hBTKxkU5Wh1EbErz1+J8i0e7Xabv//3/z4vv/wyzz//PKPRiM9//vP8/M//PL/xG79x8pwZw92799jRmsD3icKIKAhp1OvESUhh8qrwL0naeS7qfF9rmvVatfbosLwfBH0sUYBy/FbeP88fPMGvFL/D9FEZ2+o7TnFa5KyvrdNpt2k1xWz93t27+GFAs91iZXWVj37kIzRaDZZWlsDAdCwjrP5Sxi/r36bVh9NnLvHp04/x5ltv4fke29vbnDlzjsFwyL17D/j2t79FGEY0mw08TxFGISsrS5Un6arL6YziiEarRWRj6o0GtVoNVShSp0psNiXNY3lpicODAx7cv89oMJDG2xpWdvc4895NAL71sWewzkC2tLYqYxtx1/iENYdD8sTCacZgOOTq1XeYTFP8IKQwUKQ5SmlpGqcz+qMhnXab8XBMI6kzHo452D+gVxgy3yPXiv/L6TVCIzy459KMZ6Yp33vUA1sWmt6JsXBZCFT3y/saydKPEiWrmnV7orgGzKPGFgGK8mdUaCWWLzRjumHAa2c3WXvkPI9vb7KeRGw+epGnn3ma1j/9RdTRgJV6g3qjThBEZHmB8nwKNxkytpD1u1QDu6mBLp+dhYXFcGIgcvKZwPkyO4W98iCMYynskoRWZ4ksz3n32rvs7R2wsrLG008/xSOPPEyn0yGpR8Q1yWD1PHG+mM2mKM2JzGWY1yvjsaTB3L93j90HErhw/fp1Hjx4wGw2Y319XQzgy6hOynGymNiXqGoB5HmG52mXvhRWz1aeZ1Um9CJAUNKnijwnCCNCp5BvNZpuPVeYpPaHnK0PHh+6sFPKkiTy8JVmwNPp1PkqGRSO6Kk9GaeVDuJW5s1pGnNweMDBwRHTSQpokiR2c+6xBLIXhpmeEfoSql5JDRHuWZ4XWGtOnBTtiZwaV9xpazG5jLg8bVFWU2QFo8GIvd09iqygWW9SmIJ+r0+UxCjVo9yNJH5IMuLevvo2Dx7cd6ahkhdXFAXr6+viV0MuCJ32q4tdbkalL1iWZQSuIzT5fPznLEJRDm5ttyWn0ZrCFZJTlNIi0DC28vAKgpCkJnYkaSbqssNDMU70A59mo1k9qMpDihM0QSiKRk8rlFWks5k8Pb5mludol3SR2YLUyOi4KKxDjBRGWaapIJKdTgdfezIK05JLW2QyZqi8C9/XEWulCYKQvCjQvkb7PnamKCRh0SFOoiTVXlkoU53X3JSu7GBctJWyc8uAyJH6Rbaen+CmhbFB+57YddiySNFucxYjDEERy6LPUmaBlV5L2tNVcSXq2oK5rebJhbb85XlalL6eJ/xPqJBsseuEOIqo12pyP1lLnmZkRY7xNNZBj+UmU6vJgx3HMcpAni0IRkyZIjFvbOYDD4XvKTrtJo16g26vS+6iuRQnGyNB/7wqDaG0ptELiGBFjWF+PquvgcvbgGmQ8tOf/CXuLO3y8nvP8uNf/HMEM80wHTAej5nNZlhrnYF1aWg9I8+zqtPNvYJffelL/PYLX6Pw8upFbjbuM/amtFpNlpeWBLH54he5ffsWWZ6zsrrG0soKH/vYxzl/4WE2tzbpLHfIi4jllTZ3bt2i1zvCb+iFzzUfUcsY3I2vjbMjKIdH1mJtQfkUf7dp0g/90A/x9/7e3+Pnfu7n+Omf/mlarRb/4B/8A55//nl+67d+6wQv0QKDgTRFpW+FVookjIjiEMid4CqnjCssD7FGqblnR5EksRMH5dVnql5nofB/aucSW8N17rQe0P8zKUv/pOReiUDrwoUL7O/v8+DBAzpLS7SDDqvLK2xubFBvNkHBwf4+4+EYhc9XVr/FPz3/sygDf/5fJOysP8wnPvEJtk+d4nd/7/f5yle+woULD3P23DnOnXuImzdv82DnAb1uF2sLxrtjHjy4J4WWNcRxQhhFGGtQnqbRbFFv1klqNWpBjSKTTNmHHnrI7UUT2u2WjKW1xihFvdFgudOp/OI8Z30DzD1A3bO1iNjJfT/n4VaCJe1x/8EOXhBRFBbPD2g0ahRFzr3791jf3qTVqWOKoqLddI+OGA6EU65wRsJKkyI0nS/5Hl9v1PhnKx0ocjqdDufOnREFeBQymYw52N8nS1M87cmoOk3xPL9SiY8nI/qDQzytCMOY2SxnNp2RJHVWV9fY2NxmPJrRH4hF1Xg8YTIek2YZ62trbG6u4fse/cmIU2dO8fHTp+h0WiwttwlCH+3DqVMBSZIAsLIillyTyZQwjGUPDDxym8u6kmcOsSubRVUOQ04c0pt/99JOWQcAWOFx1xoJzVYLg6I/GNLt97Fpymg8JkkStra2aLVaFTo9m80o7Ixerytod78nqVlmPn0JAtmXZ7OUNJ3R6/UYDobs7e1xdHDI8bGMcZeXl1lZWakSTZR+t3pujTWSH+y48Z6nGWVjhqMhSS2h3qgxz/S2jmalK/U4zOkcs+kUU69Xcap5nlfTujiO/6Nc5sXjQxd2QRCJatNV+FqXmXiS46a0VKdpljHLx/hegPY0xuZYa5hMJqJ8SguXzykO0FkuaQ5BENLrdpmMxmSZodNJ8Hwf7QUYK5t9mk0BWxUpFuHWWQXKE4Korz2maU6vP6SW1PGN55yfPcocwtXVFaw1TGepWDAUlv5xH8/3CIOAdJISBhFbm6fI0oJ+v8/KilgOJHGdeq0pmafKEIY+aSrjycJkFIUUe7VENqudu/doNlqsLK9UCkkwGOXGXEjFbwuLUQVpYSXeK8k4PDhmlk6J46jq5IwpyExBa3kZpRTvvfcud+/vEMQJ7924Q++4i0aLVcDGKuvra/iBj7GCPlmV4/mA8rBWUavFJPWEPC/w3ag9z2dY63yIfA/PE/5G6AdoFTrFce7MdX2KrMCqEKs9TC6y+WazLapYz0c0LapCrHwd4PuBdLkGsYHREtulStRNlYuBKfGTOSdD4/z/FJ51TnLWujxZKbp8T9Npd1wHVZA7NdIi0lIt5gbyfF4IWVsscMkkfFuhwLf4iS88P0SYUMZrGVN2w75rQNzl9nzQnihcXaFQjpEl27eoCtCyuJHPIlY90hHq6jV838dXGi/Q2CiU96w1VhfYMkTccQNtIaid54mEPqnHKM9QGLHiKEzunOmVWxDnvlqeMmivPPMFpbqtEk9Q5l2KF5WM7uejX5Tln3/83/P7D3+LJ3Yu8Le/9Bfxp5rRZMRwOGEynoHzdypVgGJDk1NLInxPsb9xyC+8/Fu8ce49rLY8c/8R/mc3Xuann/k8e/VjvnXubU6d3ubbr11hNJmwurbOc88/y1NPPUVhDG+89RZvvPEdrr13ldXVVT760Y+zubHJ+uoKBzs7fPlLX+Ldyzfh+9wiZzXGeNIqKIU1GlUYtMldyob8Urgs0JIrtFAQlPfWn//zf55f+7Vf42//7b9dof137tzhZ37mZ3jhhRf4+te/fnKBzY3zMyw5u4beaIAaWeLQp9Vu4fs1N84RxV5RFLQaMiYtbVC2T53hzeiYIVOU9pyloHLv2VDYovq35UmbO+0HsKXBE9pAlqUcHR8zmkycSbHP2vo69UYdlOLGrVuMRiOOjo/ZO9hnNBiyt33Ev/w7v8pYj/nzv1hn7dUX+IPJVe5MJrz44ov8mT/75/jq177K3bv3GE1GnDv3EGtbq5x66BRZOgNr2NnZ4eBgjwf37gEwmxqODvfEW9NKKofn+eSFQVkPrXz8wGNra5Ol5Tb1WsJoPCCKNXGScHw8ZZZPmaZzU1dPya9AB+BJcZdnBXGkpZBAKBulr5mgdSL6W19foxZHHB/3GR4fc+HCRZRRPHLpEcaTCZNRxrkzD/HM809w7+5dDg8Pefvtq+zt7THLMjcACIkjsd0wzoQ/TVOsUWRYTJFxamWNZHlV9lVr6adDJvhE9QQFDAdjJrOCZrNOvblEFMf00oKJ0oRBiAojdJig/JCZ9pl6Pn6rw9paQstlod++dYteNoPQw281aG902N7eoNNps7q6ShSFVcOIVgTOfaFsElbXVnn2ifN84Qu/SuiHJFFM4HmS1IJBl62dsm58uLA2SCdJyW5wvXV1zPsQS0EhNi4aN40KaaYZU+DOwT6TwZAgjNhYWWNpdYVxOmP/8JDDoy537t5m/2CPw6MDjo+PsNYwm06qSM0oUMRxiCltzoqcIl9IrvKkXjh96hSf+sxneeyxx3jjjTc5PupS1ldSmHrYQuPho2yANZZZCtNZQbvTodZoO0TQgtYULqkmcAWbEesO8qIgLwpm0xm1uOZEfpJgMWf7/CF8j+9yfOjCrizo8rygDBQuPd7EsV82UC/QtJdaFc8ozTL8mdhsnD17llargzUQRTFhGEl8khbu2f1797jyndfp93pMJjOyNGVjdY2NtXUUPodHXYzJOXNGuHEoGbnlpnDBKIooTpjMZnz9G9+k3Wyzvbrl5MxNHnvscfr9LltbGxhr2Hmwy2g0pn/cq1R3oRcw6PVRWrO6ssba2oZESRUF3W6XLMuYTFLXiIhSSXsKlCHPZ0xdosXq0jLtVotBd8CoN2F9dVMWVyt+Y74vmaGCdSrQkvuX5wV5Bp4XcPfufVCW7W2Jw5Hg+iFZWLgb3WN9Y5PBcEQQihv/NM2ZDsdYK8hOLRavQFvabTBhPBtgLdJt+SFxXBMRhO+R23Q+YrSK0C8LIIOvFKYoKDLJ0UR7jlsoRYsfhthgTqT3nPpHChkcp6EcFULgB6g8dxCQdgKKuQ0IZR1snRzfjQyVmkP6ZYRXnuVYT0ZQRVGQZzKeCMMAfF2ZES+ONTwnmCh1NkrLi5b8PIDCZmRF6sY3buSBoHFaaXwLqph7vZUPcZpOKQpLEDTwlYg6ymJP0EhpjhY5F2bh85WId5WEgeMYFgVZmlJor+KAOWOXavxeJrKVdADtaYl58zV5kZIWKRYjSUEs/FJUY1xFOW50X7UOzYKqOZEKwRkeG1Wu34Dl3tIef3DhNRSKH7nyGcKJz2A04LjXZTQeO1ugk2uMwhLFIQ/OHvLty+/y5WeucNDqEeYBf+nL38efuPtRTnkdfuv8N9hpHPHO1m0+fe5J3njzLZ56+gk+9rGXuHz5UWLHl734yMP0ej3u7+5w7do1Xv3Gq7z00ousLHXY2tzkld/7HW4EN6rXtyiM1a7QVRXHRxmHHruOez6yKwu7kwuuMYYvfOELXLt2rSrqAEajUSXgOfm5FavLS/ie7/Bj17ZqTRQGrHTaLC0tkaZT0nRGlqcumzJHWUizmSROKMv5CxcIw7fnP9xWMw/hTloXXp9rPnvzY7y28TYHnx1wYXWJ4kFOYQpG4xEHhwesLK+wvr5GUkuYzKbcev07TJ1KuRCPJZIk4aufep1RPOazv6P4nl/6BNc7S6SDO1x95x2youD7v//7eeGFF1hbW+P69eu89dYbhPUarU6b9ZVVzpw+xerqMkV+if29PSbTEXma0uv16fX6pGlGluWMRxOxhDEe02nKZDzm6ttvsbW9zsrKEp6vJGUojrDKsrmxSUPPkRGtQBmXhON5xM0GWZYLHcLzBG0uCtfMem4MKuOzIPDZPrUFxT7KGjbW1phOpqyvruEHIXu7+6ytruO753Vvb0+KutlM7hUrAodOe4nl5RWGwwG9Xk9MufOCLJuKzVToUxSGqSvC0jTHDyLqjRpZmjIay+Sm0WzRbLUd3cmidIAXhARhTBzVCKOM8XhKXki2bq1WJ0lqzNIZtUadFbvC0lKHZ55+igsPbbK81KisX0oyP0AQhtVzkK6tAlCbTHniwkW+tblB97DrzmmOKozUBhrwBWzRC+dfufXFscnmTWD1HCz8XSF7oi1AeQRhSHOa8hNfe503Io//MzOs0iy3mmxubbG6torWmrevvs3du/e5f/8+3W7XTfhUJZgoLchSmzMc9KsCs7pHtDRXRTHj0qXLfOpTn+ZPfN/3k2U53/zmaxgr+5Y897L3+X5EOssZDicUOUwmKUGYsL6xTRTXybKZUydLrVRWhiWnOs9zZoMBGTCZTmkbSxwnDAaS+uR5i5zwD3d86MIOFiwe3IpcRTNZg8arZtelLUk5OhpNJ8zSlM3NLVZWVphOp24zVfh+iBfEwtdzjuvpdEroBxRRVOWWFkXB9evX0Rq2t7eqzb3KUCwKsTPxQ6yFnZ0drrz2Oltr2ywvLXH27Bk2NiQq69Tp08xmU2q1Gt1uV2TNhcHzFH7giR+UEZJmLY6JooiiKGi32wsxIIAqSGcZWS6h5VCg8IVImxV4OmKps0q/PyIKa6TplHxWYNGSCBGH5KXap8gxKGazgsP9I4pM7E60p3jnnWucOXOGRsPxkKYzjLHVCKHVarG5ucna2pqQLQ3s7Dzg/v17DAYDgtB3Y82ctJjRHwzBWtotUWkGfkiUhARJgtayAWVpNleKOfUmVvhrni5RRud8rwO3KeZ4HpXNjVKIuAZZ2LLZnMMiUHhQfskZ/KvKpd+W1hvGQHmfLRQ+5c8Aket7Bskkdl5BxhQu2cLHi/zKCfP9nCMRXISOt4l7+KjQvNLiokQdrXUmvUq+T1tfVGyuYAsj8W4aHY0pCov2xYNKV+TYOXLo+R5KySJRqXTVQjxeJoul73nVtZamWCre9xdGVQKFQ5y0e4/GiHH1ZCK2GJPJqHr/i0Xsd22hv9s68MEJNGiHqLqg8//w+B8wjMY8tnueJ25fYDwW/8PRaETqTMRLVWLoREV48NUXvs4vffr3yL2CoPB54u55Xnr7aT5362O0ajGe7/N9dz7Kl7av8LWN1/nk0lNcuHCRF1/8BFGY8I1vfFPsOZTi+Ree5+GHL/Hw5cucP3+Rt968yjvX3iF/6CzNZpOnn36G23an+gjlSLosbK2RSrUcfpY0RGNwG0Q5xv3g+fqZn/mZE39PkoS/+3f/Ljdu3ODLX/7yia8prTh79hytRkPoK+7Ceb4Q0WOHyA2HiKGqLYgiaTZ73S55LqhvEPjULzTJ4wJtNGvD5TlZwCmoS2Q7V4on7l5k+ek2x40e4x+0NH52zmUejcbU65JhfXBwQG/QJ6nVuHz5Mhtbm8JjSmp8If5Nrp++x8Yu/JVff4E7jRVGg4Eodkcj7t27x+c//3kee+wxNjc3SZKE6zducOf+Pfb2dumtHvPg/l3W19fZ2tjg3LmzYljcqDObpc6hX87TdDJjd3eXwmiOjwfs7Nxnb38HpRztIhUriTzPiaOY06dPcyGuV/dqq9lCdTpMhqI8FSNpGf0ntbjyETTWVIIKoCrOzj/0EMUM9vb3OTzaI0kCptMxq60mTzz5GJ2lJtPplMFgwO7ubjXKs9bKPlfxWQuiMGR1ZRmsBNbn2YQwDMTuZTyq9lnhacVYxP+0NHBeWupQqyV0uz2KwhCFNaIgQquAogBTgMktvW6ffq/nEj0SOu0W6+srNBp1tra22NpcpxaD5wzJy8LL11oa6cKAs6Y6/sHPsPKbr9D49hWe/Ft/jY2NDZRV1KMaJssoXGKOoSC3Bbm1VSM7f8hKxa+qKCnVl6iGtieKvnqjTrvZ4n/9+1/jif1jHgPeaAb8weMPs9RZrnxmu70ub1x5i3v3HiBZ8BFg5R6ygrxKApV2U5n52L0EolrtNhvr6zz2+CVeeukTPPH4Eyjl8eqr36z2rEX1dJLUmRmYTvrMZhOyzJClKVEU0m62aDbr9Pt9pumY2XSGclMWuSdEFFb6RxpjKrufRbHc+1W0H+b40IVd4TyxlGQauYXOiNmq7yxHnMllnueVPUFSq9FoNGgoIaaX3mye5xFFCa1mizCsCYnakYfLufrq8krl79LtHjNLU0CyTZMkkttASwKBX87jfZ96vc6lS5ewuSEIAt599112dh7w/PPPcuHCefIsl+LFbTBRFAnXLQxJklgQOmvpLK3QaDY4PDxkPB5XUWal1UlRdipKs7KyRpYVFLmlKEDhkc5yFAGD/pgb1wUaLoqUra11klqIGk+ZppmMiRGZg/DpMgLP5/HHH0dpuH79Ha5fv06SyAO9vLzOYDDg1q1bWGtptVpVeHg6neGhaLfbHB8fkWaStVl2KgWpZMimKSgP3wvFgDjNiKKIIAhI4tipWcuNzDAejchSQxDExHEohYUqETAQ7DFfKBJwnDcx1fS9gMxx2QqTQw5+GLibVrh2mLJpEB8xVRZ4ZhFXksd+zhcSPpRBoQyUuYJKKRHuWEPkvBcXx2WlardEyhY96irisacdSpZjzAc7JoUiIEBZ7UaxgrgWuWE4HEmn7ekFGxPP3fvO5HeBqP1+4rbWkoix+PdKiWsMhTstwjPVIl6SuSzIcJoiy9BauQ1yWvHk5JxR8RqtWTy3cn7/qAaxKCscdxbchZqPyo1hv34MCmrjiPRI/MjG47Egia5wLYu6RrvBvccO+ZVnXuGNU+9ReAWnu+v84Hde5FNvPIuvPGqN2Jl8L8g2lKLZbJFlOV/4whc4Puqjtcd4OmFjY4PN7S3OnT+PFwY8/PAjrCyv8eqrr3L12jU+8sILPPPsM7x+9Nbip654dIV1hG879/Oz7p72vEXrl4VT8YccSZLwj/7RP+JTn/oUP/7jP/4BroxC1IQgCu8wjoXHbAWd7R8fufM1z6NM04w0nTGbzqq4PK0172zeYr9+RJJGfOzeU3JPlER2qNBfUxS0RnXOHm1ydLpH+hKs/84G3f1udV2CIBB3gcCns7TE8soKSyvLJLUahTHcGd3jn5z9GcbemL/675osJ5+j/RFZm65fv87R0RHT6ZTj42O+9rWvsba2xsWLF3nyiSfY2Nrk7atXOTo85N7dEf1ul+7BAa1Wi7W1Zba3NkT0ljsahJJkiI31dQbDCbNZRrPZIC+WmE7Hcs0Ki8LDFBnWFNTiiOVOu7pHV5aXiFaXSeszN3myTGc+1hSEoXh7jsZ9fC8giSXRJc1z0nTG2toq9aTOoDekP+zSaCRcfPgRNjY3WVpeJc0yesMuST1iY2ODCxcuVJ9fRq4ZykbU6+JpNplMmYzHBIHHYDBgbzfFFlMmkxHdrjyzSZJgjExnGs0mw9GA9lKb5ZUV6i4xaTKbYqwh0CFxUAMUWZozGws4EgQhqysrXHjoLEtLS8RxRGepLbQcxF8TM5UoK0563HludGiVIs0z9s9us312m9qdB7R+/XfoLC+5iLgaRZZjwwhT5OTGkJoc7bKtjZX7zTjEeA4Ova87VOrEslMYg+cHLHWWaDbqrIxnQhMBXtYBr7t7VEzM73H//g63bt1mNksrDluj0WB7e4tOp00YBfi+UzoHHtPZhCxNWVlZYXllhXa7zdbWFttbGzx09rREloYh71x9l3fffZfBYCD8e78smxRaeXheIJSkfCaG/Qq2Tm1Tc9zper1OXmT0Z318rUkiETCWQBjgrFhy5+JRVJOuRRHPH+f40IWdVa6i1iAqWAAP5XtVgVQuLqXiZDgckhc5XuBhEQFAo9Eiz3PHQ7Ls+/tMp4bpZMpsMiXwfOIwJM8zsiyj3mgQRiG1ep00T9ndfcAsTQnjQBSYhZwgz41GPM8jCXyeeeYZHrnwMJ71uXf3Lvfu3WM4HIq6adB379mSJJFTs2hnZSLozHA4Yjia8Njjj3H69Gl2d3cZDCRloSw203TGeDIgDH2azSZaeRSIGXE6y+n3R/h+wMHBMa+99jqj0YBZOmZlpUNnqUUUx/hBxJmzZ1leXXMGxZDOUkbpkFqtRrvT4tKly9y6dZPhcMDt27eZTjNnBG3pdrvkec5wOBSCZWGoR2IQmTvj4dIR25icMAqo1ev0pznT4YTYDzF5jskLPBS+0qJgVZq8EEuSzBaSWWANvmfxdWl+W0BhyAuD1j5KF0I2d8WacMLmk1bPEyWzKWw1CtSeyy+1pY2JxI7pkpdhjEOohHNW7qLVuFaVvLBStTlfLMSj6iRSt+hdVQo8stScKOqUkrFxlmVESVB971ym7jmvOYXNFXkmHoTWUnnShXFCnhuyTEbNlcLNjbDyoiBPp+Tp3F+wNEudF3K+KygcyVtrQRSMxVBglRRIyiiCoFTTOQTO5GA1Repi1pQiL7IqlcRh7oCz5HFpHm69+u5rQFncaMepK595JZFC1YJt54rcPM/p9/t0u10pVh1KK9ZCCXbL47//M/+Wq2dvk3oZYRHwl7/2/XzPtRdojmsY3AIYBAsCjrLghaWlZSaTKW+99Tants/y8MOPsLu/j1Lwne+8jlIe26dPs7wsnf3TTz/FV7/8Ja6/9x6XLz9STRXKH1sKaEpei3ZwbWm3U37foqb6jzppSZLwUz/1U/zYj/0Y/+7f/Tt+8Rd/8bue1729XTylyYoM5VSRhTGYoiCbzpBcSbGqiePQjYSNPLe+hzwa8yxOlNAaysal5EeWwjcQb76P3nqKb5+6yq1n9/j0hWfIJzmTiUwgxJZHkFg/DMiKgrfefpvReEx/0OeX/9Rv8kbtKp/4kuKZ332RX1dv8mzoceHCBdbW1rh27RoPHjxgf3+f4XBIu93mm9/8JqdOnWLr1Cm+93Ofo9ftcff2TY4ODsWMuNvjxvVraGuYTKf4foApxFDWGmh3lugsrzIYTZhMJpLSURa95WgrS12DWZxoND1PEfgaL4mrfw8C4WCL64PPql2hMAVJEqO1uEFEoU8URdRrDRr1Ok8+9Thnzp6l01nCD0JW19fFjzO6SG7Sijc7m82q6UCW5ZhMYwoZ54sZ7tBReyR7fDoZVvZbg8GAd999l8PDQ6bTCYeHLm7SF4N+P/AESDA5cRJTT+p0OkskifgbhmHoPNTqbG9vsbKy5AzzDcPhgOlU0Psiz9E2pV6LXJJSccLXr7CGtMhJs0yiJuMIZS1xf0j71FnGk7fReISOlmOMwqBRSoqdzBrJ2c5sJWwQsaX4dXq6NI9Hmo8FsU95vzYaDS7sH7HR61fUk+/rjbm+e8zvNlr0Rj1GozGHh9IAtdttzp07x0MPPcRjjz3KI488zMrqsojZrHD8ta8YDPsMRyPWVlcrZw6lBBWvxSLgnEwmXL16lcFg4ICf5EQtWkaEWQPj8ZTJeEqz3aLdbOEpJYVcHDEZ+5IipHTlqFCCCWXowngskWElOFWei0Xl9oc9PnRhVypiFo/5BkEFG5abU2kwmmYpfiQ3VBTFBGlIluZ0uz3G4zHj0ZR+b0KRF9RrdTrNJqvLK0LMV0piZxR0ljqcNmfwA0/yBZMak+ncwFT7fsV1sVbeb+SH2NTy3HPPcfHiRd577xppmtLv9ylMQRxHJxScwi3IGI9n7OzscPP2PW7cvMELL7wghZtDGuZVtOc6eBEDSBfiydSvkBi2s2ce4vHHp4zHA3xfMRorHjx4wO7eA2q1GlFN+IJZUbC1fUo4YVhu3LjBq6++yvr6KuceOku9XuPcubMMh0PG45Q0ndBqtRgOh+zv79PpdEStFIaE2ndola1G456nyXNBcMouI01z0plwc5RSpNmMMAyJ3EaaZcIr0yojDUKK3KA1ks9a5HOkxxUTtjCUeaWSBUk1ki1yg+c7/oYpZMTllKXlPaStICbalMWJQ+SsjHRP+qPJ6yqlRWnmkje0Ny+iys3MGINRH+x+RBWqKk6JMuXCIgTb6XTKYJSLB2Mk41qFngOIWGxuHUpbCigsYRgTxzWytKCwBpMbGQsoMdAuUjHJ9Hyf0Jd7r3yfpRWDFHuiUlRKgSfFRIUUWUGVSgTv5FiwvAZSaEeRiF9AIs20syPQju4xHzbOz61Sf0h1x8nXU6gqFk0iuUw1jgVIMzmPtVqt6kqzNKXeqBNeSPiZH/kV3j51k6gI+ezNF/jh73yC03treMbZAGhN4Plzb7n3jXbq9QZnzpwTL8VQYv4+9tGP0lla4rjb5Zvf/CZvvPUWly5d4vz587TbLTqdDg92dlhfXyVqRNXPEgNUN55xZ8RYQT9xsXN/nCOOY/7hP/yH/NiP/Rhf+MIX+Ft/62+dsB2pzqi17O7uVqinDqQbEksphbYyTh+NbNUk5XlOEHi0my3qdSlOy/ti8dBIwokofOcJLsoBrR+7/RT/9tnf4LjR5/anDtjYXZUw9d1dyeu1luXlZZTvkT54wNHxEYUxHG32uPLMNbSBv/Svtngv6TA62OdrX/sa7777Li+88AJPP/00S0tLfOMb38AYw4ULF2g0Gly5coXrN26wubHBxYsXeebpZ8Ty5c5t7t+/h+fVmU4GWFs4nqpHo9Hg+KjLW29dodlZZWllFc/TZFnqCgAf8KrnQSuqhJeFEw1YlDbVOajV4xMIUrvVlGugNPGiJYYV78+1tVUKY/D9oEQ5QGXiqWqkYSk34dKixlpLHFls7jKQ3HXyvG2MtaSzlFk6oSjSqvGcTMY8/PBF7ty5LepxDDu7O4zHY1rtBo1mS7jca6v4fkAjqrGyvMzW1ibNVss1tMbxA0XIKHSMKcNBj8lk5MAMSRbK0uwDXDOllBR2WuMFAfWkXqFVVsFgNOTO3Tv4+CRRjDaOO+z5WK3IraVQMEszZz+DW7PLBA3wPFPxld+/5lgLkS+xn/XBhLAwpFrx+5srfN/9A/7k3T0+30i4e3jMdJpS5IZGo8758xf5yEc+wvb2Ng89dE4+Y9XYSLMcBh5nz2wLZ9ylEXnauGhNw2w6ZZAO+OY3v8WVK1ecrZgUpoueoUUhzftkMqV73EUpn06rTaNWI/B8sBAFIbUoJvKDEx6v5eeV6WXExKUIzWazakxbfv1/MsQuShKHUqVO3itcDeNmwalT+CwaPpZ/xhM1VhwnTMZT8jxnPJ46NUpGEsfUkhrpZMrx0bHjFElEWG4Ljgd96hOxRFnf2uD0qVMsrSxx6+YNxkNHQnQEQwNVR5TPMqyAiRhT0Gw2qdfl5lTGxXchtiSl4bAfeDSay7SX2kRJnTt37/HGG29w+vTpapZvTKlQDGi3O0RRUFXVCov1NcoYgnqA9pTI1x30a8k5Pj4gz2f4QYhV0O322d29j/a1k6dvOBGG4bjbJXt3xrlz51BKENGlpQ6gmM1mtFoiVOl0Ou5zGmbZjOXlZdI0YjqdOP6AcsUS5LMCDx9rJXtXhEuWIodeKlzCRqNBoSSxAT8gjmLyNMcWObmR75forjLfwSlBC3c/qLx6gMMwxBjJxEyShCDwXKcjRY9M95WER8stX4XKa8fJcNoKx3OyC4uxJFBkLh/Wt4JcLR6VxYxbrObWGi5qqSg7xnJBVlXcUF7klOS7otR5qLIgksJOOltJO8mzgsLdG2Jfo/DDUGLljKnCn7NJirIFtSgicPyORcNKiWKToksp7e5T5yyPRISV5sWouXcVSDFtKchSU3kmCfAkHWvhYobk78YpPMtq9T9SvFTI1Zzjp6yghtqURqTlSBMOlrv8/me+I15OSs1j0zqKrz3/Ft3agNODdf7el/8654+2ycYzMpNWL1eKDbQqC7sFbqGVz/riiy+xvrHJdJLR7w+5e/c+vh9x/vxFLl9+DDxNv9/j2rV3eOIJiZ3aefCAu3fuMrswq14rL/3Nyl1fK0riSbUh/2En5X1HWdT9+I//OL/2a7/GX//rf52jo6M/9H9neYpG4v6MyR1lwZkB5XNBj7HSXJU5op4TYXHimXDXRTnE0d3vi1ydcqNbSZf4E9de5l89+x949XNv86Nf+0Fu3rgJyBhra2uLpF6THG7P49HHH6OzusR/+9j/i6xe8Ikvax6L/xT7KmdNK4b9Hjs7O/zWb/0WTz75JC+88AJxHPPv//2/59q1a/zIj/wIrVaLX//1X+fKG29w584dLjx0TmgmnQ5b65vU6mLx0u/16PcHgoYbhGaiNOPRgFqjRhDIGGvOefKwtpCizgOJeSoWzofgPcrd63IOcH+WyYYsRmI6XXpjlmi9MTlFkRHFci7yoiCMYrJsBijSPCU/0fjr6lkuCoOH58QrrkDI5z51Ssk1Lde0KIrY3t5ic3ODJEkobM4slT02SwvJ1PZ8KUSMxaaCgslzZoFSPW0pihRjxLfU97SbUomoIAxDfBsK9UVJIVwU8h7TLGOap/TSFKsUGo8Lkwl1YDqZSJxbkpBNc2azVNZCg9glaQ+jFZkR0V7psyiNiYdVvrSttsL+F6YuJ58jgDM7+wDcqcV8sRHzCa1YmaWs7uzx1nSK5/k0my0eeeRRzp+XBiLLMid4TKnVE+I4ot2WRClPQT4doT2PxBV+o/GAo70dDg4OuHXnLoeHR7zzzjusLK9x9uxZ3nvvuugDFtEzK8XdeDxhPJnQbi3RarWqPUYoEKqiOeXF3O6kBIlqtRqrq6sMh2JSXcYpljy791N1PszxoQs7IZmKHLiKZHJjJaUknFe7Tatwij4fWZw8T7yRfC8QlVGWyw1krRjxxXUxrzUW3/MrXkgpQ56mU7I0JQh91tdXWVpewvdlk/PDQBbGNEVrD/HMkDNebrSlqml1bY16PSGMAqdGzFxhKoa72nHo/CAkCDXPPvssTz31NL1eT3iDsXR3OeIELedZzblPdr5gBkGApz16/WOyLKe91GRJtzAmY2mpgdJQq9VRztV8d3+f6XRGr3tEu7XE9qktlpbFrLgohP9mrZArwzCh0RC4PYoiUcM6T8HM81hbWmFlZZnpdMJg6FUIap7lKKCQy3Yi5qT01MuKjH6/7xYnQXqCwBdUwFqyfJ5gIeICSXoQ1+AyqD6vkIkoEtl2UeRMJ2PhjCQJfuCum1PYCXImC8vcQ0zNz7FaYEA55MsaQcSk2JDUie/W2cgDIcpdoYDJYpvOpLArvZiUFhWcfHZ3HUPhW5aqWBwdvYTf53u65MwKwuY5BW1GXigSz6OWxCeEGwrDbJwynY4xYUiwEJ/nO2d8W1A9a4Ab6UgDojyN1fMCWWGwRkv3G3oEfkDhSxaztaUfoLM0Kbvj6vy6D1JucpSI2wKPDvc1pQRJNXOUUilBMsvCxxhDlIZgYX+rxxf+1AdjtAA8o/mB6y/xF97+Ps4eb4Ky5OXry7wT3/PkPi0LWlvBpbIuzWbcu32fO7fv8uhjT/Dkk0+wsbHB177+deqNOi9/8pMU1jCeTOgeH3J8eEi9ltCo17l/7x6j9VH50SqkVCkIPM/VuW6z1yeHr4sF1PuPsqj7iZ/4CV599VV+8id/Et/32djYAKDb7YrAY+HsxlHsikjnqehwVFWeB2cJo7QUeFEUuhxRsabI87l1zvvv/fLX4t9xhbJWmu975yV+5fHfZXftiNsv7BJ9JSSMQur1Gs1mk6iWECUxYRTRWmrxpUde5TdO/T5+Dv/JL5xj5+w56rs7NBsNrh4fkbtpzbe//W2Wl5d57LHH+NjHPs4Xv/gKv/qrv8ZnPv0ZPvc938urr77qaDL3wa0nRZ4RRT7NekyWZ6SzTIoo6SbpLC0xnkmKjOf5BIGsgUlcExQNQ1GMZW03ljybxyjKLe6gyuo6zn83xuIpucrys6TosKbAFjmHx4d4gUez1cb3A+I4IfcURSom21aJVc5iOs0ixWMxLso4e4uqUS2vuhGgwRRlAWoYjQbkpTWRUiS10CUciUhPAWGghd+WTQWZdZZUnu+mTO59YQuSKCCOxIZKK4WyYpI+tzMC5YQemTXEeQpKCphquqUstWaNtfVVIi/GZIYiLSgyQ1aIOZDyfGbWoPTCaLcosIidk7WZK8DVwkozf8a0VtTrdQpT8NDtuwBcb9R4pZ3wqSTge0cpf/FowNdbCY2lZR46d54zp0+jlPhCBm79W1paYnV1mUajRrPZIAx8FBNMMSPLUrrDHvt7exwcHPDuu++yf3BAfzAhLwyT6YxPvvwpVlaWuXLlDedbWwIH1gnTZkynk+r6FoU0InMPxMIVa7KOmcJUhZu1UvM0Gg3iKAYrU45yupPlecXl/+McH7qwGw8HctG1JvQ1OvQrlaIBjI0IopAgDInCUD4cltFwRDqbYfMxjWZDfKu0R1Zk2MLQarRY7qxJ5FYgfi7GGCbjMbM8YzIdMegPaLVatJZbeNpyuL9Lls2YTcbiDWMtvcEAY4wsRFFEq5FgajWKzJJmGUUuBdI0n4A/73zRUlwYt/nnRjFLLdZmTMZT6rUa9SR2xEaxCvCUQvkW0SOUF9mNKd3FNirAGBGdFBRS9KDmKQp5wXQiUVKh57OxsiJqV2tRVjqtZjPBmIgsSyv+yHQ6ZTIZoFRBvd6k1Wo4nz65iVaWlwl8jwe7D/D13BdNLpLC2BlBKAhQ4Pl42rGtTNk34RDVsXu4NPV6gyjySZJl+v0h/X6fbJZXhZ/WYsRrChyPwKOwuSxMtiDPZygsnq9I0wlQkKiawN9iuCZoolJY65IulJhdW2Th8wrlFFSuozYWY7QrLMAPhNdXbn4y1pJtUuWu4Dda/A6NwhYFHkG1slvm5PITSQ4YUAavXICsrgypc2PcCNKhlaagzHEE8LRHPY7AWnwtyJ0kB0ASJhSJT5GnrkgQRKC0FcE670VEZVZeHOO638j3hZRsrEOfVUVFIDcYDb4KiEPZSCzyu7bOA14VYEUsrANNkecVgVpZnCnvHNWQEVcZg+PuZSsLtKFwCty58fNf/tKf4LjR57DRk7e+MBrXStFIa/yZq5/he29+DM/48oxYi9IWredjenmfwheU0dd8XGqB/d0d/uD3f4/NjW2yNGU8HfPQQ+cJk4DXXv82XhQym82oxQlbG2sMjrrUg4gnLz9Or3fMoT6Wc6bAQ5zytYv0EQTZjfOMmiN2f3hNB8BLL73E3/ybfxPfF67vF7/4xRNf/8f/+B/zX/6X/2X1d6UU62vbKIzjQVmKXHhNRZGjEAQoTmKiqEyfKMRqSUOep1hbMJ3mTmDm3mZp8qollg8X52fLUazbRNtZg8f2LvD1M1e4/uQ9HlvfoEgL0umYfr/LWhJSmILDoyN+c+kVfnr7X5AVU370v6tz652zrNQPWVlZIY4jNrc2ePXVVznY33cZnt8iCmOef+55jo+6fOMb32Q6yThz+iwf+8hLBC953L51k8CDg4N9At+T2LDAZzqbEi6LEnpnb4/BYEhmNcoT5ac12gkd6lVixfLKMk8/9xS3b9/GYkkX9kNVNv12MX3BWYVbUZSrwJun3igBJrI0JS8yosQnKzJGI7ln0qxOnCVEYYy1GYX1sHiO+iFNVsn7Le2mNMw9Jo2g+zk4P0lRq2klU4MolLVQEm8UszQlK1Ksr/FUhLI+ngzasTZ38yqHaBeOHpHjEOiCshv1Sn/Kqrkr06OUS1ZwzYHnEyhYrocyncAw/Z6PYm/eZePuDo83W7zaaBASkgc5uiEhA+W6ZIwiNVooIwvOBsLfzCtbnzKeULkGqlLKWsvh8RHK8SABlFZkWvOvVhp8YnzM98wKvm9tjaOLF0iiGuPJgDoNkqVlOu1l1lfXWVtbxtOGbDrizuEDut0jjg4fMBkNODo65vDwgKIoSLPMeaFKk1pPYh46e4ZnnnqSBzv7TCZTgiCqHn/htM6YTHtk+RhUJnurBWs9sLpKmrCYyk4rTTPZN5UvfHOrCPyIKIqZpRnGZEzTFKvAj2Sqhy6dFz7c8eFVsY5UGTmiX0U8dwtQVhhmaYrv+ywtL1fkc4B0osmdD5ExEvhcjsG8tue6Tlm0Q0IGgwFHx6IoSrOM8XhIq92g2awDlsGwL9w7xA8vL3IajYaDs4OKMB+GAUQ+/mzKaJRTWEiz1BH5daXKrDvYNp3lGAOzVOxETDplNp0Ayo2X5VyU71UtgEMlXCoPVoG1fvWA4vy/jCNlJ7UY322W2hk4Ki3vV6xbRJAgObFBhZIaIzy12VQ2T0n0mAHCO1xeXmZ1ZQVrCo4Oj5iOx8In8GXWr5UHVaB0UEWjmYWHrjBUpM48F/Ww+BfmeF5Ao9EiCAKOjo5OoAPlqE971vFEHKdHSRdeiSeyjFkq/n+LlgJlISW/lzw5mHO5nF+aXAGUsngeGOfdptScszDvjuXfPUeYkjixgoK5SWRhCtkYKShjYazVoMrFX5AC+ZlQevZ5WkmDkAnaqZXG0x55kQvXz6GDmZFnAmcXoxXkRV7J7yWyTEvKh3UdOwZjZGxaJkKURwnfCxKn5gic26bFsdxKweZCw8t7xyzwq8oBugNEwdNVo6YVsvnICaD8ljnqY1GewkPoD9WiKz8YT3tsT9b5B7/yYxhlnFhKYrHqcUwSStcbmlDQiYVCbfH44ORhLspwF4fRcEiR5dTrNba2NvA9xVtvvsHp7S06yyvcu3uX4WhEs9HgYHeHyXDIe+9c49HLl4nCk8uf5/vSQCFIoadKoz974lyU99jiu1p8W6+88gp/7a/9tYoe8f7jt3/7tz/wQZutJq1GfYEPhGtSFHnmRDueoDAiLEvJcyk4jMnn4/uFZ7KMw6uQx8X3bctiQhEWAY/vXuQbp9/g1tkHPL/5EL2bXYaDIft7e9SbDSKleW92k19+5FfJ7JS/+T+usP3Fj/ONwQG1V19la2uTZ599hocffhitNW9cucK9u3e5ffs2cZRw5sxZPvrRjzMcjnn77ascH/bIs5wnn3yChx46z3KnKYVOkZOmU6bTCbu7e0ymMwprOTzukaY9Kb4c41KoPkEV8ae1plar89jjT7CxucW1a1eZHfeq82Ecyq7ciL1E8rHzAkz8zrRDYjKH/EnBnSQRg5HsPQJcUKFhQSCIdxkbXBqRW8q9wbgIR7e0GFDGuLxzeSjFD1W5KE0tmasOwZbrWzavFq2K+bNri2p8UN6L1e1okcxpd49U13+hSTGU9ANJXcGB1FL4SRtnlTS4e//JD9P5ra9Qf+cmj/zOl6nVYsZ9sYMprEH7mkCV2bsKleNEeAVFIRFsxhZOHetoIOV7VgrPir1xuZZMp1OGw+EJeyqtNW+FHoe+5nRW8Kmoxh+srCAxmbLmp+mMO3fvsr+/S5HPGA6PmYwHHBzsMJ1OqCUhtVrCcDSsjIlRUK/V8X1Fq9NkbW2Dp556ms2tLa698x71et1xJufPWJZnjMcjscxJYlaWlwjDwO0lzvHB5BSFpEjkee6aXH1CkCdK2IAsL9CeCFItuIYuoYzA+7DHh+fY1RIpxALnCebpirvk+T7KoyrkZrMZk8lE4peMwfN8N2JVjMdjCpeLCtDrdTG5JUliys7G82B9fQXP8ymMYTga4XmaosidkkZOirGW6XRClksF7PuedEZFyScyYHN8X1ym7TQny2YLoy3rxpFaLCGmKWEoaAEWbJEDpceNpGMoJZYWlGlnlM+Jqm686olxGXK+kiJYit3cKSplvCJInK7ilQQBdcYgShCMIPQIHDJoTIHv62o0aIycuzhOaLeX6Cx1UFZI5/u7e47TZISErTWeH2JJyXPhIcaxiGJ83ylvHExcIoSTyUTUzbmM9LT2XXJIo9qwp1Nxd/e0IAtiFCrz2arAQrmC0iVguJvZW4gPs/MJnHvoXaoc5cIrHbbbqRyJVfgr8qv0m0POnVosuEsPRvk/fuDNi25doHSBpcCU8u+yyKy6R4WyIvVQDr2iEFKZxqE5LrPMFCLWMHlBbmSMrrULgjZ5ZXRskYVdkDi3GDtxiximnsyKLUc80ikajEtgzI3Y7HhWoT3Q1hC4MUupJJ0rleU1PHWykBJ7GHkNZa14qRkX01eNaObekeX/Vu4eL9E69DyiKfFEZWaUIVdS2IXKxy/KneM/vu6cGCUqsMbSDfsANNIaGyvr/JUf/VEUmguXHmE8m/Hmm29y6fKjnD51itOnT1NvtLDWECjF17/yZe7dvcsrr/wenU6b9OPzkSh2HgBvnBCoLJhLRHn+rQvFdhWiRvWc//zP//x//MMt/KyjoyNskQtVJAwrA3NlZIQ2nU4YjgaMxyN8XzsulXhTlsX++48yHWOx4Xl/QVq+709ef55/8/SvcrDU5foj94nfsEShTCqKoiCpx3znxbe5deYez3/H52/c+6vc/5OPMvzyH3B4eMjOzg5f/KJktG5vb/Pkk0+SxDFf/epXefvtt3nqqac5ffosH/nIRxiPJ+zvHvDlL3+FnZ0dljttPGWI4pAiS8lysWja2d2lMIZao0FeuIoJxy+1uPVIEofcw47n+0RxwtrmBgcHB4zuPqC8V2Vi4tT0DvmXKZRDml0uqXEFZhnkXgrC8lxeVCEbLifG96UV0YLnpPvZWLevWYuWZd3RWBRoj0ArWbgc/cPXUtR5Lo1FWTBBgXI56ko76gzuPLhPN//TyRbpg3ZGJ76KRZpErHKNK3MUzY1wy8LOYqpHN9KaLJty995d4ST7IWEUE3iB0G+MxWqP3FhBPR3YM51J+EBhCtAijlNKuShRX5oqX+L1tK8xuXFImhxFXtAFfrOZ8L86GvK91+9w5cnH2UvFbuRw/5DcwGScOgV5RjodYWxGHAVEYcAoDomjMaVorSwYfT9mfX2Np595kjNnz/Lww5c4Ojzk5o0bUuzn2cK5tMymGYPBhKKw1GoJ9UaDpBYTBNrtaaZaV6RpVBj3TC+qXZWSTPqsyFFaJnMlsPLHLergj1HYxc5bSWnZTEoVbPmmtFKVcnYycVJ094aEOydZl/V6nVKtaa1lNBoR+J4r2jwshjAKCAL31pQYdYJku2mHBBnrkReZkNKtcSpQD6VCfF8J2uF82BSKIPSZpboiLpbq3dLwt9frVUVZXozJswwPS+AHNJtNksQlZRR5VWUvHovkZEEz511geR7KzE3JJ1T43oKogXmQs9ZOSOCed+NUohV3z1X0eV6mFhRMJmOHhE7RKPIsO8E3kyIsIwwVo0mfPBeUczgck+c5YSh8hCzPWVlZodFoYK1k1JWb3b179wGPjY0NGo1GpRLOMhFhzGY5aSpqTjENdXmVuuy0oFSulZy+ElUT9HJehFSFlbUo5TbVEkmzJalPRivWjTCg3MxKfztcES0FeolgyDMiyl1VzkYoDXOVa6lL6w7AumuqPIpczqUphGOm3XXWDhkpELWw9nWF7Mr9KQRp61C6onDoWsWLUm6kIk7xFAW+9vE8v7qO5QZebtBKg/b9qhDUymKVFKhFOX61yvE5mBfKykrEGZx4hstfJdJQlrbyWmUCCcyLOidI0TgfPV0VuOVYRyklEn+ZZUtWMaI2Lbk1323LWRzdLh6DcMwvP/wKyip+8NrLmJ2cbnFMGMTcuH5dkhHynO7xEYf7e4RxUkU3aWt58cUX6fWOufb22xwdH5HMIqIspNAFh3GP9d4yWBHDGFSlzrdl8b7w/qo/K8uf/aHP8ekXnz/x7wUFv37pK3z7zFXOHG7y0V+6zBuvvcX+/iHak4QBY2WUvrf7gN7xAbVaTbw0nam4GErPGA4HpGnq/NYC4iRyXqHznNMsy6rmGpC18o+onufcLmhNGzz14BJfO/c6tz95wFO/uo1F3AWCMGS8OuWVR75KkMFP/Opl1IufRe/v8ZnPfIbBoM+1a1d5++23ePvtt2k2m1y8eJFzZ8/SaDT45qvf4utf/zpRlHDp0iWazRb37uzw1ptvsX+wi8kzesdH9PvHWCPpF3mRU1iJV8wKi/YDafStLChWaQqgsAq0D9oXQYEfkGY52vNYXd/gmDfKPtDZbVR/rTZemSzIs5VOSw55RmEKEam4nM88z8VWyyUPKJxiOS9IixlZWpDnVtwOopjCmGrNscYIio6M+QX9twTaA89HBR44LprvwAtlDbmxFIjZuKdd0ytXT9475dL33Yt33veEfdcCwRrQZRM7N1t3pe+8MVbSwHQ//Ry19+7S/uYbzLabHB4dUhQyUk2SmttbhWesA5/CWId+5ieaVDdAQJcTMYtDKz2J18OAUWT/X9r+PMi27DrvxH57n+mOeW/OmW+e6g01z1UszCABEoTI5iDRMqlWS+qwo+Wwo9tDR9vhCDnCinCEw45w+D9ZaknRbFGkJJJqUiQIgBhIoKoAVAGoeXyv6lW9MfPlfOd7hr39x9r7nJuviq3iHzpA1nsvM++9Z9jDWt/61velGd4zXJScxBDhu+0GX+mPWdk/4Be/8yz/1+Uu21vb0qBZAFq4zWGoCLVI26RpRqADIgNpltNoNFlZXOTI+jrrR46wuLjA8vIS62urzC8tEMc1Xnv9DabphLm5LtM0LeeMMTAcTsgzQxQlNBpNF7cUFCZDrC7F4hKXiIiPcyVB5Nf2KIpIkoRpJtSckau29Xq9strxn8QrNvDWUFRZih8o4qtXcYuKoigDEKVk8Ieu9RsooVVjjAxgZ7ElBr1uwincDZB2d5RA6FEkqFytFjOeFMQ6wtrQKcZLhiS6X1LKAtBBSKAC2u2mK3dCvV7DGLHuqiUN2q02aSYbwMHBPr2DgQPlBDWbX+hIjVyaE4X8WdhDG2I5T1xQIqTmjIODnki0NOpOL8ygVeCywKIctDJwpRYvEgsOQZKf4DNM0eOR5zGdTvBNDEVRMBj0xXe2KAjdQqGRoGU4HBGEdeI4EbK+0hSmoMgLpsZyZ+sO48mE1JXUi6Jge3u7JPO//fbb3Lq1yRNPPMHq6mrZzi9dr4ZoLJpMnm9YFJ6vYl3gdjiQmF10lHve/k/KnzuvWCMBl8+qFdXrPSdOFlEJ9NJUAn7hxOmZLBpQRjiFHsnASXS4z/K+pcLzEmcKhZQYLeIxXLhnH2h5f/+EXN6PMoK0ek2yKIpkbFpBBGQ8OzEKlyErJYhfnolThLaZkOpVFfD6yS0Lo5amEoceW4Ur3YqGl9zPAK+Z5ANx4zeEQ+O1Cu6snI7TrlQYl2ygtWxsHjQtUQ9fztLlpjszGQ7PD4tDG0Hhyz6HNxt/PrNag57j9+bqVa637xAXEed/uM6PfvRDgjDhkYcfYzqdsri4QLfbZe/ggN3dbZQO6PcHpFlGoxZTT0JqSY17zt/D7Vs3Wd4ecry3zpWFD/n+6Z9wafO0oFxIsK7dxlZY3zhTHbPXdfbUMc6cPFp+vygKfnT8dd744ns08xr/zX/4OwzPH7Bz8zYqFxHXIrdkxgj9yci6edDbp9eHMNROoqhgPEm9cQrW6kOlID+3SiPxu5oy7F0/rxDsw0dUhFy4c5oXT7zO3tkB4VpMekc0FuMk4o+Pf4u9+ICHXwm4d/hFrt+5ww+e/T4PPfwgp06dZHV1hfPnz/P8889y+fJlijxnaXGRp556ina7w7e/9W3+7M++xgMPPMi5c+d5/LHHOXP6DO+++w7j0YBsMuLO1gbTyVjmo5aNVxoxJiIxpDQ6lHsSuLGntQQBUSRNJPVGE7Q0MXTnF8jnOjOPTbkGCUfv0H4g++aogs3N2wBCDwoUWRY471TnVmAtoVtPtBLBbOvUHcbjlEF/yLAXsbyyImNYOwN4a8kVkoChXZNE4Dp4tQR1Lolzxj4opQmUxhCQHwq0HGJulUv6RUXA2mrv+SRwuB8HVtkyMa4667W7X369Ea6v0orpEbm2xvYeaqUutCWXBA8GA1DKNZ+UbVllTuQrB2HoPMetCNEncUwYhKUwttYSABe5BEWlxIi1mEJe82Yj4d8vdfhf397l+M4uqZnS6w/Js4LAOV9FcUS306bdarC8tEizUWd1ZZnl1SV0oFlcXOT48eMsLCzQarZcp3BE6ITCNzc3eeONN0URI9JMU3NIG3U8moAVj/l6re4qRpY8T6u9DOsAG2dD6SpuPrDzNLdGo8F4KpZxXrhY9tMq0f2kxyfn2BlTPmRfYq1q5BBHSemp6k+sDHpMNXDyPOfatWsAtNttgkDTrIugrui7eDNwJyNh1AwSVnUZeQ/MkOqhe46Q3MhKZFRpK5yFqE6z2WI4HDIajZlMMmqJdJhKd1VMlmfEccR8t0sSzmqe5YxGmVskXTl0ZsH0n1+Zyxcz6IZkhXEcMR6PpFMm0DPcEB+wBVg02hhsUbjAxHdpCuLkrYx8SVPuqTMvNsIRNLlhOpkSucCr1WjQarbEGgdFFMYVIgJEkRYbocGY1pwQkScTESlN05Td3V329va4desW77//oZNSESHNTqdTcvXiJC6vNwgVee7lO7xdli25fD6AL8OLmcqcdIPNBrSuvOB/VYE0rVSonEdDZwPEqpSC+13txopCysS4oMiXhmXM5ZgSmQVFHFhMaAhDIRpHYQg+afDBoeM3WVtZvRXuGXoZgyr7ddm+1m6TqRZlpRRhJAt8qITCYGbmnjGiiWe1IBXWSiBWGBljJcfGGsl4y+DZB3dg70r8ZgPHUg5Du3Ho7lZZ8kJEpf3/QMn7+SBHAWXXWPV4y8/C8DFxxcy5yHmEYVjypkqEErfxOIR3Y2OTbneeRx97guPHTpLmKXe27rC9s8vS0jKmEGHthx9+SKoDgz7XPrzGzs42586eBQVZPvWw/sw5ulN3yF25of7Vp/2RI1c5f3LfX5KFOZ9/9wnW95e5WU9p1BscObJOrz9kNJqQADa30mmnoCgy8ly6zqMopN1uMVeItpV2PNw4iYmioKxqzPJ08lmdPKUOPdPyPn7MBqGU4lMfPsLvPfI1tpcO2L0wJHyvEAuv05pvHPsLogx+/XcX+dpBn60rX+f2jetsbN7m6NEjxHHEfffdx8HBAdPplPeuXKHIc+69915MIdJCe3v7/OhHP+LK5fd4/NGnWV5e4ezZswwHfZQtOHJkXZDLQY/bmxsMBiMhtFuIk5qT3FCEkSQQOggJohAVSJNVUqvRaDbLQCpwclT+ieowRIeR7BnKOs1LmSui+pDSarXcDak4v2k6cfIVhlDpkvusVUDhKC1FXjAdTxj2+4yAOAxptlrYIEA5XpXWFqMDAhUIqh9EWFd9kDBKU5ZAXXDnYS1BjgOsMiirZ6oJlMkSM2jdR4GGv/ow7jrVDLro12sB6yoE3xjIM1fhUJrlpWWWJoVTbXCaoYZyL0+z/DC6bWUdlr3OkuYZ2uLWVEr7RAF+nNDzaCoBHnIStpDzKrKcb881+QcbexwdT7i/HrPfbNDpzNNotegsLHD2zBnWVlc4feokp06eYK49R6NeI0o0VhlqtRq1el06r7OM6URk2CaTlINenzffeJMPPviAhx95WJo0e/vl9RhjGI2mBEFMo9F0WqVS2vae04cDZTmK3Dj0vfLm9dWxeq3ukOJoZg+pKCKf9PjrWYrhPkAhXBotzK8ojEiipCQHpmlK1RgAWFNyNbw0h0eBtIIoDkt+nFdON9aUHTYWLbVtZQER382yKVYJRO8H8WwjgHx+IERTR9wX78WkfHDTyZThQDhkrVaTZksUyeM4pFaLnZq2LJhpmjKditl2EATUW020reyogPK6fcbhH0iz2SzNoZVSwjcwRWk54oNgz53KTY7JijIwkM5TbzyvBBWxkjl4/9rRaCzafZlBOU5HnlvHZZRAu9lskmYjptPU3auCNJXSTVEUdLtduguiVu4bG5aWlkov2qNHj3Hz5kZZyvbX7EU4tRKhxSSJHalbDLdTp7/kpUQ+Kknitk2HxB0axA65s9ajDYKcaOWaGZQqF4pZxC4JEsIwIE2nBIH7zLL0IoGiD64sOLFfI8Ed8t4+MTa2kBJQoQTWDwJCLQuXdl1wufGLnZq5KkG6S/Hquxde7V5vrQu2nDq7U9rXgcYoU86l2UUiShJy5WQunIsLTh7B8wt9sDhbwi15P/Jx1bkqdWjMWqkbHH5K/vv+dcrJJQTSKesbSz5CQJ05jEHs4w4ds6UiXQZ1PmudTQY+aAqisjya54Ez97FyepU7d3b49//+32Mx7O7vUhQFZ8+e5+mf+Rk6Cwvs7+3y7uXLjEdDVhaXWFxcJMumDIcDQfZnMgaf7CipFx+69k96KKUgVOy2+mBhftgmJCglD2r1JlFSR+/uM56mTNKxCy6rZ+XvyeLiIo1GkyDQ1Os1tne2mU4neJ/L2YRAKXVIFsELRdu7x135+NShMSDovsyT+cUu06hHmqa8sfIuvdaA+V04d/kMLx+LqTfqLC0tMZmOuXz5MvV6jSDQZWA0HAwYDYc8//zzNOpN2u02UZTQ7XaZTFJeeOEF5ucXUMqSTsdk0zFZOmXQP2B7d5tbdzYJXHDUaLRI6hL4eus+lCUvDKPRRNB5oNlscvaeC/JMFUwnk3JeAsRRjKnVCaxrcMNTYGS+RFFIvSGUI0lqJ6W/cpxEFTc4L1BkGGXI0ozhcCw6bsYSBRL4FXmGyTNqcehI8RkFlswWrjQn4ywoFMZogkJTFFXjhKwNoKwi0CGWCItAu9YBBfJINdYndCWodReX8mMCgkOUAeMQJeurAlRi7W5Z0YEW+oZSFKvLFI068XjCL713mxur86gkkbHmEj2RSxGNUWspK3RV8450t0cmK8XHreNOyb6Rk2eyh3TmOof2DI3w8RSGMbCdxByZTHmi02XvzEkatSa1ZoOVI+ucOX2atZUV5ubaJYoIiiCU5slaEgOGbJo6xYkxOzu73Lx2k8FwyJtvvUEcx3S7XUYTmb9FUTltFYV4pdfrTfH0tSIK7cGG2f3JU1m0quIGv4/mee7WvIgsV5Wl3+w9+2scnziwM5k0KFgs2lZdhVpLV2ugBXnCaApXclIKp3dlyaYF01Q2+Voi/rHdzoJ0eKVTwiAUiNM6jMCVlKyVzzzYPyAIA+bm2oBYfsVJTJSEoCouQ1kewhNirch8FFa0kvIUZTXduQ6NpClWL6YQNfcsc4CDTCpBfOzMZhOVkH1AyGgkGljtZpMwChkNh4AhdppzfsFN/AZVFDTqdRq1GrbID3H9yg3YKgIVkEQJucrLAFe7/ykUhZHSq3+Nj/zTNCWdpJLRFKkLpCfsbN/h5s3rHBz0aDQSOt05ooiqNb0QT7/uvEgWiA6bJU0lS6rVGiilOHLkOMePn6Q/GBAnsbh49HtMJmOSWkKj3iByQWoQagI0UJDlPuByE3O2vOZKDsqtIErdHfRZt+G6vzu0TZJZVQa9kuz6pEBM0hMVEoQKk5ty0yxMUZanglATI/wzW1i8dIpSAQGB64UQjou1ct/zNCMKFXGYoHHisHgrNTl/j67pIECHASpQIjbrnTlc5OAD69xpFYVBRKBDSULwtmrgWtQIg5DCobEGKHTgELuKvO83fN9ALCVVS07utLE0ka4SicPRna3CMY9sCkwmp2Grsko5v5QP4irItUJjq02mROAtGOWMwKtisDxLLGGgIAhdw5V2JR7lgQyeO/EGAPfcPE60G/CT937MjVubaBUw12nz2GOP0mi2mEwzrt+8wdQ1/czNzXHu3BmOrK9z9f33+PFLP+bM6VMVl7c8D+tOpyo5+6YRz1erkPjytpU/k/Fn+eP7/5Lt1h6nt4/y2XcfI01z3njjTa5evSaLeyDcI+M2vbTInMC7crQUQ55P+PDDazSbDWq1Gs1WkzQT0vmhe4x4zEaOElGehzHktig7L1U5Z+QatPWPV9appfE8j9++j+eOv8Qrn3qPJ752hv2VPj/4zFtg4fyViPrJczz68COEOmBncwM0xEnM+tF15ubmmIzHDAdD9vd2UVZKw7WkjkIxnWaMRyOuXHmfna09bly/xngyJs/EMs2agvFkzGA4ILc5890F2u0OtUaznOsoiw48WiJJubFGyljjoaPq2FK2wwvPg+QpURygrSROeZY7dDTH2sIl6LLvaKecEMcR06lxDUQaa5V0eZoMrSqZoyyduNKsIHzG5OgA4jggqcXC/cvFlULWGsAYkdnSmiAJQRXkhSXPBPUPXZVGOW6dtmLXpfHzwiWLM4mbPOLq73f/+XF/9x2+IoDv38PP83IJkBIolsED59n8X/4Njvzzf8enbt7hX59YYxSHUi0yolRQGPkKcDIyShoirPGcY8mcAx25BMMKYIEII6dpwaA3oMgznn7qaeZvbUN/KOu20tSimMLk7Ch4s9tmfWPKp/cOeL7RJIgkKMqmUzY3blNLIup1kQ2jUSeu1QhrAYXJGKVT0vGIG852dHNzk607WxzsDxgMBxwcHPDIo4/glSGqOyJLgNYhtSShliREsYiwF0aCdx0I51gaTD2wI532OlDOTlMqGMZKc0wQCpJZr9doNhtlYlGrJdXnfoLjEwd2IVDkGaPRCB0ENBsNAmsJFW4TEo0srBEky5oqK1FivptlEAaahfmYdrtFvdak3z8gy8aEYUEQiCik3IAAa3OwkOUF/f6AJIlFxE8psrQgSUKmU/HhCyMR0vVRsOfnqMKhHGisEWgT48QP6zVMYshccGkc2VWUgZRjms8GGwKHmyJld2ePjY0tjh45QqhCIhXSbrSqhcShQkJQdoywwhCHIQpFUEvKLHt241PK2c64GMZYg9FmpiwnjSOyfptSw066ExVxEmDTXNrhA9i4fZNXX32N8XgqGe3Z03S6HdeZKdcmHrUiLJznOUktcdyugOl0Sp4VJLUaw9EIqyQgyvKUXv+A3d1dlFIsLy8zN9d2elJSSkuShCiJyIqMyXRCFEd41w6Zx0G5icqmM4uQzI6+yk/Q25UZZwODUhhELFsHSppoihwdWPF4jAOmzurLmEKEPqHklRRWS6JijVAGQMo8zi4uV2CV6PRJtqwoDGQZRIEsRNKwIfdRGS++KZ3JBFrKpkqTF5mc50wSEuC0+kyBKSBw4sJWWUyeCzqgFIEW8WyFFmQ2Lci1kczYNd9IY5EEymiNDeT5loLOviM5xCEz/llUWbTFUniE1CN7MwGE3J6gTDIOL3Q+uKv4aH5c+7KKqG1VTSUKkVeZtbzynXmFIw1LE4C7x24cbGxs8M1vfJM0Mxw/forPf/4LrB1dZ3l1maIoGI4njEYTJtMpxsKRo0fI8gkvvfYyL/zwB6wfWefUmdMc7O8duj7jfJGNdaIaPqGw1YC8e2zKyiANFlaJfMSzp18iDwruv32O5cEig8mIQX+IR58n07GscUoxP98hDEP6TovTW69J08eUabpLGAYkvUQ2pSgELbQCbQ3eiiyKI1l33VEAubIYLQ+xmmeOR2mAQjZVrTWRjpjPu2AhXSwILiZ89x++SO/YiMdfivhH3/pN3l06wtygz9H1I7ROHCWzBYPJiNt3Ntjc2cIYQ8OVTY0pqDcaxGFMHMUszCeYwrC0sMTOnS12d3b54Po1Z1NWMBgOyZWlGAyo11ssr6yS1OpIhC2adYn3CDcWY3PHT1KsrCxz9uxZLlw4D3g7OgUz6LBVGYWdoFSACizT0YhBv0+eCR+qUas7yRHKtbnqXPSUA9dAaK0TVnd8SMenVko8fqfpGDUwGDNlPOm75Fve2xpB6azRBFYTEqDCAh3LXEpTUU/QgXDKhe5mykqZIkRbi9UW62znHAnxI8HdbJD3ceT7jwZ5br0pK0xgi4wZQBCMYXjpNEU9IU4zTozGvBW3nfuO7HciwW5nLAatw2v8GuvGn9GlGLI0VkCaWgpH76jXa6ysrNBuC6CTZxnDXg9jLVFNeMvPnTnJFzZ3ON3r07l2nTdqCUkcEYUB7XabzY3bHD9+nBMnTjA3N8dw+Dq7uxuMJ0MODg4Yj0cMhn2m03Gp6FGYgMFwwMrKKqfPnmGu2+GDa9ekVJsV1cxXilq9LpQAj9BpHxXPRMZK1tPAqYlEKnR7vNyP3GQEhS5lsLxLxgcffMBkMqbZbNy17vzPH588sHNWWrMIU/ll/IYgAr6+XCMnotCBpl6PmE6nrowq5djAuTf4IMy/r/dSM66FKQyhO99hbm6OdrvtZDoS5jodDnpD8nyAF8qNoohmsy7nqRQmm5ZSIkpJuc46byrPExSUTmMLKW9Kp5nPdDyRHslmlPjX3rx5E1MI58V3nJbZVYkcljOmLLGYQvgco5FkH0niu9sq/zj/VZLerS6DVRuAyWb1zDJc0leWrIwxpYvG+QsXaLXnODjoceTIETeBio88WymjZ6UOm6orQqWZTi15URAWOaNhpX4umlGNcgPKsox+v1fq9fjmC1+m9tdorWUymVCUCJnjapUBwX+8dODvk7UCYRdWNNrCUIv3X57JYmRq0rQTxsJDdHZ4KnBCxcoJtrrShtKy6frSvTxHF+RhUdaT6YVzqdGVILVrSiiM0AmMlWcQaOkQzYuCIjdYRHhYKVBWE4U1Ag1hIPI1gZ4RCw1Fd9FaQUUs1i0aVGCa8cEWLrRQIqDt7ZFc0BQ4XpwORG9PJBj+KojfhXB+DZ5dURSu5OL/PYtkVclJ+T0Jfav/GUtu5PtKiWi1f9PD52PxjVl+PmlV8dyGwxHTNOXBBx7m05/5PKdPn8FqWTvEVH3IeJKysbHBtRs3SJ8TP85AKz73uS/w6GOPEmCZTsbV9UnqLFyu6rT+2sftuW0OagOCQnPP7klB80cjoihiZWWF6VS8oSeTKaPJtCz1LC4uugQrK6UOxuMRWS40C4tQHwo/tlx2r8tkWKFSRLAaSxbkqDIAp3IX0JXUtzYF1jUDxHHMr9z+Of7s5F9yfX2T5/5LRe/siFYf/o//5EHUz36Wm9/7Hlfef5/LzXfp7W4xzVPCWkKai0KBRhoGlBGeWRRG1JM6taRGHCYsLi5y+uRp1lZXGQ4HNDstbty4wWg85tbGbYajIfVmg7l2m06nQ5bnbqOVpCqpiWtILakRJ2ITFQSa1dVlHn30URYXFrCBeJOHYVAS3UEchqbTCTkemZNgaDQeiT1mXnj4tXT18aUy35Tied5FUZCnmbNVhEajQZEbV72XVUW63w3j8ZgsyyRoxKJVKA1ZyutABhR5TpgkovxA6Jw2JCASpN8nQQ45VJLkahRGi0/3x3GxfFXnsObo4flqy0pI9XM/nozJ3Wcefr/hPScpWk0aW7uc2dnnJ7FocRZGRppHNmMdiWeq28+0q2gUeeFK2sJ1q3j7co1xrUGjFjMZDRmPx2XCEmgBFZSCMEgorKUfheRaEReGYNDn+tamoLGZPDNvubm4uEgcx4zHI9JsjNZSlavVakRRQL2RuGedEsY1wjDi6NGjnD59hoODAyaTCVqHJY9VKUUtSZxiRlTGDkHATBn28H0txetd8jb7zOzMGthoiOuLMYbhcEi32z28Dv9Hjk8c2ImGmy75Ql4qRDa5qonCH7O8D60VYRCxsNAly5qlUbJSOIhRO8scR+IfSonTy0FIkJJQqyVO+61B4cqaxop2UaNZczdLWv5Ho5HUsvMUsMRx4hZrLxZcbUJBqNFBRFEcJt+jlBgk574RQjKYKI5oNOqieN4QhCrwHEEjhHu/cJQlqJnBULhFXe6BlnPTfnGWIG5nZ4fNzU0ajQarq6u023Ny36xF5xKIBLoSPBS7K9GjU1pQDq0DwjDm6LGjzC8s0u126fcO2NneRgygK5NrXxY2NnfZpXaBpXZ/lzLJeDJ2fq9RGdx5kvvsALZWCNOe9+i5iRK8e8K3jCvtNaDw6OVHeQW+E9Bv8j6wE8XwVPgrqTw/DZhCMZ2kDNIM6dgMBCUyoiWnjCvVZBlJ5JOLGZjcLWYKhVEahStbWyM0NkKMlSy6sKYMiCtyv1sglXBNilwWszAMRCjao6UqdIF5hFjcznIMVektmxdFqdelg8AhIq4Mo92mbR3TTQvCYYwgfjbw89UhwRq87tbHHb4xwqNjh5FlSjQafIndJUEe0ZspTBqn1ee/Cnct4vyiHYF8tqmEuzaaWRmhUISfgWazwROPP87PPPMZarUW716+jAoVWZ5x48YNev0hWWHY3d0lqdWZX5jnyJE1Lt17iXvOnmV3d4dsOim76WcGmh/EJYCs7F8vvrvZucN+o0+SxVy8c5rJZML7779Pr9djmuWgNGEQUq+L1tfe3h79fp8kSWg0GuWzarfbtFoNLAXT6YTRaMTUyUwd4lEpz2+Ec++f4N3dDbYX9/je6R/x66/9olM7BM+pU9ZL9DinD6rkupnWiU3MOJzw3qWbKAP/1f+7w/XwEv1bN8mmGUVesLe3SxwGzDXmsKFmuDdmb3+PyXhCqDTLi4sEKPIs52DvAGss7eac6NPd3nAJcUZ/MKDf74up/KDPYDTAusAqyzJ2dnY5cF3NWod05uepJQkkYicHloWFLkeOHOHkyZMyxgPpitX6sHm6bwajwMljSWNTu9VmPB4xmYxLYNbbB8p7yD7nxZCttaRTAQyKPBeHmXqdOBbnBe1KqNKA5eW4CrePWLTKiSIJ8LR2OmeBs9iM606pIUY5BLkw3r2gKOeIzBPlcrcA63VlVbV2VmNEHR4v8DG/Ux0eVDCOaByE+iNokfEEZMD0+2yYEWku1KnCgnFNj62kRcMl9T64zPKc6WQiqCSVMDpuHHa7Xeq1GkWesjfe5vLly4xHIzoIwLPcCNi8s0maid/69lyLt1eWePD2HX5pe5dvdJoUjtMvEmASE+zt7aG18ECVtpgiEyeLLCMMw1Ivt1arkwQR3U6Xixcu0e3M8/3vP8ve3j4XL1wstV+DIKDT7RDVa4SRWB/GcYSXlPL3cjbYnlUO8fd/1ic8SwX1jeO43Gd9I+Nf5/jEgZ1w6SrNJB9h++DEHx9L0lWivqwDRS1ISv86WUSt29iqi5+6STMajegPBvimjbm5Oebn58votT/oMZpk7O3tMxontNttptMJu7vbDAYDFIYkkgfZ7c6jE+2gYccx0V6qwQd5EsGHoQaP7jm+RWENxoiNVhgGLC0vgtVMJiMmU5f9BqqC3Gc2C5/l+HsRBCFzcx2steXDqw45p6Iw7O7u8dOfvsTRo0e5dOkS9XodpQPXQyVt06IH6J+D1wOy0gGmNWEgnoLWBQRaa/r9PgsLCywuLpbNLmXXVyAelDLwbEkC9eiiz5zyPC9RxCRJ3Bjh0CAVK5z0UOaSJEnZmCG6eglJrSYZnEcLnf6Pb6H3t1Id2n+rcp9SUpKyztqrbKLJtaMDSClTzi0vG1TyIiPNptimtKqXZUxLibw6iSeHTLug3Uopt/DZrru3oRJydxBo0jRjOk0liEM6lTFSZtdWSpFKh7IoOyFZCdYqNFaHgTMbFw6eddqEKpC2eeOcKTDC1ZCJZEsBVK+1Z3K/2Qhap7So6/9Vh0Lhi62oyrcXT9h2rhyz0c5sxhm4RhVjbSneK2LMEtBZfMe3dl9gjOsm9wGlZYbz6DebKnj0zRXvv/8+V658wGQyYf3YEVpz0vW+srpCp7tAkiQ023OurCY0jcuXr/Dtb3+L7Tt3OH36BNnDTrrJjyW5IBnTvhzr7blmNsLDGXTFGBzGIlFQzxMCq9ne2ubDDz9kZ2eH0XgqFARXwhE/yKzUrfIBVuQcfmQtcgmjm9/Op16esXVjNlCsrqxydPGIcFsVpHp6KCFSrryu3PVZI2LbvmHNWsuRwQpPbD/A99ZeBAtP/iBg4cUTDC/WWY0Tzp45wzRNicKQtbVl6q06o2zKzY3bsm6PJ8RhxEKni0kzJuMJdzbucLB/wHQ6YTqdsn1ni+3dLUEnp1POz8/TvXSRH/34RQ76AxbmO0RRVDoQjcZTmq02J06cZP3IUTGGt5bRSILC+fluFTiUa40XQj88tq279rzIxR5Sa+coEDIZjSiMJc1SsiwnioTm49dsvynPJq7DwQBrDGmjSavZJooTqiYvykS2Kuda1zyUobVBkWGtCN57xwWlcJqUYG3h1A6c5aB/ljPotRTGXBVA+aC/cnWQ/fXwfD+E4tlq9HpJJP8Z3hP98NprMUHAwVMPsfS1v+Q3tgd8c6z4oRLtR88dDXRAOkoZDqruTw/e5LnQrAIVlOM+iqKSr5bnOaPhEKU0Bwc9Muf5u7q6wn0nVzh4fo/JdEyMpVCWHy92ePD2HS5OMx5eXuaGNYwG4poUhhVi7rnyaToBEuI4Ejkbx6cOAs38/DzHT57m3nvv5dFHH+PWrdvcuH6T9fV1jh49RjpN3b1SNBp1VOJ8hZ1sm6yP1Z43q2hQVu7uaorwjU/T6bTkzHvE2Js9+H32kxyfOLDL80ICBOtPEKbTjNu3b5HnOfPzAnXODn4/SL1S/eyFeIhcBm4F//oHnGUZzWaT9lzLiRBbxuMxW1t3sNbQaNQJQrkBOzu77Oxu0el0UMoyGg1FN66eOI016wIMZ1buMjGPOPiFYDKZCsyrE5JQpDuM8XVxaTIoCsiyKXEU0Wy20VoJkjUeISrwdZJEYPa7g9vZwxsqx3EyU6KcUhTiCrGyskqr1UbrgNu3N3jrrbc5evQoC4uLFEYRRjFRHLgFrcDPW3/fC0/UtYL6BGEIWlXWY0tLdLvdUg1cUCEJaqMoZDqdunK5LicjWNrt9iEo33f7CD9CgkSQBWIWpZwt43u00JfZwlD4g3kunoDD4fDQeyutykWrKptVQV2gFcppOvnPjsIIjSYKYsIgQvhMFlRCYcTWJsszRsMhQ5NRSxoY90yMH7tuIcbKoiq2aAEQYNx9TbMplkonTrJbRa1ekxJK4RoPHK3AicOh8PZlppQR8Vp62rk36DikrXEUhqIMZsX6KibIC6ZTRzx31IeKJ1Px1WTldhxTxyU0xpTyBv5ZzqJmuNdWDr0eKUWESi1IR2HlCiJopZAyKBFP2WRQBqXFKyNQCm2DMiGs5G/8IKY8J639eaiKr4M0/rz44ouMJxlJ0uDcPedZXl4SDpJSUnpZWiIMQ3b39hlPxvT7fbIsZa7ddo0o4u0bBn4ZdEitlbVZebRQdsYysPPnPHuombrtN8//AIAnbz5AsAm3N26XXW86cB32aVry/3xndVHI8/TPxHNnlbKix9WV7sDcVPMKK5wuay2rq2usHzlSbuIWi7JOtd764E7uZ1EUmDzH5kU5H+XC4IsbT/Pi0ms88dyE/+5/P89vLzY5etCjWW+IG4VTCXjv/fdodlrMzc9z+swZut0u1lhCpWnXGyRhhEaxvyv2ZFff/4CN2xuMhiNanSZ7u9s88fYW/+Dda7x16V6uXDrP9579YamZenBwIAEvmuXlJS5cuEBrriN7h7FMJk1u3b7FxsZttIa1tTUeffyxcuy40XdoDfbVGr9OaMdVTJKEJIql3J1l7O/vk2UFcWxdNaPqGK/X66L16cppo8GQwln8JYkQ3aMoLNdisQJzzW5UVBgvOh9Kqx6WFGNCxAdX6ECWQvxGTVZWMnyZ79Dou6v0J4hZBViUTVWz98L/bl44uSR119iT99WuPO0Pay02DNj4e7/K/Pd/wvxBn7O55cWajB+rPOYPtihIzdQlmpVDShgJXScKonIPrNVE9iwIAgb9filMvLOzU+4r9Xqdn/mZJxkMD/jpS68ynU7o9w/40doSP3f1BieGY365P+RP7r1AngrSWavVSJKEpaUlVlZWaLVaZaUpzzPSVNZwkRCDVrvN8soaR48eJUkS3nnnXZKkxqVL93Lt2nXq+3vlPYrjCCLZg5WqKn3+mFXp8PSkqvpxWAfXrwHVe0sTiE/6/pMEdr605P/uT0zrgH5/z3Vu1A5t/D5bEWcAdej7s++lAlWiThXZWhbOVqslHV/uAvv9PuPxiCDQNELxdZyfn2c0HrjFU5dZb6PRJHFRujUBWSr+on6h9oGnz+7q9RpBBrjJJxPJn5dDPZSm2WxgrVg/BWFAFIdOfNjx3QLtOEwfvY/+GovCuAFdtTv7YAqEQwSwsrLKwsIijYZ0EsdJglWBQxw930OuoXxvV/qUckLqSqoRiVK02m1OnjxZct58QJ3nuUNxpONORDnVDBIYkGYZk6lYw3gnEh+MS3BaafIBZZYGOFstH8zjJjJVNmuFjzKdit6Vd8ZoNpuuFCbZpxuNFbdMufJukaEQPbDYaUz50pM1lfZa7pxKvIZcvVZnMOyzu3NArVYnDEWTEbeWWWPRpkAHkGU508kUHcToIJFWfhA9wzyXAM1N4EajIeGI40NqFTi0RAnCpqzTmfNIp5CkvRCpsTnpJMdiCcJAOIG4BCgIROvOlV/FAkmkdvCZuHJSOUjAFKjDm0Fe5GirZ57JTLmu7MDWJS9rtjSK8l2t7omImmoV4JXiyAoVKFd2RpwBrHTVS/nVCaC6RiBQhDrEUC12UkbyKAk8c/0B3ly+yu0LeywVAWE/4vTpc6yvrTGdpuzsySbQmusQxT0WFxdZWl4mTVOazRZgqcUxx44eZaHbZX6+w79q/5m7dqry68wXHum6i24wewh6JuhkoR2H1enTnTlzhpXlNRl7SvHWW+/w7uUrZHkuHZZZITpluuqortZAg1LGaUTCeDoV/NOI1mVgA2whAurnz59naWmxLFeDRWuR1rD4NdvrX4ozjCkqNMFvNL/2F6s883+vceGnhhfufYBEW967fEW4sbaSCMqKCTZQpKag3mqytr7O2soKSRhh0ozAKtrNFkVWEEcxR9bXWVtdo8hzNrc26Pzgh/z6jU3ivODhf/E7PPT0g/wgEX7caDRy8lHQbDU5duwYrXaLLEsJgzr3nL+HVrvJcDhgMOgBUkXa3dmlu7RMlSPcVUP8uMM9c2nG0sRKs7CweOieJEmNWq3GdDou5boajYYEgHlGlgliaGf2MGbWLBnPmiCo1jBvkSlJTy7PyWjh6qGQ/xuMSR3twj+3u7UIBd0v56Bb07EyR2VcVb9dyYFJsKkDW44P4BDfvdwjZz6u5Njrqls9iQO6nQZWieuSRYPVZOMckzu3Cefu4fmccRTTqDdLeS2/D00n07IBTSGe6L4Uaa3hxIljPP30E2xu7XD9xg3SbMpeFPNBt82J4Zj7DgY8V68zSWqMx2O01szNzXHs2DHOnj1LHMcsL68w154DCQVK21Djgu3xdEoURdy4cZNnn32u1OV79tnnOHvqONm127SHI47f2eLa0TU8y8UvIH7fLN23ZhLo2T/92KieSeUiA5SInbW2Sr4+wfHJdexyKUMFWjpDc1sQxwnHjh5jbW3NwZBhGXF6tMXhvI7XIxPtELTrskjh6VUTqRTvDaTbUGtNe26OWq3GcDgkc5F2o9Xg+PHjLC7Ok2YpWMNwJM4W9VqNWpS4gRqUiInX2vGbq55BhCq7rvwuFEy8Xf0i4NEFMe8NnExILOXEIkfaKD+K2JU+mvVm6f6AUoSBpdVqE0eJdD06gdx2q02tVpegzrVTewFYpWWDBiu6SY7An6Yp9UYD76FqHF8kL3IwUn7IMrHMUcyI0roBlqZ5OXm9nYnU/BvELvj0E/GQvpD2WWalEedLvLPadz6Yk6AykKYG10yRJDVWV9ec/6KaQe2KMghXSpNnRRlwK2XLTVh7bSCrMJmQckfOnUMHAUEsXLpABwRhRLs1RxCGQm5WAcoGWKNlgVIKTAHWltZSci8LtLaEUURcT8jytEQ9jQusR+MxkQ4JjQturTQxuFqQBCsIx2k24dHaSTV44VNTlPPB/15e5DCxBMx40xZ2Jit33NFArPk8L0jGQ05uKu9BuYez83GGZF/+OVPGUco5RVj3LHytfIZgjesY9CiR68oMLKURe1FY8jzDFD7Ik3FRKCm5SMNHCFGEcgihMYaju0sA9BfHJIt1oqFsQnv7+8wxx8LCAgvz8yytrDG/uMja6hpBHJf8mps3bpDGMRcvnmd9dY333nuX8coYvLY2oMpFVwKi8rrs4Wc1e4g12OGqxGQqVoXz84vMtbt+knDz1oZ0OKcpkdLUogRrKnrL7HqhNYSRIk1TUfV3z6xwKC3uOR0/fpLHHn8MFVieufEI/27+67x85G3+5ptfpJbFZWlZAka3uTYSQhU7SoWjbmQZx/+H3+P+l/e5/blnGHzhszw5HrOzu8vKyirogMiViOrtGnv9Aza3t9jYusMHH3zAe1euQGFQuWG+Ncfc3BzKKkIdEAaRG6uGZqz5xVffIs5FaikeT1gvLK1Wg/F4ApFyyXnd0W/mRWNsd4/1tTVOnz7F6tqK22+83WJIvdGYGarVmJwZwDKtjfiPGgUQopXouSktgUkcJWWAZrFMXZMLSKARaDFnb7Vajj/YpFZrEIVRmdBW65X/bO2EfJ39W55inZWkeIpmGKPAa3UGHnVz+0k5tA5TASyOs6WkPKi9ELvyYa1ywb57XRA4PVQJPHQhOpzWSHNWJbJvHe+4+MhnAuS1hIMnHmDpz5/nK4Xm+e48udJufwqwRqE6GpP75kGx1AojaaoR2zRdBpLT6ZTxaCz6klr4/L6KZEfpzDO1nDl7mieffJy9/X1pPprv8Oypo3z25h3Ob21zojC8NBqxs7ODUmqmWVNx/NhxGvUxUZjQajVpNOtlo4111IissHz44TVefvklXn31NR555BG+9rU/Y3//gLNf+Qrj195l7s42R27e5urqIhapgHiEzlOVfAA966IzG+f4BK4Mql0T5cQJJQdBUDbu3J1M/s8dnziwm0zHhLk8FC9N4BehOBYje+8YISdclcaUdtmh8YGNkFut2+SK3GKsZEuljo7HBDRYrSgQgmlUT2hoJRoz/T5ax7SaLRadhMdkMiYOQ1dW04Q6wDgx38CVX8FHzLZCjORfZYertQVh6LzdlCjCi+OEXCtGSQZlrdMWkkBReFTBRyRYZyFukBZ5pZ28gtICTVtR3g5DKYV6sVFjDL1ezyFsjgrtBsVkMmE0GrpWexExbbUbojFoIVAKW/I8MqZ5IZY1Skk5L3BIUiA2ZLkpmLgMYZpOSadTwjCi0WwQhhFJUishYR8IVhuSlOAC7TdzS6iUqHDPZDISBCkXCLqnXYgiN0CgQsI4lBKTsYSx+KF6jpdCkducwnlCCi8qksBcRSjlxqOWTSO3GcORcDXnOm3qSR0daWdpo2hETZchGglmtIiXWkdbQwcQQKgj6lr0mhSC5NkCsZZzEix+8TXWkKkca3J3jQIBGmuxhVARxBRDYV2mmBcF02lGvdFARwG6CMimjldjxH7PIjIguSqcvJBHL1X5fgqNDgw61NTqDYJQFvUsS8nHU6wt0ISQU6KGVoN3MrFO9cxn/9Zx6lSJImgn72GrzaYskyu3OXkHGYcyaoVSARgjG6g1BEoExN0yIJ+dCYpUoCDIUaZA24QoTsjSjLlpk3qWMNUp4+Upa8PjLM4vcOLkSbrz84RxxOlTp2h1OjS80HagiZYWadVrrC7OE8cxvYN9/t2/+V1eeflltv/RNnTKpQEHjLi74LQljS+zuDns0Aof1moj/Jp3uje43tkkKDQPv3GJG9c3efnlt0jTnDASXuDe3h69gwO2d3ZIksQFER5NroJHQcK16xy2ZG6eKWsJrOuwUwFZmrO2tsr66ho7u1us9ZfAwlZzD6MKAkfKUwjiYQtLYAz1RkitXnc8XXEvOfZ7f0znldcZnTjG13/mcUa9HvdcuMD66hpBFLqmM5ikwoGuxQnz7TmGgwEmzYjbc+ggYNAbsnXQpz+ZolFkk4no5lnLaDjkt5ZWOHJrk6zZoH/xHAs/eZUnPrjF8vwCtzbvkJNJp2lh6HY7ktCPRty5c4czZ08RJgGFtcwvrXD71k0a9TpoTWYN9SDAmNyhHoeRLeF6ip+1MZlIFLvOT5G4kq512TNkbbTGMhqPOejvE2gpXaeIMH9zrgFauhiF5ip0Cmt9YO7nhC+JagcgaFBh2bQlj1xTGEOhU5nH3r2gnH0+OPNIdlVxcjsF2io0hpAAfBOakxVyNQi5VtcEGeqAOLRlJ7+sXU4Z07pysd8fVYVBWqUgDJiuLmGB47nsXTqM0GEMNpAAEl01HzkEsUKvDJiC3OYMeyPGwwnjicjRtOpNrLFk05x0MnUe5JTnX6/VuHTpPG++9TbWauq1Jh8021yt1zg9nvCpN97m2oMXufzeZba3t4mimFsbG9y4eUskTM6c5fSp06ytrdJut+jOd8jylDzLGY1G/OhHL3L58ru8+tprxHGNU6dO8+1v/zknTpzgnief4v3z53nozjYPvnmFZx+6F6tl7yiK1DWHWShcsh4G6DBErO4ob6J1a3duRB+vMEXJBd/f3y95dv1+vzR3+KTHX6MU6yjQxjqOjRdFpOT0eA9ZvzhVg9aUAzDLCrw6fVnisdoNUjf4VDUIZbi6oW296n5MO5hD6wHj0YgkjKTkqjVxGIHx5RA3mQRndhmDLtELOZSbhBZv9m6MoEyFgSAURFE8XXOCIHJdog71c4hJ4U/QTQj31uVxONq2zjrIw+EhXujVGHOoFu+RmoODA/b399E6oF6vYy3SZTfjDZmmKbdu3GBhscORI0dKtG32HEJnTl8UBVNnleNLsoWRBgb5syAMQ5rNZsl7zPOiFGiuysBFmZWEkQ/Uqs+z1hJgnU+ql3IoSo5hEIhApTW6vG/+PT23rEmTej0uM23PoZuMJ5IZhRFxVHP3KgAbuPGkRJA0jIhrMZN0ShBGhFHsShdWOCGFdLdaI0KR1oggqUdTjXKhu/J8y8KpjzuOYCAZqHdXKa8fXOAu3A2lpBvPQ9ief6O0xqIo3ObaHwwJo0j05NyY1YGUY01RkBnRYgxLFWJbiuSWiDjSNTgaDGi3m1hELy5QGrQBI926yv+ynRGwBVd6pCxpuR+563JuDeqjJQX/G8pbpXn01mkzakSqQQcBYVChYCXPZJpR5DlZmpOlKdlUvmjKgn7v7mmODpa5Mn+D4jfqnPjd46ysrjIejRkM+iitiMOQe1oN6knEeDphf/uAg35PFsgsE//H116nd3DA8tKSBAUcuKA+EN9NN09RgvA7eMxdEzMbLiWqp5RiWBszjieERcgD7XtpPVRnd2+fO1tb3LolXLujR48yTaf0+j2iKHBoQlRybDzvVBbzqQPoXfeckvFSev9aRafT5d57LxEEktA+fOsCC+M5DmoDXjj2Oj935clyPEY6JC8y9rZ2CFY07XYLb38YKk19ewdlIW/U2TM5P33+BfqDAVtbW4wmE7Iip9FoME1TgiRGBZr5xQWOHTnCU088SbfbJQhDdnYPuH17E6whn0wZDwfcuXWL/d1dTh07yhPP/pggL7j9c09hopCFn8BalnPxnvPcurVZziNjDbVaHaUUmxubtFotPvWpZzh27Bij0ZRnv/8cOzs7LCzMs7jUxWJ44KGHqdfrmCJjqqsFabY8an2ndlG4sS+0But06rxkk1+DdaCZDCeMR3vOMShxHa+gw4CsyJ3gc8AsGgOHSfMVfUWsHYPQWyHK+m8tTnIH5yfr1lCjHYVhtqFInqp1D9c3LxkLmRW1YO9v6xuqyiqKruaurHXS/W9BmsTuQgdnvlF+HiiGX3wG+wffZGU65ZFhyotzgWPlUs7pSoO0eg/vLGHznDw39A76pKkk/O1Wk1q9zng0xVjFzv4Bkywt76VFgJH2XJu19TV6+wNGownjKObrp0/wD998lyfeeIc0S/mxzTjoDQnDCaPRmCtX3nduTwnr60dYWREnmm53Dq0V2zvb7O7scfPmBuPxiDzP+PW/+WscP36MlZVlvvSln6XfH/DdIORepVjYO+DkxhbXj6+Ckv3TuFjJr5E+IzzMYZY1pHCUIq2lgxwoUbpWq0W73eb27dvs7e1Vdnef4PjEgZ1yNXPKsokqOUVCRq3swjxRWDIucT+IYyenYfzQcN6daNdFasq10+MGyg0GW1iXKcuGYo0hUJpWq43K1SFXzfV4AAEAAElEQVSo1Q9eGUimXHChsjXxk6uysPVlCohj33AhwYVwxyCKBMGJwhpKaQonjimbdYG3cfpkaKlsEF6s13Os/MQu8ryMzj1M60uTtZpYdkVR7Px1A+ddGHJw0OOdd99ma2uLer1Ou93+yMbpzYa9/ZsP/CorIuPgYes+JyoXOFmcgkMlI3+vZaMRBLLKTlUZ/IPIDfgyd5YVRFGMMfIeSVQnTLx8S2XLopTnoKmyqUYpRaPRKMtTxkAUNgjDxHWL+kXMcf+UpWYbNF3rfui6mAojmbvvXPXz0PPUbCGIoUHK21ghJXupFqV0WaScRWTvLqdJWXp2g6lK0XL/JRgT/mZLFgYZWFgO6zzO8lBzqFTKyjKo/F24gYp8mjEJfINFQZK4DjUB1fDlKh05IWDtZ3tQPj93MW6NcsbdM9c723iBFWV4iy07zfxJ+Y505YWMrf8s2dgESQiF7qF9AmIcMj0WmQFbbRCdTofBcMg3vv51Go0mK2srnD17hmazQRxFbG9vc/m9K7z33nts7+5QFIb5Tod+v0+73ebTn/oU8cmEfzb/RyirOD5YJwyiqgRXbkQOKbbWr0qy6ZYNYHKNPuDz3/vwww9Y3lng6LFjPPLYI9TrdVeiiXnrzTf5nX/9O2xu3qGWQJYJJ1LQM+sSm9SVrPNDXFb/J0BhoF6rsbKySr/fw1rL/HSOU3tH+enRt3hz+Sqff+8xAqNd7irr9Z07d9ja3eFMkbO6ukqtgKP/v/+R7g9/ggX211c4duw4m7c3ue+++6TjNctAK3Z3d0mzjMWVZU6cOkmr3aZWr7O9t8PV99+XoCiqcfzoEVrNFjtbd7j54TXSdMqlixf5QmZYu3aTolHn9i/9HCvfflbKsXHEiePHyjXUl858Y973v/8sTz/zDMdPnAAUm5t3ePmlV5hfmOfs2bPUazWu3/iQK1eucPbsaZlfYbXFVUO5CjisH+PWNcfZKpiabeAKw5Bup0scWEk4iimF627GQjqZgoEAVe5Ds2uv7DvSMBTHIXEsDRaCxrq9zYL3OPFjruRaOrjMv+csBw41A054YMQgAbujq5jcHFpzZuk3/u/GryHWluuJvL3lrxKPn3SaWK1JjKU+mVI0E3TgKhdS2igbO/x7+yahdCoB/2Q8pcgNUZTQbLad5V9l4wmWa40GT45GJFs72H6fvF2nXq9z6eJF3nrrMpOxKCq8cuo4b+UFl959j2fevcpvHV/lX54+jlbCgUySGh5BHQ6HvP9+33nXm7LxbTqZ0u+PSJKE9fU1Ll64yGQy4ZFHHuXEiVN885vf5ZV+j+1WkyP9ASdub3Lz5BrSmezhOA4ds2uKD3z9/fd/+sSu1WoxNzdHHMd0OqLf67ViP+nxiQM7ZhasuweWdqr7fgPyQUIcx44MWJSDevY9PLpQ6pa5Tj5ZT82hLMQvSszUpxWUGmg+0z28YVa8gtnulJLAiA/scAFEIHV/DdZKB63fl5JYOEvWWKYTkfHA+EnikEePLKrD3Vh/1SEb9azGndcFLNjY2MAYw+LiIiDNB51Op+ygRUESxmitGQzE+sRaS7vdAopDm4E/ZjuL6vV6uSl7dC5JEobDIUUhEi9yLrZEp0ARBBUa6xE7f1+9c4XnZoRO/8i49xkOh2xubtLr9YnjhIWFRebmOuUzrHiZOHRVugUluJTmmf39fZIkYWFhgW63S6MhjSxRVEer0CGEgrAqNEGpPWWIEuG/5O7+2FzQmCIX4d5Qh6JWbyx5lpFZMWQvnKJ8EIQzm4OuUEVjD91njzgGWssEmwmgZq/PE6plEsgYKgqDDkTFPBAwu/S+NFjQiiAKpfxqKi6cl+gQZxJDmBcEGpo118QRSnkqDmIpR5VJSCU3pLWcmFJia+fH8qEVQM0UhMrA/XAgJOMfUMGhn1kEHTBUrzHGYGbeP3BIhbWWMBKRbWthPJ4wTSdkU8vxOyu8t3CTrcV9Tuou+wf7nD59hk9/+hlW11ZoNuuk6YSd3T22tjap1xPOnTvL7u4e3bkODzzwAGdOnabb6fCt5PvcqG8QFSFP334IrcPD5+xRHuNkW3wU7RFOcMgn5bW777KxcZNXv/8itXqD02fOcPHiJU6ePEmz2eLosSN0ux2uXr3KXLtLrVaT5pyp+Cp7jo1w7BzZ3MkgzN53Y0wp/2Rct3NQBDx5435+euQtfnL0bcbhlNa0Vq6PgdYsLCzw3tX3uX7rJu32HF+5cpPlb3wfgFcvnOGPzx3jZ5YWWV9f587mJotLS3Tm5zlx6iSDwYBbt26xuLxEEEX09vZ5+aWXePfyZXb392RD6s5TqzUpsozJaEiRpdRrCecbDS78i99FGcP13/wVxsdW2fzsExz5k2/RuLXJE1nGH7ZbolCQZdSSGg888CCLS8uEYcjS0hKtZpvRaMQ771ym3W7x1JNPcfbcWWr1mCyf8srLL7O7u8362gqJk6aQ6VEhXkEQoHB7lJO8ytOMvLTX8nNLAj6tFHEUQb3B0Ah3Oc8L0jR3ovMpGk3skD4vLu87GyXYk30zSeJS0FbiQlf9Mq7JhWpeW7dJWTevZ0v1h6pA1pdLPTpnXCd+4XXfS4DAa4r6Paj0MXWNb7M7l6dfuI26BC88fq21xnpwYDJhMFBEcYE1kqTVklrpk+4rNqPRqGyOSSdjjJGGtUarxdraEcIgYn/zDgZLXEtYjBf5veNrfOby+6y++jYrv/+nXP3bXyVK6k4JQ1HkOVor5k+c4LsP3Mf6P/1t5vf2OT3X4fSJdeIoIUlqHDt2nFOnTtPtzpOmYirQajXJ8xSL5fXXX+NHP/wRN2/e4ujRo3zlK79Ae67Fu+++y8kTJ3jlldf4zne+S2NlmavHj7H+x3/KY6++zZsPXaTXTPAKIBUuN/OIJFI/NL7u7nD2FbtutwtufC4uLjIYDNjf3//Ie/5VxydH7JSfGE6Px8rJ5bn4ogWuc9V/+U2/KKzjpcnmrnUgbc+OJyB2TF5lm3Li+UyhZBZYsA4pka4sj6aoMjr2wV11zhpPrIUKXSiRCIOzbKLU9ZL4UqEIys0bLGEYy/laQRrDICobQvwLfZOHKje/w2Tq2SMIKsFXKUtK1w0oooZoWO3s7JRdPV641H2YTJJcgqrReEivLyKg3fkOR46slUG2MaZsdvCTyQeSSZIcyqSUUq7Ma0vkNXcCz74TVs69eu/DhO9qM/RWVWEYQSG+jJPJhMlkgndq8MGcIILS3ZkkYjc3Ho8Yj53ivi1I0ym9Xo+dnR3m5+eZTCZlp/BkkjIcDQmCkCSplY0xCuskTiAKY8LQfRbakZedP6eSZ218idSAJcAUju9lixK5lO5uKZsUhSHNcwpjS+uhQwrvoSiuz3Y8zgZ2kuw4Fwj37D3Cqaw0IIDr1OIwimC1x7rk0C7YKLIckxnSIpduXuWkBZSIhGOFNyrxiXGaUxZtC6zR6NBhgN4VpEQFyqH3Ef6on1t+I1T4jajKXkUzjbLEfSgZs7YsO/mguLSdU9J9HkUBeWEJdchj1y/wFxdf4q2V93m8e4ZWs0WnM8fu3i63N29J13ezwerqCvMLXZJaDaMgS0XiY3VtDZsX7O7ucj27DtKP4eSMMjdn1cw6JHwnnwXamYVbSl/yfIwVFxJ3R1heWaJzT5393j5vvvUqL738Y7rdedbWjjDX7jIajVAK+v0erVabfl/U7dM0ZW5ujiSpSWe6ragP3hPa3780K5xLxYBW+yx5PuXaB+/x8JXzdB5scVDr88Lx1/nClcfxtJFGvcGFCxc4fuokNzduc+faDTpvXkZZy4ePPsCLX/1Z3vjhDzDPPUeoAjY2N3nzrbeoNRosLC2WGnO+Y6836HPz1i36gwGNZoPV9XUaccKw32Nne5vhoM/RY0c4f/Ys9/3gp7SuXiNr1Ln15ANMiylmsc34xDqtK9dYu3KVMIpQU+nmv3jpAp/61DN888+/RRRFXLp0Ca0D3n33Cm+9+RZf/vJXOHnytFggYrnnngtkRcHGxi12tu9wam+XM3cl2WUJMghcM6AukeYgF09nC072xu1HRipB9aSOKQyT8Zg8E75iOs3I0oJAG0K3RieJVH4ajcbMOiud+gKCyA5hTOGqYco9a1uOH9lnne6qc3e6O4kSuRaN3wYrNpMFh9L7tcWvQT4Z9/O2XM+VdIcqrcs7Zq23c/SdszNglIa806b/pc/Q/YOv8St7I/4nVTCOc0whvPh6nJTxgDcf8E5QxhjqtYR6o067NUenM49Sir39ffr9PjjzgUhrdiYTegrWAO7s0Nvfpz0flC4QuSuLW2sZacU0lka9uVqN+W6XXn+IMeICMp1OWVlZYX5+AWsLer0e29t3eOutN3n++ecZDAb8wld+nocfepjjJ47zxhtv8OabbxKFMd/5znfY2trmH/yDX6F55iR3fvgCK5t3ePCVt/j+k/eT21yS7/BwguiTe3myH0Xs/LP0e7zfT7xcy8HBQdk89UmOT47YKeNGehXNSAdgzng0IZjhJKRpSq/X44MPPqDX65ViuEeOHJHuK+XRINexqYULANLuGwQO8SrA685BVZbMsow8E3mOJDr881mI2SUZh4K52UGd5xIcaa3Q1jrzbcoF3Vqvv+ffQ5DJiJAwkA1ZFnIPXc88MO0zrr86uAMcCnVAnue02x3mu/MktYRTp06xtraGtYJ0HQoMXNAiwYE0TCwuStfYeDyi3W6VDQ5+AkmL97LYsxiRE/CoXqnnpMSoGzTNZts1kcy2x6sy2/Wl3LvlGbz8yKwbRRBo8lzOQTqoxWFDAn4p6Xul9jwXxf04rmRgvJae5xjEcezslsQOan//gP1ej7m5Disrq063TmN0QGFycqc9GOQBtXpNmnCiAHSC1pJwKGXIc0OgDcaJZ04mI4piSmEFoR2NxihEmTyKEgnMQkXqAuyqm9or+ytsKMbgh9FiHzj4DFmCKbHM8gkPboGVLM/4eyzRjhtfch89R8YaIxIa1pSiykaJPVaOraQ8HIFbG8TL1qHjeN0reaB+JHOoScKN9btHdLlAWVsi8ZKdqtKAXrnrs+53yzFt/aZh3LgWeoIxbg5qZ/OnLSqIyjIkCGk9jmOGoxG3bt1kbX2F9fVVmq0GjWadpIjZ3dtjOB5Tr7UIlOLK5SuMh0N+8uOf8Mr66/CIvzK/EeKsESnHXllidf/xz6VEr40SMn4pwwNxErKwvswDD11iNBlz48Ytbt28zbvvvk1nbp7hcOAsjZrkhWhudbvdUjYqTUVaaDwZlfPLJ0ceGZ/rzPPpz3yG8+cv0O10SKcj0iyDvpVz0pZxOK3OXVY00Qxrt+guzHOpu8g9/+z3MUrx0toSK2dO84xWbN7c4NEnnyLNM9577z129vbY2Nig0+mwsLBAoDRLC4vU6nUef+xx+oM+9UaDTrfL4uIS+7u7fPDBB1gMZ08cx3z4XZ6b/3OONSKu/p1fYTLfQimLmWtycN89NK9c49jbl3nw4Qu88NJrxHHM8ePHmUymvPLKK5w/f56LFy8yHApaV6vVOHfuXIl2RrGm0Wjx4EMPceToOrdv3aDY2vrIGJ1FlgU1kblkET51MePeUIIFLvmwiO1fktRRKiIODY2662gucsJAkvBaTeRR6q45RbbzEC+fVRhxpfDJsOwzVQCmtBIBIB9UWUrEbvb8waHgroNSKevsxQrHlfNrTaViMFtl8ddorUUF8jMNYruJD0J0Vd7yhSmF7H8q4OA3fpnOn3yLB6cp9x+MeaEtYgKZMQwOeuU9ny0Di/Vnk1a7SS1pOMckzUG/x+2NTfK8oBY3SKcTlDJMsiHfPr7G+f0eR376Jt966hL1xzsMBwP29/eA0O3/imme8/KDl/iFP9/i/nfeY/nsSa7t72ONZWdnj+vXb3D58nt0u10Ggx5Xrlzh5s3r9PoHzM/P8yu/8iv87M9+gSRJePXVV3nhRy+QxDU2N+/wwQfX+PKXf4EvfOELbN65zT+/dI7/8+YdPvP8T3nr2DIb60uSMDiE1t/fwDVXyBiS789qwN79TAU0E3TVU9p2dnb4pMcnR+y0EzB08gyilg95MaE/2Ecp4aZ4VMdz7Pr9Pjdv3iTPc5aXVggDGeReSw6gMJkLIqwzPQ7KoArHQyuFSY10D+bOBiQKaofKILOtxD5Im71h1QZLqdru/f/k5zLJgkCjtIdVq6Nyq1BgFEWhyqD0r3uItEhaBncSVCm2trZptVrlJGg2m/T7fXq9Hkop4iR0/nTSfh9FIUnSpdPpsL+/f6jxwmsDAeXv+3+X/I3y2sTxYTQcO0N5+ew4rtCoWaFLXxryQU2VBYqdmX8/kAldq9XodDrU63WKwjIajcuNsDAFRZ4zGg8xtiBJEml1d2Uoa7z2Xa3MZHxJYWFpgaSZSKYfWozKy45bo3DSG4rBcMh4MqDerBOEmihSoELyTFBYjaLX6/Hh++9jjJRSa7UIVXZqy5gaDkcsLCzSarWlZOmEMP31+wk7GzD7BVqCXZ9oaBSO76KE92aM65y1FefUMoMy+PdzDRd++bVIIBjXEinVpinWoa06DEEJspQZg8kzQmdILrIHunIzwPN59MwCfnjcWleyvXsxAl+1qZDx8vs+iPXopNtQC+vnqHsv7f5j/fLgAmCtUIHCoDnVO0Jn0mIYjnkxeRVzY8zx4ydYOHmUZ555hiRJuHHjOge9Hne2ttjZ3WE8nRJHdayzGRsNhszNzVE/W8ljWHL5ssIVla69ANHkClF2BslASsZ3l1IiExIW4uG6MdjAXh6TFRNOnjrJgw/+PMPBmJs3N9ne3uXll17l6tWrpOmUNJ1Sb9RYXBK3jF6vx+bm5sx9m+HozGT2R48e5WeefpqVlWWyPBeKBVCMCs5vnuSFM6/z5spVvvT2U0RGl2XuPM/FqSXLOPfPf5dwOOTdBy7yzcCw/sorPPXUUxxbP8qdO3eYX1jg6aefRochuWusarfa5NMpFtjd3UWHAfecO0ecJERxxHAwYDwcMuj1uHjuLNz8S/5Pf/uPuXqi4P2fe4jP1T8jdmZagviNn32a9T/9C+au3eLU0SXeX1oW1DJO2NzcZHd3l6effoZ2u82bb73Fyy+/zM/97JdptdpYKwhHUWQYWxAlksSGgWJy/Vo5iD9CGTg0aikDKmaCKN9UYQoj5T5lhEcXRgSEkCgJcAoJ+kTgPSpL554TSVl7CjEmh9wHjb6q5Dh2HiFGki/l1lNXX/3Imm2tRRmDUQZrQ+faUgh1wPgGQnFD8OtSWXqdmb9pllGkkuCJjFPNVSgsWC/zZWfWBKluoBTpYpfphbPUX32LLxrFS2GIDQKSIPDISkn3qdfrzps1otVqin+uDcjynH6vz/bWLnle0G53heeLpTApaZax6/1604ydrS0WHfqnlKZ30Acc5xHFzWNH6HXatA/6/PLzP+YvWzXuHBy4/UYRhq+glCbLxNrznnvO8uRTT/CpT32KJ558EqUKfvzij/n93/8D8rzgV3/l17hx4yYXL17iyz//Cxhj+NM//VOu9PbZmu+wsnfAuQ9vs3lkaeb+Vuv27DFbuZkNtGf5mD6wS9OURqNBs9k81Cj5Hzv+GoidL3O6kqWSDGY06nP79k3yXHHkyBGWlpZIkoTFhQVOnz5FEARcu3a9bG6Qi/G7iGgQeS89kVCh9NTzpYMsFX/CWS0Y5R6gDDYnjuKDhEI6nrAQRqKH5SeE74b1QrrihepKgbYqA8kbOeKp22EDrcpNyGdXSpU706HDQ+fw8Q+3KCxBELK4uEi7PcdwOBKj+ixjb3eX3b0d6dbpzJdBssDkyvHfitIKLHS6RI1Ws2wwAB9giMizcZ2ecRS76/WIplybdYvXcDDitddfp9frsby0xLlz51hcXHSdeLIIVWU5QWRkkwlceciXlyupC+ENRASBFzcVdFSEnuWtTGEIHelYkIqsLOdmaeYadaqgIQhEHBprqCd1Wt2WNAi4ietLgl4DLctyNm7fJq5FHEmOiLhwjvMUlI2uXqszGvW5fuMacRSzuLBAEMpYCcNAUGmHIE+nKfW6RUeqlKgJdMUVNK4zJ1DOb1IhgZgX7NWgdUigHbLpmnnAYJzgtMRXnotaNS2UHpgOpfPaYAGKKI4JCjEtKlx5uwDJYrBiVVQigjPlxhKGsyUa5aq7UI5k/9xx6vLumlyzBHx0s/Tjq/yeUuVznB2j8rsiyIsrM8tbarzEj0c1jw9WmUubHNQGXFvb4HS8yNLSIkePHmHzzibTdMqNmzcZjUZ0F+Y5duwo/eGQvd0+Smv29/c4f+48Tz/9NP/fC/8CgHM7x2hPxJfVIlUE2ceMawbyGo3+FqgySBLqhUbrkEvbp7l/8xwvr7/DXz7xMif/sMbNmze4du0aW5e2WVs7yurqCoPBkDiJXJlOeMlRHLO3v8/+/gGF87z21mmznJx6vVFuBmfPnWP9yBHiJGE6GaN1QJYXqNxybu8EL/A6l5evQwiBCbHakOYp48kYFQZ0Xnub+RdfIa/VeO/nPoO5fo1XXnmVjdub3HvhIjeu3yB9520efvhhwijCIAr4dzbvMOz1UFozGA4YDkcsLS9jsQxHI/q9Hhsbt6WZ5cpz/G//V3/Mu+dEa+37nwv4/E81isqBIQvd3mAMR5May+05Op0uxuRc+/BDsizj+InjWGN56ac/xVrD2tpqmeDt7vT48NpVmq0660fXaM+JR2nS7hxek40p1xw/8OzMRusJ9IVrngusBOklqjIz/wTscMGSDtyeUtnC+aBfArGZeVzyqpUf1WWJ1lsE+jmgrJG5oExZzptFHouikJKrstiikDKvK+HKHBZpIUGjP5psldWVIGCapfQHAwbb2+gwcCT+DklYE7TJzV9lXXMXCq1CslpMfvYUvPoWz4Qx/3J+vnJHcoGtb6is1WqOex+gNEwzsV7curPD5uYWQRCxvLxCqzVHNs2JazGWgiDoMDrYL8/9oNfj7bffYTScEoYB/X6PxcVVAh0QhSFbayu8/MyTfPbPvs3pzS1MtMRwNASLq2aFrK6usLa2ypEj6zz44APcd/+9HD9+nP39PX784xf42tf+jLfffpvPfe7z3Hvvvezv9/jN3/otLt53H3/wb/8t3/72t+l2WtxcWWJl74Az127z/UcuQByD8+oWXuVhio4v188+j9lgzzgzBP+aTrdDp9vlgw+u8kmPv0bzhJhXywDxCK9lYbGDNccZ9Ka0mw1CLTo608mIcahZXl7h9OnT7O8dkDkEwdgMpSyFyUQrLZ2WDz4IglLQUII74b1kWYYlQmlFoEPCUJoZXGufy7J8+UaLNp5xSIwKACsOBKpqbAi0RgdiZ2UBZaQRodfrkSQJc+05lBIldB1oCmOxQdX16CVfPAJjERcC2RerhbiShrGHHmZRWPdaWayDIGAyGWNMxnQ6ES/YxAlmItzFIAwosglZ5jI3I51cVmuG/b4gel5ssZBO2jDQzncQVODQHlspmGsdOKmajDCMOH36FHEcEYbCbUqzsaBmglm58eAQH+24dJFG6Zgin2lumYGVxVZKHBWyTLqNKQNrEQY2hSEMa65ho6iCGKV9zs10OmUwHFNvJMS1CKUNhhRlY4Iy6HWDwWrpDgP29/e5ffsW5y+cJ9JiJ2WMceNEOq/H+RhbWLGyazep1RPnNuJQLeRPRUBhFHkBkTYEirKkqKwisBLIebHQMJBFzLhSTllydBw5raquUCwUFGVy7EQHMdYFhUpjdYDRjmjtxpWfM8i0ROkQHYItcrBZGcBpF7RZpERb3ltrwfqsseImyu9WYZ11j9667ytE+qdMFNAYo8vxb2xGYTyu6PUMTcmhk/HvOaeK3FeFtfcoFvRXBGVBqQBb5MxNmjAHdgHmlzqk2Zg33n6DuJawtLTI6toarbZ0l5nCiL/pPQlRGPHoow+zvr7O8vIKl+c/BGCtt0yS1smde4RSYJV8vsL7P3qvYNeFaKtyug41ylpCG/LVdz/Dy0fe4f3H7/DohSfYe32bt16/zLWrt4njBKUDdBDSHwyJ4pBsIi4ruTHs9Q5IsylxGNNOpBpReLQ2CJ30RkhhFIuL83zxZ38OHWmmWYrBsnfQ493L73HnzgbnL91P7aGEg9qQdxY/5LGDSxjHVx1kQ2phg9bblwmmKbtL8/x0NOTYsRNEUYNhf8C7712lKHJG4zE/fullWq1WiUYFQcBg0GM4GDAciUvO5tYdtNZsb28zHo84c/YU5+ohf3L8uTKow8LctIEtMrz2obWavNtl99H7WHzhVX7pBy/zyhP3slcL2NsLuH37Nu1mgwv3nOPGjQ95/rnv89CDj3Dy+DG0FQeH3Z1tJqMRx46uUq+FhMqW3svl2C0KlJOpksXII2SmDLFcLiH7hENcrLFEUUgY1qRK5Soe2vHzlJvnGhHwn02cZS3L3Vh3LiC+YlQI6iXzRuaf9mulcYGXm5e5LWSrC4QPLraA4gdtCtdoqJyGpHRB4X3QZV+UvcDz3cr1WUnTRBQlxPUazVaL0WjE1tYWN65dJ6lt0enO02rNOd5ggucYR0EkDYeqCkKiMKRejwmCGkEo6g2lj6/bC3zQm2Y5k3HO7u4+d7Z2sGg63Xm6i4siA1IYTGYpcoPSEfuFEv3AouD861f53jTn6ImTmCyjyKcoClmLM0MQB+TzcxitibTi7PIi+zYlSWosLy0T6IhLF+/j3LmzHD9+nE6nQ6BDrl+7wQ9+8Dzf+ObXeeutd3nwwfv56le/Sp7nnDlzmvP3nOPb3/gz/uh/+kM08As///PkYQj/6P/B6Vt3WNzep9eaQztXLNwaCZTgis9zZxFTH9hFSUStWSdKItIiI6rFNFoNknpSWgl+kuOv2RVblR2EAyOSGEeOHGXYTmdQNAmkdnf32N8/oFZrEoSRIBFOFiTPC/Lc2Xbh+Hv45gxK6FmCB00Qho7HFzmhYTeBPPmVqisMFEqHhBq0ihBdM0EFpYV6TBRFNJotFCFhGJflj43bW+R5xvJy3S0KYl4eCiiMzTPHUytc8BMeKrdVSIQ0Q3j+wt0QunL2Kp4QW+QFea4YDPuMxwIxN5sNp3umq8XAB6talwPDZ26eYzhbvpFnpSuNQThk+l3Zikn5OWomJLVYmgo0pSI3eCTTlIvW3aWhKIwwkS3f13+NRiOKIiNNJxSuccJr4clzE8mRyqmicMFC4MrJAZiCXm/A9vYuo/GE5WCRMA4JwsAZ3AeSkVtphlE6EBFpK1lwFEV0Oh35PRSDwbBswPCoUJpOiaOYhQUh1cZx7AS5vZiy6C9KLdIvllKmsKaQ7jnnviENEyGBUiUK4JHqosAFDx4Bphzr5UxTVtTiDK7i4RTlfeewR/DkieB5QCY3pdYZOAKv82j0wbEY/siG6jcv46gP3vnC9+nc3QBk/dQ8VJSsLkH7JKcslzsepksCpLQkYzDLMnfPXAkMjyJ4ZF64l8ZUY6wwENmQL119ijdW3ufOzw4Y/vdDXn75pzTnWnzmc5/l+LHjjEYjbt+8xXuXr9Dv9zHGcOrkWVZWVjh54gTz8/Mc9HpkzRQalOVJVAEUjsPog9qZTsFD0GWFflRyQXB+8zjnt05weeE6N7/aZ+FqneFkTJ4KRSCOJZGaTMQRJYpjjLX0Bn10IJI3890utVDQ98FwWEoTJUmNIAjpdlscP3aCWq3Ohx9eYzjos76+RhjKfLl9e5MjLx4n+AXNsD7mdmMb1Q8IlCL0iMBkytp3fwjAa+dOcvnWDZK4waUL97G2uoYORVbIGMPOzg5vv/02vV6v7PLM85T9/X3m5+c5evQojUajtEbsduc4EcC3jvxr/snf2Spv1YX9k/z9t39JkB+HQGEVeb3G+7/xiyz++DW64wl2a4t8rsHx48fY2NgicDqRzz77LDs7O9xzzzk6nQ5guXnjBr3eAUtLiywtL2FVBm7OT2bWXOOcV6xDr72Wm0G6nf3YZGbt9GMyiqWh7VCzGBXyXJjCuaqomYS/OqwFr9dKWRaGUrvVWtB3VXhm/lR3nRM+oXBz3Wu94vYU5SwErXu9DxxmS35lYGcptRGDIKDb7dJqteh0Ohz0evQHAw56fer1OnPtDo1GU6SHEC5qDuz/zKPM/cmfc3SScp8KeQtDkRdEUUV/8s12Xgt1PJ6wu99nNBqTxDVac3M0W23Gkwmj8QQM9A56jAZDggA+LFIeO3WMv3v9Fn/3ygY3phOuJXWwsr/VazUWFhaI4xrTbMqHjz7I5fc+4PyPXuK/fv8m/+3xJYJ6jfZci+7cIvPz3dIaczKZsLGxweuvv86zzz5Lf9Dji1/8PH/rb/0tzpw5y/PPP8/62joHB3v8/u//O9G3+/Vf41d+9VeJLl8mjSLiLOOJdz7kuVOnsDNc/4877nZt8kccxQQo6kmNxDk1JVHMfLdLd27uY9/r445PHNiZQtAEfzKCZFjyzLqORzGvVwqSpOYg2BhrDaPxlDD0G4fP7B1KoQOSRHTjvHCjIC44lEkT1uvU8CVYx91ymYmx1pWUtHQxlhPGbcY4exOrwAaYQpPnUlpThIjtUs2R4cdsbe0wGAxYWFguN2lbeO9YWfCl9i21+SgyM2TuqhsUlON9VIGdnL80h+igkkjwnJ7pJGUwOCDLUowV7a7xeOwkTiQokDWpyn7u5jnNBnsVOVbOP01TptlURFxj370sXpSB1+UAJ0osSOOsh68sBDPFKKVLzTEpRUSHzskTh7XWjMcjUFXXYKU1eLh933vy+eaKKIrl2eYFBwe32Nndo9PpooOI3FhM5rtrCxS27LrUBFJudUFGq93m/NxcGdwHrvxQuPZyOf+ARqNGpzNHr99jPB5iLQ65jMrArrLYMmTufnrUSWvteCO48olPdmyZvCgX4AgY5zYZjIRbypVprUYrp6tlnNUY1s8C0anDNyrINRvPw5E7jFXScIDyWEThfm4wSuzkjPXBmzxTrJb9xSPh7lmX4wr/s2rfAT/nRJLFmsI1VomTiecqYd3814JVhoQUWrlyki3lkZSSjmEJUkKyLBeEVXZHANqDOlERkoc5lwcfsLw5xzOffobjx46RxDFZlrG+vo4phK8ZhhFzc12MMbTbLT744Cr/4cOvc/nMB2ij+JkPHsDaDElc/LW6sazA2krrEPcMZudv9V1YHHb4z1/+Kv/4C/+MH/zi23zxGxdQ2xL8xSap5mWeoxAOKEYoH+12m1arRRLFFKmX2ZHPDoLQzR/FzZs3icKY555/jsFwwFy7xWc+82kuXbzIysoqk8mEN195k2avxrA+Zq81wGwYEuc2oxWsfeeH1Hb3SZOYK2dPoAYHbGxscOPaLTpzHeIkYnllmWPHjnHixAk++9nPHhLhzvO0DATq9TrT6ZSdnR22t7e5dO4MxeV/xz//zS1CG1GbhgyTMQuTOdppE2nnEVha+XWT6pDrFu24d955j09/5rMEQcBLL71Ep9PhzJkztNotdrb2+f6zz9JuN/jyz3+J0XDEhzfeIwpCVpZXyB2aCFLiEk0493yNFN6V1zulqubczXfyHGJ/7bPrVxWI4QIlb4Hn1/iq5OsTKf8Zfq9CgTIfX9nx6Hj5ETP3qGyw8kuOH5TKrX0WQd/9TL2rHOvX8VngwZ9Dt9ul0+0ySTP6/R6DwZCdnW0Ggz6tVptGo1U2Pei1JaxSNKcZa2HI9zc3yQtFtztfvqfnjI3HY6dpWpAbRVKrs7y8Qq1RZzJN2dnbZ3d3jyLLicOIIApJkhCTa/5gdZFf3t5laTBifVrwzmRKLa6JqLTzk8/yHINhYgJufPXLnHn1Le7d7fH06jwvz4VMJhN2810CLaDDzZs3GQwGvP/++7z99tt0Oh1++Zd/mV/6pV/CWss3vvF1XnnlZX7xF7/Ks899n6QW8Te/9Ov8wi9+haSW8I0b19D3neO/ePktnn7tXS5/7mfYatTL6z58eGCskiKbDbS9mLMPsqfTKWEQ0mw0WFpa4pMenziw0zqquAXuBAvXyZVOMtI0l05VrYkjWejjKHITNnDBgUweFWjiKABEd8jYCUWhykXscHYhgZlCO/cCv1FK0GdwpSdrMR6rxrpyoyLLLLoQ7o6xmlrSoFZryOahBEUcDsb0DgYMh0OsUdy+tUkYvsmlS+fpdufK0hNuE5WSxLxrSbbC0zIFg8GAzc077O3tEsexyx7iMmDxfAbQGFsw+8xlUhnq9RrNZsOhmZbxZEgS1901u0FRBsgfzQYqHmS18IjnXEgca0aTEcZ1AkdR5DwFhXyslQGE9+M774xzo/DPxi8AJfQeRcL/S4IS9VBKHWrUqHgmh3WT/GdEUUQUSKBeCfaKBEwQCCK21+uzeWebfn/M8uoRorguQam2KIfWKV11j4FC61DQPqWZpimtdpsiz0HhSst+bMtnSgkloNlsE0Uxu3u6FEpOEglQjfEcUZCAJnfm3K5LPMux1iG5KgQ1w0u0Rfmcq+fkSi+430Pe31pLUJZCHc/GGNDSZ4q1PsF3ivnyUUI3kEXeoCCICBwJmVltK+WDNCmozo4ZL/XjDz/n/IZUCXv7n/sY0CEEruHJDci7kAaPVmoJ5AJxwPBIrlaqcoVx0jKBDigwLuCTzfexzQssTObYnNtl8OWCC1+bL/XpfDLhu8F94pXnBTdv3uS1rTtcuXKFK/EVBvEIbTVLBx23kICXX7K2lJ+We2SFJ/VxR7VhSuD68MYFlobz3Jrb4sOnt1n9QUTv4IChcxUxVkqs0iEJk1FKd77L/OICYRgyOOgxOOiVZS5ddo4bkUUZi/zPzRu3OH32NGdOn2Z97Qh5lnPmzBnuu+8BNjc3uPjGCe6s7vHs2Vf4zz/4JQmYdcjad57n7D/7N+g0Y2d1kQ8Dmcv33HMPu9v7jIYj+oMB+wf7bGxs8M4777C+vl6iVkGgybK0bNCy1jIYDLh58yZHVla4Z3eL/+eXLzOpwdM3LpKR8ZPjb1fzxrh+ab+GFdbxQKsjiiLevfwutVrC+vo6zz77LFt37vDzv/ALnDl7ljubd3j22R+wtbXFk09+hU6nwyuv/IQfvvgc850u58+fp7azw0k/02dKqy6idL7ARpIsVLl2zHKf/AZc6lO6PeruJgYNYi1oZtdmVY4L6+aKVKncXuiTPn+CVMGiH1Mlqmht+duB34XdewdBxXO9G20sdfHu+nJnJ4nFzPdnNV9RijgOmZ/vMjfXEYF4RH91f3+XXq9PFMccm1biuYuLi+jtOwwO+q5BTtY7j9RZh6aGUUKj3qbT6dDpznPQ73Nna5tJmlFvNLGmoNloEmhNEoYoVbC/3yNN5bOSWp3haIyxwudDQ2YylJHkOgwD+p2EItDUjGHVGMbjEUVhyKZ77Gzvsru7i3XVA+sSq1/+5V/mV3/tV0nTKb/927/NCy/8kAceeAClLO+++yaf/9xn+fwXvsBwOODf/pvf5Uc/+iGPx2H5CI0x1Gq1MjC7O1CHCrHzlKOqEiolfZMXIl2VF1LeTmosL/4nCOya9U5ZLvGQqmThIvIXhQFRmDhBRudparWD2yN856gnxkexdMhU8LQnl/oFrLIeo/SWdSVGtCiZAlYp/D5gUKAhietSKkgLTGqdj6qUwjxioJT8rhdHttZQb9S4//77WF1bcdpQQ9rtFkEoC2pRZOhAkSQJURT7tUGu1RQc7PfYuL3BdDql05k71O0ya71lDOBLhqoSCTZOD01KmX7SWtJs4uBmp2vjygKzAwL8gnAIwXebpNzfKIpZWlxmOBowHo8pCltufEoF7O/tMxxOiaKI7e0tarWEel14PiX/0QhnRESMq014PJ44CzBTBrCzi0iep6AkIPWf6aUb2u02URCV11AtLJkba4arV69x/fptWu055jrzNJotsmKC+C/48oZHwKr7gbXkRcH23i5buztOSiWmXq8TRIIORjrGO4DI+BayfLvVxlifoUvJWLlNX3w6pRSBQoKPwgi6ZHIC69FVN24N+HBLBo4UUY3R+M44Y1x0pjwcJs0O4LcFDUUBzvHC8+WMs0dyYiKy5Gu3+Fucs6sEfV42Be/ighcEFx1GpRXMeCpXGIEvSbqSvEe1bDVO3YR0em6eUqHKL4OnElRUjlArgjAgsBqb5y62lWc5mUyJIiuexlrOtsAQBMLl8WDI3FyLixcvcvrUKbI0laTCzbU8zynynMD5LC8uLrKxcZtjx45y7/IQ+JpDT72kicXzoFDg+USzSJ2/N3dTHtyZY4xoon3hvcf5nUf+jFufO2D1v19GDeSVRZ5LYGck0M5zQ6fbpdZqMBiNyLOMUX+AtsK5MdZ7WIv9UlEUHD12lF/79V/jngsXOXPuLI16jXotIU9TPvuZz/HIw4/wl9/7C/546+voQjGOJhw0htSzGhrF+p98h2CaMjyyyrf+F19huLeHMZbRaMT5CxdYXlqmMFKZmE6n3Lx5kxdffNGtbR2Wl5ecGHqFXhljqIUhjf0X+N/93fd44SnLA7fO8d++8vf5fz36L2fWKOsEtQXFkvFiyesJaWeOeO+A9dGEq9vbNOotLl68xObmJt/61rdYXV3li1/8IsYYvvXtb/HDH7zIpz/1KS7dey97u7tsbGwwPz/PY488Sr1ep//mO+WzKxE365+UFV4sxoHQbv75OUL1utk1xa/lsz/3fGdlvWRXUFJRPOVEKelOLzvewWPuMvJmE6C7/62qGQmSRFbWdzJuZyk2QIn++LE6e/8PHbrag3xCVHLcfZla+cadmiQHQUiSyDq+u7fLlcmQU5fOsvLauzzx7gf8q3qdPd1nOp2WiYm/J14GptZoUWvM0Wg2yfKC/YMehbEsLi7RbLbIsilhoClyQ+b0V3cO9slyWZO7nS6D4YgsK6g36uR5Rl7k6EITOVBgGAZ8+MBFLvzgp3x2Y4ffzmv0RmPSsSTLXrS/3Z7j1KmTfPGLX+Rv/I2/wdadLf7wD/+QP/rjP+LMmRN8+tPPkBdTHnjoPn7lb/4qt67f4g/+4A/57ne/y2Qy5tcfewJeeK28pV7P10tgeRksadyVChBQ3vMgCJwsil+rDY16ncl4QpamhEFAu93mkx6fOLCr11vl4DGFIdCFs9kyGAPGUUzCIKg2JqWcUKnwkpSCwWDIxsZtgkBx4sQJwkj8DpVyiKASormikIXPKqznMVkcgucWYbehBGFIHNeIo5hGsyXRsg6YjCYM9gfOTSFzUXxY7jV+jZZFXDaTVrtOe+4UuROvFSkUmQh5nlOkwiHJ8z1nEl+JEkdRzNGjx7DWEMVhWb/3E6vKAj03TTbKMgAEZ3FTdQ6XrhxIGTPPczKPsH0Mn86XAGZ5cb5DNQhCgjAmDGtoXciEwTCdTOgfjBiORsRR7Ca2dRmWtPD7wBMUubOnyXNLlhVMp2k5gP1iJudiZ4I8ucdFIfcvSZISlg+CgDhKMA4Blu5gsV4Cxf7eAW++9TZ5bpnrRiRJgzCKxR4JLU0ATp7CBymFKVzlU5EXOYPBgMFgQJZndDtdavUacZJISdMKoiXDVrmmFkHwAgIpXRrv4Rk4qRRJVoqiKhlnWc5gMMQULgQx3gzc8en8bobL7nFyJ0iXdWGlU1a4ogWowpXtAxeQVcieQho3BXXwQ1mVUiRyT6oOV6+RpwDj0MKy+KX8ebkmDVtxyrwWky8hWb/D2NmEosQagAKTu/uPBHmeB+TC7zJ49+UZP07cRMHrHHp7M4lDtVtrBH3WumQVoZTwHa9evcqVK1dKSRylFMPhkCzLqNfrPPXUU5w/f55jx46yZXb4x+1/CsCXXnuKtd4SZQDnI8ayHF3Zvs1slSXiPksl8KVFW1h+/t2n+fqF59k9ecDmzw2Z/z0R0A6iiDTNmTi5kHa76bp1D8iMNDEVWU4cROQzKId0ycY0GgkPP/QwX/jCF+kuLEgXLJIgN1stkjCkOz/PF7/4sxRvZnyr+DFbzT3eWbzG6q1FCirdrDv33cMbxZTxeEyjUWd354Dvf+97FIXh5KkTHDt2lOXlZR5//PFS8WB9fZ1ms8nNm9fLdcirHpy68R7/3X/1Hq89aDn97hpf+h8e5oPgPUYXx+UImU6nRKqiojiyG8P5DgdnjrPykwOe2T7g7UcfYHl5mXq9zquvvsrGxga/8bf/NktLS7z++ut89zvfJc8tp06fRkcRV69eJY5jnnjiCe45dw6FYv/Y1XI/kg22oBrdxgkQu/no1t5DpRQ4tL7O6lHOJqG+O1VRlHtCHMdEJirXRFNUr1cOmT6Enn1MBaacY1qV5zUbBHq+qzRmlb8t52ptuc9p57Rxd6lXXnsYofTXUwaAgd/nvO4aFEoTJzGdzhx7+3t8sHGb5x4+x6++/i73Xb3Jww+e4RaiAxmG0vTj9RlFQitGBREFIdMsZ3tnl2masbC4TKs9J17SUcB0MmKaTsUXPJuS5YY/bTf43xwMePL6JmuPnGdnPKI9N0drri37uKNTGFtgdMi408YCndGEfKpcFSkgSzM6nQ7NZpPTp0/zzDPP8MADD/Diiy/yjW98g/fff59Tp07y9/7+3+Ppn3mS733vL7n/vkt88P4V/vIvvs/3vvcXLC0t8Pjjj3Op3QX+AwBRHFO4TmAfMM8ipISU1S//fa8La41Qv7JpShSEmDxnNBgSxzFNx2v8JMcnDuyEFGrJCyFFFsbbYGlp9Q88OqcrUU9fu7eq5BtUi7hxHTaWUNcdN0mXWYZWlhCLVVJutaZCo5QnpgeaOI5oNltSHg0TvE2XtZAklqKRYynIMuGMaa1m0AZzCLErHOxubE4QiNWIMYVTfnfNE9Y3BUTS6p1lpb5MHCfiX6sURZE6aZLKes3/Kd8TmYcsyw+Z/8rWW5VpfVu8Ura0/fK8Kh/tH4Z5RSaiyIuyaystMopCHBZCIsKgRqOuSVPRD9zY2GZvb496rcb8QpeV1RX3voXLLEwZ5Eng4zM64wZlVIoNe22+8nqUchtSUPoi+gHvvWPFnF2cROQ1mvF4gudTTiZTgiAiTVN2dvcYDEcYLLlJ0YEljJDwqygISk5ZBbLoIGB1fY1lx6dLksQlKEJmL3InbSFGpsK/dEGeKJEEDrHLnb6Vcc/IjZsiRylKFNM6VArPtbEuY8Xr+tkyYCoTjBJVKFBaM51OmaRD6o0aSU0CMcpCoKNDWCNNEB46liZgF4D4UMsFdu47BiW6XErjg0xBxavsvwrUXNDm+U/uPxK43oWM4uenJXcZdWkRp1V5D6TsPYN2eOTBiBSRdmiZIOtyzVmWYw3kJiM3hQS6tkJRptMp77zzDgcHPZYWlzl37ixJUqMoCgb9vmvi2uPgYJ/xeESz0eSb9/yQZ1s/YWnY4Zfe+CxREZbrggR3LsKzLpEs91WPr7hQemZee+RSO2mexVGHL11+it97+Jtc/80+R79xlPXuOvVWk/5gxP7BgTRHpDnD8ZjU5GSOJxyFIVEYgaLkdw2HIxYXGywsLHDfffexvr7G3kEPJykKWIosZeSeeRzFPPjAg8Q6ZkLK1E6R4L26d/1+n95BjzRNydKcTqfDZJSSZzkffPAB7713hW63y/Hjxzl58iTGGN555x3yPGd9fZUTJ06QZdJQlty5xdc+9Sxv3Wt58uBB/ps3f5Pt2ibedxuc8LOxGGWg5Lx51Fphg8CVGmHY6zEcDrl9+za3bt3iM5/5DE8+8QRXr17ld/7V73Djxg2+8gtfZXVlheloSBTFrtt5WfYbrGus83OrWrPKBy0DHKOUlGU/Jqi7+7ibMy3SQy7B8rmS0hhTMJ2aUrZGBIqr950dN3dXXz56OIeJ6iSqSwDymXJqee4uldM+IfHzegbZU0phlT3kJnMYlZS57u+jcpWGKIqdEcGBS3phR0lwGWc5Z9bWWBqPSKfiz1qv10s3jiSRfbqwmv3+hN5gwGg0ptlq02w1GQyHjMZjMKkEc1mBslIpCwNFbyJJwlyWc+H8BW71Dmg26+IDq5BEfAYQeeephzn++jscvbnBPyxC/uDsUeKwxXQi4r9LS0ucP3+ebrdbuUxECQ88cD9f+vKX+PznP82PXniea9c+IE4ifvjDH3GwP+KZZ57hscce4/7772flrcv+phNHMWOXSFi3HpQcuhkKlf++38fvRu886tfr9Urv2E96fPLmCSPcAVNIV1+e2/JEZaCIDlkQeoVr124NRCp2QZ4Ec+12G2NzROfFuAibGbjZzxBRmtdBWHL0fIec6KIF1JKYJA7BGqbTEWmWkxeGdJphTY4yBqsLgtCLD8+IDquZLNs64qIVL0UQaQ6lpYymtSaJE1CyYCZJA2s0RVwQBmPJsk2BdqLGcSwiuLKRUUbj/mFa3IO3vhW+2rytrRouPFKmFBCJf6Z2SIho+4kWmm9EUSqgKBR5ZojCEJT082Z5znRqUdrdSyPdxVYHWKPI0oLptMflK5c5cfI4R48epdvtzJQgA7SWYKyC9asSba1Wo9Fo4tXx0+m03EDyLKdQllotlpKl8Ru/lEL39w7YnGyRZYV4QbZaTNOMNB2hlKLZbPPAAw9w0BuiEPkQjGU8nFAUGXGsUTbH2IxAO7cAFYh3rAkw7u+OfukCMFuWVgOEBymdmilpDvV6DQI/vmWcihVaIYGNEV1FCTgK8tzzwmYmjQuOjbGowPpmcXnGynW04YIvb4FmIdAhRT5ha2ODeqPO0uIStXpTusG9VqlDxyy+GcGKI7wvBQbBXSUfj0pa8Vz2Nn4uKPRAhfB5tOsmd0KtM/NFxqWftz6g8yiAbNQmdxmqUsJ/LBx1Q4EOvbeye8uZzUg6C10CaQpA5rnJLWmWYUxBXHdk7RlvXh0I4rO6usKlS/fxyCOPlAnWZDoVf+J+j43bt3n5lVfoHRzwrxa/CUfhxMEaRydLYoCuBPUSBwILSqOU6xqnIsL7xMrvoRZPD3Mbpqo2zWYqWXbWNkzzjO3tHaLBkOk0YzgW7cppYVyQ7ioeKKJAyl1xGNJpt9nc2CRJYmq1hPZcGzRcu3Gd0XhEFCeEWnP79m1skTMeDknTlDiJWF2b5+m5h/jmqef42qnv8Zmrj6CNKTU/B/2+6Ec6lKY+V+PBBx90tm+G0IkN7x8c8NJLL4O1LC4uOtQl4f33r3Lt2jXG+/usrf6Qf/J/2SWaJPzcP3+EsK157LHHiKKQP+g8K/chy9jb30VbQ6AVYRQRBmHZLHP9C0+x8sIrPDhKOTKcMJlO2d75EK01jzz8EOl0yh/8wR/y+mtv8Fu/9Vv8Z//Zr9BqtXntlVcIo5ClpRUG/QnppKBRr5WlVVmvfCOdcLSU2/hn56yZ5f2Vv1PF+n7tPnRo5XisCj3Dy/TzyRppMFTKc+uqcW/dN0QKaNYr+64A03iuLSXy7k/dJ28OyvPFMpzpOdbiFQPdNclrlKsZlBRgPCrvA153nYV1eIF1YEWIyQrGg5EIUU9G0j1dVC4fZ06d5thkxHCUEScikVIUBXlRkA2HYjJQWAbDCaNJSl4UGBQ7e/v0+gOsNeTZlFa9JtZ6CN3LaEsxHJVX02rPcWJ+geGwj/MsRAUBQRSiQqG1TNeXuXPuFIs3NvjquODl4yeYGk2eiYRSktQZj1O+971n2dnZ5syZM5w9e5T19VWefvppXn/9Db7+9W+ysNBhe2eLleV1HnroUS5dvJfV9SNk0ylvvvkGn/VjyBjhx5mcKAyETmNdt38YiW83M5WMmcA+CkV+DSv0kEajwf6ecF69b/wnOf4acic+Yhd+TBjOZA+eN+DgTxkUutyoRM1blWPP63V5jzvhIsnALSushdf7Cg51IIVhUAaOWkOejpkM+1iX8WVFIbpZCqwVXRutFFYZrDJl2QpkMvkh7R0SSk4NVRAoZHZQzhjdWkU6zTDG26NUBt5RFJAkCfVGrXS0sBayVAR3fZmyMN6TsorcS4hc486jQjfF3SFlOBwyGk0JdEir2abd7rjOJOmczXNLOi2cx6wqAy9rQiaTgqKYuoBc7nsYRiwtrTI/P4+xUvozhSBYSVyb6cgTWZGiyMrOOE8SBQnwms02tVqN6WTKcDik3+8zmUhjCsrSajWYm5sjy7Kyu6yWNIijGv3+kMl4Shgm1OstarUqa6zV6iwtdDipw1IDyRhBqySAzFGBcBclYQCxYBW0d5pKsO8z9jiJCIIpaToR9wiNdN+GoqG4ubnBfLfL/EIXg0E54dwwCDBKAl1rfNeZZjaDx5Vwi0I6qI2CAiPBoFIzgb00OcjYsyXCh0MeQ22pxZGUiqwlBKeTJwGgwZCrCjU3RUFeVAbfhZc6sRKKWC+IoECH2ull2XLtlmDfB3YKa1T5zGfLCaV3JUWFxJTIZSHNGcZI4FXKzygwoiWX2wKlq4RAB5rIOpN0DEoHBDooubiFFZQ11hE6qElZKNCHNuxmo8nZM2d56qmnOH7iJM1Wi8IlTjc2NgjDiPsfeZRHH9dsbm7y1ptvlK/3JZ/CSElK9no1s9lW3s8z8WiZeUtF4i45CqUkuANCE6GsggBMDP3dIWo0IbdOg8w9X+VK1joMsEr00JRSNFtNThw5wvVr14TaEQZkRcbrb77OB9evMR6PBIWO5R6ur66xvLjI+GDE9RvbvPzjbW43b8EpGAQj8kkqndXuXLe3thmPRiwuLNDvD7l58yY3b9ymFtewWB5+5BEu3HOBoigYjUYlL3Zjc4PXXntdGim05ry9wv/n/7CHUZrP/ZuHOHhpj29Nv8WZs2c4f/4c2f15ed+E95iiFASZdNZGUURN1Rgn8lwSY8lGEzInLbW2usL1ax/SbrW4ffM29166l5/94s9Sr9V45eWXePnll/nSl76EImBvZ48w0IRrK7TiWvXQrDThmRJRLzewUu/S6HKmuIr8bBIi81VVCET11tbj6Lp8X4nZ3F6El0fy7+DRd/dDnEzRXxXYWYsyVTkWNUOXUIAtsEV1SToIXLdvJdrjT9u6ABBHrdBWqE3SyW4qgMWNaU0gY9i4c3Dr3WQ0FSmhoiBQAWmny/5Cl/mdfY5+eJPFTpfpdIvJWOwrDWK/mKYp/cFI6DxpTmoU48zSH6fUGw26813arTbZdEzo+X9ZysSNv3SalvfFFBZCRRwneEsxsf4MQQWoMERZSxoKEry23+cXX3mX3z6yzNRYppOcGzdu89JPXyUINV/4wuc4e/Y0e3v7XLx4ie2tbX73d/8NrVad06fPsre3yy/+4i+ysLDIYDDg7bfe4NnvP8v+175WBnZOspVAybwPVOA0C0XMXgfe6acK5jy/TitdunrpQBOHsaiOjCecOH6CT3p8ckuxmU3Jl/+qLynRzRI0PWHSWijyDIErDChzaENxt8D9vXBeaiLqp4PAGTR7D0mBl7GitG+NEoP5PCczQlyfpjnTPJfXa5hr1V1wUmloyUlW1zarq3aom+mu2euv3Rgh5HtkJM8zdnd3GQx6tFotVlbrhEEihGwHdRMGgsZ4pX0lvIMkSUr19Mlk4s4D0e1zpSwP1yql2Nvbo3fQJ01zikXrStIRYSjB83SakueGNJu6hQKazQZxkgga5bqXSl5IqImikCAQmZP5+S5gCcPIweZxCQ/L5h2V54sSnSutVHk/vOA5KOp15wGoQnq9fQaDMfV60/ksVhtho9FiZQXHQSnKQL4sV6DLMq0X2LRWGj+yWo00nWBJMTZCHFLk87UKKQoobO6evny/KAqm0wkHB/tMpynz3WUnqyJB9o0bN5hMxiwuLYC10iDiy91WgjmspbCGLC9KyRRPPwAoioxQu+DKOOTLiBZZoH3AJAjBrCahP2q1hFOnZCILsgleTV62ngJUDkqJX/NkzKDfZzKZMr8wT1BEVMmYiHpLtcZZ6GnZ2SqrOFWOielkTC2RbufZcoF4F6ZUCZCgnkWRu4DadbZaKV/7xgyPceggJLBgVUFeZGIZFwqlIvRCp4V1CVxIkkTEcSKBau6zQulu9bI5APPz8wDcunWL7d09OnMdAle22D/oo4OAzsI8p44f54EHV1leXuZ/XPs6r/O+oJJmdj2aXZdmDzuzaAg1AreeHA4SDr/8Ux8+xO8+/Gf0VoYcfCnjyH9oUxiItawHglwImqexqChEBbp0FFEIHWMyzWm1Qnq9PtM0pTCWbnee5eUlgkBz8uRJVldXuXDPPSx05p0F4QHf+fOvM9oYgIVcF6Q6o5ZXy35hCjY2brO4sMJca448NRzs9xkNRiiteOWVV8SCbTRiZ2dHkMA4BgVh5GwCazWy+V0+PG2ZT9v8l0f+Dsd+c42trU3eevtNrl+/XtJVRMcvZpSlFIXIXyilqNeFjmOK6rnGcUSj22UyntLtdplMJvzgBz8gjmO++tWvEoYh77zzDi+88AJBEFCv10ve7srykuvgrbo1lVu7/+qCp6MTlC/w1ajqofq0/+Nf7tGucpSUG47sX7p8seyR1XsrNGhPu/mYT/BBnzqMcpeH9ij+4aCw5AKWn+NXhZmXagnslENePOJc4KpypSyHpjAFYaiZTCf0B9IckeYiH5UvL3Kw2GVhZ597fvBjjj71AK9u7zB1Xaw6iCgQ+lHhS/JGrr3ValJvzbG0tEwYBgwGfeIwBiuqCjgKTRQFNHMDzj+90WiQmdypHEgi6feJINBl0PSDx++n8c57nLu+wVfe/oDf29niJQXWaCBgYX6Jz3z285w9e4YPP/yQkydPMT+/wLe+9U12d/f4tb/5qySJrFX1ep0f/OB5vvOd73L92g1u3LjF52ZCKY/Wi6i1rJ1SEcuxSqNnQDEdCBdTgBhdctv9npskCUtLSxwcHPynKcXOHndnFUr5ss/hll6/aRirUF4fqiwB+TnjRVI90Vy2AdFGC91E8Po6fq55hMTiCSbabVT9fo9xmtJstmg0amK14l5vPYIo/3Dv8VGh35K3pj9O3MBB7KryAtU6oNPpkiQ14jhCqwhrpMwJFTIif8p5HkLT3OcmSeLswKRhIQi9OK7wKwKtaTU7hEGDjdsbgHL2KL6sqMnzlKKwzplDiP5hFJAkkThZxNKkkqYTxuMJRZG7SRDLhhqEji8pn5tludPRczcNkQAZDseiSzTNabWaBEGINYrJOKUoDJNJxmQ8pt5ocPTIMVrNFrt720zGUxqNKmCVTTzGt9CXQSMVR8va2VKXKUt/8jvKceY0kDvdNusy6IA8h6xQzpfSBzUSJC4tLaB1QL3WLjsoW60W99xzDzjER1krpQj8Gi0rn/cUHo+nDEdjaklCvV4vyeRFAYNBj6gWVfNBWbK0cGizJlAQBd6qjY90jwm/rxqBEmC6+0EuPE6rnNjnkF5/n1pNZGCMLUqrJF9G9+PZGEOgK6TYH0EQMBwO2djYptVMD3k7+vObxbslGLSOc1kFiblYRBC59wQpyQZKo8rbWc25NE2xVp5J6MahX1+kYSUk0Mrp4jkdtQBaWYNNdolXa/SHfb7+9a9z7PgJPve5z3NkZYXllRWiuCZOCKMRt29voLXitZ3XeefcVbDw2O17pbRrJfufkWl0iSQuqJ/d4Kvx4BHL2WaS2SMuIlppg15tSLFsXfXCyDN3ncmZkwTyzyLNMrLJVCSc5jq02m2aDZEgSgtYWV3h4Yce4t777ufSpQvlmKvXajSSGtoR1nd2xKZp6e0G7/+G4sP527y58j4P37ynDF4ajQZxnHDnzh2272xTiyUZC3VImqVsbGywsbHB8vIy7Xab9fV16SxPYgbDPmmacnzvx/zjf7xDYDX/t+v/NWeSk4xHI5aXl+l0n+aGvsWNxU2wcP8HpxAZmoiiqNQCfFJRzHSg5kWBcv63wjEccnDQ4+mnn+bEiRN8//vf53vf+x7WWv7+3//7dOfnefvtt2l32jQaTTY3Nhhd/YBHPibg+SuPmcdcIunlmPe6kR//UlWib9V3ZsPAsow7myOUn8WhZoq7gzdjTNmd+nHXYu7yqvYyLWLh+FdfY3Wdbr9QAUa57nVXTdCBr5ophsMx2WBIr9en3x+Ucz+OQqzRXL10jmPv32BuZ5//4rsv8N35OhtjKZ3OdeZZP3aMZrNJlhvCIGI8mmJ1RNzsYJ081P6+SJFoCqxxHuTWEKKI4pC8qJw/uvNd0tGQ8Vga/3DC/2EQlPuztZZxq8m3f/4znPhXf0w8mdIYjRkC9Zpw7D7/+S9y//338qMfPU+r1eSzn/0s169f58c/+TFf/vKXePTRR3nuue+xsrLCYDjkj/7oj/jww2scO3aCX//1p3m0P4a3/ml1P7U4Y2kdOhqKYTicMp4OIJBKmVFCl4rjeMZytQpQ8iKnKHK68x0azbpowX7C4xMHdoc6O+6Gid3g/8hg81o4ZZnTDyTc5izIh2939+C1DyzkCo1D7Nw1K0H+lBt8KCWD2kqGN7/QoVUY5ucX0FoxTcczHZKWMiWzFmWrEujdnU+A6w70VGmn7+N+10PsvqRYrzdoNJqldIg1ou+PX/x9QDjLYZiZYVprl5FEgFcO///T9mdBmlxXnh/4u9eXb489MiIzIjIykYncgERiJwmQ4F6sRlV3qbqquprVi2TWNpKNHsdsxkY2ZjPWz/MgG+vRPEmaaam7pVZN19JsFot7kSBZJFYCSCy57xn7Ht/q7vfOw7nX3b/ITAjsMTkskUt8i/tdzv2f/znnf/z4+o2uqddjjhyJmRifcu+J3T27SsNWHWww9LlhqJ0nA77dTRBUCcMgD6mWwbI14sknSYZWikqlqMI11pJlknOZDDIUfaKoQrUiizK1qTCnvYT19U3ieJ9+b4CxIuuQJJI75WVRRCrGgW6ZaqmMVgWAMlaYSa/v5lk9X12slJK2cQpUibGDkEgpqlaTGiPaSWEgsh4KEYI2hn6/lxdIBEHM4uKi65aREekAHUT5wSNJ0bIOlVJUq3WM8Uy1rGlj5H62Nneo1ir5QZhlGTYzZKmhl/RIBl2q1SgXspawfpTfZ+hyRIT1E9mUIAhIEwE4qUrRtggt1Wo1JiYmXIWVQQXCCnlAmxcwkbn7LKRpPIiO41jkZ8KY7e1t1tbWGB8XzUapBk/zVAgvBu6dFqmW9myaVJ5ZnGC0A6hRFKPNcCGRpBlkBI7hLDs7vV6PMJR8vyRJSLIEawUw/R/e/BP+T6/8C3758kd86WdnSL81YGJiguPHjzN56BDVWo16vcHIyAj73Q47Wzu8/rev89rWz7n32ysAPLa5gLXCkCkjbIZ3Ylx7Tlc4ZYbsmOT6etHiInpxkC2p9mNevv40f/r097nzuzss/KsRyCDNBnjuJIpismRAkiYMkgEDVxUbOo/93NmzvPXmm6ysrtFotpiYmGB+ft7lo7ZcTqnYp93dXfrdHpcvX+add95hZXmF1uiIWFJlSV07N296PFs7OzNDt9sn6aV0Om1GW6MsHF2g6oSHx8fHhR1xxU7Xrl2l0+twuNvjF//oKvfnLAvXptn9H9f417f+NVtbm7RaDU6fOYV5RrPe2gFgcW3WPbOscS+d5cerzFzv7e7S6A+oVuv0+31qtTqnT5/myOHD/PznP+dv/1Y07H77t3+bxx9/nO2dHTY3N5k9MkO/LzItzWbD2QLrADrF3xWU8XpRaFBGdw876x5x+XhnPv8MPU8Z0R1k6P1nl0kG+TdnW3zo9cDPUaU83fx7yxEzm990Mb5F+Y84zbLQgyAgqsRY5XLCM1exHgZ5UVu6u8fa+jq9Xh/rJJ1ClyutdcCHF84Sdgd84Xs/Za7bZ3S8zpEjR5iYmODUmXO88JnP0Gw2pXhoe4etjV36qWF1a4/7y6vcvXef1dUV4jikVa+TJj0GgwGDfo/+YMB+e492KRTr78sz/9b/Wym9KcsyKtUKyfFF7jx2lBMfXuGfZJrXD08wc+gwCwuPMTkxwc9//nPa7T3+3t/7e8TVKj/4wQ+o1+p89atf5fbNm7z/3nt87Wtf5YOLF9nY2ODVV19lfm6BLDNs/eL1ofEXrCI52hJ1MERRSG8wYNDv03PRyLDRyKumPetojKQWDfpSrS7EjDR7+LTXpwZ2g8Egz6k6COAOLtIyANRaY3P5B4ao6IL2NvkvyS/zYSJfjOC8Ogcq/GcnSUKaGAaDBKtEk6bT61Gp1en1uoAliASApWkii9k6JjEzZIklUBIOLbN1Pq+sOAjzJzsQsvGAUA51ASnuMM7FlIe2nAunGYzPWD1w+Yo693I3Vu6PVhiqKKyga6FolykJX4ZhQBhJc2WneYGETo0Lb/v+o663IIooDggyz5R5wU25R9+71G8ca0Uf11qIwgqtpuT4+UIWrQMHPCQJuNGAer2RAyYvaqqA1PWK9R6uxRsZB+x1WZEbpw0V4CfQp0Eqj/t9oMG6ygIXyhcqN6BWi6RqDC/+KwBN8jECycUzVkS204RaXRpVp+mAQIcEVrG9t0273RZWNU1p1OsSqgwUWkc5y2it1zCqcOjQLEnSp1ZtUK/XchCdZYZ2e5806efrrZzq4MM0WeYFbF0lqlVUK5pmo4kKFX3TzfMdrRUvsFaruRw/i69Q9XlFfk0oJ3rrPfogKPJYJycnmZycwWYhWWbY398Xg+OYtW636xwQL7gdO2ZX5SFSkdWR/svdrohQV6tVyS/VAUpFKCUuk+/oYV0unW+iXha6TgYDkkTGziiDz3t7fHeBz9+7wHeP/5Krf7TOKx88Tq/X44033qDWaHDs+HGarVGmpqaoNRtMHTrEwtFFmlmhN5VmmevvXHTyKHZ7cQAetGs+BcXP+cMObG/gx7sttFGkVUO/maC7bvEq6+xGkKvypy5aEdWqBErmZm5ujtnZWdbW1vN5qlQquZ1SSnH79m0uXrzI1vqG5PNm0hLvxRc/w8bEDn8TfIjxvW7RLD93gbFbdzi7sUvn3n3qJ5sszM+jCNne2gUD1WqVwWDAnTt3eOedd3KgoLVmamqKI0eOcGx1g3dOydqP7wVsXF9jdHSUI0cOU69XpC/tTj/f5LFzXLC+pWQxXgdFf7Mso+2qXdM0Y2pqmiiK+OUvf0l/kNFsNjl27Bhf/epX2djY4I033uDxU48ThAHrq6vMzB5iZPpQMZ95WBQBRO6ehueYoTXwsOvRP330T4o1okqvtQdeM9xtYuhjSwxe+Wf+WUKXrlFm+vKoSPkO8/By8dSebMilWFyESFthlKM4llBrmtJPBuzu70m+eBQTxREKd9ZZyDTcnZshiSJ0krBQqXLkwgXOn3+KhaOLHFlYoNVqEUYVBv0B7f0OH1y6zJXv/w23bt9kZXWVSiXm6NF54kBhXLi+294nGyR0ex1qOzvQ7+f2wdtOlMpTdnKH0bW4y0EeEopv1mocP36c8bEp4jjmzTffot3Z49VXf5szZ07z3e98h/fee4//4n//n5NmGd/73vfY39+nWq1y48YNms0WvV6Pb3/729y/v8Tz7QF/4KbYEyQex/g/12p1VBCChn5/gFaKibFxavV6kaqQ4x6x1eIkW8qV5Z/m+tTAbnd3l36/z8TERB6aKRaLe6KHXEopqTTKBVpLi9MBDcktyPBNocuf5nOTvNBomrniDKXo9/t0uwlJkqLDkP39Duubm9SbTba3t4njiImpMaI4IjOSnGiyDAX0+j1u37hLrdJgcXGxZKxLGj+2vNk84zhMrxun46dcD9Fh3S8fdvbAKhO2BOMEa4sxKoPlooCj+A75uc43EE7AIu/DZ6UyU6sMqeTzuUMmB4XiUFqk9apooonXDEr5bgFFIUk5t05eUwAvrb0h8Qeda4jtAGEYCniuVCtYYwjDmFqtUsrp0u67yhvB4O2OfG7RT0/CiWXG2Hus7o/Wu99SbJLPk1VDTneaps55sK6s3Eg0X/nWTcaVmksoNCBAG9FuSlNLvR47xhIGg8wZjgGZkYO0Ua/loaOpyWnyrh1Ko51os8kMcRgzPt7C6VQWOY+ly4tZy/oXvcFeb0Cl0mC0MUKYRXR7Xfr9PoE2mECq1qVaXPQk0zRlb3effj/Jc/W8QLQHWx5YFiLaMZjYMZLVPL8OhBX0rJqAMnEsBokIW6dZQrVWQ2vlWtilDNIMBgNCawkzQ7VSdeF9S5ogOZ5a8ihV4ACcyz8SLcmgOItKV2ACfvfjz/OT+Xe4fXKNreePsvHtG1QqVU48/jhBELiex4VG3hNPnmdrfo//Vv0FFkkWT7MMbZ2gs1vP3qmR91rniBX2SVEoAhwg34fmTyl45c4z/Ovn/or2bI+lp7aY+9G4iMm6sHq31xMGRDvx82oFDaQDCUONjIwwMTGRywPduXOH733/+xw7dpzJyQmSZMDa6ir7+/ssLizw1FPnGR8bl5zZ1gjv9z8s7KpjudszM1hgZpAxMzrG2uYG3U4PTUi306e914Zr0BoZoV4XpswYw5EjR4jjmOnpKbL9PdYbr/PeUxLd+M+if8Bv/ckrLufR0m7vsba+ys3Ju/ncVatVcY4GvSEg5x3rco5dq9Xi5uoqmxtbHDkyx71791haWubEidM0mjWmpqZ45RX5vh/+8IesrKzwhVe+wObGJvv7bY7XaqV2j95OfRL8+uSfAbmw8iOvR4Tkva07+OYyWCszdg++u/TOEjZT7ldwIIQ7dKZYV7/uzjbt3mvzjyvuIU1TMMVZ6FsDJv2U7e0ddnZ35URz9xnHFXHWU/H6tdbcn55m49Aks/eW+WZY4ScLC9RqVfb29rh+/TphFBEEEdtbW+zu7rCxs0uSdhkba6CDQ9JzOOuzt7OPso5JjGPq1SrNZp1WZmBrG5+LOzY2yv7+nkRgINfNAycG7thLqZ734y4RsuXlZdrtPllq+PwXXubZZ5/lrbfe5tvf/jbPPPMM5598krffeYtbt27xJ//oH6KUotVq8cUvfpFarcbt23dZXFzk2VoLLl4tzaWXfPN9ckVbtlqtkpqU/fa+NKfUhcRbEASQSSpWFIX0ep0c4CVJ+r8NsOv1ekOL5aBnWqYJHwjVuv/5QzynhK2EOJTK8OHVnFZz8X1jDFmaSOL/IHHJ2iZ/2F5f2pkNspRedyD9GPNuCoq9/TZxHBEGAZnSRK60XweaMHK9F5WTTHBGXeUSLnp4gxzYlMbJXFgLgY7AeS4CTAQ4WQfsPKgzJnXPPJzCWt6MRb5hMb4+D6tgtSSR1eaVQCD5ZyAMZ7E5PedurTCXvV6X9n6HSqXO6MgYSsky8AcRFAySzAE504OVqmh/L9oJZxprKIq2rIRTA50zTWBcA3tNGKoD4VQP9uS9frg92CrC8oUp8uvj4V7wAeNqyZ+l3+9jkRwfXxhC4PLWTDHunt7XVr6/2WwBOs858yFiDwy1Dl11srQwk+4mhtB1SIki3wMXUiWMYRhFKJ3kbFoBdv0h4BmjgEAr4lhU2Dc3duj0+hAK6yuFqIo0sXRtgrUBcRQTRpowCOlGPfqu76gx0tC9Vqu7LhyVfC17J0apIgQsIbOiHZ6/NwE9rtrWWowR5XdjDUEUlsZa0R8MSNJMKtW060hDyGDQp9fvU6lIronIKfQxphCn1kp6+Po14I2gpB6kHF6Z5MXrZ/np6V/z+lcu8fxfH+WZZ57h5S98gUazyf5+hyTNuHf3PvfuLzM3P8fs4my+p40p72qfQ+WdGp17/hjvoAmPl6cOWJ9GUuxnv489A9sIakx3J2jH9+nOp8NLFVwuo0ZHITqOsAoGvV7e5kikhOpung17e3t8/PHH3L+/xMhIC5B5+syLL/KlV77I6cdPUa/X2dzY4OrHl/j5xZ9jvmQg8DZYsXHiGJ3JCWobm/xWz/KdhQl2tva4c/s6IyPjTE1M0RoZYXx8nCAMiRxjMzU1xfj4uDDDt37Mf/XPb7I5Af9w/+/yT6f/IWkkNnhvb5fbt29SqcayL9zjFnnJRWSknOZTtrCtVouV5WUWF48ThiFXrlzh2WefI4yqZBl88YtfYnJykr/+6+/y0Ucf8eKLLxLHMR9e+pBDU1NUqlUarjuG/x5rbeEDQg56/JTkDvBDroLvKzFmpbPuEfi+9O4i4uNJgvJZfTAl6MGcwJJtO2jiDjLFUDgmSvneM2Btrgyh/X4yKi/089XxvgTcWulG0u112d7eyVtkBSXdWj9zsuYD179WbFen3ebdd9/lgw8+pD9ISFzUzxhI0gFKWRYfO8mXvvwK1XqTt9/5NVevXuXypY/Z394n0GLLKnFEq9GgUolyBzjLUlZX1xidOwxYdnd3yFwhRbVaFUbcGKIwcuLmwkxaoNfrcvnyZdLEsrj4GC+99HlefvlzDAZ9vvWtf0+1UuW3fuu3iKKISx9f4sKFpzl16hTvvPM2R47Mcfz4ccbGxnjllS/RaXfY+snPSevfIuj1GNnaZv3YkQPsqU/hAuU6a3W6UoBSjeM8WojV0vYSS78vDRK8Vupvcn1qYDc9PZ0ftJ5BKoO0Mo3sWRx/STGQzZkVayS/jbzMWpKt5XS1qKA4uI2xZAbSTGS6jFHud0AFRFEApATWkqVQV4rx8XHiOCbLjGjadTNspIjjABXLwqtGAYuPHQULQQWCACf2I7p6WFUq7VZ5flfxWNLyKjMpWJdzZBTauUOSd+XaVKnCdMi4DYO2g3+2VuWHBhTGMM8BJEESgDKUzkALY6csLowph3W+jIcAtXgvtXqNKIqxZG7L+8BTcGBByu8iUCyFEcLWSNhXHG7PNtn8XuU5CqN90Eb5w1r05AQs+qHIPcuSxo8pqeULsaKK51NW2mYpKHoJ+/s3Dkx4iQrN3u6edJ6IKhQgUdhVqVqVPEnlulqAodaIQUt3CwXO61XoOCJGwolBEKAjjQn8g2hs4iUHHFA2RgphlK+sFDCnVIa1WeEIqKKKLtQRUSwhX3Dt7UwqwsrO2FujyVIZ9EQb0sSvBUW9OkIUCtOWDFKW7q+yubFPvTbGzEwTrQOyZMBgIPp0WiWAGBIP6qIoLOUZytrLJU6sD99b1xJH5r9aqRFHFWq1Br1uF1zIyOP0QTZgfVO0r0QLsUJrpEEYRqSZdHIRSaTQOV6yL4wvmFKGWtDgwvZZfmbeY3N+H3s44PDMEbLE0N3v02qOsrffptfp8+Ybb3L3zl0mo0k45tah257WGjKnJeg7lmRGudxGlzuYuVwkpwWZOpBk0RDJzMlaFUFoFARRyKgd44WVJ7g5ep/N30mJ/7RKo9oQe6IDMqPZ2d9nv9cly6TCfzAYgLOzURTTbI4AmiCISAYpOoAwjDlx4gRRFHLiscf40pe+xNzhw9TiKu12m3feeYefv/Yaf3vnb3MG1CDV3J16lSyO0ED3+i1upl1GRkZ5/PTjzM4eJk0kx/b23dskyQBrhT29dOUS04emaTWbPLl/gy0h55j9Vw3+9M6/detH02w1qNYq9PsRveleAUmsztM3JBTrUzxkL5TTiOrNJnozYnxqko3tLcYmJjBY7t1f5ktf/Bo6iPj2X/01P/3pTzl9+jTPPPs86xtbrKwuc+b0SQb9LtcCy+SJY4xfvcGRn/yc1SOvEofWdUhyzJMLETwIpIYv6157MMICBagzaugNOVOGdWsLb+P9i4Y/62A41udzW7dOC9uXv9v57Q+CwXJbP5tL95B32QiUyrGidnIgytlIpQX0aTI67T3a7V26nV2szdA6QQomLZYIS4Cv1VcawjjgjZee5bf//fd5emWD5nvvs/LYMQ4fmWdkdJQjR+YYGRujUqsxeXiGkbExxsenuHd/me98+9vcuHYFrRTHji5QiarOmd1ka3MXrQ3tnhQR9Ht9Xn/9l3z2a19lZKRJu7OHHRi09gRNAMqSpRabKbLU8O65U5z6+DpPdAec7A3YOjzL8cV55g4f4v7dO/ztL/6W/Z19/uSb3+TcmTO88cavuHv7Dv/5f/G/Y2d7j1//+iKtVpN3330frTTVqqS+rK+tMz82yvz9Lo9/dInVZ04hSTDaIQqDCi02zcAqqpUaWWJYW9uADGkh1mgQ6pDEJKLmkPmwcoRvh/ppr08N7JrN5lCS/cFfHuw9fHOUF50SRfWc/tUY6xmD1FG8clj7gyRNjeuR6JkMf+C7hwhjJ3yq6Q76VGsVFw6Tg8z3AQ2jgEL52VKtV1BepkVZqags3bJGDXnlnqXAh2x0gNJi/IvwoM9l8iFBr/BfAnVOJ+tgsrC/jLHOsAqb48NmEq5IQRkBqQosaR5eETwVUOT+DM+Rn4MoiokiKVIQJlAWYNmDLL/ehwikJU+CMWEO3hRe58zwsKkv1kPhiQ47AZ4Z9DmNBS09pO9XFiD0E5QTqcW45x6vd78R4GgcuB0MXAGAE3T2IcZi7F0HBO2Sj5VBKUMQKmr1SqHI7k+qMHDGvOi24tkutM1baeXjoTU+UVTyqySvLGcKsYXOlGP4fAeOIIgInFj3IE1I+5msOGPY2tpzTFyVZrNFmmbUahL2UkoTaO/pGsbGxhn0RSMyGRjRLjSKLMUdtunQ3Piwqy+awIFdYc+TPB9OO1DnmXmlFGEYMdKKqFUlPC0Mn+sAUo1pNOsu/1KYOd9/MklEFd7bFs9UW6tdLzXR44tj+OLWC/y35s/ozvZJnw+5d+8+k1OHmJiokiYZYRCyePQYT1+4wOu//CVXK1exn5VKCeO096TriMvzReajWEY2l2Hx+1xZCR1bY8nIpBVgKV9SlVpAycHql6ohTVJM7EWbMzKr6XQ6tLsdwkrMIEsxaUqgfFcZzejoaG67oigmCCU3eHb2ME88cZaFhQXGxsZQSO7xvXv3uHXrFig4ffo0v1b3yLAMkgHdXhftCsFANM8OHznC4cOHyTLL9s4Od+/exRphFiYmJqhUKoyOjbK+vs4HH3zAqTjmB//lXYyG+avTRB8ptrNtcYyCgOZIi7GxCWq1Cv/z8e9hsZzeWGRxazZnu60t28VhW6i8g768xN27d6lUqiwuHuPSpcu8+OIXaI2M8qMf/Q0ffvghn/nM5zh//jzb27v88le/YHp2jMnJCW7eusnPf/YTXh0MmADCjU36gz5a9Dzy7/VMStkuPezKubqHgCiFA3UlUs2DOgfvSnCsfD2a4zv43ZRsJmUbeoBFLF9yLgd5hFjh17UCB/SsUxEQu4WTQpL1q6wiGQwY9Lt5lKVer0nbx0Eq+8d9l5AbliDU3Dp7gt3XXmd6eY0/rDb52YWnmF9YJIoiDs0cZn5hga29XTb3d9nc2uT73/set27eZnNjhbnZGcIwolFpEKiQbrfvFBc6bGyu0h/085G7ffsWwRtvcPbc6Rz85AyXCYjCKC8YVEpz7+RxlhfnOXzzDv+oPeD/3unw8ccfcePGDTY2NqhWavzOq7/DSy+9xAfvX+Rvfvxjnn/uOaYmp/izv/gzvv/9H/DYY8eZnJhgd3eX69dv0G53mJ46lOfJWSuqBMYEOZFvS/9pHTI6OkqtWmNne4fl5RWqlQpBEBLWKmgdkCSCW3z+utafvDYPXv9Rcif+Kn9ROZH3IMCTZHXPThRsil9tGjnrMped76VTyjIKZVAwzGQJ/Vut12k2m9IkPJIKkyAIoIFrNO26BhjXUxQgEwrag4ghPTElvENqhB0BRRC6pFKXdK90gFRuQpZKsrv0jwWfj1ZsuBJRr8q5ZcOsHfjSdRmzwHc/cAdimiV0u/tUq9KaRWnfcN0lYiNApPz55TyWPARslatUdYwaPo+vMB7emdVa5XIpxkiIzH+OpZzw/EkLz4PyT77KGnZlOQ6V52gWo6lsqSqTYbDof1faV1/LOPjWNp551loYMD8+5bWmlJJOFiX/WKvACZhaUOKN2dKa1UphkMpN3NgZz5S61D+TG3rH/OHXjNftE/Dkc0N8dWgQJFQqNcf8WbAigROE0Kg1seOSVF+tVPCi2uVOLT43cmamAkjLqnJfyHLo+6DnnySJ+5yAKA4B3ydYgF0UhfmaKFiJwuHzn2uMQukQrTSVOKJaqbt0CMfkO0ciiiqiVQmOxSnWgvbhUgd8tJF8O4B20ubDKx8SRhUqlQqVep1Wa4S5+QWefe5ZkkGPv773/aHDSDsB9aL+3e1cW2Az7wB5kO1uGaUMyloyYwnyVL6CcfF6XWWbtbe/z/rqunMqFOiIxFgINITaAU3JbRoMRMy71WoRhk5L0kAYSdXy22+/Tbfb5v79+xw5fJiFI3PUqzWuXr1Ko17nj//BH/NxdoU/Df6GDMknTNMUneWCk1RiafW0trbO/ftL7OzsMj+3wMTEJFNTU/QHAzbW16lWqxw+fJizZ87wbHaZ//IPMzSaf7b0D/jSlz+XSzP1ej2Wlpa4du06Cwtz7MT7oKCSRAQ9jbFZnvtUhP9lzHojDTpjLerbe8xv7lCtVmi328zMzHLv3j1arRFmZ2f5yU9+wt27d3nppZd45ZVX2N3d5a233qLf7/Pss89KpXma8sQTT9B4+bPY2/+O1uYO8X6XtBUXeVelqMCnOThV6f/luQbH/P6vfsL/f9fB+8wd77JTe+D13tG1jkHM4aByoVhVRHUyY7BOcmqQJhiT0u52GAxcNbwKiKIK2AQTUJKnCdw+1xgTECqNcZjgyPQhnrnwNImxLC0tcev2Xd59912WN9ZYWltBKUVmLCOtEb7ypVc4evQoVy5f4+033mZnv0en3UPrkHq9SrsdUXc6jHGjwdmz53j7ww8JI82pU48DUhBV0zonXnyIuFKpkAUhWa2KAmqdLjdv3QWrmJgYY2pqmnNnn+CpCxfY3tziF7/4OXEc89nPfo633nqL73znO8zOzvInf/KPOH3mNJc//ph3332P7e0d4qhC5aPrftCdnQhLc+KsipVIUxRFNBtN4iji3u27pGnCyEiLfl8E6oVESfNz6je9fiO5k4PXQZAlz/TgBhF5CSeCqDS+ybp8BljjKyFtzqwUHvqDnpw/9MtlwtVGXQbBWgYu/GeMxKrl2JfiDB24cJ210n4ENXQAFaBAo6wiTYvm9gU4K1yzwIeNtbCPmS3y57yvhutgoRwT6TeRH8Py7+7L80PUV6X68Y2jiMyEKG0FMFN0txC2SOdhl4NsXXlcsdYVBCRoZd2hH+Sh5NKt4I1AEGiCUDtALt+ZZdY7d/j8JP9rKMzsJ7u0dg6ul4OOQlkI+6BPqqx9qBE9yAQql5yqnIRGlAZgcVpIRWVykXNVADvpbiHVkr6ZtXLhCwHRPsHY5zYqYW7c4a+0Al/cYgwZxR7SjskrH2weWFs3P95Zanf22VjfADTj45M0m028zqNnSOLxCqMjY4RhKLmXSkKjhcMi9xfHUgFuMnneoWbfpfkoj4f/XeR+jKsEHp6voh3e8Po+ODcFCyssvfy7dg6dLeY8G3YY5J6NKOD7+3Q/Hes0+dzdp/j+Y7/kym8v8dL/KyMMQ5FVaHfwYfLmaJNnn32GuxNLKCXgLo5FiNuYxLHW0gUiUJIeoPHP4tlI77yJALrWgVubhrIj4udcNAMDHt9aJDCabFox+ZlDdN7ZzZ8NFTEwhm4yYJAlQ+vai/iOj49Tb9RJkozMkguE37x5k5WVJSbGx5mbm6NWqVKNYxr1Bi+88AIzMzPc2rpL4UzbEoskV5qlrKys0u8PgIBTj59h8dgx1jc2uHztKslgAErRaLVYXDzKBdXh//G7H7E9Bv/Z1h/wTyf+mH7Yz/eqyO5M8f3vfY+VldX8u60lTx/IsuEKWG8v2pNj7M1M0tjeY/HqTfTMKFqLPU/TlPNPPslHH33E2toa3/jGN3jyySe5cuUKb775JlEU8bmXPsORI4dZXV0hzTKee+5ZdJLC//TvGL+/THVnl3ZzMg9zH0yFyQttHnY9Avgpz8jmFO8j3nvA1v8mDIxElR60lcXvD9rW4s22YChL0aPiLA2cZJghTRI63S7dXk9kd0xKagcumhI6R1oB0nEpTX2bNPlksTcWFWg+/OwzTP/ZX3Pyw0u88dHHXO31uH79Op1un0q1io5DpiZHWVw8xtjYBDMzMxw//hiDQcJPfvQjLn38sUQVkox6vcHszAxfqtf4z9bWsMDdr7zCEy++wFq3ze3bt5mZOUSz2RCHWYsOLNbnsNYIlEiI+CsMAuq1mMHAMD4+zle+8mXOnX2S+fl5rly9ytLSEl945QtcvPg+//3/+7/n8Nxh/vE/+cc8duIEr//qV9y5fZsXX3yRkZFRXnvtZw+spQLQgQd2ArI9EZNRq1U4dGiSzY1N2u192vuutWAueK9y7PUwm/qo61MDu0d96MMW5/BrxUgHoShYG5ejBT63wqBVUPTnsxL2NFnKQUV47/n7B261WkUnAjcAPpRbNHzOhoCHLR0+OKanvNHKHhzuQJfODsJY5Wyc8iXIYvD9+4RhKTNAFgEQAixlQg2fNEdKOTDsxs93l9aB3H8llubgysXcy6C6zA4cXGju0+UjVcCg32Nvbx+tQ6fDV8s/c4hVVX7rei9f5Uzl0OerBxd2vj7cWB+8ivuS/MV+v0+WZbnYb76oTREeHHp/KY8kZ3TK7GvurfoCDet61XqDNJz0Xogiy/0nWYa2hiyTCtWimMMl8COt9LLMq7QPgyOjcEUWaR5+EidB5qGoZpPQvdeXy/USlaJaqTI2Noa1olJeSJS4sXNNuMNAoawhUEhT87y62q8PN3155fYwQ1ke34Ph+2LAJVwrYRdZR0GgXLijaD928LOK+S7jey/M7LXrMuf0DUtflB2UkEIYPPAJ+H1LA+nJaluK+YV55ufnCcOQvU6HiYkJer0u/bTLxMQ452pniv0dBkSx9Pz1vUMVAuw8zMZap1AvzimBmyNnn8T+eAfnwPq0ksLwxNJj1JMqexMdds72qLyrXVQhxHUrxnTaJF3JtfR2sNfrsbW1xczMDBPjE9y9vyTsTJqQGUMch8SxqOt3Oh2iIGTq8BEOHTrE2NgYOzs7QyDYzzlOIgdAK83s7GGmJg/RaLTY29vj6pWrpCbj6OIixxYXieOYe/fusbW1RX/nI37xXBcUNH8U8t0r3837YGdOZmVsdIyjRxdJkv5QuoOffw+iior/B8+YRkMkhTY2NllZWWFqahqtA27evMnnP/9lnn32Wd5//31+/OMfs7+/zx/84R/y8hdeYWt7iRs3rjM9PUWaZkQlxl35m1AqX1/eVjyM8fL3le/pT4pKHPA+D64FhSov/od/xEOIkRw4Hring99TTpXy/xYEUjFjkI4Meb5e6efK7ynXgiyzlq7rgtTt9UAbty8ztA6QdFdxaErHdsk5Ext57YlTPP3DnzO2vUvtW9/h6vEFQFIDFhcXqY80OXpsnumpaYIgZHNzi9d/+Svef/8iayurxFGAyaDalO5GG8v3+X+urHAkTbl39nE+/uLLTIyP8MILL3Dxg/e5ceMGs7MzpOk4rZER0fN0kYY4jsEYsjRl5cQx5j+6wuNoXji2yHYUs7h4jIWFBarVKvfu3uW9995jYWEBrTX/9n/5U44dO8Yff/OPmZmd5S/+/M95/713efrppzl69CidTpdOu5OnNnib67txyT9rjHGpZlawiW/B2Gq16Pd7GGvod7vYTKR8xsbGhtbmb+II/EcDu4NMml9g5cPAGGkIb2yfSiWiWq0JMydlN0ilSDEQSrmiChfystr/vPCkvICrtdb97uU+5AC1qijFtjl68xtSDLNEXqzEwR4h+udRf6USDwESaz04E8Qt1bzyTL6vrSSWgvXhXyvGWuTZyqDu4RPlP9tYi5QFyGfKW7zWX/FLTIYuBZGG56f8uzxX6A7HwGm39Wm1RhAtusw9XwGSihwOd/B5z8+BZWEtfcFI8X0P5F2qRxs1pYpD0Hci8JqJ1kq4iwML3OsUKddM3G+AMiDQWjpKKB8nw4e2/Ybx2nbDANk/u1W6xLo50K3d3Cmp7jVOu0Yp7apI49zjygYpSZoy6Pdd2zVXaKIkJ6u84iS52eI7Evj7r9frNBoNrFW5Pp3Mw6Mpeo1U52rHlA8tNfcM/l78PA0Vq5TCZMUcyZ/TpATscG3/nNyP73f7sKUta/JB4KOUqO6nro8kWNF8cnp73lHCFvmHNsvo9ft0ut28bRAIo50mKe+8/Tb9JKFab/Dc8y9greUXv/oZk+OjrI6u5v5J4Kpf0R7iC7DTDtApn6+qXLGXk/Sx1gmWZxarFQQP5h2Xn3F0r8kLd57kRydf59JvL3HuX09gnMxJZjUEIf00ITElWQMHwra3tzly5AjTh6a5decugSs8CCPNxMQER4/Oc/rUKU6ePCkmLTOsr6/zi1/8glBr7jeWMZ+VqlgPmK3xhTciWt5qjqJ1wNLSEtVqjQtPP0O726Zaq3Drzm02NzfpdrqEWrNW3cIq0KniztW7BFeKNoBeYibSEadOnqLRqA8xDmkqzpV1UYWi8r7Ys0unjzNz6SaH1jaZmZ3gw709ZmZmGR0d5cOPPmRkZITFxUXeeustfvjDHzIYDDh//jxnzpxBKXjrrbcIAzhy5Ig4QGUFghKI9OdVGVw+LOxV3gdWldJDHnLQlv9t6H2fAOgOOlDl9x38vId/76MdZhHxl1WdZZkjUBRZpiTqEQRETvIIrciMIQglj3d/uS2aiHn7PI3P0ROtVPDRKJyb7OW/giAgqFUhFABYU4rHH3+c8fEJHj91miNzc2TWUq9XuXXzFrdu3ebevXvcuHGTVnOUlz7zMuMj42xubDA+NsX6+ga9tVVaRhzy+yeOsRdqamnK1NQ058+f58qVK9y9exetNfML85QZsyiOiALJuds+ewr+w/c50u0xrTRmZISJiXHSNGVnZ5vr165z9/Ydzj5xjh/96EccPnyYf/xP/hGj46P86Mc/5sqVK/zWb/0WJ0+e5M033+LKlStsbW6VVwH4Akj3d2MsWWrI0kx6rpvMdZ+RgpNKpSJROud9j4yM5Olkvwmg89dvBOwOGq3y4iv/WxlIGCN9IYMA0lQqDiUe71tDCehSeG9FE+gApSxBLjhr8s8errr01Yy47hUmb75dbGBf5RO4AXe5MhqU15Z71IYplSj7xG8o9OHwsiUWpN9tAUR9JZ2wiKkYM+vB2IMH3NDXlhy7vAtG7jFS5EUUs+Ne/8mgzn+/Z22azRZRVKHXG1Cr1QXgIMCuMBaKojCB0u+UntUl+R/4vvLv7oePfGb/HF5/yDM/ucdivFgjueyGMRI6UKVcmf39ffb29vKCk0ajgQ2cHl3pdVD0ahQgM+zp5iAvCKTdVGkeymNslc3zOPwmjCLpsyrC1xI263a7+S9piP3gWPi58axAfl94OQjn9GjP7j28BF6ermDq3NA+/LUP8QQftc/L7/HrwM9F/r5HfEfxmQ/+zF9LS0u8/vrrjI2N8RmnUO9DzYPBQPrJAoHbc/1uj87ePvV6nWavJmxibLixeovVG6vMzy/wx9/8E+r1etEtYfYQq701P9Bu7tyh5Z9Xnk6As8vPVEpj84pxKToymcUoI3mW2ub5RgeBnQ/fj3Vb4meOKaoTVey+VO2bxNAfDOgN+hitQBdRhX6/z/r6OnEcc/zYcd56+z2azSbVWo24UslFhHd3d5mammL+yByh0rz22mtcvHiRlaUl+kfT3NlNElfsUgrB9/sDVldXSVPD9vYOk5OTpFnG6sYa9+7fZd/15Zw5dIg/eOE5fv7c6/SqcOzaYY5cnkIpxejoaJ4PZKyFDNrtNiMjI+SpKdYXWZlcXN07c+XxWjp5lPNRSHNljcmjM2itGRsbo9vtsr62wVe+8hz379/nu9/9Ljs7O7z66qv81je+wdz8PBurKywt3ef5Z59hdHQUaxL2221a7rM/MdT6kGvIjlkJYT+KPVE+NHTg/eWoxcM++4HPUWr4ff61j2AUP+m+y8DOWletrd2Z5Yt9Iif75Ap+lBa9tampKYy17O7vYS3S1g/jJL7k3rKsUJGwORsrFfw6CHlvYpQvrm/xwsAw9aUv0QXGxieJ45g7d+9y5dJlLl++zN7uHkcXj/F3fut3ODJ7hNnDR8AY3r/4LrVaTKvV4JWdmPk0Ja3E3HjhgutbLZJbY2NjnDjxGBcvvp87Q6NjmmqlgjUirt7v9Oh2OkT7+/k49fsioL62to5SmjQxXL50iVqlytraKtNTU3zla1+l2+3y3e9/l2//1XdotRrUa1V++ctf8utfv4e1liefOF/4spZCvsTNhcm8Q2WxgY/2Bfj0pWpV2pEmThpofHw87/LzKCb5k65Pn2Nnip5+1hYHglZeruFBz8QfckFoiSLxdH2BhDBQcvjIOpMKHBmM0Onu+Bwon5v0YO5WnrBtxdP2KL2gzJX7Lo1CRFsLZqvMI3tGq3ivzku65c/GQXBnc0tMlMo3vXXjlKY+lJw5IyZ0jy84Kjyeg/vd/U2X7ksZd1D7kI/Lw4J8M+Fyg/yz+TxCW35Ga/EVwgIsDJVKxeVdFcBZXurAnQeQeWZweVx98YLrKuIYjbz6x1GKDg8+4A0PG0f5viBQWCtJ4vL5ztun8Oq9jmF5DgzCVHS6PTa3t2nvt8myjEMzh2iONKnVq9SqVeJKRdg0d2/WFhWz1ngW0k2BkuRiZR/0nKUjREbmxkcrZxhRZGlGrys6ZJETSPU5erlgqhtOXyQtnyuQLHPGEc8qgBsPv959fuWjN7txzgUGp8tYWlul5xAGshyqdeAEU3pd+fn9+vLrrpACeqTxKfsJ+T8U69K632vVKkv37/P++++zsrLCk08+yelTpxgfnyDQou8kUg2yxuJKzGR1ijAM+XtLX+L/e+6H3J9e49KhGzzRP8WXv/xlji4exbhk8JlDh9BKsXD0qDuALSLSkO8uZB/hfuZBsj0wfq77hfM7rSV3EvInNAUAsFY+87dufI5/f+5v2Dy2z8rJHeK/MQySlF4/JYwrwjwqyFyqhsXSbre5d+8e/UGfJ558gh/86MccmZNQs9IBcRwSBAWwajYapIOEIAhYWV1hc3OD7d8fYALD+EaL8VsNOt3OUFWstZbNzS26Xck/Wl9fZ2t7U6TUrSWOYw4fPkwYBqgPfs63/m89UPDHG3+X57/wJGEovbL9GtJK095rs3xviTRN8jUlQ2fd2hJ2J01TkjQpOV2wcXSOrbnDTN28w4tXb/HvqoqtrS329zu0mqLb99Of/g0721v8nVf/Dt/4ra8zPj7KtSuXeOfXb3P9+nXOnH6cuFJh6d4q1z76iFm37jJXxZmvZqXy9a+H7FHx89whpzjfDoI7hcd0B52g3IdwzFfBuB98rV+BqgTqfEpGbuMPkChu65TupXDI8z2pXMqIMZIDnL/MElnpSWpd1V/mWPzEFbdEYQTGn1WiQSnKCzZf914yxtsDkShSZFjujgmkntrY4p2VVeqHD3Pt6lWWV1a4f3+JQS9hceEYhw8fYWx0nCyzLC+vcO3aDd58802u37hGHFfQSvO53X000KnX6Svtzlphz4MwYHR0hLm5ObJMmhkoVH58WSPpML1ej92dnXzENzY2uJ+m3LlzlyiO6ff61Ko1Fo8eZXRslOeee5aNjQ3+4i//nBs3b1CJY44fP876xgabm5v4lDAdFPNiTEZ/IClFeasz49OYDCbNSBNFoCDUASoIqMQhlUpMLYpJBimB1ugozp2fT7SvD7k+PWOnTH4QGWtFjwsJYwRusTwsFhxFMZlvzWUKQOX1xoQlcgZVKxRSLGCMVE9BRh6GPHAVm04RKrAqKMW5nZcir0Th9bVUnutkbQA2cAeoRRnpjeoTUY1rgSXGHZT1vW9ddamVHrX5gaA8oDMkg4xAB25SHeAygM4ha2lw/TEil1EZVqVuPIRdtCWOx1qp07QOTNmSkVBWEdgg/zu2fIj6Xp5pnudorQ+XuvguxfxZzzz6g8+1TPPAz0dzvA6VNYO8Ms6zFLkXa2G4kboHhgVL4mn9QGeSrEvJqOkw79maZkVBglJaQpyZAFYdVRibmCKu1llf3yDJoOdEiYMgkPZYaUqapG5uNaGvdKRg7YqMKrHoD/OassxgUGA0OnCdHvopyoBJUywSshRWC7IkQxlL6PTpgLwHpJEFLXOrFAQBASqX4pAp8Xmjfk7/17avcaBk2OkaCs0rlTOO4MG3A47KCY2qoqMKpTEqHyL55Q4xSoeNX9sPy1DK/64Uo60Rnn7qAj/+8Y95751fs7+zy9zsYcZHx4iCUDQulck1DXXs7IIufw5UalUuXLjA+fMXUCg2N7e5fPUyR+fnuHv3Do04zokVYyVHEuvCr+4zbN5OUHlyQzacknVs3axZZZz/4+yWNdJC0RRMrlZSgV5JY5r9Otv1PcITdSY/aNBud6kbgw6kb3O336c76GFduN5guXXnJrt7OxyZO8zC/BxKwfjoCGmasba+yuHZWR5bPEZnb58333yTra1N3n77bfqDPqNjDdZP9yCAWqdCdTukR4+glIt75Mgcn//8FwlDqRw0NkFrQ1SpUq8La3poZoabN25Q+elfkLlzfrw5xonFYxhr6HV7bGxu5t2Bkn6fXneP5XCfyzM3AXjx0llU6lok6ZB+MmAwEMF5pUVtP4rE+fErvFmrEUeWe/fus7BwlMnJSS5f/ojlpds8+eSTfOYzz7Lf3uLjS+/z5ptvsrR8n7gWMkilr+iHH3/I/uZmsTytJXXV8BGuaMcYsfsPrM7SGhXvSgruHsLYaa3JTwvPUBtXBq+V66Dkzk7vTKhCvNO6Myr/Tu9XKzl3vBk9WKAjW8e/t3BFnCmX57WiXejfk6WJ7CetSLKUIAWrNSoIyDLXOrDTZX+/TbvdIx1AGFeIo4pUzRJgMAySgaRj6CIvWSIXEtpNkoSpySlAOv688avX6VVi7t1bot3pMD9/lKOLjxFFIRcvfsDS0hJ7e3v0ej3299vcuXfHVaNnjFn4u3t9rIKPP/c83UYdhas4R5MOEjCG6ckJ6a+qA+IglBaimZGOJkoRxhHKn31At9/j7v19Op2ERkN0TQ/PzHJ47jAvvPg86+vrfOtb32J3d5c//Pt/yAsvvsj45BhhJaTb6bC+vs7Vq1e5++FHVFIpfNqpV0lSaaZgMWgiQq0ZmAxlpN4gsBZtDWaQYryAd6qlxWkYQSqSUNZ4hQsKkuZTXJ8a2Cm/gAJJvxQPVeUL80HvozgMdZ4YXv6pR7e2+Gzn9pQPewFg6cM+/iABASWEnr/EgQprbX7A5GyD9zCUD8e4Q93nFJRAjlbK9RQt5CEO/lkpzd7eruS/6IhGo5mr15enRbBWiRF5yP99uKtEkBXvpaB5LKUp8N7JQ49QeZ9vG/ZgSMLmdmEolIv3Mt2B5hZa5prZl9kbhS3aUqgC1OXh7PKd5OHogpXyXrGADeetIpWHWgVoJeX1WZqyt7+XJ4bX6g2qVtoV1Wo16rUaU5NTHJ497O4+QSlpVq21Jh2k7O7uoaylXm/m/Q49saWckS8b8Iflmwm2UQ7cWldUIGBYGlJLuN6YCEmeHaauHozQ+HXoxJuNFVU1KyDYg67hqX3EZrcemBfG/2Eh+oLqLyRyymDUy6VYo4ZyJg/mkH2a62Fr0o+rMYZ6vcb580/S6XR49913pfVUkrjCCilk8mvige8u/WOjUadWqWJMRrfbZWVlhWvXrvH002eZmJjg3upysdbx++fBZ7Cley5YVTmYtR/fklOVVw67X+VQDEB9Jeaz7z/JX7/4t9z+5iaTP27QVC36aZK3bcuc05FlGUEUYoxlaWmZvb09Dk0f4syZ07z11tvcvn2b9fUN9vd3WVtdYWdHNOSazSbz8/O89NJLzM/PcXn9Q167IK2Ozr21SOpkK7wKP8Do6BhHF46xu7vDxOQYYaiIYs0gSalURR5oYX6ekVaL9Xd+mI/PjevX+dXFiJ7rkuH7iRtjCJSiXqvSGeuy3dwDYHJ1hH63B8oyADJrsVajteRKZ6nYkCDU3HjuKaZv3uHJrV1mZ8e4OxiQJAO2t7fodHp85rOf4etf/xp7e7v8/Oc/47333mN5eYXPfvZFvvy1L3HyxGNsrK9hMsNIayS/Z+OYG2s1gZKqeelFPRx5euQadsyiDorc1bzvNKJKkLs8nsGxlsykWB2ibYZxLbsK8Xb33oel5yhKK+yTrwdYRFXkylJilNMkYb+77wSIFdUopFatokOpQO30enS7PdrtNv1eX/TVwlCc19KazvNzjcpTJrSW7jxSaW5yI5cMEn7+s5+x5UiRmZlZ7t+7x81bd9jd3cvP0bm5OY4dW6RSqbK1vcmNmzeo1SqcX17lxPYtsjDk8oUn3J7y6VyFjfPtTpWjSr1UlM/bBi9dJGM6MtIi3t93ueYZ9Xqdc088wcsvv8TKygp/+qd/ysjICP/pf/qfcu7cOVdBvkJjtEm9VuPEiRPcvHmThfUtZjZ3MFrz3tnH5NndulJRjFaaLBUnJooi4iimVqmSpdJGz+eyZ1lG6Np1Zk4ftPw8n/b61MAuyBeNkgM6IK+KfJSnIzeEA2veiDv6Nn+vHgIZyrsqZe8Z8r8/sPHyTgOUbHMJbHqw4ygua3Xx+hw0KbdetUszUI6Vcx639tV7RZK5tdBPMu7cucP6+jr1ep3R0dGcnp2Zns3ZLJFbcNs9pzMOJumWJk0pJJ+HfMyHz53SMz/wb58O1T8qh0o8ILnXIo/MAzHrqm49Y1fIfIAi0EX7p/zw9z/3QKj0/f6+8/AiQuMrpYVVc0nXlUooBjXQaCtMSKPZpNfvs7e3R6VWy8cvjqJ844qorsLYVD7dwqCfEqCIwjhvM5Mn55dHs7yhDtyzXwNBECK6RMXPvU6isV4Ow3ffoFSx/PAcHf/Zw7R7Ma+qoA74JNGsfEqGxvng5UGaF7aWQ2Bvb5cwDGk0Gq7KMc0T3R+WbnFwjP5jrjJonpqa4stf/jIvvPA8xlharRY7OzvCMCs7xPL6cSqq4eUKw5A7d+6wuHiM5sgoO7vbtFpNOp0Oc3Nz/PrOhzmo8cPp+GFUydr4v1lAqxDPYFuLEy5HDhblwX3h6PmDzjM3SglY/sxH5/nuC79k/fguS9PrhNcQZs66wiFnzMMwJAhDMtJcqFwHAdPTh9jf32dt7SJhGGFtRpL0UQoWFxeZnZ3hqQtPMTo6ShQF3Mlu0JmQEGt9Kabf64mQst/LwP37y/zN3/yUra1NglDRaFRptWoYIK5UUEpx+PBhnnvuuaF5W19f5969Zj4HXqi60WgQRRGDwYDl5hoWS61bIdxSdLpdlLIkKkAHXjzWrWclDuOgn3DzhQucfe1XTK2u841NxX/bqLC/v892dZvFo8dZXFxgaek+3/ve96RYIgw5f/48X/nKl3n++edI0wFXrlxGwXBvc2vzFJnEgg0C6SGOygXqH7WeHZTAKIo0E1WEHnOH2p0f5V3ie2hLdyI9BPyHv+E/bi89zF6Bk/0wVlLAccMcWBLbJwwjAqVo77fZ3tqi1x8wSFKU1mTGyp+VRDmiOHIAtDiXRc5JWG9lncS9lvEw1tDr9wrRXiy9QZ/qyAhHjx7jySefpFKpkmY2z4eemJjg0KFDLCwsyL7f3eXP/+LP+eijD/ndKzdRwN3Hj7PbqLkOPpJ7XX5ua+XzFCLUrZTI5CRJQqfTodPp0CylBI2MjDA/NsbGxia1Wo2jR49x7txZVlZXeeutt5g9PMtXvvJVMpPxL/+Hf8lHH31Ep99Bac3x48c4e/YM6+vrHE2T8mwA5EVKXsUgyzJx2uIQ0FSqdWyWMegPiFzbPmVwbRR9QV8hpfMb4LpPD+xE+bhcNegYjtICfhi7IUxPkSfkK/sKYGedWKXLCXKVsv6XDrNC1uGh1wFK68DmUI5REhLOgzoX3vPAzW8K/O9WfkYhb+E9UaGaJWevUqnS6/VJkpRarU6SpGSZYXxsnNGRMarVOriDU8bDhbmQpPviGi6mEIkMnwtRFC0cPMmLPazAaseS6Vzr62GX90xBFp4/fIbGU7kDK/eG/KIyJQ0v6fkq8ytgTJoaF4uyKDhwz1XyGsuMkZ8vL7IJCmNFaFIp5aREyBk1HUhLtCPVIyRJ0XsziqToRhhlP9bO6DqQKaBdSSsYx1wUKvgFaPN/fthVhBwClBV5APeE5CHKAwDOPmDoH5zP/HXul7K+MEde6wFwDrofhezcoKuhvxahkjzcrH3YXA7UXq/H6uoKxlgmJyep1eoYY6nEVcIwfiSwe9T4lP8+fGsPjquvXtZaUa1VqFRjFAKKU1EvRwd6aHzycTrgzVpruHnzOgsLCxw9dowoCnnm2We4ffNasQY9Y+enysvjeCCtitSHXr9Pe28r7xPs0wxwzK6fi/KazquiXQjIIgDjSGWW8e4Im/Udeo+l2O91yKwlcJWJXnTdVymaLGN3VwSITz1+ilOnHmd+fp733/+AZjNCacXxx45x4cIFTpw4wdzcHNPTU+zu7nLl8iXa+3sFc5Rl9PvS8/vU8joTu3sMtOa1yXG2t7elXWAYsL29zfbOBkmaUnHPu7OzQ61W43gYlsa5ANYggNoYQ7PZpFatsLu9zXvnrmECy6HVMaZvjTCgDxrCahOvaWqtzLtSksvdH3RIKxUGFWl5dsSCF5c/dOgQx44v8t5773Lr1m2WlpYYHx/nwoULfOMb3+C5555hkPa5deuWhN+sLRiI0lq0VnLLlLWoMJSq54es34POb15VTJGTV2bnfK4a1rr8ODlXlFYP2OUhJ86WyIwD18G9VN7D5Xk4GGEo3g86P9tAhyHjY2OEQUgliujX62xubNLp9qU6fZCQpLLvKpUaUaRd7rPLe8aitRX7jybNjOi3WgNGkZqMfjJgr90hcYBHa80T55/k2IULTExMcfr0GRr1JpOTk1SrNbrdLvW6tOdqNpvElZiwEgOQrayysLWNBZYW5xkoRWAMWg3bgXJEIXX6j3EcY63NGeUoiqhWKvnY7O/v05qbY2xsjEqlxqnHT5FlGW+89SbT09O88MILXLp0ie9+97vcvn2XzIjzkhnDlSuXeffdX/PCiZN8/vX3AdhuNejHUTG/pXnL87JTyflNkzRPXdI6kBB26LLk3ZlvzLDA+ae9Pj2wozhY82bp4sLg7+TBpE5/wEqs2WR+ESq8knzhFRf6Yn6Ta60wmfz0kxmO/G/4SsD8XkqK8qCLdikIgGLoIHZslPtnYy3t3V16vR4bGxuAeMVhGBJFEY0o5tzZJ/J8guvXr3NoeoaZmRkqcRWtfNsvn/jumTCDVSEPxI0pmAJVYs082CkbAPkoVeC9vIjkk9kg/95Hsy9e3oQizGQdKPf3phS+76ByPQhBgfFMnTcCZWMJvi2HVAzLv0uBQuA8yozMSJeDJEnJjNDmmZX8pzRN8g4IcRxjlSKuVsQroggva63IrC+ysFit8/Ep5teHPh4UKi3ueRiIHmQ55ftcnk4O5CjmB989InBrDA6ydcPsXGkeSuyrXwv+s2UuylmX5fllaO4eZAALoJ2mCZDkjkuS9Gk2G2SZoV6vE4YRXmOuvKQetr4eBbj+V0NbQ84g+OQi/zZpQZZJSDorvPPBYMDOzg6DwYBqtUqnu8/k9gjLk5ssza4T7o/R6e4zSAYcPTpPc6TFtSuXuHXrNlOz0/m+9w4MDqD7KmxprWbZ2t7m0seXWFtZ47Hjj/HEE084CY0ycJY/Heya4v/NOHCRZRmz7SlObCyw2dhh5Uu7PP4/TZJkJi9OQyms89J9iCYKFDdu3OD5555nZmaW06dPc/v2XYwxHDo0zblzZ3nqqfPUanXAsrm5wfvvv8/7F98nO9QvDhfXAg4LcadDlBk6WnF1Z4uNjlQXB2FMa6TJyEiDaq2e5/4lScK7777L2H6Rr3b27Fn+zqEvAYUTt7+/z927d9nZ2qIX9tmY2AFg5t44SZKQWVCBIrHdEpgu+nt6JiizER899xRfuLPEF/d7jEQxWkO/3+W9d9/l6tVr7O7ucuHC0/zu7/4Op0+fZn5+ntbICMvL9xn0ejTqDUyS5IxzPjeOIZV2cAZtDFYbBIMXUZry2s0VF4zkR1kH3PJwOz6iU+TN5Se0klBriIjcF0VgpTSeIWLik6+D3XHKbF0Z+OW/KJ0TbouJwLuwlgoRLq/XGyjVoztISNKBnIFGBNgDV8hYuOC4dBOVS42laSpgWQlb1h8IKwgC+l944QUmT5wgjirMzBxibGycZJAwGPRoNut5hGBldZn19XWuXLnKm2++Sf2jjznaTzBhwO2nnnhgn3lWrrwHjTH0+32q1SrGSO/lNE0dgPO91GF1dZ3lNGVxcZFarcbY+Bhrq+vcvnOHEydOcOnSJd59912OLi5y5uxZjhw+TGZStne22NwUTPDy+jaP31tmu9ngf/qdL7FTqxI70sSHVzHFOZ5mkoKQphlxGIPXwlVCTGg1TIx5Qug3iYz8BnInAuY8FLPWTbL738HlmCPULMXYBGF7/AI80GgeQxSJBljeLUL57xStKOWqQVW+uMgnZ+h7KR3A1h/g/qcm70Sgta/eLF25sZZwi7GGtbU1rl+/zu3bt3P9vEOHDjlx5JiRkVHSNKXT6VKtVpmenqZeb5AOjJBoblOrfGKcZ/co8IV7T0ny4KHsTG6tS6HlPAxQfiQ19Oc8Be4AEM9DvuXPysPcHtKR08paBxJmdoBZQrYH3v/ADTuQaCShVW5fCj3ktsUT6nQlcVcpRbPZIK5URc7GCq2di1K7B82yzOUy+qTlLAfAxiUwez/EQSR3iCg0QZ5YnN9paVwK56RY08XBfRDsDY+7OCgFQ+Dt/adxvmy+x7zjQf681q0JkydVFw7Sp7skZJwkAzHa2rcDhGarSRhExLEkEks4+TeTiBj6pk/B1g293tjc4ZG3lh0RmUF/eIm9EAM+SpMzW8dYntzkyvl7fPnkcxw+PMvISItKJabX7RHHFe7cuU1loV6sUucYZtZCZkgSyUHr9QdcvXqVy5cvsbu7R6PaZHdvn53dPSbGJ7DgQvguFEfB1h0EtFoprBOKtVZxavsYbyxcxBzTNI6PEO9GpE5guNvr0Xcsh/fb+v0+a2trJElKvd7gwoULvP32O+zs7NIaEXHTt99+iyiS/rFTU1Pcv3+PXq9DctpiQ0u1HTPbnqDVbKB1QHVtO7+/er3C4pEjPPfcc7RaDYLQEldCxsanuHv3Puvr67TbUmXe37iSv29icoLRdJRer5eHu0ZHRzHGsLa6wm6jze3FZQBOvT8njKwFZRRp2sZq3xJSEbjkf+2b0CtYXZilX6sy3u1xqjfg3Z0d7ty5Rb+fMjk5ze/+7u/wta9/nYX5eTrdLjs72ywvL3H/3l2syTj/5Hna+7vs/fl/AKA9OUHaakqumJG1hQMkGOP6R+uSjRsGd9biigeNFB3YgCiQSEmv2yVJMknP8GtLS3qKr4wEATjdbnfIpsidOMPoodMDzthwatBB212+14PATuxrQaQo70AYS2ZT0WI0ljAIhTXSFq1SCTnrAJOlZEbnINV/h9ZysgWCavNQY5qJHmVmMmIneG+jkJGxMeJKBa0CBknC9vY23U6Hza0ttja32NjcYGNjg63NTba2tsmM5c6dO/zzvoC2OyeOszc5gc3SoWcus7B+DyZJH2t6TE5O5oxdr9cjDEN2alU6Iy1qu3t8YWSU/8VaVldXCYKIe/fusbmxJZIpOzv0ej3+3u/9HmfPnqVWqzEyOkKaDEhS6bSytrbG0f/j/xUFLE9PsDIz7QCxydOCvK32ayt0a6KIgMoZ7lNBSsNMUSz3m7F2nxrY9fu9vJmuNL0vhTURStZfBxXj87wDx5Ypz2x4IKWk2k02eJAzMz4J3X+XQuV5If6yn3Aoy80ceBDRRvYaycVpn9+vwYsWKx0yMTFBkiQ0Gg22t7dpt9tsbm5iLdRqdcIwZH19XSpxwhhjoNvtESjfJ65Iws8Zl5J/9rDLG3TK0NMz9VZRln8pnrVgdx6AVM6zLDNtfp7KlH5hw9SBX7r0nSVjoorD14PQIXTzsGc7wHrlAMUI+MqMpdvr0+315OC2+bJGaSlISLIUMt/mLmF3e5tQWUZarQOCjrJRPCgsOa2gNGVG0Q9xzr6VjGPZQ/a/e72uzBqpjFYFy+zH2bMQ8hnWFQod1CV69GYd3sjOUfH5cFYKSaRymiEQKS8vgNCDnytMWBgFaB0RBIEcbu79Enbxdlwd+JRPb1x+08vC0P7z/5qH6bKCjdBa02g0aLVa+Th5DcQ4imi1WmxubtAaHWNsbIwP3n8vP+RWV1cPcp1kmaGzJxqI/up0usRxlVOnjtBstJg5NENcqZBZ4+RtPFszzAgdBHaybpG1heJr1z7H9x77BWsnNln/+j6H/peRvGK775K8rbV5BWaWZWxubro2eIrZ2VnGxsZYX99gdXWVfr8DwMmTJ3nmmWeoVCpMT09z+swZfvTkr8giw/haldn7Y0ROdzByDIeqVvmDP/p9KouLNJtNVlbus7O7yeWLF1lZ3WZ3t02r1eKpp55iYmKC8M67+XNdu3qNv3ptj263S7/fJ45jxsfHmZiYyPMN81m0NnfmFIhwrSr6U2dZisUQoAl0hLGGlUMTdJp1xrs9PpvBe1r23cTEOF/72lc5f/48GxvrXL58ibt373L//n329vZ44sxZvva1r1Cr1Uj6XY58fBUFbB+eoT/SQqm+E6UGZTwBIdXRBxnnckchpSS/fOB0AINAnEJjjAO+w1GKMtBSSlGNNa1WM/+5b6uW204KB+Eg++33QRnUlT/7oJTUQWCndeBSZVxQVikUTqA8c1ESl9yfJglZ5p3o0K3vwsEqzifJSddeAzIVZ3swSOgPBmhjuPDexwD8an6Wj+/fQ60s0+sNmJiYxKQZO9tbrKyscPPmTfb29kjTlJGREWZmZnjsxEmqtRqHV7dQg12SMKRnCvmrclTA22mf25plewz6yVBRR71eJ01T7lciNsZGOLq7xxdSy59XI7a3d9jf73D37l2SJGVkdAQdBnzxy1/iwlMXuHHjOrdv3xFyh4xaNWZ0dJRseYXTSytY4P78rBSd6VKkLT/jxGYFWhQUhusK8knD5/EWAN9Je/0GoA5+A2DX7rSL/mWQLxRZ7L6jJu5AUC4BUB7EKANavCRZmCWGwcrCsEbalijAGEWWWndgWnTgNkxJB8gDRosZMqQ546VAEYD1umGO5i8BFumUZfPcB2MR78WhZGUN1WrM/Pwchw/PgqNVt7d36HY6UvmTZWxubNLr9aTpeFwlCmN0EEghQD6BRci4SMl+8PLMqyklx3vwmrOJipz2KQNGz4gdhHZlr04cR7dQZCLz11srIF2+wLWfcVniUnQiOkjGaxiX2DmlkBBS2QPlANC2OTpF+fwuhw6NsZI7V60zMa6oxJLwHEWxgCIHOnwSbL/Xo+5ynvr9AbvtDv1eQqvVolatlSQ8xCnQpTGxxpApx3ZpJRq0nu1z966swXhwVAJ1Q4Yky8hs5lRshAm2LtdRvs7nhLlJLY2J0sqFiPyY+XksOUjK6yYWhtUvHR+MxbetcX8uGDw99Fl+/YmThfRF1cMHl2dCtQpRKizdG/kzCHYvnqVAgG4NDq2LhzB0uXPzSYaqfN9FWFbmTr4ySVIsUK1Ie72iXy+oIGB5dZW9dpszTzwhB7hWdDr7nDjxGN+6/R1336CtIjCy9gMdEuiQwaAPKCYnJqlV64yMtIYAuRfiLYd9QMC1PHMZlOc7Id8D03uj/Pa1l/kfn/oWt35/HfNvusSbMvapC8/lDrF73/7+Phvr64yPjlGJQqbGx/mo12En7WGylJMnT3J04SjHFhdZX18TH7pmWT62BcDsBy06u/tEQUiWpjx3UZi3K6cW2Y4Vgzs3uX37Vq6ZF4UB8wvHeOLcIU6cOMHU1BQ3b9wYAhBra6s0NzWdToc0TalWqvR6XacjltALulhAZwrVlzaRSivXDcU5TQ77ae3WkBHWNk0EGAwisd+tMKS9v4fWmrGJSW7fvcOv33uX7a1t9tttup0O+/v7VCsVmvU6cRzz8YcfsrJ8n2cHA5knJ/dEUKT7YBXapY7Ii8A4p9EDoCAIiCJ3ICP2OEkGbO3vsbOzSxiEtFqj0v2m3HbRPaOv5N8hA88KEmCN9GwubGQ5quOiVAiDrcD1L3ZaqGU31TmXRS6WzW0Z1tte8jxCiyv4ccRDkma0Oz0noN4nyTKsEdtbiSpYbdHatUFU7hjWSvLubbHuUZrUGKwWti8IAuLEFRU06ly6fIW1tXWyNKPRaBK6FI9er0uz2WBm5hATExOMjY3x+OOPc3TxGCwts/jt72KB28fmSd3ZYa0hxJLZTNaTy0vVgfzZWEO706Hb64KGuBozVh/LtUozt/CCMEKpkK3tfeI4otdLmJ2d4fjx45w7c5aZ6UN861vf4vvf+x5Ly8tkacrE5Dj1Ro2xsTE+R8DXV9bIAs31xxZkfQfKFQGqIXtoLYRBTLVaI44rhKH0qbaZk1kL1IP2U6vctP4m16cGdil9rEnQLqFbK0XgKn2sCshM0Whc6wAVRigiATZaS3Klxgn5uZPPeypOS81viEBHVKtya0qlWDXAkpUezh+/8jFaK59CVTpI/IFceCjDl/w8JzncJEiVpPR1DcTyo7SiElWIwhhQVCo1jMkIXIn7oelpdnZ2MQYJY0WVYmJz7k2V7t8dxKVrOD+K4YksNT7H5etZMsdclJ/LeVWmBA4OSFQIZyAHs9a+RZLMiUQwyyE9mWtRhseB1IIT9B7c8IOQfw/FLOV/VloROua3nCuhtDc0SGFDUOpogOSTGSzKCf0GjQb1ahWtNa1mi3pUc05HiDFKSsZzIH0gXwZv6NxceE/UF8hAAZztMMzIn8WzeTZzzoUYvsykaDRpOiAIPOUu4x+GhQZgGGqyFIqimcLh8K+RzgduLAucUBpXB85VMU7i2HiPsPg88PmqKsdf3sstd3ORD/LgvigOKA4LCyrFM7j+3nxoXA6YMF9XDxk5dyY9KrRQTp2Q13nT4I7KHAjnDoFLPvZOVBgEcphaS73RwCrL/MIcS1gmJseY6kwN3Y7NDBhLJYoJx8ZlNpTkCWWOmfFzHpbalx3cW8PPW173vu+tyu3Lhfun+J+fDOk8NmBrImNkRcCIl3Px85Nmsh63t7e5c/cOp06eJI5Cmo0qoYaxsXFOnz3D2bNnOHRomuvXr3Pp0kdYa7m8cYmVhW0Axj+ssbOzLfeaGbQDO0ubG/zoJz9if79Nr9enWqkzNjbG+fPneeyxE4yNjaOUYm11ldu3bzNXAnZnzp7h87VnuX37Njdv3mR/f59ur8Pa+iqR1rz74jXSKGPq7ghzH4ySZgNUqNEqdGxnMV5ZJiyosVIoMRjsogPNW88/yW//hx/z9/cHvG4U3w326fZ7XLpyhUuXLtHtdhkZGeXQoWmOTh+jXq2xtb3N22+/TRiGXLn8Med6PZlTXBFDQA7svBMvKRwGk2lsVkQytOsEIpqtgXOMjKgnNBukSUIYxDRqDTIreeJ+jRoj4s6NOCbLMnZ3d+h0+9RduzxNKCDLZE5pwhfmFYBOikasOCBKFeoUHqw5x1HbQl823zelPWQBpQvtUTTEcUSlWqPeAB2EpGnG3l6bNMmkN7oKXB93K1E6LS0K8wwdZTFJhg4DoigiDVI63a7z9TSzK+s02l2SIOD24RmW7t7mzp171OsNxkbGODRziPFxERU+fvw4QRDQarVIkoRms0mj1aT5l/+Byb02WzPTfPzUGTIt68NmGbZviIzku+fMXBi4CnpFp9dhfXOdZrPJ+MQ4lUqF3d1dqvUqptkAoBWEzExO0e70aDQaHD26SJomnDl9hgtPPcVf/dVf8ed/8ResrqwQhiHzCwssLh5jbWON6zdu8s/W9lAW7s3Nsnb4kGjURZo4qhDoQGyudY621ejAFxiGaB1Qr9fpdTvOlninXM55Od89Gfa/USh2kCZ5blrgFlWgpVTcY7T8IPFL0x+YqbBgOghQxrFpcgLJzZqsZAZdmNe6xekqYo05aDjdq90iK9PeQ9cBBHzwGh6s4TCK1Hi48GWgXS6WiBxaE+Q09OTUJGMTE6RJ5iQ/Hmzce3BSDoZvhp6p9H9wVLf7J4vOPa9y3kX+XqsKmQVbSu71B4871Idy6qzroas9IyL3Vj7sPYOQL76HPpvNQcnDrvIBWc4V8bIpXhVdQhNDK8KBLUMYBOhaDQXELk+hNlFFu/zNcu/T0gAP/b2c2K61xngJDffr4P2Xmwo8AJLL4L0U/uj3pfl5rSY6YL6k399HHMckSUqaZK6a2g5/hnHWmtL9DC/VA+PvGT95oQ40gTMeXmA4yyRfdZD0c/BmrfBwQ/00H7JfyiEha6R6/WB4WuYSSTDXDwM7uPX34HsPvODAVWIn3Bx4yYhy2KzVq4OFfphQGatQDSooIApDxkZG2W/tsrS0xMLCAp7+NNZIiDAzECjiMHLpH4pqXClVQcqOzdeMGQ6PPBzEPjiGAvBDTq8f5+TOUT4av073nypG/qsCaHvpFu0Yf5tJhfjm5ibtTod6rcbMzAyD1BBXKoyMtNjb22N5+T5ZljE5NS65h/f7+XenaUqvL3qODQuhW2+9Xo+VlRU6nS5jo+M888wznD9/nlqtxv5+h+vXr7O+vs7y8jLWWuZLzzMyMsLCwgKLi4s8++yzXL9+nWvXrrGysoKJNb3RASio78XYgbRfyzKwpO58KNktpbDaAgF562ELH509wfztZZ769Yf8n7uWX4xFVKtVgkDYjrm5Oc6dO8dzzz3H8ePHGRsbo7OzS7NeY3p6mrkjs8Tf+Ru3slxLM5thddHyyUsHSQRHEYShsxmy9pQW8d4gCB07JI7c6FiLiYlJAh0RhVX29vfZ3d/NZTZ8iNQ7B/VGA6U0QRgSKo20GBdJjMwI60Y+90pyAX2ahFMI8OvPh/fyPXNgzw4RBbYAiMK2a5QLGwtLr4njypBCgnfMpM+2Y6rDgDAKcydc2GWRjwp0QJIN8o47aZoysrZJrT+gG0dcCmXdnzt3llZrhJdfepnFY4vEsVTBTk1NuehLn9XVVa5fv85+p8NX3ngLBeyOjZCNj0OWOr3HhNSkKFUjimIByjoQQBqGRHFMp9Nhb2+P6elpAZ6pvDeOYy5//kVOfHyNs/eWeeHZ81RbTUZGRpmammZ9fZ2nnjrPtWvX+OEPf8j42Biff/nlXDd14ehRZo/MkiQDpn78t6h7KxilyBSELvJRq9UwmWGQSUqZxSls5Dl2ghPCIAArmnWRyxMPXFGeSE0V9vJ/E2CXKUtiMkhl0QVKEVpDWGp8r0rAyFrxTEXINqXf79Htdmg0GtQbjl3xHGOZZJNljbWuaigTuRMf3ngQBJXCsI9iCB5xDQ2UtflrZQLAOrbOU9BlSnWQDPL2Rp6l1JJUJTo+rsLXMySfdEfl53rYE5Sfz2KwumB+Dia2K6XQ6JLUiCKO4xKISjBZUsZNFLl38pz+veXep0WvXFN6z4OApgzsirBC8eTW+t+9nlQBTIbWAl6cWO4tw+D7dnrwlSRpvgl8judD18iBQT0I7GxZLPrg5jn4VztcdebZ9vL7fEL/6uoqExMTTExMuHCObPgoioiiiCRJabc7bG/vkLn8KfkOcuZzCNhRWuPOYSkV1D/wjHEcOsq/4hiojH6vT2YSRCTVvTOzQ2Mg/ZqHn3kYiPl0hYePtzBTxc89oD84xg8f6wcPqYfdxwNVi5nh69c+y1+c/DHXp+7QPZsyc7cuPWb7EVmW0WzUuXnrJna2YDN8aBrlohCelXCMh/b7ueSKeb228lh/msuvFxnfkInuKExA5WiN0ekxkvYAlblWcUoRRqGwE1rR7fW4dv06+x3pv/rYyRPUak12dna4ffu26+05yenTpzj5+GPcu3eP8ZWJ0n3Kmg0HCf/443vM7XUwwHIqosLHjx/n+ede4MyZs4Di0qVLrCyvsru7R7vdZjDoMz4+MSRZdOXKFX51TfKMm80mTz75JPPz8/zsZz/jZucml565B8D5ny460CTza7KMxJg8ZnEQsAiQUgSBYqAUN+dneOK9j6grxUxcoVKpEsdVXnnlFb72ta/xxJNPMjkxQb/flz6n8wvcuHaVxGScjCuMLi1jgVvnThVsj+uz7FNZdCAOdOCAXXnNKjcXkWNUjRUUOBgMXBGZhGbjOKbRaOQAotPp0G63SZLEJd638sbugdISDQhDcJ85SFLa7X2MSwGqVGLCMKDT6ZAkaU5yFPddEiD+BPJC5bbE93iVIp7BoO/2sXb3nDmbH+RpGVkq4MIoiIipVCXiYpUPV1thL43BpCnZYEAy6GPSlDNXbwFw++gRVnodXn317zA1NU2SpJw5c5bR0VG2tjYIgoCdnR263S5bW1tcvHiRvb09joYh03eXsMCVMycZ9Pvstfex1hLHwtL5gg+tAykCs3J/1Uo179FdqVRyXUVfGLN5dI7dqQmaG1s8v77JrZlJqpUKm5sbHD26wNTUFN/5znd48skn+b3f+z0eO3GC1dVV/od/+S956+23GZ8c5+jRhTyvF3yRnc/xHq5QNs4OeqcQC1EQYlzI36QZiUrQcUgYFMV5xqafBGEeeX1qYFepN+g7RWqdSXVPZg2phSjIXEWRqwCzrnTcJ6amhna7w+rqCkGgmZmZZmS0JexLoBxucpS31JTjQ6wu6y4fKCgMfBlYPBzcKef5FaCtfOkSQLJ4oOE1yrQkQRYUlxzgxpLlGzFxwKfi9Gbk2bWRBZ/nxil/EHmF8oLledilSuFOuRwg8s+ZM3bDz5vTuDkbX2hM+Y0qQDjIQ0MKhQ5Cp+oPNivkPwo2zR+mhbE7OBfyyz+vB3ClJ7CedfXeoDyX76jgMb7vjegbsttMYVWGCkMCNNLiTA5yi8VoCdSWZV7Kv+djcmCcyj/XKDKTiQL9AXboYdcw0NKUo+FlrUNfau9lDQY+18dIj8wsyxgM+qSpVJBJr11ZG8rto4eBujJL+KhLKfGwo1iYDWHb5T463Q5Fz1nZG54R92A8l24oXXnni5y/Il8r5Xv0QLfMnB4EYuWxzPcx5K28ys97EFgeZKnzIiBTfI6xhrt37rKzvUMYRSzdv491zMnVq5ewXynuX2tN3pbaOyWQ98As36t/lvJ9PRzcPvicedhMi0P26q1X+PncO2y93ObMiTmadxoMkoTMGhEntoa9vT06rory9p07bG5tceTIESq1GkGo2dndY3NzU3Lsji5w8uRJ4liKR8bGxvLvz4yEyRd3e3z+vsg0/HCqxbsvP8XvPv00hw8fYXRkjJWVVX7605/SbrcZGx2n2WhSc0yK1npoPMpdPbIs4+OPP+bpp5+m2WzSq6ZkgSEcaOp71Xwt+e4rRrmEkNKY5sK9YUCoKmJLQ82Vk8fo1mpMtDt8sZfxo+0dnn3mBC+99BJnz53DWssbb7zB5cuXeeLcOc6ePk29WWdne5vG7h7x9i4A2zPTotWnFKKvZKWCXinXFssVVAmZnVfJonAsFblpSAaJq4yENLGSO1Wv5esxZ+nq9fz5vE03xmB1YeMl507WfqA1aX9Av2uIgwBlQvl7ryfAPAypONHo8vovr0+/rof+zbXN82lQXq7MEqCVztMOxIkvRVfcPjDWV9InwiS6+w6UQpmMgACTDiBL0NYwt7TGmZv3SLTmuyfnmT12lC9/5Yt02j06nS4TE2N5us2tW7fY3t7m9u3b3Lp1S1IVLlxgZnOH+vYOg0rMzelJdrYlnzIIA+q1Keq1CpWK9JHNHV2lcqkia6XXcq/Xo16v52y5tZb+aIt+vcbI+iYzu3tsVxQry2s0Gg1efOGFvJvKV77yFeI45v333mN6epqXX36Zj/67/44r167Qbu/T6Xb8iMt4uWq/Mrlhjc1FyiVqZonDiCgI3M9kbpxGtxO0d7bX+lSo3wzdfWpgF1bqoCNsEKOslUa2WUaSSjgjDgxK+16tJlf1BwFnlXqDxugonc4+7UGPOI2JdUxoFcpo5xkHOQfhmZ2cDj+4UN2glUNSD78ewQ4wfDSKDS8O0ly0VpUPL5uHcKzb7N4QCHpTYFylZJpgTZYvJpnUEjOFevR958xF6TlLdKxVLkkf8KhCXiF/lpY5NgcQ3W4XrTXVapUoDNzPIdAhmbFkWZ+xsXFqtVoepm232+zv7w8xf4VRf9BzPDiyBcPqxx8Kxk48myDQDvSY/OfFXPhDVw5eX19nwRXtuCIezzqVN5ItVwEPr5HyQeyBr2gsmhJhWHqeA49WXkfC9smaKB9Q1loqlQqHDh3Kv28wGDAYDHIGFERHTjxl41icwG3oonL5YZewGhTg35N4JRZNwI6TCzIpSoel6tIkD1mXAdNQ6L38/yHwLmyFCG/rIZDjAbougTtgCOAd1G4cdkz8/w6w1OXv5sG9/LB/TwYDNu6usbu9zdTkJB9c/IB+r8fhuSNsb29TJjm01qiwCGXnRtiPgLUHl8HQPX4aYOeZIX+VD3qLZX9/D3YMaZaROmmEzBranTZKSeRgZXWde/fvc+bMGWErKgFqH+r1BgsLCxw6dAilVB6CatQb+TNmxtLrJQz6ksxuFLz9+ec4+9xTNBpNbty4wcryKu12l0OHDnH48GGqcZWle0vCdtYbTsS4qHSdmZnh6aefZmZmhvfee4+LFy+ys7OD1pqPfvsWSSVlYrnJ4qVpvP3yYt4+nlGeu9wpspbU5YMmaYKNq+w06zTbHY6khlApPvOZzzA9Pc0Pvv993nnnHVZWVjh27Bjz8/Osr28wfWiSK1eu0L95k0lvr7Rj4/AOptgnydFWzrGUsHcAHvuhEBmm1IXGjBXdwdQJ62epRSspLExSkdUwxhDHMXEcF2tTlfam680tpAKAIgwCGvU6WZqwt7fL+toqrVaTWk0Ao7flnU4nL3YKc8Howt55Jq9Yn7nX4uZBO9tn6HRSslQqWYuc0ZJT7KrtjclI0ywH+AabR9SiKCJLUpJuD4wh0gGTmaGapPS15pY1PP3kE0xPT7FXFcat3++xvb3DtWvX+NWvfpV3l6lUKszPzzMax5z54U9R1rIyM83dkSYmM1Ic02rRqNeJwsAJp8v4GeO7WqVoLZ/V7/eL7hfOxg0GA2xSgKVKpcLk5CS/fuc9zpyRXNW7d++ys7PDn/3Zn3H79m0GgwFnzpzhD//wD/nmn3yTv/zWv6dWqw0RMMYY+oM+cS0q2WJDlmZoFRAo15fe2jxX0hpJL0pQTtO1HA1yepblM/hTXp8a2F26epOxsVEa9QaVuIJyh1U6SJzHo121ikOd1pWQWzBK2i9VGg0IQcchKRatpG9eaKRKR1vvVeAGRYyeSKEMe8lFmG84l2fI6FsLJdDwALZTCl+5mHvqyi9kaTYuCdkiqKsDJ0YrKx8dBih0nksI4umJVw6BqyIWNsQ6JstP0ieFbwT1l3tOKuctWxfC8ABM+e4Qqjgm/OVp54PeXZYZFJrUZKytrdPr9YiiOA8TNhqNPB+s0+nk75NcgYP6MX4oi0OqeIxyeI/csFmkUswkmTs8nRRJGbQDKi+nF9BqHXC2JaatmLPiOctg81HsljewWZaRF+8oz2EOzcRDwZ1/fbmDSBlcljWWDsrKeA8sy1I3v86pGIKVDzJcB8Grv4fynHsjICBSQixeW0urkDQ1OZj0jowfL5uzno8eL2OlYXt5bssAL8sK9vvg+z0oNF6MlweNlXJVzAeNmd8LD7v8a0Id5HceRqKu32w0iOOY2UOHWFtbQ2vN/Pxc4V4pyRXWJYadUk9f5Ry6R+lO+qtcSPGoy4+tr6Ae641QT2p0gy5bE7uE70sUIHVC3r43rlWGShwTRpq7d+9irKU10mJ8fIz2fpuxsVGazWbeOktrGb/FY4tOo1DY4cwz4zgnUCnu3LnDzs4uQRAyOTHFE0+cZ35+njRN2duW/KRarcby8jKbm5skycB/AK1mi/HxcWZnZ/MQ7N27d6nVaqLxp4qVmdttV1lt8L1iHdBzOWQAKk0ZJFnu4Fem6nx0fIG5lXW+st3m+yOjBEHApUuX+MEPfsDm5ib9fp/fc3pjAHG1irWGm7du8rwnrZSE6WJn03Gdjjyiy4xhkA7IrITkozgmjiIB2cZAmqFQ+foVofqYQEcoJHxrrMnlg3quaMOnYZTXhreDstzE3gc6oFatUo1jxkZH6bT30VpRq0vqUq/fZ3d3l36//xA5GTXszFJmyT0pYZG8Wsm3SxLRm+v3U4qcW4tPyxHHldxuZ0nitDOVq0IXoTPBAn3SZEDkeug+/dF1AD48PEl/rEkUhfzqV79kf6/N7q4QBp1Ol7t370nY9ehRTp8+TaVS4ebNmzz+nR8yd+kqvWqFNz/3fM4gxpUa46NjBFFIUNLY9M+c5REn+beyHqEfM9EtLGyQtZaJiTHqjRonHz9BrV7jhz/8IVeuXKHdbtNut6lUKnzwwQdMT0/zO3/37/JfLsxz69ZN6pdvu+8nJwqyTM5YHHjG2ryQLtCaOJACrGSQiBgxri9sHOXrwh3p+V4W+bdPz9p9amD3H779Xebm5jh18nEeO36cyYkJqjWRKdHWoEzCYJAw6A1c2XGAlFKnoAPCOKaiDIYMtCFzy9r4Q8AbAWud5IisRauyPISklHJMg3hZ1gYuzw05nB8I9xQGujAsuckmZ8FwKv6OIcKFmmx+wIiRTZKELM3wlXu+Sspa//kUi0yJl2exhGFUOiqLSTsIZPL/u0XoRT89y1PkyXkPVA8tzvzzSwdguRWaMQZNQBSKAG2SCGvz8ceX2N/rcO6Jc4yNj5FmqdPVisiyCoNkIIDqAPv5gHZSbrJLD1ViRgKtnQ6hxVvtXLpFHYRUxf1ba8mUmw/XXqoIK4vlcUOOPziHjGjp7g5+dpIkYE0h5eM+o0yGlcOO/vcyg+QWYH7/Pm/LOoPtQ0zeux4K6bnP0UFQep5hsdHye3JA5O/Le1L+WS34XpiDwcAZBOXGP8RacqaheEJhQPMq6fwDCxjg113u/VtpyeRpqECL3FGWWbziQxngGiPvM1kmoWenk3UQCGkV5Psp/zeXrP1J6wTgyN40T66c5N3Zy/zqmQ/4B9e/Spqk9Hs9jh87zuzsLEsry5w4cdLlztpirrVCmSIfphhj5x8+7Cu9w1j6pwcdzAIo56F/tx5Obx3jcHuaa2O32f5Kl5mfNahoTeSApNbSczOzvi2Z5c69u2zvbNOo1fNQ/+7uLr1ul/39fSYmxtFabMXszAxhGBT3auHrK9sAXBxt8OvtLcZrEUeOzHHq8VM0myNkWcbt27e5fPkylaDC9NQUcRSxu7OD2tvj+hfW2G/C4e40z26cY21tjfHxcRYWFvijP/ojfvCDH3Dx4kWSzMlcZNDr9YkyGWftEskz1x3Gr62hQXTrUKQpFLu7+ySDorOAMZY333yLpaUl7t9folar8cILL3LhwgWmpqZyQDU3Pw+HDuUfKzatQkQqkixGmHpfGZuZjMwG+NbRoV9vbv0Za9BeSNlVh2ZZRiWu02qNYq1lr71PlqX0+5K/JrY7lrQIHbuuJc7p82eBJxaMRSIZimqlQhyF+TlhFdIZJAjo9Xr0er0cpPgKeF+AVd538vd8sYJy2pe2YCujKCJLLWkioKSwhTJnxmn1GWPy6Jo4iFJQmQwGZGmKVlCtSBHGRE8cgG6jxsThWVZXVrlx8ybGWPr9gTBYQUiSJHz2s5/l7NmzVCoVPvzwQ9L7Szz93oco4Bdf+QI3T58kSkSTzre4047hwjGehRPtJdMExHnW1APDnMlUCpe5gc1SxkZHmZ2dZnx8jN3dHVZWVnj66ac5duwYhw8fxhjDr3/9ay5fvsyvfvlLFh9bZH9/P2+Z5kGkny/Jo3MstGMKPbDTSpMMBgQKVCAYylrrquIzAc/OwfUsqU/j+bTXpwZ292+tsnxnnbvXl7l5/C6jo6MS2osiolDR3ttmv73PoN+n3mjQao3QarYwxjA1Nc3k1LRTs4fMJIRBRBDEWAtZoJxusDu4TbHQrbKkxqLIXGxf6O4sy6TakNhVNlI0IQaxpQaUn0i/efxisAajvf+IA5bCAXnSQqkiP02qGBM6aYcsS4jCiDCqgjsorUuI9Oguc61YtIimSMPuEgtgMz9R/mAdzl8Tj6ZDkiRUq9UcFPgDUjmWRB84GP2C8p/jwbD/mY6UyLZYSxgoxsdGWTw6j7WWTmePuBLS63dyT88DAB0oSbJ3RtCUWI3yd+fACB/udE+oNYpAJskB3jynRvt8DvF4As92ufHJMoOxgxJQ8iFL9xrHhiqtCbTC9xv2Y+CLccRhPcD4iihTPg85DnWPdvBAHwIiVkCcdflLgUukznNnEE0nb1iVyUpMnqy3wOV5olSuQ6XInLc8LEZavqwVvbn8Ga0YYA+WrQWTOa/beAcmcYDUbQ7A5xUpFeCrZP0GKECKeMUiXBwAGTiQaK3BGkviQtnC1EjbqiAMHYufSXWnlTBwmg4cSHQ5TaXuKalNSmMkskPWVdLLAfZokxWbiGZWBwUblR3WVlfZ3tpiYX6e0ZERsiRhY3UdPVfJMZ1RloHNCHxulZtzn+9SQHWVh/0lXUTG3GETkeJxqRZ+fsF73dJdxectysEie+mxnTmujd0meCImbEXMjs3Sbnd8EyG6vQ5JKu2Q0kEGGexu7dKsNLCZpdfp0Gu36Xc7JL0eNk1J0wSbpoy1RobyYhWa0UGKAto6IKiNcO7sBeqNOltbe1y7dpN795bY2dlhdnaGhcWjWGu5+PElLl66Smd9g7uXB5j/D/R7A7737mtsrW85kAzHjx9HK81qr8Plq/fgv4ORN2usre9Rr/gKbZe7FqgcpGnPGuN69FjIyNweUQySLvfqVRKtqGcZI2u7XHzvKt1uh6cvvMhnPvMCjz9+gmPHT4o9jFJsGDI6OYWZm89tvrEKY1SuV5omvgLZu34yN3ElzBPuPRud2xOlSFM5S5RStPf2qVYa2MyQpAlp0sdmKbikd+0KkUJF3m3AWEOaGrAi4aXdQpJWZ5osKzurJedVqxykRFFEu93OW2kNV9VnDkhI9WUQIJW8OQlBvkaDMIKsRr+b0Uk6oAxBHjYkdzyVEb2/OIiIVUSaJWS9FKsNXZMSRRGtugiGj/VSmr0+RsHG1Dj9TpsNY9jd3qLfH5AaQ6VSpdFoMTs7KxqJN29y48YNms0mn3vhBUb/3XcA6I6OklnQOiQMNHEQo61GW4XOpC960YfXbWAtOrrVuMKg1yftD0j7AwIUNnX4AsWHz13g8J0lTl65weQXP8fc3BxxHPL2O28SxSGffekznDl9htm5I9jMcPapJ/jJj37Mxfff5+at6zSyhPraOgBLU+OSn05AOjAMVIKyorsaaaklCELlWhFaUOJQaDRZZqjFFbQp3ESJnsiZGeiYKPx0BVr++tTA7qmzT0hYTik2VtfYXFuXJE6tMSYhSXpsbW3l7VJ88mitVmN0dIxDMzNEUUiz2WBiYpxGoy6lzo0mE+NTRHGFQbdHlhowxjHkVsgxL+thfXgxxCv5m5wdID+8xZjKa0PtCwWKayhn6MBzejDhOZgg0FQqFWq1GmkqjYWtdQmkFlwLAIfMyfNzgkBL5ZOrlB1iu6ywnLjvAuW8RH+QkodgfUUrOPZq+EHc3rM5K2KdhzCcu/Tgn32OVRBoHnvsOFmW0Wg2sdaQpllxrxR5Y8NAbnjkvLHw91kec60F3hqXl6W0lNV7hmg4z8aidHCgKk1YOoMpMUvCbPl7ERX7fAhzgCkAUQ4Q60/zfO6LPAZP+XnPb/jBeOhVDpVLRRa5Rl8OoNTwuBW36Gmg8qHh/Q+Xj1SSAcpzXorBdmt/OP9tKJfQWKweLmKQx9H4SnOXsSigLn9kX9SSHVg7RcGF9kyGGwefVyTvd4K9VnIpUYWnHIYB1gZysOXP5TUwi++XUI/vHa0JP4VhMyXWPE0S7t69y+OPP061WiVN5fCpVau8+/6HqC8rCGGgU1QQkBmb6/4Pr+zh3LjSvzpOX+XvKO/bB9MBwCvj52ssM7y49CTfX/xbtp/uMlEJ2NnaptFqEYYRqZFwvdaSizPoD9hY32BtdY25w0eoVKpEQUQUVAiDmF53wMb6pmMclpk6M1PMu/FRkHxF0W53eeP1NyW8rhTb29tMT0/z1FNP0XJdXD766CM+vHqTH/7q1/K2a8C/g012+Bf860dPhnTx4hZrLDw9ydz0eD4WcRwRhUVHgDiuUIlisZsoMiypyaTDuGxObs3NMAhDxgcJRze2uZgqPvOZF/lP/pPf4/Tpx6k3qlhrWV1fYXVrjfHxMWamp5guMXZpKkyaIQVrnE3wqQQqL9yo1KtUKtW8MlHmVsJqaSbAOcsSAmW4dfsWve6ASrxOkg6o1StorYi92LfWVCoxcSz5cMY4ZjDLCBRIPrrNzxtjClZX6SIqoQItGrLufqMool6vS0/Wfl9SIGyRW+vZvDAMiGJF7M9Na5FGAeJspImLQOFTlpzCQxA4JglEh604X02WYVL5pUJLJa7Qakn3lFZzhJmLV6ivbZJGIdcfm0crRavVYn5+DmsVQRTTGhlhfHySI7NzVKtV3njjDay1PPfcc5yu1Jw+roLQM6QQakUYKKnYd52EMK7czRVJGizKiCqFZ/SyzIec+0UREJrVhcPstxo09tp86Qev8f6543z88cesra3x0kuf54knnqBWq3HxvfdYXllhdHSUw4dnee+9d+l22xzVAQvr2wBcPXnU2YTSIeSMgthT8h7ToVIETu7Ev1op7dK/fBTT2Uc01Wp9yFn8NNenBnbf/OY32dvbK/XlDLBWmuvu7u2wt7fNxvo6K6ur3Lt3j/39fQB2dnZYXd/g5p07VCqxyxdQJMkAaw1Tk5Oceew0T5x7glajkScVS4hVuYd0GwDxGMI4BC0G0nig5MCHdsbLWMnNCoIQ66opbX7Qk+cIfNJYBUrEPHudDklPEjCVtaJBZAxePR1rUdIJuZgoVB42sploFHmGrQg1ObbI+rCezyOUQyp0HqNSCh3oHMAaxwCJmKmAFf8sFgnFlnMPvFyJp/9zbS4rLFdcEl0t54WZA59jrQdTniouARZVvLccqiz+TH5AlsGCZ+28M2CMGQo7y+t1HrZUDIvvuk90AFq8boB+P6Hn2hzFlaowII4m978bBQQalRX3KnmUw4DpYZe1Npc1iKIoz0nMaXg8EAqGPsvnNhWe8/Bn5qA4k8b3Hmx64JnfzUPQxsNybPx4l+e3uDfrWBEKsFs6+MuPXg7rlNnQYVDuFf1Vnt9ZfjZ/b9K5wa8JhW9/dhCkeuZ1CCB9SuMWBAH9fp979+7lhr3ZbHLs2DHe+877LF6a4doT9/nOiZ/y7Oq5B95fgDb//Y8OuXrA/bC14sP9u7u7Umk6NsbIyEj+/iNb07T6DfYrHbLnFPvf3WN3b49KtSpjpCE1kiOntWZ3b5f79+7x9IULtFotAQqZYWN9iz0XstzbE3HfpJ8RpSGEYGuOMdJ+bQgTvra+TrVa4dy5c5w8eZIjR47QbDZZXl5mbXWNEydOsL7bfeQYj48LYNve3s7Zo4OXDgIajQajo6O0Wi2UUgwGPSpxTL1ep1mrSxcC61l1kdfKrCFzEYNaZ+DWU8L+/j4zp87w9a9/nXPnztHptLly9QpXrlzh8tVLdAZtms06v/97v8epsDjiPBOvlIsgqNCBWnfwhiEqCpyOYeGgCKiTvNQk9XZqwO7+HmkyYHt7i1q1Tr1RF2HdRiOfdy+N47si6AACacuAQkCYMr7ICWfDXGQkKxw67SkH9/dCMkmKHvr9tutdTO7kFzllkKbKFXKoHAQO+gndbp9QZ5hMF7p43o65X/6kskgbw4HL9wrCkFotpDUyQrPVQKFJ+j0Of+dHKGDtiVNMP/cMI8YyPz/P2Pik2EhHWNTrLSqxRKPGxsZYW1uTKOC//TNUr8fe7Azd559hwknHOHOIL9LKfCtSx8R6wg4lXU78GVfO4/TzoVTA6qEpfvnKZ/nat3/I/KVrmPkpbt6/x8TEBC+99BJZlvHnf/7nvPbaa9y+fTsneKrVKn//7/8+zaWlAsJZsaleKDlw6zlzla/+e3Ug2r+id+sPRGcrMoMKRK5MmhJLakocBq6a9+E5xg+7PjWwG2s1ibTKG+n6ZNdWvc7YaIsgXGAwGLC9s8PS/ftsbGxILkC/T2pABQFRFBLFoXt/QrfbodfpsbWxyfL9JYIjR2i2Wm6BKU+ukBmXFJlajNXiDeGEV2XnucF14TnXOiY1Gf2kT6/fFw+nVivynHjwjCizTNLBzEElozDKOGHWIvlZKeskMiwGScRVjjIXdk0eIM2kKX0Ux06Q0AMyfxj4Q9RvLPdnJ+WB8wSMLRg79+6HMh26tJiFJSnmy7okYQ/0tNZSBZYzCSbP77MHPqf4Ls9SPAxcyL+V88lkI5XDCgz9zIMC7316EUnv0cvnemCn3Xf4uWaIqQPxzDe3t9nf22NycpIgjvFSNuXvtu65UG6clc2bdRcg6xFCuxSMneSsmbyUvpjXQn/Rh66Ln1N6HfkY5+yOtblgc/59WTa0Zn3/wYdqGZaAlST0Fiysv6cc2DmU+dAxyn8V4N1XKnsg6eVcHpYPWF5n5c8svoOCPbF26D1BoAkCRd7+CYb3u5+/0v36q1KpcPToUVqtFiCaa1vb24yPjzMzcQjVAxR0w34+Jvn94QAGn1ztmj8vkmNYZka9U1SWtun1euzs7FCtVqWVkLUsbM0y0m+wN9Jm8Jxl/Kc1dvb22dzYFB07BNwppQh1QLfT5Zar0hsbG6fXHdDt9ul0erRaI1Sr9Vxslg34wq1n+bPTP+DW727y7J+NcqQ7wAJLJ45z+PAstXqFs2fPcurUKfr9PisrK1y9epWZmRkWjy4SBgG/eOvdoecOgoBvfvObvPLKK/z+7/8+AH/5l3/Ja6+9xr/6V/9qKKkfYHx8nJMnT9JsSoWn7DFD5PTiQtdS0nm0cqYpKcBLM7F/Me18LxiT8fnPv8zRo0f58MOPeP/9d3Nx5Eq1wpPPnKXd3nW5UCfx3VklbUiqYv3al2IIsXVRFKIjOUCTRBx5Ybb8mpQ8N2MzsiwgChWNep1ARdRrTaI4pF6vyWuMyW0Z4BxP13bTR6BwzrJywMRYhu3Hg9EWb6fLOXSVSiVnI5Ok2Isia2XJTOo08roS5cpsrqHZ3u/Qak5TrbYkBcYTCS5ntlxkgCpyv3UQUI9jRsfqjI0Lu5skKYvf/QmTH1wiDUPuPfE40zOHqbeaTE1NMzE5RWYsqREQG0YRoQ7zXNHt7W02Nzc5srUtbnsUUh8dIcZiJ8bo9fr0el0Gg0GOEZTSGFfV7AucbN4/W1QXfOGBd8CBvMBhEEf52GaZYXNzm1dffZWTJ0/yk5/8hF/96ld88MEHaB2QJJKz9/Wvf50vfOELvPFv/k0+N2KLBXAHkes45Bj8pNen1+vRbDYL2bGSvfGsv7FG8nyVldxPdaDg4ze4PjWwW75/H78ZBgcWntIQxCEoaDWbjJw+nR/UWZphgwgdhHLzJkVpkeQYDAaYJKOKCA1q7VS2URh3yBkrpe/7+x16/R5pklCpVKg3GjQbTff91k2YJQik32OWiRjr3t4+3U6HZquFDiNCH8d2QKo45IbDKNZKSNi7eDkIKAEVXwJipZ+LA6LO+7KgrGOj3MGvLKgoeqDJuvtweW4jlYdG+dAhKKuc2nZGmqVU6lV0OCzImx+Y1rm8JbatXLGnXB+7QPviDvGoPTvqF2OZeSpX1uahb4LSOOLGyT5gkMqh3yQp5F+Gwsul8TfG5ImilUol/5kOCokIH75zONsxsO51KBIjdbZBXIEgdDl6D96bHzPtmmFrDbVapeTxIjk5pqhsLRveMrgpG3HPNlprSyBUwsjWkt83MLTB/aW1duH0olMHzgD4ecn8usyZsgJE+HurupZrHlgUBtq6+3Ej5tbew/TZivCOB6rSxaK89srdPiSU7lmOLB8jfzD6SlwPFr30TTkE7t8TuNZPMp4CTrUq8vEOXuXPUEozMzPDsWPHCIKAtbU1fvCDH/D4qVPMHp6l2Wzmay5JUxGmtcP7KA9986ATOATI3fob2oduzYM4OY1GwzUnz4ZSFbI0o9atwAgwpkDDzPQ065tboCBJU9JSP+wkSVhdXWVvb49ms5GP7dTUFAsL80xNTRbsd5ox0q6L/WgY5ugx0+vT15r3R5rMzs5y+MgMYRjy7rvvsre3x/7+PkeOHGFqaorlpSVu3bzF1tbW0LPPzc3x3/w3/w23bt3in//zf461ln/2z/4Z//Af/kN+9rOfce3ataHXj40KS+mdtTAUtkqyVKwI71oJUeLCURmGzDoHx6VxDCoxlW6PI/U6M4cPc/HiRV577TXa7Tbdbo+RkXFeePEFvv7bX2Rvf5uL773HyvIKk37OvOOuVClyYvJClSiM0FFI4rob5DZTISye1lLxa1IUITRqkpVtA5QNHNNW6FXmAMnvI+uFrZ2t9FETv7qC4f3nmXpjjBPq10PhfL9PRkdHaTRr7O7usbuzR7fbz9M0fP9ozzhLGq4mCGIqFSSfT9SZRWbHOhkaLSHZZCAhcRUGBG6d60ATVWLqtTrVRox0sLQM0oSJy9fRmWHp7HEuv/Ak85UKIyNjRFHM3t6+2D8Um1vbtDsdbCZrdWVlhVu3bnGo3uDlj6WP8eZT5yDQBECj0aDVMrT399ne2aHf67tc/MxF4kJw3a2shSxJc12+8l71HSiCICRN+yW5GFG9GB0d5dSpU3z00Uf89Kc/RWvNq6++ypkzZ5icnGRqakr2xvIyt2/fys/owt5rKpWq2EBXEdvv92nv7zM5OekIoSJv0livceGTYuR5fQ2isirX5zzowH/S9amBXaC8Q2VyUGSyTBJRlcUm/fzA9E2yB/0+xloiSVuUfIqoIgdKEFGLKigDYSYHdRBJy5ZBWkioGAupAXRAp9un2+mytn6LjfV1kjRhenqKZrPB+PgY09NTTE1PSnjAWuJKhWqlRqBDWk3x3NMsk2R3Y/JWKX6ghzYUlkA/yDJ5RGZxz62sNKgPVJFOZS2BlgUWaNGbsogRl4NXE2jJwVKunc0QyyEmohT8kXBL4vTHVDogCmLXW9E6ORCfM/ZgmAiG6Xnr5iJw4WyUEws1GSYrKHxri96YObNoPD3mjEZ+jyWtv3yoyoyceIkehIShMLe+jY0PwXrWS5U2gOQOWleMbFG2AEn+2fxXZ0rGtVqRRstxVHHoz3OcJVjgDm5jLZ1OG2Nsfg95CGRYk3Xo8rku/llzVtQWmnDGsc2eEfDz6X8/yJAVtzYMXsoAK39dUIQ2y2FXHwLyunk+ZOzvudxb2c+dNzTF2slFddwB6EWMM/z56A+YMtNtrc0P0INARz5b2HT/COIkFM9cfg6vgYhjzrTvAlOa9/K4mdJ6HyQD7t27x/y8NMGKoojnn3+e7Z0d1lbXeHHpPL8+fZnbo0vcr65wuD0ljIov3HI+i/X788BcHbxs6T4Ovu5grqw/oAECq3np/fNcnbnDytf3CP4vPY7VGswdnsUCvSSh4zoEdNodet0ed+/e5b333qPf7xOEwjgFgezFMAwIwoiNjXVarSavLn+ef5t9l/ZcnwuzNwD45cQIv+51mF5aRgfk4cNaTdpwDQYDXnvtNTbWN5ifm2N2dnboeb7xjW+ws7PDH/3RH3Hp0iUA/vqv/5of/OAHvPrqq/yLf/Evhl5fqcSOqQvcvGlJBndja4zBOFbZphlGiQ30wM5YSOOI6+fPcOG11/mDbpc/X77PpWvXWV1dIwornHjscc6cOcdzzz/N9tYqYag4e+YM/Xffxy8Yk7nCJos0a9fSZUG6JymiOEKFGgKLSmU/G5vmVaw+fUHyPQOyxIX2sFRdVwqw+b4rO8eeAMHHivKwms8nJfdSLeSbwmJdcQC5Hfb7Lj+3sEQ6ZGSkRRiGrK5s0O32yVJxxLQGn4YUhtKxIQor6FFNr9cHXcUYcmmrst+kA00YRKAK+axqtZpLYwWhIc2kRaFeXmXktnSK2PnCC/TThLv37pEauHf312xub2OMpZ8Ie721vc3K8nIeTn788cf56m6H8Ru36I+2WPrGVwhclLCDpV6rMTkxTq1aYdtFZAaDJD+HdBDkZyFqWGZGKUW328WHsdPU5OePv0yWcezYUQDef/99Jicn+Z3f+R1Onz0LpdD63bt3+cEPvs+pi5fQxrIx2mJ9ciy3oZVKTJIm9AfS1aXT6dBptwv7ZoRo0E7vF2Py1LNAB4W3L8YErQpB6E97fWpg57VXUusbpVtHHRdVmgpXZoyUPVcrFenOYCO0ltLtvLQbRaCkSkq5hyIzpNY6QCdyCgqNySz1WpN4puo2TcD62gbLS6uuh6EhDAPGxkaYPjTN3NwRZmZmGB8bZ2ZyOhcq9O1dfAwcHjx8CqNs8y4M+sABpozFaqcnpyyZMqDca5WUM4dEKOc1+Y3Ysz1hKbOUVPmwjQspGnKGxmgLgTsMUeJ0BRAHEUpXXE9F4za998VwoWCdM4vl57HWkiQJ7W6HJE0Jw5CaC02XmRqTZU5cWkCE91w9sFMMt4cqrMAwQHnYmGot4pC+sMZvPA+O/L8dBKTi7RqUcbS1Qp7f6a55XTubH8hW1Ot1BFpYX+PxgCUvc8/pPlehmKYJ3W47Z+Gkx2ucP9vBMKKEH5KSrIjNjZ9naDxz7UGy17gSEEiek/Yw0OAj8vkvl8MpNOuwCHDhLVaGQu/+uwpGTQ6RXCbI/e49Sf933x3EGEniztIUS+ZAmxoCscPFScX9lMOSfi4F2BWJ2gLa3No6wKRavPajD+UHue0or6t8vMrVi8awublJmqZUKhWeffZZarUaa+vr/OIXv2DhbxULT8xwZ3qFH5z8W/7RO7+Ti+eCt1PKZXK63NQSQB0KAVMwxo8Cf56pzt/jxicIAipaRGyJFNVqxNLSMhMT44RuX8RxnEsQGWvY2dnh0qVLjI+PE4YBaZbQH3QwNqU/aFPVFaxNabUajKoGz26c4+cz7/CXf9/yB38BP5w/DFqxtbVJa6TO9PR0Lmp8+/Ztut0ujUaD8+fPc/rUKV57/e2hZ7l06RL/9X/9X3P58uX837a2tuj3+0xNTT30+Q86a1p7Z9Q4dtRr3Dlxe2wO6owFHYSiYwloY9ja2iJJEhYWFpkYn+Tpp5/h8OE5piYPcfXGRTIzYHF+gdGx0XydYS1JMiCIvEOkJe9Nyz4MggAVKUItrdz6/YFzcHzo06sOGEw2YNDvY7OMMLBkQeo0T4dTevJc5tIel2hQJhX81oE852kVVfhFPq0Un2nXPvHBsVRAZiGKQsbHx6jENbY2d9ne3qXXGyDVvo79NwUbFwQhQWDIrMppBOWEQ702qyaQXrChCP7GcZxXDMsxI5p6SmtO/uo96lu77C/MsvqZp1i7fIW7dy4yNXWIzc1NBqk4dL1BQpZZlldW6Hb2OX78OOfPn+eZZ57h1A9/ik5T+lHEchQQJIm7b7FfaZLQcN08TJKSDRIht6zY8LxwSytqtVrOEntgZ4yhXq/TarXo9wellmBQq9Wx9SoffPAh165dJ01TXn/9dba3t1leXmZ/f59ut8uNGzdYW1vli9t7aKBTr7DXqKKt10YtHPAoivHFZUNnorFkCBOrTKEd+ACRpFQuZnzQ2f+k61MDuyQbiKcTeNE8S6Ck7Dh1AxpFMTYPPwrrFgeBVNloYe28dpAsXWckQ3eAy5oijKOcFYvjioSutCIZJFgajI2PML9whIsXP+D2HTFE7fY+a+ub3F9a5sOPPmJ+bi5PzI3jWD7P+MbHoevbWWXuyCyjY6PEripLNFJkw2WYXLRS4dqsWMd+KU2C27gmw6YSagrDUHrA+aocJTkF1gq7F0YSkrZkDPp9ej1hNcMgJgojOZxCJa3W3OYOgiDvKaiDAKMFcFlET0n60npGyjrjIM8gjJ7PVwqo1+oMEpFPSAaJtLEJJQyOJdd1yturYd18eRSQ5Ye+20/uskVOYv5vDybgexDpWSa/2IeMljvkfSWftSXwmq8c/8jey/Wer7xSe2FK7Y1WVnprEc5TCnQUEteq6DBwoDcgTV0YMQjcWhxmUT2Ij+MYk2YMzCAf1ziOqTcaxJWK9MV07Xr8+8qAOQwDN5blfK4CmJcf24Na76VntgCkSskhlVem/v84+89g25LsvhP7ZeY2x15v3n3+vbKvfFV3VxsADYBANwiCEDkAhqTEoEahD4ygQoqR+SQTkkYRwnyhFIqJUCg0YAhB0QztYDADig3CsNEw1eju6vK+6nl7/b3HbpOZ+rAy9zn3VTVRPafjdT1z7z1n7525cq3/+q//PxxkHiGHG6Oa6TucDxPGkjB5FfmGM8kYHQN7otFOiUSP98EtQD7PbAr25LOJqPF8IJqh4jEpiwdUTOAeClreN5qH3kV3gIh0fPrnyuexJ5LI6BVptGF1ZZXK1pw7d46nn3mam7dusTjuc0s94PbCg0YSJib7zRZqLLBOXufDCVzUY4x/O9/EFQRhrlUbYJkoWfTS7pMsTnoc94dMf96R/TPHeDwkSTMq6yidb+zNEp3grOfe3fuyZ5WnqMYcHO4xnqzTLXKqumDvQKY0U5OSbRrYhPeflE9k0oTNU5ukScLtO7e5/+A+Wmu6vR6bW6dYWlri4qVLXDh3jl6ny5/+4PUT1/qd73yH73znOyf+7m/9rb/FxYsX+Sf/5NOTslGsV4olKZSsr4kToRqkuAo6p2HVNkmOQgSD4z2tbc2DnQdorVleXuSLX/oiV558im6vBzguXbyI8jXLS0v0D49mn8NZ0izDGEeSio1ikhgZaNCyf1QiRaFzYj3mbNRtJHBeHc7WgWZUYXQUJpbBPaUiFWOWEM4LXwvy60R2w6ggh2JkUKoZi28WUbh6RdpqkaeZ3BvnguxD4JV5H4YZpRjpdLoYk5EkKXu7+4wnI0wiCgTOe3xVU1BitLhJNNPHyoVwGoXoNcpAqk2IaW3yoFMHgfMbgBhdVqx8dAMFjB+7RNVf4P79BwxHY5Q+oLZhqjgoLghHdJGnrjzBV7/2NZ66coXB4SHu7j0AHmh4+523WVhdblr5dVVgtKHGkxlNp5NRTA11OP9EeklclbCWLE3JQit2JjXkqWtLkiQURdmcOyBn93QqA1ePPPIIq6urvPvuu/zLf/mv2dvbZ3l5geFwxPr6Gl/72lfp3j8EHoRAEWSvrMU52+Q2xmgm0ylVHJ7QOghdh7PSOZpJzxgxZmEoLAF14sz9PK8fI7GbCfHFFlRsKSTeQWhb4oUTV5bSv5axdofWomWVYIBws4MumrMVHh8EJLOGuKmAupZMtyotRVlhEuHyZbnmzLlTtHpdBscDDg4OGI2HFNMpRTlhMJ5yeDzA21psZITQ0aAYdVXRaidcvnyeC+fPc3pri4WFRRIzpzMWqkVXO4zSOCXVmlYa66GwIgmBC7ZNzuG8RSuP0a6p5KNdS7vVpRVGuYvpJKjMS/tTaRV67FF4UXTMos5YnmdMixJlDKNywu27d+i0cjbW1gCHihpmVuGiCrkmaG95RNsIUhSJbuFSF6ajCIsytKW9vLcOiRJeiQSNV2CCZMlcH2/+QNYhsIR/YYbexHUjVlsR1ZpHS+W95bNKaSz3wlkf/GJD+ySYVMvzCcmvV8H+R0jYKjzDiJg5V0m7IOAvBC5DkqRN+07nKUkSWA4mkSo1rMcqSK242s1pzclaykxG0jG08jZFWTCZyHO9d+8BeStnbWWFPM9P+MTG6324tSKi23NIbUzEoNFV02Es3lorWl/xfkkkQYWENlpbKu8xOgQd7xovZ2ctxGChRWxVpqxFi8moWdtMzwm7OeVx+qTsznyLwJjQYmM+mTuJePqGyyaJk1cekV+R6T8Vkzof2lBKobxMivkGsuTEz47rcGO4Ah7G3YJpXnJ0NKAoa65du8bH1z4iyzJWVlZYXV1l/XgJPAzbI+qsJqmyZoXENEKFz+mabG+GmDyMxpysuOczcgvUzbaYiR8pnK9ZH/U5N9jk7Y0hoy/UXPiTU/havmo6rSjHVbT6xKiUJE0YDMbcvHGH2jmKqmBSTqi9pcaijaa0Ba+99Rqj4yn3H33QfBwPmESzuNjny1/5MpWtGpP6rN1icXGRpZUVyrJkcHDIZDqhKAv+Q69f+7Vf49d//df5h//wH3Lr1q1P/buzcng5W+FsmKrXlSRz+Fm3hxJLhUPjvBT3MowmXZDJyjJOKbKypLh7k720xRNXrvD8S8+xuLBIVU2ZTiesLS9xfHQgRambDXIkmaHVa6HqUmwfrSPJEkwotqdlQeo0SWgNY2fSUc2QktaoJCU1MA1CsloZ8FG6YoYYucDRjoWOrUIS5mks06JcHASLRytnyDydoyxroEIngpRhaypnUREZ9B7jtXS3vMf7GqU8/YUOSaoZDHKKomQ8nghSZD3OKoxJpAA2jtpWYGpMmqESh0pmw4JZltBqGRID+CogrAA2JK2G7v4eq+9dA2DnL/0ER4cjnEvRJmc4nqK0pt/vs7q6ysbGhgArnQ7nL1wiTQzvvPsOH/3gVZ7//W/jge+fO4Vp53S6bXQiq0OFsxdnwVl67ZR0bYlJUTAeT4KKgJYYXExJtSY1WnIQbej3F1BGZF6KsmA8HjaUFB3csR7cu8fFC5d48cUXWVhY4OjoiO9//we02wL8bG2d4ld/9Vf48pdfZuG1d0Ps0WRpK9z7wKVXijT4+jo8k6JgWpX06JKE2KJR1N6GzqDBWxfmP+O5FjO8sKo+uxnwma/Pndh9/PHHckBr6QMnaUKcADVJIF9aUfSOHyrPc1qtFoYo9SE31ZgUPEFbpsTWZWOvlGUZ/X4frcXpYTAaUlYVRSXTX7W1jKeTxrqjdkn4OaLE3ev3WTQL4UC3uHrWeo2tqphceFXRW+hxNBxg7zqWpxOWFhYbLlpR1YHITvBxk82bpSk6zyEV/03ratGhcx6sRdc1ta+DOramdnKYpXmLNM9JswydptQo0nanucc+kN+dctThIU4nUwbDIQeHR9y9dx9lNMeTAddvXOPxxx7lmz/3c7SzDFtVWFujlKGsS7RTJCZOYc0ja6LrFpVLfCTZRramVrPfmjAR5uW6YlIUJ3XngBP5/P5Tf3UCfp7ng82GVKJKtwTB2HYTDTUjreZa+DcqjcMEEbXxeD+TJ8HPJUIw04fyUi3Kc5WCQilDux04csoJMmxlk8XPOhqNSLMcQntdK30SOQwBLvLykiQhy3OGwyE3P/6I/f19Tm1ucP7cuUYC4QSXco4IHf+u+dFw8lqYFVUzKYO64Ux6JYMxJknAGLwOazZ8d6I1TmoiaTlaeeZeKaKFltIyXq+cx3rbtMzkM8halqpevCJPIlQ0B7FXM7L/w0MyJ9v0od10Irl/KAm0csB5LUiGm7tH8+16QdEdP3XtJX77yre5sXWfLz/1GHmrRVmV/PD1H7K3t4sN2nzWWs7trcCz8N76NXZ6+1w43Ao/j4flBz/1mr+O+QQPZrIzzUv7Jtmd+wmhOHG0VYufuPUCb298wvCvONLfzGjVLcqqIklbpDlMplPG43Gj57e3tx80NT1FWVBWFShYWOjT6/WYTsd8/MknHB0dsfGtHu//vOL9Jz3/8htdrtoW4+vX6fa6XHn6Cu12m8FwiBsOeP+DD2h32ozGY8qReEzv7u/9yPvwa7/2a/yDf/APGAwG/Pqv/zqTyaelURo5IC3rQK5BNdciosSiHOCcEyQ6JksRtdOScCmgtDUP9nfQa1tcuHCe8XjE66/9kJs3bzAZH1NMBrRbGV/5ylc4tbVJ/uxT5G++w+M/fJs3n7yMslKIV1VJVZUsryyRZSnbO9uMh8cYo2m3Wo1Oa2MHZYRrLvvdUJUVSoWOVIgNUd/RRZSVmWzOzD8dKVidoDuxM6DmcN6wyFBaU1cVo/GEsq5DHKnD4oydLyU8wbk4EpHrbrdDp9NmPJ4yHI4Yj8bBMaHEeUdKRpJlJIB3isRkAj5oHboWqqF3REtMef8ouM3MFCB8qtpZyrJgfX0d9CHrGxssLS2xtbXFxYsXWVpaot1u47zn7r37vPnGG/zu7/5bXr52i/ZkyrTXQX3jZzhzap08T5uBG1TcN/I5dEg6lVG0Wi2KsmI0EdeRsijQkWbkZ21q62Sw0btabLxUE+Co65rj42MODg751re+RVmW7O3tcfbsGa5cucLp06fp9/t84QsvkVQV7QNBgw9Wl0mShDrQU5T3JCbBKYutalxVU0wmDI8HrC0uhwIWjNJ4rYV6RRhydK6RJPpx26/zr8+d2L3+5ttN8JpHXECg8bIuQkvNNC2GxBgZaYam4nRB9RlPqBwszgmCVltLlqUy7RradNO6xCm56LKu8EBZFlLlGUNiuk2gLktJ7trtGSqUp8mneGQRKaldxc6eiCpba1lcXmJxYZE6QO1laUWaIE1JTUKaJDNELUnQgUeY5xlpEgdEFGlS0slapMagNVROoNRpbUnbCWneQScZJmtxfHzM0dFRI6CYpim9hR55ljGeTrl5+z4ffvQht+/e43gwoK4tta4YTUY477l48SKPXrpEqrWA5wqm5YS6qui022SJEEobzZwmWKqZqKOfkTcjGgSh9Yx4I9bWBcOBmVaPalKO8KMfOrse5tnFX1U1Q39nPJHIswl8OqKWVPQo9YG86kJyKKP8stdNQ+gP7xyA7ugbGKtoCX62OVTD5/MxSRBytLWOurJURcnhwdFMPgIQLDO2SxS2tigTgpxWtLM23W4XlRh2d3cZDY6pqqpJyOL9iPsnIt/Wzkyp40vFxEf+0LRgtdFkKsU4ja3rxrrOEwZ4wuGhEt1MEmtAO0E066pG2dAKCEmhCAl7cC44XpxMxJvBG++bzfwwOVzroCX4EIp24ppOoFpxzcySI0nyPzt5w4cE8Uf8LKsDyhxerXaLxaVFlNasrqzyzLNPk6Ype3t7XL9+nQdH+/QnHYbtCTcX7zeJ3V/0enhdz/MN4x46+Q1Wfj30M+QaNFnQBAW5vvsPHmAmwqfTJifNus2eiUhObDMnicGFJDzyOV1AWqqyYjwZ4+/XKA/jDjxYTamOc5Z6Pfb39/n2t79Np9MhzTJWVldBwWQylbhnEnD+BDdw/rn86q/+apPU/Y2/8Te4cePGZ96vuAwiZcQYMEEawnsvAxM+0gFkDUfR5Nk6cbQHQ5T3jL1nt675K1/8Altbp/jDP/gDfvCD73N8fMjKyiLKVVw4f448zzALfVjoS0G+f8BoNCLxlrquGAyPyfOM/mKfXt6j0+mwv7fLaDSk1cpZXFxqWo9JYjFJQubFY1spz+HRMdY6WnmXPOtgEo0Oe68qK2pbNxxibwO9JfDSxdM0dEwSWQcznraaFTBehgC1ScCJpmocbPLMEH+v05lV2VyRAeJ61O8n9Ps9RqMJO9s7HB8PQlyvyHUPfAKmJjMprbQFSmGo0UYScNEzjnt+fn/K35/+zqsoaxleOM3+hdPoUcHi4gLnL1zm3IULrK2tNQldfN28fYtXvvtnXLt6lUvnz/ETN+5jnGN4/izJmS2SPBVBYiPODc7Z4DClmhiktWL3wQ67e/ssLq7QXVjgeHDc3CMBZGT9JIG/7Z3DOmnHzttv5nlOt9tlPB5x/37J2toav/Irv8KVK1e4cPEirV6P6WjEtU8+5uof/RG/elXW+/tPXA5rd4bUzvMfY+cnC3JnyklCKV01DZyMDfDp4vBHcXd/1OtzJ3Zl7eZ+uAVVN2hIVZdYVzXBvdVq4YFpMCvWVipxa0M7K9wFjQnOFZHg7TGmZDwumslJbxQqMWGBpaIBlKiGB1VX4ns5z/dp2iIBOYyBd55344MHo0XES8u6YlxVHBwPKKuKyXRKXTmMEbX6laVlekGfaDKeMJkWWER1u9NpYxLhurlQeS4vLLLYX2B1dY1et49zlml1TGmhtDICffv2bW7fvs3h4RH37t1le3sbYxK2Tp+iv7gQTJLvMp4WeGBSOsqqplYV46Lizr1tXv3hmwwGYy6dO8fqyjLdXh+tM+7euU1Zl/R7XZnYCtOoUeoCoBGJDRtEKZFAqWwt3DsnKJ11VmRrvCQQoqSeNYsvIrfy+gz06SFk42EUT4JZbFl4tNd44kFOM51W1SXeq4COzYZfBGoycxvBN+hR9BlNjAzwCOdlZu8k6PIsaZGpSEErO51uaIm6E4blJw4c5gSfFdgwCdVqtTh37lyjAzWz/pnpTM3rtNnGrm2WzEQdOw8ngrU2Woj1Xkb3a2uxzsrE91yyhQ1oSEycXCT3Wmmbh+elCKiuddQuClmrTx0S88TfyMOMFTQqsEZC8unVrAqdf97N10NIrmfJnfceglzLw+iXXJNmPrzN73XCNcy/7t27x6E6QmnFxcuXOHNmizzP2djY4PTp01w5uML3R5/wWuc9/vzcm/zE9edn6IoPiXX4bHh/Ai18uGA58dweumc+dA8a9OlEwutRxmBI5EFrhc405bEYrtuipjoaMz+xHV9FUaI0J2gxo9FIxJmnUzyesq6588tHuNTz/OuQDp9keWWFpeVlTp3a5N333gkoWsLyyjJr6+t4PG+8+SautvTabVbu3D9xX2NS9xu/8RscHx/zN//m3+SVV17hR71iETW/bxqU1YngrS2rE3tDEVFOH4YbZN3HV6fT5gtffImrV6/y6qvfZ2vrFC+//AUWF7vU0ymLiz1WVlbZ2dkluXSB/E++S2//iGw84djXlMUU5x0mSYIQsqO3sMCZs2fZ39sTEV6CioJ8Ymrn2Nk9YDwZ0u93OTw8ZDIu0DoDr6nqEpgJ41rn6HV7bG1tiSi1pZHFAqjrWXLnvcJgG3cCjyB8HuF+9Rb61MGDFkJyEizJ8FCHTlpcc59CjZG9tLDQp9PpsLuzy+7uLs4hQ2ZeeKSJSaVTgkeZRNqgykHo0giCKYik8F41STFl+WPh1x0/eZki1RTFhM3NDS5cfJSsJdp+BwcH3Lhxg93dXabTKdeuX+P23Tt86Ytf4NlHH+XZ/+4PAdh/4Wmyfo8kCXxdaPaQa4pNg0kU1gp/8/atm9y6fYeVtTWRFdGyhoyGJEyiWls1nbyY0DX6cF4SsLW1dc6eOceZs6d54onH+eKXvsRkMuHqJ59w48YNBoMBb7/9Jsdvv8Nft5YUKUKiFmKobHFz1pgry8vs7uxI+1WHrorzsyR+vnjlpEbofIz7cZK7z53Y6UTGuRuSMXEu0yOWTZKxivGuZ3FxkdFoxHg8bvxYLeADTK3QDfHTKYMLpCCrZELUKE20V6EWdWmT6ED0FvKoThTaqqaCmOf/NYa8dqbaHm9OFGz1yORtWdWSHHhN7YT/UFuoa2kXT6cVziomk4pEG6aTCYPhkGlR0m636fV7KCMSC0UxpSwL4mT70uIyGxunqKpgaRSGOUajEbdu3+Zg/4C6rplMJ3KvrOX2/R0ZsggVWNZqg9KMS0tde1SSoE2L8bTinfc+5mB/wL0725w9c4ZHH3mEzfU1Tp05xyS0bpRJqOsS7TyeAD974b4469HoIGUU+CZaeIiE4GvCZGld1hSTMbaqcC0RazyB4AbEJr4+hUA9FGjmD0SZjpNAJxtlltg555gU02Cs7cnzlFYrD5IFCrxm3v5qljiJt7A2GkwKRKNsj3eEdqNvgqsOXJ+Y5OZJSpYl1JVY0VSlUAa0ksQqSRKZsA0Hl/WuOfxdCL6JMRJI5hKBqqrCoSa8Q5F4mXeGCPdNzfBQHyQRjDEisRP4PV6pMC0Y3FVU0CUM31lbS1WWlJMpzgrPNTWGNB6yigZS8RHpbMCC2Wc+2RYISWhMYOJZNS9Jo2bCxfMIliTvcwldk9wFPb65xP/h4Cacn3mMeO5zKilU7Nx7jSZj7j+4z2AwoKpr3nrrLdlroV3Y7XZ5+U+v8Povv8erZ9/jTm+bM4MNhNsph3Pcx2Fe81Nr97MS3/m/l4Tf4pVrUND5pFVrQea+euM5/skz/5bjjSGTX9Ks/PYiKPElLaYnEcx572fvapLUzImYSvGapAkryysMuiV3/+pVUPDXf7PD9ZXTbGxscO3aVZx3PP3009y/f5+rV69y7fp1MSUP6PDzzz/P+bUNzO1/ceL9f+VXfoXf+I3fwFrL3/27f5d3332XxcVFQOLlw+1YQTJ02GfyS6YVdfO56zA53ix8BSoa1qu4J2nu22OPP8rOzgN2dw/5xje/weOPPYbzNbs7D8iNZnNTZFsODw/ofeWLrP2jf87q3Qf0BiNGy118pVAYvFJMy4LDwTF1VYHSLC4tNSLuNkh6VdairKaylsPjAUeDY7I8kzPNC+LmlcIkGQaRDhmPJ0yLkso5LpiEfqsbOgw+7NdQOAdahEI8iyPqbuua4XDIYDBgcWmF/sIiaZrgXI3ToEkhtHpFB3Y2jPZwMQUepVK8t+R5yqmtTbTWHB4ehYQw+LR7T12VqCDWrpSSlnGIp8YokkQ1Q3naGFZ/+DFL71+nXOhy/edfpiimbG/f58KFniRF1280Asrb29vcvHmT6XRKq93isSce5atf/QqLWdok7toour02OiT2ss4lB4iyoFpLsqeNZmvrFJPJhIPjoyAsLcVAmoiZgQyOWXzQdvReIl1RiHmBhC4piq5ceZJf+OYvcvHyBXa2t/nj73yH3//932dnZ4cHDx5QFAX9focXVlebWB1DaOTYxVgliKBnob+ARjGdTEhMQqK8rLW5GED4TCd1Pk8WjD9OW/ZzJ3ZeC2zplA2TcT4EZaFbx1Fv7z3TQtTNkzQ9IQPgdURXQEKmDgEZ/BwpvC5rtC6bNpBSHpMoTCrJnEk0xju88qHCSajqivlsV8aYxXMwHhrzWW/8O1vUuLLGtDJBExOBrlstjU8TSWbKgtFgQl04WnlOXVWU0xpnoShqtJmijBbZgdphnYxiK6XZOzzm4GgUWkhyKqVpRt7Osc7RCsr4HbvIYl3JqDSeqqyZTKbU1lFUHofF+QSvDQT/TJ3mjCaW23d3OToc8+GH1/n44xu8/KUXeeaZZ+j1FvHOUpUF2oTxdCUenCKWrPGVYzwcU4wnZGlG2m6jw8HfJHZBlsRmKZkR02If2gqo0DYJ6+SzHAZOBpnPfsXUoql2bfhbH9vDM7cMQERCfRT71RK05pIAkI1vtMY7I5GgQWAI3EIgTI3KWSKtdheCal1UVJVCUQGeJImC1pbobiIWJZ+GzJ2XYGSZtf7n70W8Tq3DZsaHhDumV3L9MzQqJoxutu+8tLAcImjtjG48CDUePy9dE36qCuiaCzm4DzfDg1SOKmB4IcObn+adT+xmvLJZpRlzUq9mBeDDbcnIj3GB26mVDMM09y5UsXEtPazd9KNWkfcBgZ77u16/h7WOw+NjXv3hD7l18zqtPGcwGJAkCf1+n818gY0vLvPgzAH/7vFX+E++/8uz++Sbrvanhifmn+WPep1AJuc+2cPcGYcnLRMZUjFg2yKabJ2QqpeXV4lSQdPptOlAgLQ3raWxTSvLgjzP6HZ7nD17liIx2Pb3MDVku56b5YBLTz9FURbcuHGdlZUlXn75Zf7aX/trHA0G7B8eBLTKs7CwwOobb/H3/+oOBGm61dVV/v7f//ssLS1RVRW/+Zu/eeKav/vd7/J3/s7faSwl4/XP3xNrnSR/U2kdl9MCHVE94CTBUZC7+YlnbTRFMeX1N97g8qVHOb11isHwmHv37nD/3l2uPP5Ywwt75JFHyA6Omw9yeHyMXxb7rzpojR0dHVFWpfDkAhVA2mSm0Y9THpSBbk+QM5RnYaEvouskpEkH72s8deMEMR6PTyBnfu6y5J7MhoiE++vwRqgmJhOVhLqqGI/H3Ll9hyTdZmNjncXFPiZQf+JaimhdEx9PCGHLxqxrSZ7LshIUsNeltjWtvANoplNBwOpqGtrCCQkpjhrnxWJTaRGUNijprmjP8ntX0dZSLC9wvLXKJx98zPFgyN17d9nePeTB9m7jJT2ZTJhOp6RpygsvvMDzLz3HcDRgdPuQ1dqK20KWCrKlpZuilGRycepYIXtTakpPr9fl8uVLDMYjDo+OGzAiT1OyVAYn67KUxNUFlFOB0kqcoMK6HI1GpKMxo9GIjz76iD/54z/m7bff5s6dO+zt7VEUBVeuXOHnf/5neWqhj/mD7zce5qjYlibo0olTjFeeXqeDVorxcNRwnmcvdaLQ/VFJ3Y/7+tyJXZxcOiE+Sjjo7Kxt44Gj4+NmSKGqqiZBUM0hGNLu0AqTaVOpiKvaMa1CYmgMidYYBYmTidpEJSTpzD+xZbqkeY4fO8rKBqja0e12hROnZgT9pu0XN5v1uNpRFRVV7UhMRruTkLVylDZoMryDuhKiLc6TakOtE6rColTgtlhZbCI0rVAmJfq8YQR5K6uaoigZDcekWcZ6mAzyStp0MlZvSPIMrQ1KV2Ay4XtZ8UxMMkHuPJKcudrKyL1STArRynn/g09I05Q879LttKmrkroWvl233SJtGZSRTWqUQRkv6t+mJklSrJ9ZoM0Sa0USRsczbRotunnts5gIzB968b/N4fc5kGQ5qHxTBUd9n1beIsvSkFBEdMKFQ0ChlQvijiffR5goMYkQ7ph3sUUon90YJYeHkgAeN19RlFR1TZbUwas3rHeih6UFnQqyqudab+GXdQ5bxwNJPufDU7FlZanq8lNIjtIz5OREEhDaM875oD8VDni8DC4b4Z7SWIjJz0jTDJXOAFVl4nOba6F6Ffa5Eg3Gh5KypjCa/0WsJptLlOSOOd/buVdMiIU+4THaB3FdQkCOqKluEAj5x/A0/cmgOHvOsw7C7O8U6xsbXH70Ed586y0ef+wxnnjiCW7dusX+/j79fp9zF87zT9t/yAN1wNQETS4/S+zizMPDbd5PoyKfHYQjOhA/1zwHT9aToFI+mf0c50TktqhKvFMUExeQupl/s27WW0xqo3WZk+Ewo1hdW+X3nvshZVbx5PtQf7DB7fY9Lu7t8tQzz9Bq5bz22mvs7+/z3PPPs3XmNE88/jhZK8cDDx48wBcF49bsGe7t7fH3/t7f45lnnvnUtQK89957jEajE38XE9IsE6/auq4ZHw2JEkTaM5PtUCdRf7nGEAPniozdnR0Oj8d02n3+7JU/o6oqPvnkI1ZWljh3eosPP/wQ7z3r66s8/vyzcOEc6sYtTn10jfc2FpsBOoAiTKw3k/rON5woF9AzlAz9aaDd6VLXBXVt5XywNVU5AiWDIVrLcEOr1Qr8WqENFb6QgTp9kocZKSBeSbxQhGI6MSL10V9gd++A0Xgs56S1JMY0nYVG1il2rrR4mXto2rkqFFuR4+6ca7QR8ywBDPgMj5ZCwVUYA0kCzuigHhD1/qJWqixAM5X7ZzstUJ7xaIS1lqPDQ4rqUGJc0Pus65per8fly5d55tlnuHH9Ou+/9x4XvvsDXtzepeq2uf2FZ7B1JTQs5vmCs3gjFyrwkEoNvX6fJMswSSp6dbZDK2uRGE2n0wocbEnANEI5SpP0xI8bDAa8/Sd/Qm0dxijeeustQJD9sizZ2NjgF3/xF/krv/SXKT7+cC6JrmmGYLScMbGL5ZzkIq1Wq7FC03NXg5rlUnxGTPnv+/r8rVilxfLFS0Uf22cSaAyoXDA471HTgtF0Sm9xAZOmTIuCJMkwWvTSbO2aBM+HnrRwcxTWeaoqIDSZQqUGBVQEC6c0ASsCi2Vh8S1I0hxUgbWyyawTQns3LDSnhCehlQGl0WlGqhO0sjhvSK1M+TmnodZkqoXSBuukKsmznDSQVxOTyKZ0iqIoZcNnKUmeYpWnrEsqaxm5kUhI6JTKWqZOUXlDgWY0mpAPx41vXVEUWGsDd0x4AXXlqSuRJEEZjBK0UwWo3hiFxVJT4sPwCd4ymU545Qc/5M1336ff69HKM7SCfq/L0uIirW7CwlKXs6fPsNJfCiPhBpUZrPM4baSd5X3gKKgGUSLo9CggTZImsfOhFJVALYMPn3r5IBFCVPAJ0LWLnMtwNDtBpKLMBUgSohMZXKhqQXQFsrZYV+G9IjFupgOoCEVEQI9Cm8QG1xTnol5fgPZRATkDSduQ69BekkhvZaMosYCJBH2FtMJANbYvhK/zzuJdzXAkwxNZlpGmYlfW6AySCHKoLEoFQ3AlosAoBSaR64mHeNz8eJxXMtgaS9fwI+O1ogweJxqTaCEdz/HVlA7vZeuQ0IncjKjxy89w+CC9E9B5nNgBekmawl8LiyFyJONHkseJcvE5hwCtXJArCFISITVthKmNCSTweG6EwygUjRG5DFEpXLR8bQ3UcxnYaFKwcfoMWbvN2QvnWVlc4Nnnn+OxK0+yvbuDMYajo8MTgTRqUBH0HGUiXsuk8UPI03zB+DBSPc+L8fEmAZG2MkM8PSaJE+ezz2B0QqfVpixKqnoSVAWkfVdbGYSxTriVYAKyqDA6IdEJg8ExRVkw6IxAgbGgrAEH16/dYOvUGX72L/08qyur3L59i9dff50P3n+fhcUF2t0OaZJQlhXnDw9h6eR1f+tb3+Jb3/rWp/f4j3j52DkpY2s18hVVw9OyzqGCrINyHrTsz3gfrRXPU0As0kYe7cBNIaPFmTNnOH/6LNNixMcff8TBwT4LC31ObW6iX3iBJzbWSW/c4vS9Xd6uHVNfkCSikgAmcHlraYcmGm1SkmTWJvVeqA42xI66lr2fphlgKSsZwGu1c/JWHqS8ZD8567B1JdabgRqi4GSxqAOX19VUtUerWtQTlIAg/f4C7XY7CCoLVzocCUH/D4K5FhqPVR6nHNY7UaVwEpdF0kj2eLQfnBbDgBjW5K2MVruDc8FSTXu8DqoEtce6EmqZ+vRAPhiw/sobANz/xlcoK8tkUgAJzotbkg5+wEnaYnl5heeee57NzQ0++vgjXvmzP8HXNT//8U2U99x/5AJ7ztKaFrTyjNTEPS7ahlFKROKogEVKa9Jcy7BFnlEUU7rdDtPxBKU1rbZMmKtSikjrZtCpMjOnmzRPsEPL7Ts3abfaDTC1srLCz/7sz3Lu3DlefPFFirLigw8/5qKTeyuOTTWJaZHoZI7dIjzExCR02m2ODw7w1kKS4nwt4vmAVeKYpDVob1FOaGgQO2A/Pmr3YyR2quEHxGmPGbQsi88jujx5u8so8AvSPGMwmmBdRatl8NYTj/iYu6pweBhtyLMW03FJUVUkJkMlshhdLQrfOA1WY5IUV06ok5gpJzgnVS1KeCaVNSgVPAgJC1wZ0jRH5waXeXSSo9O84eEZlZAoOXAnRSnTM2GaUodAbYyh0+mQJRmToqCuatCysPKsDVUJakrtXFAP0ZIwaY8zCcW0pKgsaSbkzdF4GriJmbSAjQ+BBnzkGTbNSi9oihIeIj6VRER76toBCcPJmIPjHVKzT5rIqHgrCwbIpkYbuHDuHC+/9BJnTp0KfA0JtD7yxLyXdpC1iCinxVU10+GYsiwbQ+9oASbSItKSDWpkggb5Wfs7elbI2vGNwKUIR9vGS1G+NxyEIcFzvgblpJWsox9vqEqJ7UgXEoVZ+ui9x3ktqDJw0gYtZAQhmSTyN0O7VWsXkihPVc94TfEwt85Lm3au5Rhb1zFgp1kCymNSMxMJdYLKKDebexX0V25OwMKx1jfip9GNJf6SbSfJVUS4QpMCrRNwFhs0bVQiFbx1Dke0j5NnNS1kUElZWV+JSVAmkWdgYityJiPjXUweaRL0KFGiwu+jDp0MrinRA2tiiBzsIoYscipGu4bH6b09wVtUSmGDrleA0uI7hc+kZwmgV3g/KyqmRcHi0hLToqS2VrxQBwNMnnLq7Gkm4wmvv/k6xTOCODRSDt5Tl0GvywcxbdImOYuvTw+G0CCxTVybRdCQ6AUnFBtbx57MW7yb/WzvBG59JQAA9ptJREFUPHmWiYNILfs1CSLik8mUsqwo6zBlqWxwzJDksgro/2Q05Y9Of59Xnn6TrICv/k6H4fnzPLmyytFgyMcffYJzjscefYzz586xt7/HBx+8zztvvx1aQ5JY2cEDpr8KPA1L/03K4p/l4cBP8E54q1UtKgJGa1zm2fnfH+OWPV/78AVeuHWFRy+cod1uUZZFmO51aKMa2gAghPLZHUCpk/fUN1aWkmiv9Nf4yk98nZe//FU2T22yemqNyfiISTliPB0wGhyLS0KayYBdkDFyHuraY7zHO4vRliSdO4eo0VoO2dpG54lIH5BugnOukSsxRv7d2oppMcV6S5ZnIQHRYRDCB6HyktLNrK6MjzxX2Uw1DmyND3HQlHWj6CDFg/ihxpjpFNK+B6rAL9Vak6hEqBmK4HsrhPEkkeelg5uLFAKaaTESeoJJSPMV0rSNUqE7giDBWE9RTsArTFKTpZn4rRZF0x2Y1DVHB8dh4LDH1ukztLpdWh1BvPCKRx55lCRJuXr1Kn/+3e+iPXz5Sy/zzB98H4DDzTUK7/CTiWQH6cz2q7lXKGzzvDxG+cARN+SkaC3dPmcttXNMp6MA/oQJYqwMDCpPMj+Q026xdWqDPE+xzjZDVl/72td49tlnSZKEDz74gD/49h9ib1znmw/te1Fy0JiQsDvngkhyRjvPuXnjJuPRmOWVVZwS6pg3Guc1LvQbpO8QU/RQKccq98d4ff7E7qGKdJ77olABrZYP0MpbKAVVVdPrLTAeF4xGY9EFUoFLRGzPAugmsWq1UopWyWQyJVqViFWHnb0/MYCK6r4Q/WdTnvJ1hJFyZpUfDp3MfNd0oiCXf6vmyIzhJ1DXAh0TrKCsdVg1k6hI0hRdV9LuLAtBltIUHeRNxRpHOIkmTPmKe4X4psY2ykyRWxwEvOhlztAKZocZAdXUKgwOaC9JkJfJUA20TI8892RBIDpJTLCviZNAJbdv32Wxu8BibxGXZRilyNOMuJbkoIxBxOKdWJ+II4ZjNBriXE2et4gG2RCJa4HL5uNnju010xx8kQMS77mM7kdXi0ZZuRGwje095SHRiQRapfHGBIeCT4vVSgLmcaKeLcgUSpBHL8iADyicJHM+oGazxCMGk/i559EYFRJA58AGn11pVSRilJ0ktNpdWm2a63LOo50PHDMR3YzOD97TtEvquubm3QcsLC6R5TIoYr18JlT0uQxk42ARpLWmrixDO2Y6GjMZjRq+aUTqWq0WaZKI6r1WODR17Zi1TYWjleU5WsmhTaQxIGiJUglClBXErdlzYedERLNBq5DY5PAipI1qgvX8gIQEshlJXvZGLaTnkMGKLM9DE3+xlYVwI+OrLAo++uBDVldW+Pijj7l17RPu3rvL4soyXsF0MuHa1es8/vpZbp9+wDunr3KcjeiOc9Hj9PH9wNcar1wTH5rBiHCBD3N3T8bMeUUBmrUZuY9V5XHVzKpPhZ+f5znpdEpZVWHgZmYPJ2gLRJe1eTs+kySsrK9w8/IOVVrzxVdg45+vsfNkm/Mb62xuncZ5zyeffML9O3e4cuVJ1tfWcc6S5xllWWCMYaG/iN59k4+/CWoMm//vFp2FPFxbhq1zQIroohBBetuy7P3qAHfGc/r313jqlQtE4e00DdPsyqOUPdFOzvNshjwH/GnubmCtayaCFYqF/gLtdoe7d+9y7/49Ll4+z8Jih9WtdVazVarJuNFxLKZT3MY6AMlgyHB/H93Km+EvjwxJCeIs8VIhe0loISrs14CQqhAH/QxF9N7KmqmgLKckRrhd3kuCWFclthbUzAbpEzkPxAGjWRd+NiwQBxTSNCVJU2mnehv0AEMCakLiqMQhp91uk4S9ixeSfllMsGUpxP0kpZW3EQcmsbJTtRLNtsEeWZ7R6XSahLIO/ubWWoaDIQR7sm63i9YJa99/D1OUTJf67J4/DRjOn79Ar7vA1ukzLK2usr55CucchwdHFEXJd77zHd599136vR5f+dIXOXdqizyTz2KShCzLQ+dC+KNRJkfam3K/Yps5trWbQZew95LE0G63GY5G7B8csLS0BPjmXttQPMxPmSfG0M1SEqPROuPFF1/kS1/6EqdPn+b999/ngw8+4JVXXuHdD97jF9bWUd5TJoZpnjYxodEknKPVoGBzc5Mb128Ikhy07LwX6aomlimF0aCVI9K8Yjrif8zM7vNz7ObabvPBa8YhiRW2HDTtdgepIg3tdofBYCj/Pgctzgfnpu0GdDodhsPRjCyvlExquiDHEFoRaOHajcdDiqIKh6zDOxO0yNyJ4GFr0UHT2gR+myBm0dx3fuIs4iYiuCxXqJ3cfDngpaKtraWqK5TXFEZjwiEUkyNvA8cB8XxLzOwArmsHXoviOKIIXtcOjZ19f3Ov9exzORFnNEqH4CFohfMBdwtkdCH2B7uwgGt550FnWKu4c+cBuxcOOLd1Shw2HODmZDlsjaD+nsQY0szQacvo+mQ6CYiNDIXI5rMxKwxJtiZ61yoUtpr5zsb73ByUKKIMu2uSAS+IHYGfGaBtY+LEoQRa0bmbbayHh2QEhbOzRFP6wA3i7MK8Y0RsnJN2TJKIewc+VuwnOWNaS2CXABRRRC26YlbhfJj08gprK4xJZkmJUjMHD+/mWpuKsqo5PBjwJ3/8Cl5pWu02KCX6WYF/lyQJeaslyY42oo+khfw9nU4ZDQYcHx2jlKBwAEmasHVqi7W1VdbXFllaWqTb7ckat3bWajcZXnnKWngxrq5l/WqxP0oUKD/T85PDcS4WzANbsciGQOWoMToWd8yeUSgIlAnVjvxL0LmM+nmENrOfzaz4mBDLM6znErt2q814PGZ/b5+lxUV+uL3NH3/nj1haXaW0MuGeJymr2wsA7PYOKU1Fx8shE4nnWp9M3OaTuocTtpNt3dmaiVPNfu7aYnFrraMsZwM28SCNulpuPGI0GjUFtAxyBMRSyR7KskwKTa1x1jL0I9546mMAfv5fGt5MctKdHba3d7hw8RKXLj/CmdOn+fD99/ne974v0juJ2C1euHCJra1T1JVl93hW7ClvRLHAmEbYPBb5jefmXEwX152SLJNKVc2thShRlSQJeT6TToo/Y7Y+4pkz+7uqrnnv/ffYPRyQZjkrK8u0e226/Zzl1QV0CnmasL62xvLSMpcvXeL4Z3+KS9/6PR6784De4ZD9RaF2uJYUBTjVrC8ZRvEhqYAojyQSWXVzLc77IAqvRFsyT7GuZlqMydKMLM0C1UT0Wa1TTMYy2d9qtahrUZDwPglr5yT/Ng7JlKXFmDK0rUEpFxJKkSFx3oERm0ytjGhthhgsSgYlk+EQax3tdgvX97RabaCgrl1zBt6/f5/Dw0POnDnD2toam5ub4XNJ+7koKlqtNnneoq4dt259wvLbH6Kto8xSdoJoc6fTQ2vDzs4Og8mE4XhCq9Xi3t37vPHGG3z3u9/j4sWL/PRPf50zp04xOR6cKMqF6ygxV87EuvF4nxkMhDaxV816quu64aAabWi3c6ZlwWQy5ejoiFa7HfTwPIkWmZGI2HnvODjYZ9jK2dzY5Nz5i3zlK1+hrmv+xb/4F/zu7/4uw+GQTqfDc88+xy9fv0tiHffXlrhxep2MWY7UJHhzg5wXLlzg2tVr3Lx5i43N0w0vUvZ6HfaRCsijAy25ko7OJEFi6vO+fuzEbj6oxd+fEJdUHjCkwZVBKrW02bTziIdskBkPRZAf1SiVj8eTsPmNAJRhsZuExljde8t0OqEoReA4onRJbahrHzLeGfKVJHIzy7LEaAlG0cszTp5Za0mzMCYd0xcXSa2pwNPWAcJHq+oarBxuQrgV2xjtBQqXtpnopxmlSUzCdDplOpk2IsAgQxoK4RFED7uo7xfvVfSM8yokMz5qjkkl5b0K03QK7w3CH5HJYoUOiYEEjNG45M7dB2xtnCJRohhuVJDNCAlLXVbBls3g85x+r4/zlnokG03aZLM23GzxqRB0wuHvNbgZsjDvQBGfY/ze2jZU8xNIXRTgFIcEYfQp77FB+TxuqBgkXHg2NsgKJCZFNXiqsFHm3RlARu1jwAYt/qTMJqvnhXS9l+fgkWcoOa3DWjnArLfhucckIfBBkgyFElkc5fHURAP0upLk8OBwwN7+gPFkKsMQShwjol5dzE9lb9Ko40uyIMifDRyaWaIEt+4+IE0SFpc6rK+tsbG+wcrqCkuLS6yurtLu57RbGa6eMq5GeDRlLVyzxACpCdY+M7mUmNSh5Bl5Ew4o2fDN4IF1NbYuSY0KSvazQio+Mx04uzom8sqLxZJ1of2rEdqNIIbW1qFtIY/QzCUWaZKwtrLC2soKj1y8SK4d125cZ21zg4XlJfIso53l+M3vN9/TTOaHZBYVie4OHQVOH/oVXw//Of6s+a+dR/kiwZpoARNekftkjKHdaVPYmtFkTBU0QIXyYoKIr+jYZVkWOHgi43A4OZpV+eHZ1LZmMBjw8ccVe/t7PPrIY7z00hfY3t7m3Xff4eBgn1Yrpd/vU1UV2/e3WRgcN/tZKUVV1Q3yHl9KyfO0Yegq/lNM3GDmUhALzKKYYG1Nv79AEr3C53+mjjqTEO26mvvsRGT7iSeeYGFhkcl0wrSacO/uXYbjI/qLHc6dO8vW1ha2tuzv75NOp/Eq2FzfYOyduMxYLzNQgUPnNdjaUxJEp53Ib2llGIzGFOW08RpX6NBRgDxrk6YZk+mYugq0IcQSEVRTjI3HRxwdHdFut1laWiLPW3MDDdK9AU9ZVGF/SXvO2jghLlOpSjm0Ye4eSfI1Ho4YD0cNIm6dIzUZpUkZDo8Cn9vR71tROnAWvGjP9vt9jo6OeP3111leXuaLX/wiy8tLAWWUJKqqLHU9xjnHwfYu+v5O86x3dvY5HE9AJ/K1wQ83a7VIkpThYMStW7dYXFzk2Wef5fTp09RFyfK3/oB8ZxdnNJO15bD+Hd4AiZFJ3brCeYs24qNutEZpF3ILeXZxfTkn61CSREWrnVPXQcs23D+tNK6OTlmyd8tiyuLGOi+99BJPPf08x8fH/M7v/A7f/e53OTw8ZH19nStXrvALf+Uvs/WP/kVzanjiYMps8GW+he6ca6wMB8fHIvXSaqF8mAmI1A3knI5C1eGxxu03XzP9ha/PndgVQWz4BMQYXi5YZskhKAvRB/9R5xRpks64WA+1Z+LP8o3SuCAl7XY7yH3YxtzcGyHAe8T41+FRwfTX1gE2dz6gJ3KjfGOsLO0252KCKChbrI5iRRCTvFRlgjz5eg7ZAefDgeI8IcPAB8hbAYnstlkgCtcrgyaCu6VJwrSUdrO0pzXGpKEl68BXAQ6Oiv+e2LIWrbI5sdPAMbJKiRag13GpSarg/WwSR4F3CjBSLVjPcDihrMSuS1tpgRijG09RQovBu5rCizzBdDplOpWkNM1SWnlLLOa8mz37eM+iCDIaQ9LYIHW73UaFXBTzy3B9oL2jClIdodmH0dGoO8phBMFdGzTdwv2SlrmdHdBVRVFWOC9EaaOSxnjbaBPun0xBGZOgdEJZQm0rSdLC9O1ntdwE1W0etKz80F4TdMUF9EqFf/PUhbh42NoyHU/ASwvaBaQ0TVsoEq7fuEVdgzKtYIMTE8kErUVU1buQ4PnZupZ9FaOAtL1igoWSCe7aW6qDY/YPh3zw8TWyLKfX67K2ts7KyjKXzp/j9MYqrbxDlnVpZVUzNKPClc4QUtW0wmPSHZF7fJNazNC8oFE4P1xQ13WTzCRJijFJQ1uILaWmnakQaaSGhuFC60aBk/vaxCUrgfbcmbPkrZxOu00ry+i0W3RaLRYXFqQdb20oIKCoCuo6IxZbsT0/my48mYDMitSTid18Euca6ZcQC06gdnKQn5genrsvWkr44MQjRZQEeRWGyCSpb7VaTbFUWyvDTU0rGKq6Ig3J42g0ZDQesbu7zycfXW0QkLquOTiY8N1Xvgc4Lh8MeOX/KIndkx9eZHHqOXSHAcU6eQ/iuhNKyyxxlQRernXGm9O4NKfV6ghPq5aYMTu4fOBthusNEPC83Mljjz/GV7/6VZ559jmUVowmA3b3H5BmGpPB1qlN1jc3KUZjrLVMV6KvJ/R6fRbx7O3vcbB/2NhNOVfjtQuDTiJ7pUJBbq1jOqmYFAWdjlBbmjXvQamExCTkuQAFCoktWkvM0zhSZei0O5K0odBKkE87d65WpRXEfTQiz/Og92cwmUErg3U13vpmSEwnmkSaAihkX1jrqEMrT2lNYlKWlpbpdDoB0Y5dDGHcOqTt3+/3myR9d3eXGzdu0Om0w3MUhYbj432c8yx0evzVD+/whdt7eGCwscrR0ZA72zt0+33hsQd3oOF4TFXVTCcFnU6HjY1TJEnCgwfbtIzmyr/7NqasePDTX2Xvmz+DPz6kqko5spW4V2mTM5lMqCpCV8VhDLQ77TAFHjshOpxbgvZJB5HQspXumnBmBWyY2bDJgOFXfuKrPPfcc4wmJb/7u/+ON998E2MMS0tLDIdDzp47x6lTp040RtUcMKC0dGJmvMiQ3HlBSyejcUCxs9l0cfz+WJ0GNB8+3RH4vK/PndhFUdXPfM0VhhLoYqUqlktJYhrUbpbYfVpJOZJClZZDOAY3Y2RqysQeT0w6QgswwuLy/hG9Cjp582T5EHjlWoDAm5tvLce2rAuwi7OWynnRF1IaF5E054O+j28M1BtVfzNDgkSSaZbQSkvLBJ2jSUhkk6bt45yjckKmFtuuWKnNuH8+JhoOomSMIDNq7iAP6JEXoqgnkNeVtAPxoo23f3DMYDiis75GVU5E/FEBEQ1ITDCblmuYFMEU3CBWWjhKW2K9xejoYKHDs7PYOjoUKIxPGY/HDaTd7XbFzihNwwYM1xJ4ZtFvMbae0A68oJLOijdubavARUlEuDkkw/MFSFOpeY/3NQ6N8h7jlVSFWLwXX1pjFGmaQFjDkerTUAXUnK6fcziVSLIfn0ztGr6XIvAgY8sSj1c1Nnxmk8qwjklkwtzoBO81dQXLK+v0F3bZPzxG0BIT2r4+CBBbVDLjZYVtMUOZQiIVs7GIqsY6RzlBFJ33lPWE4+GI+9u7GK157YevsbmyzNnTZzh/7hynT59hZXmVbqfDZDzh8HgfR+BIacEaYzLnERSTmMgFfqRDuIRxIjDey8gXK4Njh61FyoEsQ2fC58KFSVqCkDXiBFIVJdZ5Up3gldAi5l95llGVJffu3cPZitd++CpvvPUGTsHC0iKLi4tor9ize3SfyBifrvjek+/yl7/35TmEKPAhsQ3yOb++4nXMJ3fzbdoG2T2R0EUJJknslILazoqHJHB0iqJAJSZYxjED9uKN1qrRkJQ1mTTFaZInc5/RU5YFibesrCzT7fdZW1vHOcXoaAxKiWbd6iqtVkZViTzJ0uAd9rbk+a3Wy+RpyYE/CLFqTntw7r8mie/rpXUfhOLl1yyhTVL5OqHAzO5p/N4oEO2s3P+YJACkScry8jKvvfYa9x9sc+78OR574hG+8PLLonRgZG3ube9QlyIl5Mo4IAN1WdFe6NPtdCmKCcPjIc5a0jTBUpG3WuIUoYR75x1UrgQMadJBqxzImmRfa4WtBUFSiHxIHC7wrqIqJ7jakbY69PsLpGnWtNpjQRaHI0Tjb8p4PMV7yLI8FF49siyjLKeU5bQZ7PCOkNQEgMTJGSD8dDl/vBNKizEi3F8HikVVlSSJaZDeyWRCURQsLi4Gv+Ept27dptfrsLCwQLfbpa6kg6TKmqfe/gTjPXcvn+eP/to3sYcHpElGr7fA8vIyaZpRYSnKkp2dXSbjKc8++xwbG5tsb29z//591hYXmrVTL/RQWcwTOLEH8zyjrsWa0VpLUUwllvua6GertHTEZMDF4qysA4+m3RbpGmmdC9IZtfLiHl5ZWSZLE65evcoPX3sblOJv/+2/zZkzZxiPx1y/fp0vfelLpGnKKOg0ShvehEQ78PXrSsCRcBZFHl+n02XUGp2IE3KexOnomOTp5r+x1fzjvn6sVmwDO3o/1+6TJxAlLoSX5gNqIjdS62RG4G5i7ywzjYhDw3aqo0itxTrx5rNepBtqJ5MuEa5NjOTLYs0BJhHNrhgIInzdvGv8ubVG+SD1MBeYXUDv6rpu+Gu1lUk51SRgslmqkMgZrfGJaVCDSDTXMQP3TqDfINugVUzuHNNpCZRz3LDwGcP488yTd/ZvOnhiykh0TWzBzp5VvJPC97LOw9yBihPtQOU9x4MBu7t7nNpYF0FO5YICeZSjmPGAnKtFFDpU4Q3cbwXVSzRkWZxiEnK/VlbkU5zCIBwJOcRnqFr8zDqIbIo1VkCiwgFpwyiw98KxlGcJGiOTgdaRhm+Sali8io0WkrBXYdOHwBSOJaL0h3UeSkuthQsWIXqlpLKOn0MGfNoYoymritKpMI0ZDp3ofxkgS69Dq9HFwyshSWTTpiYjz9pi0eMtCkNZWmytSNMu93cGHB5/KC0IpUAbmWINid58nSVB3ofKPWJBseWMJPxhy3kFtROemwrTqC4Eu9I6/GTKtRu3uXXrLm+/8x6nt05z7uxZzp09R5ImeCpanZxOp4MyGu2CPJEPSED8LA1KF56JEaRYW2m3GpOcSAzm+ZcSP2xo884SqSg3E5Fahch+SAwRWYn4WlxcpN/rUZcVRTGh0+2wvLzMg51tPvnkE9qtNo8/8ghrrWWyImGkSo67oyCpEnmysk5sWFvzAXn+18Ot2XkOcsyuHy6M54d8sB7jZFUmHelwFEWBLwQ9BoJgrm+iZxRqLcqK/f0DHntMJg6981gTigsHyorwbFGUeERRYDwekyYt1tbXBYEvphweTphOx2SByH727D3efgaMM/ylq1/jB/l3mzgEoIjXOIur/aUeRh9QE+9R2Nvzl67kOcZzYh7x03NyRb4hxMs3RZ02D0wmY46OD7l7/x5//r1XOH/xHE89/QSnTq8zGB/hbM3uzg5ayRDT6Vu3OB/evihFCL7b7ZKmYt4+mUzxPqeopuztH+I9LC+nVJXFBb5dmmR4X2NrTxX4xCpwEZwVeSRlkuACkYLXwVqqBbqW4ae4D4xIbBVlKTFLaZnEDc4IWZYFxFDWjg1TvWmaUdsaFVCr2MLXfsbtArC2FKkWK3qrJujezcCXWWGljMT0M2fOsLe3F9CwgP7WFfv7+8GGU7iExmh0NSuibjx2kR0vSOfTzzzLarD1KsqS3cM9dnb3ydKMp59+iq9//acwOuH27du8e/06vVbOV6ZT+sBkOmU4HDY8WZOIZirAwf4BWinyVgtPAA1ciZ4osiQVzdt5L1grlJWqqnAeev0+VW0pyxmf3phEHJ7Cqtrf2+X111+j1e7R7nQ5f/4ip06dYn19g82tLV586QscHOzz6quv8sj+frNmszQNswNB6SFII0VueTxDO50OrbzVxDpjEqJQpnMnJY8ivWRGp+DHen3uxI4kWJ2gZTTfiXaOiwmmn1fSl/HvNEuobSWoSiA1RrRONnDITK0WBCFUaUbLpGO33aH2FSZVUEsmXLuMshK+knOQmoRWq41q6ablEVtEsV3XtIW8D9OEyDUoAhrgmjxZK4XyvtGFS9BUthRkzM21TLwPfpuO1AgCIlpkgR+jPalxuMRRVRaPlQNDKUg87VwzmVRUxRSnNFmWShsLK5/EazTSLhTbLN84ZXpU4KHVQVjEgzKBdxcTT6iUaP+gNQoDXpE6JwmtErh+UsOdnXtcLs/TzXOMVbg6SD0IBESS5IDoryhvSYKgb0zO5fCWZNdWDqMcSWowSpMGcUtnQTmZistzSX5im8Za8WXURqZiBd1RoQIDlA6oIeGMVEFzLRH9NCckU2WFq5dqg9dKBKOVR6fBhsu6YNmjREvR17K5fJhg9uAqsZqLKAIelE4xCpy3ROHpLM+prUf7Koj9hvU8J/ESGlLN5/bGY3ScnpV2cGrkHoopi2Za1BwcHrO9vc9gPMRrR1lOmwm1LDVNMMBHH11BexXCEVTIl4g6vNyv8CVhbXiUTgVh1JI4ufD3Dg/eok1N5T3TYcHBtSM+uXuV3rs9mao1mqWlZS5dlMCXhGQ2z3PwUE3r0Mqfa00YSfRdVeFRGJXKmvUWrROyJPAXtcYBlZdDKU3TBiV3TlC/ysl6wUibNP7ZeweubELWzv17vHvwBvvb23R7HfJWh2efe47HpgV37t5Fa8XWqVMcHB5y6VtrHPzPbvK9x9/jl/78q/QmWRPTALxVNGLO+FCgnkzs5l8n/3zy39Tc3gGFcppsCD/51nP8d1/9Ew7+hxWXfjdj9ED0xbwFbTWpNlQ+UDO8b6SjisrxwYdXeeLK0/T7y1hX8//rfYejfMDGNmTf6VMnLRKrGI8mFJOK3e09xpOpFDlBdiSip+1Wi7W1NfSGpWhBUkM+ToKQtPAajVGCGvvAC/OKdprxzFNPczXfpiAMs9kS09iBiTKBB2nHP5QUz+g9sodiIYf3gUIiL+tqhtMh59cv89Kll/Decf/BHf78e3+KSRSdTosvfelLXLxwkfWNDaqypH0wmD0NrbDag9ZkSYuldAVrxY3h8GhAUXtx6tFSvFnrKaYVk3GBs7Xcq9SRppnYXIbQ5Kx0ATAGbzU1IrVkHYynJdZKTPPez4TQY1KLw3gZQNMGcp2QZTJEUNVTGMlZlmQJGAMuxVVCPdJoXGKlk4PsH5UkTAvhiydak0MoBoX245xq4obz0qVY6HRJ8xbldIqzItXRauWMp8fUtWU6HZOmOSYxmDBsAjLMMi3EdtFWFaPBMThxQhkcDWgnKc8/9TQLi4sc7e0xmU7ptjIeuXQBf/UarcGQGvijoz0evPcerVaLXq9Lr79EkqaiFVc7JkUha14F7rr3VNQM7ZCMBJckVM5ikgTnDbX3jAtxAGl3+6SptNiFny/esbPhSs/R8QFqsEK70yHPEj788EP+8A//COs8zzz3HM8++wzf+8Gf8847b/H35hC7JNMh1kkrRPJthfIGaxWuVuANvc4Cu8k+VV3jw2CjIH6BtqNigS2FkG0A99jl/PzZ3Y/lPAGhL210HCKSjNKroHQRtbzkQ8xXtw9bAzXTL4jQqnO1TH44aR9qrel0OpSuQBtFkoqmU1MuokKVk4go5xynJE7RuJCwzVfK0sJUQpK1gTsTsvzaz1q1SZKENlIgRlqLnQtEToA4QRPCNUdjdPm9B+ckMUkEUtVaAjEesiylLESMMto+GS18gFihhssU4+U5AVilFbqWNoZv7q8K2nZS3QlyI8lgBHZjS1LjcUraZEVZcu/BPQ6ODkmWlnDWYLR8rfWemcDA/FSq8BhcHHjwnLjPEYWLXDdJtk2oHudQSWsb1C9OQOngG6ON8ENiqR/5kDg3OwScD76tOsg++ODgIa3wygZ5AlfjdeyHhfEJ5cO6CQMnNooe03jQKqUaJfhIZPYIWl1bG8j8EZGiebbN+gxJb3yOGoVOE5yR4QPtg5AxPnCoHNbWjMYjrl2/xp07Nzk+PiTPclxdi4Boux84LylVVTOZ1FSlIL4mTQSJ9LHlGRPMwLl0guGhNY2hvfPhs8lH19K0xgWEXilF6RzlZMKoLASNQHH95l0++uQ6i4uLQX+xJbw4rfFVwerqKpcuXRJRVa1RiezR1KTNMyydp3ZerMxMgmqmnQX9i/c4ysj4WMQ0Lx3xSFmDSpF4Q2INtbYcVIf84O0f0Ov1WVjos3FqjXPnz3L58lk2NzfZ3d1jMDjmYP+A9ff7tEYZg/aYa1v3eObqhRBQbUAfNXEAqqFVzM7lvyCxCx/wR/27B+M1X3/1Ob7z3GscPTai/o8zTv2rU+ztHqAqh1eayjsSZQI66gI7VEj3o8mE+/e3ObWxSe1KPrx8C6c9L3xXcWOa49MgiYMQu9vtNmfO9tAm4fj4mJ2dneYZVlXFYreLWwzT1HVCMS4adC16KjfPQqo6ut0OG+sbTRwuy4LhcCCtycSE7wmFp06JOqYP8xKVikmdD+49J++gUopJMebjq5/Q6XZ54YUXePnLLzGZDvn93/9dqqpidXWV8+fPk+W5KBHcuN3cbhU0bOLezPKMNG3Tbndod3oURUGWmROoq9ZGkEwvKHMcAGtoLYFb2xS4YeCqKivKssI5z7QsGh6wVmqOtyrxRcSLVeOwI1ImjrKsmFZTiqIk67aEAhO0HXXodpnwOWPEcd5jpfmFd1YAAEUz0OjDuSb5s4PA50zTlCSIF+sAwCwsLlBXEVEKA2rMps9RiuFwyIMHDxiPxywtLZFlGe12m3Nnz9NbXMR7z83bt3jrzTfJWy3OnTvH1tYW5bsf0R+OOTaaf3O4R/bGW6ytrXPq1CkOjg5JE81yvx+KP5nwd3iZjkcs36aTkr36kLyVk7ZylBGaDEpTVTX37z8gz1tsbp6i1WohNWNJ5M/GxRVj/rSYMp7usr29x+B4wu17D7hz7z6vvPIKw8mQK08+xuLBGLiB0opWaKlrLWtcrB7FaswFDV0dNDuTxEhCiQ9tYFnropMbeKhh8lIReLmxnPxUTPnRrx97KjZqJc2/Goi8EXOcbcIYCJIkaSDQeLD7EB0j2TCievH7jDGBP+NIk0QGFFSYiFEzsWCI7cCTCUUUwI2wMnOfyVobbFhOTqB4JKNP01SUu61ryN11XZNlQtR01lNWdXMd85PCEHlhHqM0OhGOnHDxwPuKxIiPqdUOnLSAtdEkWof72dxBxJNPEioTkgkJA8I/iXwBawX5kc07mxSdcVxCujj3F8poptOpVFvOoa3c39DPletworNXh3tWlsJvM4lUlDFvlkOQhjNSFGUzyg0a5Q3tVjtUVE6SFaebey8abSFg6lgBxfUXAVZPbSuqUiY1RVMwbZ5BtK2ZH4RxRpJY+VEa5eQakzQJnI3w+UILKKjwBjTRhU0YtJOUTDL5Mkhr+MBjCUiXdN6UTAGrhxOROQzP+8aRQRtBJobDY95//xM++eQWBwcDsjRKrjhSozm1tcX58xe4fPkSZ8+cYzye8PHHHzOdTkWQu6opy4L9/X3G43Gz1uO9qWshD8sEeCIxRfpJuPhJFTKcgCHyBOWghaqWZEt7jUZzPJiytz9Aa02WZ3ItWuFtSafd4drNu6ysLNPr9ej1+oJK4+h3WqyuLNNutQAHVXh/7xrjb5ADx0YUMSQB2qvAlQxBUQlmLROimrO7p/hr3/46v/0z3+HG/3nA5Dctp/7bMYPBkOPhMYPBkBvXb7GxscFgMGRnZ4+yqDl9b4Mrbxe89uWP+b0Xv8+TN86SOAm6xBRgrnKOnYdYxP3Fid3JfzvRfvSCXi0cdvnie1f4gy/9gBu/dsBPffhzvP3Gu9y7v0Pk5kqMC5wcbTBK2lKT8YQ7d+7wwnPPsXO4x+3zDwB4+lXNGyYP3qlp3GkURUGa5WS5bhK2JElotVosLS3xBZPx2//RLgBXPrnM4n5PJFfiZldzIQppIbbyFq21Ft6I+HaryppWIj7GrVDczr3UiQz5s+9RuNsA5HnO8889z+rGFouLSxweHtDttWi1Er75zV/g+vVrvPLKK/zgBz9gbW2NRx55hEfnEP8oOSSooJP9GuJ2kgiPznk5kCVRkwEdmY6dgRJxAv/hyed5PbVII4jDgxAHrARRl/MvghTzvrJz60Nral9RVAV26oMTj3in6lBLujCN7xsBb9F8E0Q4oJ4+8tAjh1n47K4Wuk5VlkHOSNaEUZqqAh3O0F6vJ90nD+sP7pNPCqo84/iRC6wu90nTtAFV8jyn1Wqztr7OpCh4/fXXef/DD3DO8eJLL3Hq1CnOnD7NylsfAvBn/RaH7TZLZcUPfvAqvV6XvNOi1cpYWVjkyUcvs7a6SprmYBS5pgFuJoMhIuguNGxtUrJEUZQl3W6PtbV1sVQMa1G8fFUY0AixJuzpVqtFK2+xsrbF1772dTrtBYaTKX/6Z3/Ge++/y8ryCt/4xjfp3/rHzfr9VG6BiO07p/BG4UhkgDRPRAzb1ijvyAK/cVqU+Ei3MDKj0GwzHbVC/Yn99he9/nsndvOBq0EtHmpJxK+bnw5Bi0dp8+e5r4lJGszItD5w6qLrgyJMRzb6ZzRJ1WyK1DVVPw8Fh/nqMDCCgpxEkDWwlmlZopMEZRS1E/9a5z3aetAJuZZDb14352SCJ4e61oK2KSNG54I+eKxx2FRI81QuTDlF1EvP2pxNMhKvQYK5VpHAH/SkALyiUjW+9sF7cSaiq0IoVRFaizdOSbAsqpJJMQ2itKGCwzfEeGctZV0xnRRUVS3epkCv3xUxzyZPlApQB8RLtJIISbGlrizjYkLmsrCOJBGLyb1SoJ0BlYYBjpB8hkMkBt+msgcZgw8WchFtBRrx0eYehHa2d7JBYhJYVTXezaD0OIwTE0kZk7fSvvUyvTutPGmjvRXhBKn+bBiCmU+o53fk/D6RQe04GOE4Ojpkd2+H3d0dtM7Y2tpkdW2FJDF0O10uXrrE6dNbLC4uopRhZWWRzc1V8AROiQwh3L17l53tneb5ombcv/v37nPjxk3G00KKjID6KB919KT4cGqmU9fYPykdAD4jAygISqxMggyjCqLlnGYwnjK9fY9bdx8APkgApCQGlhe7XLxwntNbW/R7vcCTDfeF2fSpClwVj8OGpavntQhD+9uH36MgMxnffOOraGP4rZ/699z8zwaUXcu5/0pR7E4ZDIYYY1hb22ZhYQHnYHV1HYVn69aY1778MTfXt7GJJ3epzM64ucSjiSGfbr8+HPdOfv2nv2b2exqy+1MfX+SPXnyNw/Uh9nnDxcOLHB2NGeztorMs3F+Z1NdKB5UAMXa/ceMmw8GwWfsx7mVpTrqwFJxiBGUajYYcHBxSlEUzkWytTGSe39yEtdu883RYEPctOw92OD4+bmLdbM3HLoZIT7z/1Ccc9Qb0xx1evvo0rVZGolXDhVZIwLLKBJ+aEI7CI20SQTXjKTbnR0DvnXUNT1cpRbvT4caN6+zv73D23BkWFxd57LHH2NnZoSgKXn31VQ6v3+Jnwns1HYxwPCjniRqOLnC3o0A5zpEYw3Q6piqFGpAkyYn7O3ueglz6wA2NfxZx+Nh6RvYSYi8WgYqoSzqfIMZYkec5edbCI9ZTdUDrpBAOZ2BwwvBBI1JmbHQ4Ky0muC7EYrUZ8HGizScahR6nBR2WrpTFupoUE56zkc4aipW9I9KyYtLrcHdRXIjW1tYaDcY4nPHaa69x78ED7t27h1dw5coVXnrpJbIsYzKdkgQwJm13eOSxx9jY2CBJUm7cuInTQbLMDZlMLcbkKC2InVjseWxZMRpP6bbaWFdQK/BaVDOSVAqVzc1TVFXJ8fFgLsEOWrRzsdkYzdbWFs8+/yJbpy+iVMre3hHPP36F5194kT//3nepbcmFCxcbHqBChSGVoM3pdUDrLE4Z+a+vUTohz9PgPiRrQwb1UqaFD+1hGhcUmJ0TJ/m6n+/1uRO7ON0RE7B5hKrxkI2bsklEZou/0Wzysq1mSaBqLmI+8xVOURBGVdJGyEyCq2zjLDTTgZold/PoWdMi87PJo/h54vsrpcTOJt5EQvXjbMNPI4xHA9TO0UoSVFDkng/i8xpnRiSkBY0MfnZResToIFastCRSWhSnEx0bYYSUM0CzapaMghUBw7ggjaAWznrxcUZReYiSI3HUWzfJlwq9iLCklQjfHh4foZMEccgJIpwI19B6h0oMSZpRFIE8XJW02p3ADZOApePB7Jw4G4RKVWzcPFMtPAyHbVrgNmiyJSZpNPScs81IfyxdIrKqwpCNCQeF9zMdwbgBHi4+ZB2o2VoNt69yNc566sqH75OkOs+z4BNYhLa8mEXX1lLWNShPEtslqjkmYi4O4fAShC/uBZq1HsEDwlOorayLNEu4dPEim5tnKQvHxcvnSfMMW1vyVh7Wq6YoRoCimI6CLl4iiu1ao1TC2TOn2Dq1Ht5BIn9d19y/d5971HS6uSDocUghQP5FVQl5G0uSmdk+D5V9XdeNVZJcg/Bh4xR0Q/QOfmMmTKU75yiqCmenaGUZj0ccHg24duMmayvLrK2u0u/1WF5eIk/1TAfKaLGDcnKfDDpYCWkwqkluJIzI+9dOBjN+7tWvAJrf+qk/YPt/NWHtewv49z1VORE7wLQNwWXgwvnLHB0dcPajmvY4Y5xP+eTCPZ77+LIcluF9XDy8m9g2S0weTuYeLiT/g78naIOiePTaGR67cZb3HrnBn371Lf6jmz/Nx1dvUj94gNHCPcUbQYfD+wQqKIcHx7zx5lssrPdmXGAgSVOmgZieJCm9Xg+tpR2bZimj0YijoyOstaysrPC4U/zbX3yTe1uw/GGP3n8O377/babT6awwCRPrMe6rgP4f94egoD/p0NZtWu1MdA8JVBIfpXtCMRHu4oniGKE7xPdSStE/OOLsx9eptOLf9FrsHuxjMjF37/e7GJNweHTE0fEBW1tbXL58maeeeooPP/yQ69evNwg2XqZifW0xQfQerfDW4aqaJExd2jpYGDpRXkiUJslzcaoJn20eiNChraZxAcEHvHAhEy32jzZuRyWKCSZcW9TVjNOp8+drBECSNEEZw7QqqYtCxOlV0tBGRF/cRwBSPJcRypFwx2cDFGJa7xo0UVqWlXy9dVTOkQbnDPF19/hUNOGKosSWNXr/CICi26G0NXdu3uTMmTNsbGw0E7Wj0Yjt7V2KomB9fZ3zFy/wwgsv4L3n448/5uzZs5wLQyELiws89thjnDq1xZkzZ9ne2QadoXXC7oP77O0fc+6soq6BBPq9Pp1Ohzu3buPRmFQEuuWchd3dfabTKZcuXaKVt5mMpzhbI/JWQrFQgXcd9+v58xd45JFH0Frx3rvv89Zb73H33ja9/hKnTp8mTQ1nzm1xcHBAZzRqdi8QZGUM3mnx31agjUEnKTYgpTpJWFpe4fjwGOehrGTgpraOoqzwaHI/O08iLlVVtagwPDT1/x96/ViIXfxvTMLm9cLUnA6RUhrFbGM2EhRzjan5Q3fmIjp7r0YMNg42KE2ijSQENqIiYLRpqrvPaon8qJcQsWU8XxPQmECMNS74aoZDaQaXq/C+Wjb9XDYdr2WW5Fm01aFXjmxywucFXOpptTJR/tYeNBitSBNxiahqGUCpnUL7JHAK4gRxHRAOHe61ZBReyc8w2gckz4dE0TcJniLQl1U8oIW3eHB4CHi0SSQxi4R8LZEhT1PaeZdOq89kOubgYB9be0hN44fo/WzCdb4tIa2IlE5QDvc+oJ3eYRJ5ftHkXJlZmz1Wvs57vA1SMl4SsEQLmtO04MM6S5KksXyb5/iJl20AXrwP7e/QxpoIBybLhAfW6cihJ9qNnsrWGG8af1TnXEggZKBohqrOWvEBxxatuZBceWiGeaKwqBDxHZNpAd6zeWqDTmcJZxXtbk6SaCYTaZWjwHtJemN7G8BWJ0noMDssQZ7BtU8+4v3332c6naJRZIkmNQmeFI/IbWitqRNP1kpYWO7T7Xao6opiWjCeTBgcH8u+qD3RUSQq6+sAcXqR7ZdCyVoh5iuNVa651qIoqYqKwdGA+3fv08ozep0OG5vrLC0ucmrrFIuLi6JzqHVAqAK/0VvhgCJC3DoMJEkSjdwTZciShG+8/ZP88XM/5P7KLrt/Zcypt0U3LcvapGnOZCw2WN1un6KY0rmbYQrDpFNyr7vHU/VF2ePWN0hi0wR4KM6c0KGbi2MPIzAPJ3+z9SKrKCsTLt06zXuXb3D9zD3qrqPb6UnrrKrxWtNIOvnZdGmaGKqq5urVa2ytnMImlqSC9DCl1e2xsLiIMcITW1hYIElkIjBvCT9yb2+PDz74gMXFRRYXFnCBBqHvePY+2DlRGAua48IkphxEWhussfzg+XcA+NKHT9OpAx+sDmeEQo7VeB9URPFi8SXBQ4WEZv5ePfLaW6zcuc9Ot833X3qOdpoxHk9YWfH0+33OnD3F0888yf7+DoPBgP39fR48eIAxhueff54zWRuYa581oraSpNZ1hQ/Ee5EDmYapbIUIJKdoneCYUYZi4iUfWwcaTEhG41N9GNGLZ2Tzvxg7Tk5Nnzwfg7IVIlBe1RUeQ1UVdLIclSWzxFBr4SUqE+KFCjzrk+t1dm/nztqAHFdT2Rd5momjiPNQi3wZHlKleeLtjwC4/eIzuFaLw8NDrBU/5n6/z9LSEsvLy+A1i8vLXLp8iXanw3g85s233uL999/nF85dJL95G6cUb184y3Rasru7R5ZlnDt7AadS6hqO9o957+032N3eZmllkeXVRRaXF+n2+1TTgum0pCoPUEoxGI84c/4cq2sblMUkdHAUrVaraT8bY1AmQQX5pRgvlYLt7Qdcu36T/YMpe3tHjEcTbty6x5/+2StcuHieX1z5Bd555x1erGbT94lJkMEUg0lTXKEgoJxaJyJC7HwTe5K8xqmESVkzrSyD0ZSidqStFspkjQSLKB0orA0+9XN+0n/R68f2io2/j6hI/L2KXBQpVYhNxFk7TMUdTFzdLrTF1Nz7nGiVPoSExZ8TN5Zzgqw9fKj9qNf8z4jBKRK5q6ClJV5vmqRO8UommkCjYkLmZXAiJhLzkHm83vBmcuiFRFRpqWiN0aGN2gJMsBaTYQZjVJCBcFR1EE0ObQyvTDhc4qSYk+QSIwMHPiRwAdp3Kra0CMRM1fDEBE2S0XWlBQUdj8eMiynL+QIejXMh8Oiohi1/Nial1eqwvCzPWwYpbIP+CWFffjkXK/Fw700c6a9leAMgtmgUQVU8tqIBVDi4LLYOukQAKhHZB39ybcTXSXcI3yQF8+tMnp8iSRTttuhHifaQIs9aGJNwdHTMaDyiHRPQUEzVoe1pSEh0dK5QEO19IiQYPmNs0cYAGonXaBUS12A+r2i+r9VqYW1FVcsAiDazw2+W0MseiPy6mFxEZN05z3g84v79+2xv38fainY7x3tIdIZvihRDZR2racb62gb9xQ69xRbLS0sNkn14eMj29jbTyZSyVNy9s83O7q4MPsRk0kuBYcJ1uLpqkGKN/L1DgRU5Ho2mLmtGZc3oeMje7h7KGBYWF1lYWGBxcZGzZ87S7rSx1pKmJgjHJjgHRVGGTkIaiO2z/ZN4SNKML3/8PL/95T/g8KcnrPwXGclY9Lru3xei9/LyMmVZsrCwxIPxfWIFPp1OmUwmpGY2rECDuqqm8IGH9v1D8Wb+1zxNBGZyQT4m+WHZf/HNJ/jdn/xz7q/vcff8Lp1OR5Am63B18M9GNcVb3GPWWnZ3dtk9c8xkpWR1D1b/ZJl3FzRuKrpodX3IwcEBaZoynoxRGtbX12m323S7XTY3N2m5Efsrcivc1Zqq+rSji9wn3SBxxhhGoxFV4ArhpWXq8dLeDPphJp4B0eFmLmY398MJMhn3dX93n0tvvocC3rp0ju7yEuubG/R7iwyHQ77zne/w8pe/iHUle3vbHB8f473n7NmzXLx4kc3NTRa292bvE0ju3jVZuhSOzoehBtFTjG3HOKTjnZLJxbmYP0/3CBcdBqHiXg3rQqtGWijuldl2l8/g3OweP0xriutJG0Wn18EYxWg4ZDgaUFcZnbyF1qEjpgIfuJFhUo1z08weLRSYWmSoUDqI+9um8DZKN3qD8Zm22x3OXrtD92hIlaXceeZxPLCwsIC1lqOjIyaTSeOucOnSRZIsYzyZcPvWbd548w22d3YYj8f0P7lOMhwx7vcYXjiL1prxeMz29rZMsLaX8M4wmVQcH4/Z290lSRUmU5jM0O32Wej1uPLY42xtbDKeTLh7b5tPrt/k8qOXOLWxgbUeY6oGYNI6ujBJnI6InQvghrt5iyTNsVbOhIWFRRaX13De89WvfYW1jRU+eP9tVtfWgA+adWASGUxqtzv0FpZZXd/AB7H061ev0c66OOc4c+4CnYUhq2sbKC98/k5/gYPDHbyvQaVScDQFhQNnQlL36Rjzo16fH7EjVleqkWpIdIZNLLWug06XlYkQVxOV9BtenvNYZbERzfBzBOTZ3zapszaQoFHWNIeWCZNVMKuQbW1nI+Sf0f6QP8+3wmYwuVTjollWliVFVUkikyQkmWtGBaNdl3MyHODqMIlq9ExqQoUpyIhShmuR5NFilIw7mKAib4KtlK2Fv1ZUJRYJGvKzHM5VMlzgE9mwof0Vg4jcAtvcyzjgY5TBay2+uvGpyTSCVMxOmgYqSnQYuPdgj6vXbvLCk89ATBy8w2BkuqcOelJe45wVCyMtfJ/oc6nDtJlUgTTDEN45qtqhnByQ8jxDi0t6uaGlMLMYIyAZsRMt9nnCGVFOVoyEW91MG1vrsLYkornxv1772B2FqFuoFSrRGKfIs4zo9VpVJWVZUpaiI1aWBUmeUJSFBGbrZUK3hljtCkokbXOZYpLDybqa6HlY17UkaAHtVcoTJ/+UVrTzjCyVz1iXQ7wtqKkILNC48zAmEbkBnaC8yDHEIsJFqzFvmkIqb7VYWVmhqip6/X4jqrq+tDLT5wu4bpJmguwkmk5XbP3i89haW+GR82fxHtrtZa5du8W///a32d3ZxSSJVPtW9r7VsqestdigFSm5kAvTza4p8BJjkIRYiaVe5dmf7rO/fYDH89EHn9Dr90nShJXVJfK2DAAUhTi32FqGJrIsb6z4Wu02S0vL9Ht9ttY3SF9MKM7VTM5XdN4hKNiLcG2Wpdy9e5f19TVMkjYxoraWSVFQ6MiBmg3fxJjSVEmfFS8fQl+aIs/FCUoCaq4QARgVYo0iKxIWBl0Olgfsrh2RJjIu7520bbT3DQ/K+5Po0XA4YrJ3PHcGKLROMToN3rM9er0uxhju3bvL8fCQq59cJc1Stk6d4mJ5yD/7H/wB33sZzJ5i4R/mImmFtKJjMjJDmIQvprVhMBhQV9GiaWaX5J0NNnQxDje3GevE3WWm10nT4gXo7x/w8//0t1i5t01lDG93Wty+cw+VdFhdXWNz8xLjyYiimJAkQhdIkoRz585x5coVTm9tNWDA7NmEcylJcPWMRqS0xge1AHRIjAK/WxtpRTqMyFwpNeccMCseIyovIH2kw0RUTzWIpWpugo8LIXRVDJA0Oo4xIZHwL2dgK29JF0RrJqORJP1OyTBF6N6ISsWste3xoUMiIIVJs6Zwj25FzsswWJpmEAoGPKHtLuNVSZKSD8YkVU3RytlJRb7qyScfZzQaNdwzacPe5+jwiOXVNQ6PRHNQacXjTz5B3mrx2D/4Zyjg1tktjha74qdbi2bk7oP7OA7Ruk2qE9Y2trh37zrb+ztYD6urS5w6dYbNzU1W1jZYP7XFvXv3USrh9R++y507d+l0ctbW13j22edZW1vDWtk/KQnKCSgRxeUlJ1fkeYdpUbG4uMz5c49z5cqzXLh4AbTn6PiQ3/qt3+ILL7/EM4Mp/OGfkqUtOq1ValuRJpp23uLMpSd44Usvo7xjNJpy5+aA0UhTFPDLv/ZLiHW7ap67cxWTYsLh/g53r11j7/4DKSeURXtpu0spd7Kz+R96ff7EzvsG7hVLphSdykTh1JVUlcP5kga/UD60UeVrSYSX4311YmpIqomZ/lq8yaCCD6elOd1BNl8kWGsdWgKzYQqgacM1N87PtY6QDYdSKGvxtqQsCkbHA6ZlBcbgvCLJ2+HQiUlt8BhVXqx8UoVOErytw8TqSSV2ATJEH0wrj9IeozypRtqNWtHKDAsLXSZFQT202KqWKUxjMEZaQMLZkF86aDvJIM8sg/cuoqChugzj9DoMfTg0VqWgDam3KBwWgyYVBwkyppMh128/4PFHniBTHuPkl6o9xsdn56WqiHYMRARSCeroQrAMCbPHk6ZGLLSsRelgZ+NmlZL3QYOOKCcR7rgXRFf02GZaUYkOkhoh8EfASNT5pdL2Pt5DkcPRRLHbQHANSJlOJKglPkNhKcop4BkMj/HUolbuKnCOo4NDOp0ued4iMznKaVStJRlXTgZlAl/FO894XDCeDEkTG6aHwx1LU9HSU1aSzHCtKIVRHu8rPBW+HoORkX0JAklAUkFV8tfaga8rqEu8rfFW0AJnK5QSAn2W56xtbLC+udmgRRrop4J6llUlrVFbB1KyeFAaLL6a4kL7Pkvb5O1MuEr1hNWlLl968Vm8F0V17zzD0Yjj4yOOh7uMJxNJvKybJZDOg6V5RlGdHQR5986iaiUDNToIuE6mPBiPUYlhZ29X9BEDr89aESoWKYqcxGjhLekjdrf3SdOU7vUeT6eXef1XP+TO/23IY7+2gq98Y5GotWI4PKLba6GMbhKO4WTC4WhE3srJs4RcKXRTPMp+m8nb/OhK2jknxPzKztxAvI8KUUT1MZlkkWRhYdDlkVun+cHyB3z/qXd5obpE6aT9psIAi0PWswnt8JhcVBIY52KpxIQ0bdHp9IKWl3hnrqyusbi0EFpox6yVFe88/x1++5cnJIearf/lEv4TqBuqxSyJlURUiZk6oJwnmTVjmoJSEmD5WkGnI4fYNzZQNnA4ZRsEHm0Qnz7z8Q3W7m1TG83v/eSX+UEr5eYn19g7GLCzs80Xv/gFVldXGAyPyPOczc0tTKrJ04zpZMpoMMQ5x3R7m7PhUSkjlA/vXSMsa0ws9hQoCy6ACA3S40DVQNAVhZDsBTqEtYg2nFyHtENDYRmeh3K2mcKU9RPuVGNDJc43M6pTSAI1kNDs37oi8OUMWasdkEeJs8o7jJ/x1YXvHKbcnQUn3GWT5oE/GDpnYa1aK2sqSVKMFi/nuq6leEtNONfj0lIcD4Y8OJqwsrLKwsICC4sLOOvY399jMBjx4MEOD7a3qawla+c8d+U5Vjc3uL+9jQ5npjaa/lJHkm3dotPrkLdS7t8fYCvF0uoK5y/nrJ9ZYTTeoyotFy8+yubmEu1Wm9rWsle7XS5depRiallc6rF7cJ88b6NNQlVJHIqdGZQMZxnTag6SNOvS7S/zxJXznD1zmU57BeUTrn78CXv7d3nr7bf44atv8lNf/xkpngGtUzSruPqYJJO2fp53UWkHry12orl9s2Z5sUWSbILug7FziL/HO02n3aJ7fo2NtUd47Xs/5M61a7TTCqMKWkksA9IfGWcefn3uxK5BhYKuk0pEOV6pOFkyS2hUIPNHbbJYRc2ss2gWUkPGnWulnqiCHvr7+ZFwF6qp+Jpvs8r3uCYBiO3b+cpQDsHAL8BTOwt40T+zMqQwq6xkACF+fYIkDrHtFadtmgkW5SGKaka4PlgwKaUCumDI0pTaWkHErA0TtD4gQCJ47LwYnTvCiHwMoE1b++Sv2JLVcRY+ol8qpN2h/SbkTkPtRYzy4OCAwXDIYqdFXRVoZ1FV0HHTcr2RaxOnSuP1ay2oVST+CvdNvqZxpkicvLUKvqwn2teqEaie53HKOohwm9yz+SQ6tjG0ToXfJf+CVglpkoWqzOJrseCRKCmTSXXtmU5GqGqKc47xeESv18b5mslkTJZnZHmGdY7ptAj6Uzp8dkms51trjX0YMu3U9m2qeoi0sE0jawAqcO2iSPes2Ai9GTmApPxH4IMwPGKtJKYh6c3w0rZChnK8AmwVdCYdLhiox5US2/Kx86uALE1IsuDlrJAE2VU4VzV7RloTdWilT2m3DFeefATnfLCNc+A30Ikiy4NnpZNkTozDa2wd+HVV9IUV3S5C/FCAK2oGx0McMK0KDo+PORgccXR8zHgqlkd1SOqUnJpi0VTXYDU6TVFAMa2oSstoOGHtH/VYvtjn4PkB9/93YxZecSz8Xoav5bPt7x+SZhnTvGhijXWOaVFI4ajamESj47SkUuGZzE+wnZQ8OEEpCWhq7WxjD+Y1QeBc1n/cV8qEidawN0YjaaXHltpMfsVL4eujll98P0dZzmmMhc8QxYdlYlaTppo0NWjdxnlxOlgcDri/VIKC1tWE9p8kjF1FdCxw84md99SuxoWJTq20tPSaO+Kb2OtDO0GWtQ8DIA9LRPHQ91oUluUH2wBsn9nivReewn3wAVkurifj8YjhcMjW1qY4tyjFdDrl4ME+5bTgvXffpd/tMZlMyP74z/hP4mcnnhOBq6gIBarGG0iRdVtT4W1A7HSIG352Ds1zeZWKP+ukCsR8F2mW0p1EdKUDphsgYb51770nNdKB8XOG8RBt5OSwN84EGaqZ7FfsgOiQNDsn+pvKSXwEFVw1BFixVT0LFHOfUboNIuCempRHv/8GAJ888QjbVcX93T22dw7Y2Nxkc7Om025z+swFBsMhH773MUeHQ85fusDm6VP0l/o4W9Nu5yTBEjFNElKVhS5GzdrqIkZZOq1VDvZqUpNzZmuD2i0xKVa4fuMGrVyK5fF0xMH+Affv3Wd1ZZX+Qo9Lj1zA2ZLV9Z7cByxVOQoDCKL9mrZbaOOpqykg9I7nXniBU089RZ63uHXnDnduvcGNG7cpyiGD4R4PHuzw01//OdbXTtGwIz1Yr0haHVTmGdcTytoizksK7yru39vno/cf8OSTXw2i4gJM3Htwn8ODI0bjEU889jiLSwskrRbPfuFFjg4OmA53gsqEDoXsZ3cHPuv1Y03FyksQCtDoUDknSYJ3dahYCItB2jLGiK5NqyWZ8bzmz4yr8ekW6jzH4MTmeIjf8PCf49fO898+i7cX/+wEKyfPc6ZVjUpmRtpa2YBQxgDt54KkfO55mP0EWfrE1JjHxKLcx+sMP89KW8pZx2QyEc87kwRBHh/Gpa2QdpFJMu39XFIHBEQxfJDAQ5NBiihrpHwQKm7uV0QiaVp5w8FAuA/tnLqqSJo2sgRtaV1lzSExs0HzYhmnRWZEDu3kxL0RVNM3YEJsHc1kbZCDr5bA1G63SMPnsDayC2eSv/NkZIUYZEugDj6BTtqixohht1MW60ATJTQEOZpMCiaDCUYZ2u0WnU6b2lYkicYkYldVFzNh1vn1FrkosQ0dgyxI0M3znDyfJa8n1+gsKZfW++xwjpVc0vxNbAKqcL3SjpPhaEeCQycGlUjSWNUVDiuYkgttFmsF6XHifFGRyGEcn1NAz7wSSzUQTpnY+lUoHQ67WiwCnfVNAaeUCS0YRUKCJRE0TmmZ+E4NKhVDdevFclAbTZ6n5HmK846yLKirCu0Vp0+DSROKumIynTItCibFlKKqGI3GTIuCWzdvMzgeBv1FP7O/i+brQRcsyzJ6RZ8v/+cpP/g/vM/u3zli72+NWP+v+mRXNUliGbQ/pNe/g+klVFk997wCmbwqSTDosJc/ndipYBM3W9vzv59ZHNVSxHlx2DBJSGy0hrC+ZErZUQdUfHIwlhYTAamLsSz+ahKGWWJ3goqiaAot5xwbGxusrq5S1zWj0YDj4yOqqsB7Gnmi2RKcxc9566+4Fq0X5N1oHVxz5t7Xn4zXsf3oQuESOzqfldjFDo2pa85/dBWAB49dosw0RTlhbX2Vixcfo9/v0u120VrspFqtFsYYOr02w+MBx8fH7O3tcf/+fVa3t2c/Xs2SrvkDOorBKhSJTkT0nHmRex2K4hmwEBGtJqY+nKT6GRqm5u7fw4mdDoBDvNdRNiT+DOdCdyqeb2rWJYrdEWIbFoJFIUFGSviBoOWcxmGpwRtsLTGhtrU4DgUAwjtRQhA9uhZVVWO9+Bjn4wkARatFq7vAhV6PMnjy7u4eYMwxVeXZPLXJ177ykxwfDwQJxXP1k6tMSmmbf7EsJeFMUlpJD+dLPKLp1spS8pUlVhY6rK5sgK7YPagxpmCh18G7msrWtNotev0eo+EI7y1JqukvdLl754DllT7gqaqCVjtFG4f2NUU1gAyW90c8/m/+EA/cWOozArb39um0e0wKGVR66plnyVuaU1srGJPQaa9ikg5x4FMbTXd5iUk5oHATSDLSXCbuPUEOy6fgDXmrI2tHg7eK7/35q7zz9jt0e10+eO9D/uO/9Wsy0NRts3HmNFc/2JWhC+Wl62d+dGfg4dfntxQLr2ajBuswsYiKwxE2JDyCsDgHdWWb6jqiZbNW6exnftb7AAKPz9nJxCoGYuvr4QNzftPMV7KzpKyBqfFhLFmTt1u0fdCJSzNQUf5EWgIi9SC8LhtdB5Q78bNPvI+H6N9qnUha6CBYK4882J35yK8RU3NjDNoFeD6JyEeNw8jhGu9Nc8vmU4KAzGkty04TJAUkkApgGMbuoy1RnO5EMRwMmUyncqiOZOIw0ZLAm8SEke6kSeqiNlq8p2mWkmWi8xQPkvhcynIqCYKaJfd1bUlMImhpbQNHTlAdY1LyXOG9xtYxSXZUYeIyPGgJumGAId5v4bR5oAoexVJtz2bLwDuPSVKWl5ZZaPextSXLErI8xViF97VofllwaYqosgtB33u5hqqqGi/DJAmaVMls7UnhE/gqIcDGh9Ws4YDAzp5feIZEUkP8cSok4z5eATokXzoNKKyOVAPRN5MgHzWvwr5SSlpDdlYsRcQ63ldpk8nXV7YObfMwsOQdBKFxHbTjvKvwVqaclU8xPgermxgQTn05OIPGlrOgfIUmF4SwKkNiJwdv7StBklJDkrTpdlsBdRAe0vmtMxwfD7hz5y53796jLANpP0wdp4H0rVEk2rA8WuTl/+tTfO9/+y5HTwzZ/h8P4EfFSQemlio5trxmCcpc0TkXh7w/yX+ZP9Djr7hmrHfSCkTWtVbCdUqUoqprDhYHvP/oDQAu/Lfr7E53sC7GPkksfETefUhGwrVIQSXWVOFhNq+6rtnb22v0N6uqlGlQL7E5S1KyKgFvscpRq5OC8SeuTz59vF3hubrm7ZyXAsuGgSc1t67jfT8x3OTnuh1IUfvSt7/LwsERRZ6xc3aTg6NDRpMRkHP79m3KUoS5T53aIMtFHHdlZYWDo30mozEK6Hd7jEcj2pPJ3FaSCcbmbHDSEpdLDHpvRnhNjropgL1XgkLPJbsxzs0K2f8A15uHBiqgKY7n10oU+Y3fX9U1tvIkcxp6GiWJonNUZdlMHkdlAOmWOTAG5WZJq3QZEIQbh1YpJkmkGHSCoueZUC5E6sfLYE2vy3Ra0r9zn9ZwRJmm3NlYxSQJS4sLtFrC+xsEcOD2nbsMR2MeufAoa2vrXL1xDadkeGM0nnB2/4jTN++DUtz66Z/B2VQQcgryXGRsqqJmY3ONX/ylv8rNG1f54z++TZ51ePTyYyidcDwZo1RKq92jrkRvVpmETreLSVI8KVqDC9OpSmvydluSUJ3Qu3GfxXs7TLXi/3XlAm5vn4XK8ff+3v+cTnuZ3/9332Y6LXnr7R/yw9df5dzZi2jV4+WvfKV5fp1Oh2/85W+gMw2qxtqCVHek6HUeheHKk88yGqzT68p0u9GgtKeyY3b27pLmp5mWLcbjAUtrKzgH/eUljsdTuh3xvM+zhDyfK7z+gtePJXcyn8DMI2+ymDTOzdS10zQPbVgfpvaqZvGf1GP57Omf+fed3yjzv5eBiuRTid08z23+NT8tqXUMivKF2hg63a6EKm0C58M3rgISoGZVPNbjtft0UIqb3kmYk4QDVGxhek8GQarANxwcEz5PXVXoWpO05LoqXwnh03lZoCryVuTeQRMu41/Kwa+CTpKTmjN0gWWUumn/yf+rcPjVzjMYDkR8NzFoL4RvGcLwZGlKEkjSzrkwQDEL/GI0PhO/jF8nNi6Oui7I85ylxWWOjo44PDyk3++HyjO0XBFeXFnWTCZF0zJp1PG9Jw2o4fxzVl7aKWkqZHz5PhmIiLp90gGaSeWgxGg6VQl1VTbtHAkGkqxprdBGJqSaoBi4kPGAlP3gKcuSjCQIqQYdqvBGXkcv2TnE9jMq+IgUybN8WEIjJrVxom12/Z44sR39mtWsCFC+GTQWOoC04oXXOEuS436QVpUEFGsFTZYhkFiEiAaY0OO8JJG+DgeNjOdLohMQSR/xhChzI8/aWSPfp+W5KFzwU3YRjoobV56bj57HsLjQZ3lxic31DTbW1rl27TqHh8co7YPOY43RCd5VOOtI0pTV6QI//X95genqlO/9r99neHoiOya+j5L9df6DDZ569WJDtTBGi92f98Thichdm+82/KgOQzzMY1tMaCozhxyUamR/jDE446lSQQ4Hd46EA+UD17IB6uN6IbT45b1F7kcBMzmG+FniBPVkMjmBGiul6Ha66JVlvvnnz/LP/8YPGD1Ts/e/GLP4X3Tw5aflRwhFb9SutF640DHkxml2ae/P5KJUWLs2JB7zZ0EVnIVQHmMrzr9/FeU8b7/4NNevPMr4nXcpqhqN4e7du3jvSBLDYNAhLQyTyYSlpSUee+wxymnBzRs3uHXrFoeHh6wcj5r3EWkSGQqToi8MtXiJkaqZ1DcSA0J8tR7q2jUae/WckoKcbZ/uGp2Q4Xro/JpP8Lz3jVNOUYh9W0TkaltLQUtA6hBfcJzGKAlWZRCaTpIZzg9gbU27LcNNdW1nKgPWkiYt0iwjTY1o29UViRG5qKqqBDGfTHDO0QvX9aXf+UPagxFvPfUYr26tUxwcMJpOWF5eZn19nUcfe5SiKNnf32d7+wE/fO1VNtbWwWjOXTiHVY7j0ZB6UmJCkV5kLdJsiaPBlLSVkbcWMInnxu4BqxuO7mKPaWEpa8PC0jrjyTFJktNPuiKJBEzGjmI6YTq15HnOxUeuMJmMKcuS/uICaM1gNCbPU8rSsj8YsjYNz0IpxrpN5lM2T51j49Q5JiPHeGK5e3ebS5cf5/adG9y4uc2H77/GlWdebOKmNoZer4NKwfsKVIbyAgw5JWuglbdZ6G1hvXS1MBacZXVjiY3Tq/SW2pDU7B/tsLC6gPh4KyoL43GB1lN0YsjyT0sq/ajXj6ljJ8F8Vo3ahoAs0hHxUIU0zcSloBIdrLIsA3ryMJ9uFlfjAj/xvpz8t/kDPf77fNv287zm28BKIqOQ7YNsSDhiwvTZ/MStb4imIjzrPvV5YmvEeVHLl1QlDp7Iz69xzUVHFQWtpG0q7QlQOsEogwuFpXWC/KFnIsbhg8BcFRzgKGb6UnIYyMSuTIBppXAhodYRBvGSXB4FdfkkTaGuZGq4rnFe0Wl3Gm5dbLdGvl20k4kBzzlpLTcJuNEhyHQ4c+Ysy8srvPfee4i4beCnYMjShHZbRsOFJK9QqUGFqbRW3iLJMpm6rEN7SClAUMTcJKSZHL6SRFQYEjzSXhTR4zitDN6I17BSc8mxmkMYQoIYdZ6aljcz2R+QFp1zIpZsgqyLrAfV/Df+PnSjiIR0SY4/jTi7puHWPOyw4OfWT5CNiWd+s5l8aBUGFOLEnvHRpznKIoQ161yQZYiE8ApbS5LY/DxA+SBU7EUfq7a1aNchn80xE8+VFpAMMkkhM0+PkKnqOEyttW9+htwjhw/TgSKdIcWj0mE6ODUs9vtkFy7ga8tVe00CqotFQi1Jk9FkqSCaxrZZPGzzS7/+ZWpdNzHMeUeWt+h2O/SSDm3TIm0l5HmO0WC8FWumZipWze6HUidi0KfaiyGpMyREU6/KC+1A4o+0wBtB7rnvnRYFjQNLmGD0SAIinFaC0K18W5YlVD9ieM57GRqJ3Czh9vlw7zW19wzXv85/+n+/x//jf3OHo/90gpoqFv6fn40USH0oa8e6mjRLAyFeJrXjdcf0NwLWDR/Nze5XTAJjAnz66i2Wd/axieHtLz6Pw1BbMCal3eqRZZ4sS+n3ezz33DOAp6zKJik6deoUL7zwAu+8+Ravv/461RtvzT43EnPk85kwQBCGrqL6QPTvROIlYb8aI98XP2ssbuMeln1jTyC1wsE2KO+g+drZM0mSpLG/jPdinr88Ho8ZTcbk7TaLiwskSYpPPImWQY40SSi1xKmyLKiqgmhRFrntCqiqsknuQXjOSSJuCEmSUIS1aowAMCp4P7daLaxzVJVFhw7F2IojVLfbQaeaaTFhd28HpT2Li0ucPrPFwkKP7Xv3uHfnNosrK1x89BEevfIUT1y7Tvr6m/CPfhuU4us//02mjzzHb/yX/yXeTvm5X/jrOKf5x//ffykuGw4uXL7M8vrfYPPMErduXOV7f/49Drf3+PpP/yUeffQR3nr9NTZPbXDz+nX29nb5y3/1l3n99dc52D/gy1/5CkVZ8Ae/9/vinpIs8Mj5c7y0fAn4RyQm4Stf/Rk+HI/o9lepbeSgd/iZv/QNXvris2xvP+Df/dvvcPbMgG5vMZwF4FzNcLAf9GoddVmQ5z1ai6vEKVbRi8yZFDJdjRIXkla7zeraerMfo5aoWDNqymnJuDwmScYUxZSjo+Fnb+zPeH3uxG42HBACV9DQqsNBJsFhNgIvWl1iKB0Pj1j1PZwMzV6xipy9GgbJHNI2D9/PB8HP5Gt8xusE7yMeiGpmPu4adIemRdVwWXzgSzyU2H36egIC5yxYR62E95UmCXF4WYVKNiIDsSUq7ytoEcoGBFDOTkGAJDlR/uQhMI8exAEKF1IRT9DRCkiI9x5f25CMlLi6wnrPzs4Oh4dHaGvRLk4rOqwrQyvJNq3YqMmWpqJmPxwNmnF3YwzdbrfRbIvtWO/h3r37pGlKmmZ4H3TJrEzMGpPQDlyfmDSCJ0GmoR0yeu8RTp5zDqNAh1ahNlp0/wK/rgwcPR8RBaXk0dSSfGiUeAtmGXUAxBJtGt05z2wyzAeUVOKzBOdZu9mHdptMzom0TIOJyDMNyUxM7OKzm/0bJ/aRRzXOFQ0aG7x542x4kyuGdRz/LLIiJryRhzn/4chGi4fVfHInb6eDLAdN0q1VXJ+S5BgVeKRWdBqbyWztEUaofBj52RITmn0fBgBmwtly3S5GSyCWV/IhHQQbJbzQEzSKWpWkScJCv8fFC+eobYlXBNQChkPh4MnBmlA7R5oKrSAWo/EOWOtJ05xe1iPL0oZWkGdC6la2koPZx+c+d7Pjn/l0Ydo84LCejTGkCnwtaHxzteHAjYf67Oc6jE5RGDwGHxI7ZaTITnTg0jJL0OyyvN/CIUzU3EBDSOy8l32Wpslc8jChqmr2FNgHL/E//T8d8//5zwYM/86U9h9mmHfmYmBcurFoCD87y2cIvlJBL062mzCEVeAHKyRBNbP24zx1QyeG1mCM9p5pq4Xv9Dg8GmJMxktfeJnHLj8edEA9rVbOrVs3+eijD7h0+RJnz55lMDrm3//7f89P/eRP8tzzz7G+vs7O7gE8OApgZyigYhxVYBqdyLiR5PMLUjxDR5uJyrCm4lqb+ZTXQS6ppKqqJka2Wi2yZFYIxP/KsxBJqHgvYocrnnWDwZCdvV08no2NDTY3N0lCTE1Mgk89VVYC0jWIYuZpktJut0iMwdY2UCkUUfsxTcU9pyrr8BnbDc+42+mQJqa5Tg/ktiIJcfNQBb6tkaIiSTWTyYjbdyYcHO7jrNivbWys0mln3HuwQ2VrsiTnkceepLi/36zLVq8H3Q5lramqmqy/zOS4JGv3MHkmg2BJygcfXWPveJEXX3qeyUTx4Pe/jbMZeb7A81/4Gvfv3uWTT25zeusUadbl2Re+zO7OLl632Th1mq/+1Df4Z//0X/HFLz7P13/mp7H/9b8CxJnlf/S3/yf8429/m9F4iE4yMgxPP/ssTz31CLu7h/zr//p3mI4VW6cv0e4uNHF5OBzyO//Nv2Y0GpCnCd55vvS1n+DxZxdRRtqxVVWSJtX/n73zjovieP/4Z3evwcHREQQFxK6oEbuCXeyxEjVR0Ni7xvSfEaLR2BJ7T7DGxBaT2CVqxBJjbFGxC/q1RKQj9e52fn/s7XLLHXBYEzPv14vEnZudmZ2ZnX3mmWeekTY1EV7weQdeA8KrwINFdp4BWntnwV8dYaDP0+NJZja0Gg4FeXrk5GQiLTXdcmwphjJp7ISZCTHtXhRPBdALKmFWIcVjTM52BYe7DAwG8YDyAknjZUq1cOmJEGHwlu0kBUDkdhhA4Q5XoZJ4acGKlT6UhcsfgrNIszSsaPwAcfARtt4L9oOAYNkmfEKleScjaL/Am84ENFvaEwcN4SMlaNn0epOfJgizY6I2+V1jxNM2hSU6jUoDhUIBPS84IYWBgFUqwHJKGIjBZDgtfON4cUCC+CE2faoF1Z/JlYLJFouBVMcsjDAwDMCZltiIYIDPEx4GAoBlkfQ4GbcTE+Hj5QGNaSAgJq2eocAAvenDYL70DIi+wQThTMR85xjPGyVh8MkT4TxL0UaPYQBOwUp1ZzDowXFKUzfgTB8CI/LycmEwGKBUKADT0WMKydEvMXnl58CwgnsNhUIJHgaTYRYDYhREOQ6ccOYpywozdIYFp2Qg+JfjwDC88CHlC5fLRZsVceIiOB02mDYSmQZrTtAUiUbZxNQpTEoZ0/ei8Bgfodvz0q4awXEmA9HJKc8w0kQK0vKraQsJI/Za855cqMkVxH9RUGIEh9Wk0I7IyAgfIt40ORJcdxAI59IJwg7hARAFWFYBllMJAhh4sFAIfdxocpbLMWCJSavGMCaHy+JOUZNlEQEIw4M3nbdU6L/Q5CEfxOT2QRAGjQZT2ViTkGra0MGjQFi6ZQ0gYKE36AGo4eqiRUAlH2RkZQq2QhwHR0c7Qbgv0AtOaxnBDpTjClcWxHOZeaPQlxwc1VCplOBYDkqlAkqVyUM9EepdHC0Ef2OMyakrkJdrMJuIFG42YxjGdKSQ2MaM4ANUITyzuKzH8zwKTEuRGVmZhWMdCBQcY2oTI8TdpaLvQcH1FGdKlwFnxyEzPB0A0HEnh4uMM8TBgzH5WNHn64WTJQDBDZNCASNvhDFfcCKcq7GD8qg/Wu+/jN09eaR/kAvn4Q7gc2EmFBFwjEkjZQpJq/kEea75UOmVqPW/qqZzaU1aL1PvZ4hYP/JlS2ncZhi4p6Qj5Nfj4BkGR98MQ6pGhf/dTkBScjLc3N2R+SQT+XnJIISHl5cX3FxdcCE7B0d+PYzGTRojqH5tcByDK9fikZQkOJ12c9QKeQDgiUHwr2gqk3CGq0IwV4Fwuo0QWTh2SnjxRLtXCJM2lgXhxUmP0M7iSoW4nGoQbVFFYZfjBDc+pu8T4XlwnOBLjyWC6wxCWGg09mBZsZ8S2NtrgdQUPH6cDL3BCEedE+zstWA5BRRKFRiWFc57NbnVMvIF0OuNEDf5iX5DGYZApeJQoGdMcXmTDbcg3Ng7KGFvp4advR0cCvKh4jjTOCAsU3s8vAfXR8kwsgxOB/jB3sERKpUGBl4PtUoDV2ctWJOLlNycXOQ+yUWeyg4so0Dt2nXg61MBrFKJhBu3cHbPHvQ1VTNPAJ5lYWBV4JRuKChQCyczGFjkGfQgCoL7jx7g/MUrqJjpjdp1g1AhoBIynxxGVpZgapOQ+D/s3LkdCgWBp68HeJYgKzcHP+/ZCxcXT/Ts+Sb0vAKZuQpUDKwO9k4CLu/fj4amMijVKlStWQPHT/wGsDxUdirUfaMKDAaCnLwM3LhxHelpubBTPYSXbxtJn8IbjcjPyoYSBnD5BhTkG5GdngNiUEj7A4xGmDa0OcC0kAajQfhCG/QEdhoVfCpUgKuzOxiDIGlkpqfDQauCgjOCJyw0GjthRdFGyrQrVjAkFpdpBANdwVaGlS1RiZ1ZXJIyGMWdPuIuH6BQO2f6CIKYBDBxmGDM4sjLUfRPwswQVRLkAIs/yfu36VvGmrRYjLk2SyooLxibE3EnKaSPoygwio1MYP55FXUqpo+zSRBUsEYYlUbAdJABR4RnVamU0GjsoDc5XeX1RrAqNTiOM+2kEz6IksBgqkJBy1JYBoYp1N4UfuiJVJ88I1wZpQMNBS0XI0yLYDAace/+AzjrHKDUCkuvxMiD5RkU5AkOe0VhjWEYyUO/6O5EPIC8qCsDwSFs4a5ZcTnXfAlL1KAYpW39hcI0YY0wGAVDYaPBIGwcgLD3iBBW8ixTeNKFSV4CC6PJRY94YAfLceCNgic/lhVmo8S0BMmYtKbCYE1MSzTipKJwaZaIH1rTUW7iLJ6B4CNLELBN/vJMQprYpUStnFj/xCR0CU9bqKHjTcvvgh2WaQOSaTLEE2JyQcOZPq5CemJ9smLzmrW/qNEjxKT7NAlUkr2Y2I8JgegrUuhTpuPeJO2hEJdTsFBxSjB2gsf47OxcGEW1J8NAtHWU3l0zZ94sx4DhIM34pZIScSeu6HeQMTm6FvoBa3IDxLBG01KI4A+QNwL2dirojSrAtNnJXCtvb28He0dHqFQqk5YKIOLGEtOuM5WChUqtAggkZ9KcQhCGFazZ84tOlmFyaEsAtUlbJX7crdrbQYjLsiwUpl2kjMkNisFgQF5eLvRGIzJUGYUVwojvuSjQwXTah/DR4Dlh5zEYBgzLmWwoTa0kra6YlrZ5k4sSIwHD6GHg9cjXC3XDqNVCWYlwT3L58njjq2xcr30bN9rlgx3MwWGFtlDbJHr8Fie0ICjwMsBgZ4RdnhLuaa7S8wvuUIg0xgqrN4zgcFwyZWCkpUOnglTY5+SCZ1kkuejwJPsJMrMykJubIyz7M8CjpL+RkJCAgvwCNG3SBFWrVEF21hP8deECUrOSUc7TA+kZaUhOTkLFChXh6uYiValwxJNRNvYUCqyifZ24ImT6ahBxMsVA2BEp2syKG5YA0Z+pqKET7eRUKpVpyRbSqhAnLRUBvF4UAAUvE8LpKXpJw2tv7wClRgOtyR5ZoVRK9rRgBDMilUoNXrJXNYCQfHAsJ/iZzS8AYATDAhqNEE/w/yhsqlCpNbCzt4fBUADx8DGWM/UlIpyPLrSjuJ2Lgc7FBZy7OwgI8goAo5FHXm4e1Go1HB11cNQ6oiA3DwwBsrKywCntIO4qLijIh316utm4wKDAIJxV7erkAJWaQ36+4GVD7F2CrTMLtcre1O8YqJRqaLX24I1A/OV4ODs7glPyIKwBnBrIM+SCVXNgNRz0LA89S5APHsrjh6D+dDIapCQDADJUGqQ9TgXDKKDV6gSBy2BAZtoTKBUq+JYvj8qBgTj9xwWkpj4yTXAL+4uCYYRzaAmgZpXgiLA5TZgTENhrFTDy+VAqdcIYzAs+V6tWqwTf8uUAAG6ubtDaqcHn88jOeYLTv8fB3p6DnZ0D8vMMcNAqoVCaDZSlYLNgl5eXJ1tWk9uTwGR/AtmAxrKsyWFt4bZt8yVZc1ubohSmQyzCzZc/ZYIdCj9skt2QWVoo5t+8adCDWZmI+LuYHgqXIYR/8DLhxdzOhmEYySmlrOyiuEXE/5h2rBJB7c9ypqVqo2ArodSowIpuKIyFDk4Z8/oyaQdNsoXVerQ2gImwrOBUUziZQpid5eTmCEtCer3gN80kJRlMNm3mrkz0ej2ePHlisstTQDzAvWh76fUGGI16aTOFKJCIR9MJNjYmp42iltD0VSMgYBRCv9FqtabzCw0w6PWmX0WBW/gXxwkGxdIOMR4mYZiYjOFNbgLEPmsauMX+I7gV4SVtp7y+WKm8wiBMJKFO/F3a6WbShIlavkJRSxRYTTMLUStQBGnoMKXD80bJ/59erwenMHNESkgx94vCpCS1mfyIFYlodi0KIKKgKJafMX3YBC2zcINCoYBKqTKduZsPIzFKH28xLfEcWVHYFOtI3HHKmjZPiJM/0dWDud9J1rReSyQ/YSaHA9KxSQRKpQKOjo4QXd3wRiA/X+hzCqUSSpXarE2F4/iMJhMApYKFRi3Y1AGQCYbCJI4UVpLkXBSmjy8LlhH8wwl2a0ZZP4HJpx9jEg5YjjM5LWdh5HkoCAFTkI8CvR65+fko0BcuxXIK24ZoUWjXuxjB2xNwBkD9twIFEDcpCMu+er24y5lBfmY+FGpIZ3uKdnccx8HD0xP26VlwTr8NcADvLx/fxWcXN6ExChZpnQUboCpXA6DI5GAgJi2mSbBjAGE7NCHgGfFMaiGc4wSfnpxCgWoXrwIA7vl6I0mlkI6ncncXDkJ3cnZGswoV4OrmhuPHjuHIb0fgX6EitA5aOLs4A+Dx119/ISMjA95eXrCz08js2sQTMcx3pJo9VOG8ROzB4gQfACGmTSTS+GR+a+ESbeFqRKFbEpY1n8SyICafqAaTdptlOcnkScqdEKjUavj6+sLFzQV6vV46b1vqX6YJkLgpQqOxg0qlAcepwEDwCiB8dyGVhzVNspVKFmqNAzQaDTKzBHfZvORPlJWUJyzLIfDCFRAANz3dkGanht2TbHBKTtD2Go3IMWQjNzcXHMdBX1AAhgi7b7OyssEpb8OvUiA8fHxAeCN8ExKFB+R5sMsWwX7uIgwb2g9gCqBWqpDD5IBjjMKGPwUDb29PVPT1gq9POSiVCqQ8ToaS0YMjBeDAIzczBcb8JyjIyQM8HMEQPXhGj4ycFKjsVWAUPHiGx+O0/yF7xjIwKckgAIxe5ZE+dxmeqB1x+rcTyM/PAQwswDPYsXU3vMt5oVO3tggNaYGUR+lIT82HnVq0lC2cpLMMCyXLgeGVUHIMWMYAwcm1EQplFjRKI7Jy0sATPRgYwLEMXJy1cHV2NH2rOIDhUWDMxvUr5+DupoW9nQIcZ0SuygAlJyx320qZd8WKy6CSPYRpjUl8Ucw7uOh40SgdalvYqcUXSljWLczHXDC0+rEqor4vTbAjhVNKKf2iQmmhQAfppSVm8QUtmbiMZfoKygRTs7gmLY0VWbVwtsuYfTwhaDIYloNKqQLL5cJgNCAvLx8KtQpqezvBfQghJn9YPIhJyyHtdJRp6SwxF+4YUnQwYk32aQzAsOCNwgwrOzsbjhq1WbnNPmyAJOTb2dkhLy9PEtLM20bWDzgWhLDSMoW58CcMHoWascJ6ZqXZmThXNPI8GIMBnJU+Lgjiwr08Lxi7E0JM/tQgfUAUCiUUCn1hfxbtlkwCTKEvMMsaFX3V5efng/DCjJwx68/i8wr1DfBmAoC8O5vyYgFiZAu1MgwjLbNyChaMeLYjjNDrC90G6U1lM3cpU/R9Kdo3ZYIsih8kxGV9lmMlL/aCNtIy/fz8fNOxXoBGoxE0QjAdYlREa8UzvClt4Zgusb+I8q0g3MOs/go1vqxp04uRCDZJCk7Y9c2b7E4ZVjyE3Whmr8pCozGtFDCiVos17WbUo8DkmFqp5MAyCpMRvVgmcQMGZGOE8ODix9/U103vvDhJMR+DOE7wf8ezHIwm21CwwuYshmXBiXa9hIdGrcZd/yT8/vYN8JwwCGnttchDXrFtxfOmXZosC4bnkRecB72PEY6ZgMchB5xjeaiNBTAYWEnA50y+OpUaBewd1PDy9oKjoyM0Go30XipVamjNdpKat6PQRwq1cSAEUAAF3nqhzGkakHweRgWRdsOKO9QZ8Tg507mp0hhKCFiFAizDwCEtEwyANI7BncePkZdfALXGDs4u9tBoNLidcBsMIzj0rVK1KpScAj7lvXHzxk3oDXpUrVoZWns7ZGRkwKtcOTgU6NHoz4sgAP56ozYy7DSCM3izd1Z8KPMnlOY/pu+CcOKHuB3OcogX331zf5aifW7h2EKkcOG8X2GTHcCDZYlMABXj6QsKQBil9J6L77w4ATIaBZ+EGZmpUCg4eHi4QaOxg4JTw2hkYNAbTT7QCjXJ4vjNMkpwHIu8/Hzo9QXgWMCokO++YRjA+WESKl66BgLgcFV/FHAcuIICqFiVcFQZJ5i/5OXlITcnBzzPQ61QoqBAD5ZTQKfTISU5CdmpydBp7XC0Zxc4GwzwO30O7ObNIGPHwqViBVy6dB2ODk4A9LDT6AFjFnhjDrLSH6JZ09rwLFcOd29fw/HffgPLPwHRZwHGHCgZPdSs4ANSCSMMeU9gz/Hw9XAGAz1YQy40nB7uTgpo7VVCu1atBsPqdXCqWg03z5xFyt/34OziiPzcLMDIITnpIS6cPY26b1RBzRr+uFLdBxlpBVAqDAAvHp1HIJwvKZjxsCwDYigAw+eDYRRgFQVwdRXc4WTrkwE8Eb5HvOBKTDh+jkFGajoePniIG/EX4WCvgreXEzgOyMvLhsGgBMMIy/a2YnNMJycnaYYgbks3F2wKNSbymQunUIAxyDuruZZBUAYxYBj5B8p8ULdGUQHPfMnD/FrUjJnHK4xjWlLmC91SsCwLo6RMMQl75i8zywB84XKuiExYZEX3GvKPbWEcsTAmla7poyMuYwKCnVlBQQFUptkmz4gG+IJNhLjRgiGFTpaFJavCx7UmdDKMyZedkTdpNnjTMo8wuBqNRqSmpCI1NRW+Xp5QcArhOCTTLFf8aIoDA8dxcHJyEvoECzObOl5qa3EyYC70mG/nF/qVwUxIF3aQKhWsyV4L4PUEDCcIbCwjqCkNRiNYkxZOtG0T+2hBQQFYUVMirEOZPHcz0gxWjGvgDVBr1OAg2Eww4IXdg4rCXaNiOUVzBKPRCMYoLG0zJg2UtY00LFv0FeMlzY6QKCPIr0bhw8EwENztiIKISQNpMNs1aC5Ai/VoriEq+nEQyy7rDybBgjHNCojFZ0rQIoMjhXeYXiVRgy18WAiMXOEmGgXhYIRR+miDmOzIeB6cyYedQqEAK0nmhR93UfslfrzEP2ksYMQlcsYk9AonsYhmIMSk/RGFEwaCuwqAMS0tCVo/nueRn58HvaHAtPwvGMkXFBhlBvFi+UyJSR94FNHEGMx2d5r3cRG1QgmYHJbzIDDyRuQbDWCNRmmTjpoB8rQF+G3sRWR4Cud/eh11gnuiE5JUghmC+GfepqJNlzihNDdhZjkWSoWwEUR4N0UDeXtoNPbQOtpDo1VBrVZDdOZc2I955OXlFm7GMRtvGVM7sBAc1ho89Eiak4mCAAMUBgWCr9Qx7bo2nQFLiOTcXdzEQ0xCPAiRvAHwBsEZulhzhoICpKelAazgikrMG2BgMApuLYLq1kGNqtWg0+lQrpwX9uzZjWPHjsHb2wuVKlWCUqFAuRsJCEi8B8Iw+KteLRhMZRL9z4nIVkJM/ZI1HT0mLFwUruSYjIcsvlHieyiOReLSvGgiUmiTWDhVFjWo4uRG7P9i+4r/NndYbDAYpLR5XpgsC9oyBjk59lCpNFJ5GMGTuZAGLzqXF4QwhjFAyQu25fn5+dCoBB+kMI11RqOgrdMYjVDmCZuTnjhqJRtpAELbSn5tFdBqhSV7D1c32GsdoVSp4OTkBKPBgJ9/3AF3NzcQjRLXmwbD7/Q58DyPn3/6Hg91OiQ/TsPF8+egULG497948CQXi7++BXs7YSOeq6sbHielICvjCRzsdbh1/Twe3L+G/Jw0EH0OWIbg8cP72LN1Kwr0RuSnZ0Kl1uDIzzuQm1eAQA9HXG4TAp/7/4P99Ws4M2UcfmnSFHp9PtQaJbLSMrBx7TLo9UBebibstUasX78YPMnDxb8ugfBq/HnWAbh+HaEQvtO5uVlQKXkYTEqg29eu4e6DuzAqGBgNeuRnpkPBqcCwefjlpx/w8MEDXL96HRUqVIRfRX9wDIecJ9m4dvUaFIwRQbVqwM5Og9S0ZHh5eUGtVglOo60ouorDZsFOrVbLdi/JPhRFpi/mS5MsU9SGhsgGiKICWlmxdn9RIc/aPea/i0uu1iCESLZSgPmqVREB0iw9RtI6md1krRxm2hzGNNMTtj0bUPRDLOUh/p8pvBaXvqQyWptOmufLFA4t4kdaqAPh30+ePEF29hNhYGM5yRGzIHhxkmZCdGEjHhXHsJAvnaHwmuc5EFIoFFpql3gAhUtcwjqguARu+phC3OUpNBfHsTJv7uZpGQwG08YQQagjvFGwLTJJvqIDUEKEk1QYloHCJLTwRj30+fnQ2KlNLiYsl6CkfsfIr+UaYfG5LFtR3Ckq9TuTDZLpWwcjMX0ACS9NMoq0oimPwj4s68+y+rCsI3mZhcmKqNEBU7j7WkjX5ItRssuUTxhEYVKyEWJEv4TCn16vh14U9M29/kvvoblW2dKO1nISJzy/6K9RZq0he4/lbcZxHAggfXx4ojHtSOdgMORB8P0m2NwJfZGXpWEt3aJh5sKdtOTMsILWDoJwZ+SNUBj00Jsc0fKEQEGUyNcYkO8oLJmqMxUwuPK4+sVDaJeroDzOgctnYMwhsuwJA8G+lGEE/2ts4fMrFAq4uLjASecItVoDjVoDllVAqRQ08ayCg4JTSK5GRE06IQS6/DxcbXwOlwRPImD5QjcdgsYVsFOqwHvwuL84BXkNBG2d198eqGDwhUrNFZ5ZyvOmTUDC6oRwtq3so2B674wwMgyMpjas8SgF9e49wuVKFaHR2EGpUglaC5ZAwymQnZ2NzMxMJNy9I5w3ouDg7euDu/duw83VFfYaDcpfu43g305JWYkTDmtKgeIR7WdNvY6IJjFFeoCVMdt8dUv8ycjzwtGQbKGvyUJFQjHjDOTvsdFM48iyLBwcHcByQt+1s9PIym7+TkgCtjSmyU2bhDYi0gqGsENfIUzyTCi4QlOcos9rZ2cHlVKJ/Px8PMnOxuOUdHAcC2dnZ6jVwiRDa6eGeHqOSHbWYxjUDJwd7cHw+cjLzoHWXlihykq/D5XCDcTIIT01B/l5ueCNerBqDfLyMvDkSQpycrPB88L7azTqkZeVAwVYKHgeLOGRnfQ39AY9dByPxxU9ke7hBvvsHGTfvo7MahXh7e0NrVaDJ9mZyEx7AJXGDi5uGugMDLSOCsH2FgFIfpSNpEdJEM5MFyYkBfk5Jq214A2AFAD63DQYOQhO+Y3ZULDOMKizkZxkwKP7/8PDe3eQmZqM1EcPoVaqYW9nDyXLQ5+Xi+tX4pGaloas7Ex07tIFDo4OEF1R2UqZlmLLKoiJs+zifhMGPh680WoUm5DZNL1CzLUOtsvVAoxJU6hSCbPnvPw86WNnVXNZ5JKHvJpLkessy43CWWihJkgQjpScfFex8JvRtAtWD3t7+0KbO0Zubyja2xV+pI2Sls+6yxvzQU1woSMuNcm1UEI8pVIFmOw7JIEPjGSrwnIKk22TMOMWnOoWzpzFMuiNerAMA6NpcNXn58FoMEClVpZaj4zZkpx5OwlpM4LjVlL4u9DvTXaRnGiqLdQdGMEsQdwpKbhz42W2OaW2pVQPJfQCxmTnxYraMfMNReZaX+tLvNbyNa8LVnTOyDCC3y5AOi9VOivZyvsqaiyKbkCQ7HpLrYGSEY/uEgzStYIzY1bQEmZlFlgIrWWhqGBtvnIgns8LcYLCsYCCg5IXfEIaeEHDaac2QGEUPqD5TgbkB2UBALLq54F7zEJxlYXysOA42qR7BlgGLGtEAWeAgmNRUKvQMXH1nFx45yfBLj3LbOMbA45VmGy7DJIgKL6vHMeBKFn81uY6lo79G3l2gLAZlyD73WyI65UMgDwFC72vAXnBglDX/EZDdD7ZFhXtfZHHp8GQb5AmrZDakJgcFou+I4X8C3fZAydCGqLdw7/hlp2LEZdu4lcDD2VKFhRKJVQqNZRqNfQGA5IfP4ZOJxw6//jxY6EMdho05vVwM96Ek/E66sb9AVWBYLiQ7OaCHLWq+HG1pL4jaotNEzJi6iulrSqZr0qIS6EFBQVgAdhp7AqnsaRwlUiMb26rW/RVViqVMlMGhhEdk/NFNM7iqyy60SpGYUAKJ2KSBCq9p2ZCMAHqJ95Dfka24LOQAXhi8nhgMEJvMEiCem5ODniz04A4jkV5Ly84OTshPz8fjo8LXZ5wrAEqBYHRwIA3FsCoF9zdKBQqGI0FyM3KAiEM7O15wAChTxI9wBghuAQzyJ5L6N/CBiwF4cCyPBRKwc6XNUI6icc/vwBtrt6Ew72/odGokZWVAYYF0jIzkJ8nuB/iFIDWQY1arBKACk+yslAxK0fIR6kAYBQ2uRHT+fI8DxZ68Izgu5BlDICRAeELwHFO8CnvDWIw4H93/4db16+DN/Jwc3UDeODx338jIz0dLMfC2dXZ5NOOk7mCsoUyuzsRZx+lxZX+IO8YlvFYwMLDvu08q8bveSMt1ZTtLuFF5goPuAfMNnCUoLmTLb2iTG1fmJKoYTR9mIVt8nrh3FZGcGMh2YSQwuWfHJMthb29vcUMTly2FAcfjmNhMNhSOvFjXihUGAx6KBUqWSyGERxz8jwjP8lE1F5If4KQyBKTQxszrZromFY4w1NhUseKO2Ft7ZOFH8aiHwvhYyV3RiqEAyxr2oXNMYWKSsYkRPMwnYIBQDQDsEGwE/Ow5X0oPNjc5IqFB4pq4mBmB1oS5po3gJfcOYhaDo7joFQokG9qJ0n7YaFpt65NL1y2LtvgVhTzJTKgcNnM2tJ12dO2FOjEcvMmbZRpQUx4TznGdL4yC5KfD/BGaHM16Li/KXb0OAKe41HxhAf4XB732qTAUJGHoSKPvA4Gm8tULyUd5R+ml/lZ/goCvh4LQagDAA7IfjenxHsYnkHjI/WhTbFHtjYHxHwDjCjgsKYd44SAIRzMTRLEMUWv1+OuixN21K6MYacuwsFgxJuXbgC4UebnELlcozLSnBxxqVYVPNHalfkczUINMYAiAljJ9zFSHyOiSQnPIy8vTxDs1Bq5Nq2Its48f6ZIx5dvUiPgeYPZ2MyDk5vJQfaRKIL4rosRin7DpBNSTKm0/Otqqc9ePFeshhKjASDC8XOi03xiJOB5DgY9wJhcKCk4HhyrBsCYlicFB+aA+QSbNR3UIowXjEoBKBmIQzrDFb6bVZ7kosqfF57qSXLtNDjZqa1wRCBvBE+UIETQ2nEmTTohjMnnJ2eqUw5qhRKuzs7Iz8nF7fTbwok5vCA0P8nKBq8n8HB3Q3lfH6SmpiE7Jxv2DvZQKG3vuQwpy8IthUKhUCgUCuUfy7NPVSkUCoVCoVAo/wioYEehUCgUCoXymkAFOwqFQqFQKJTXBCrYUSgUCoVCobwmUMGOQqFQKBQK5TWBCnYUCoVCoVAorwlUsKNQKBQKhUJ5TaCCHYVCoVAoFMprAhXsKBQKhUKhUF4TqGBHoVAoFAqF8ppABTsKhUKhUCiU1wQq2FEoFAqFQqG8JlDBjkKhUCgUCuU1gQp2FAqFQqFQKK8JVLCjUCgUCoVCeU2ggh2FQqFQKBTKawIV7CgUCoVCoVBeE6hgR6FQKBQKhfKaQAU7CoVCoVAolNcEKthRKBQKhUKhvCZQwY5CoVAoFArlNYEKdhQKhUKhUCivCVSwo1AoFAqFQnlNoIIdhUKhUCgUymsCFewoFAqFQqFQXhOoYEehUCgUCoXymkAFOwqFQqFQKJTXBCrYUSgUCoVCobwmUMGOQqFQKBQK5TWBCnYUCoVCoVAorwlUsKNQKBQKhUJ5TaCCHYVCoVAoFMprAhXsKBQKhUKhUF4TqGBHoVAoFAqF8ppABTsKhUKhUCiU1wQq2FEoFAqFQqG8JlDBjkKhUCgUCuU1gQp2FAqFQqFQKK8JVLCjUCgUCoVCeU2ggh2FQqFQKBTKawIV7CgUCoVCoVBeE6hgR6FQKBQKhfKaQAU7CoVCoVAolNcEKthRKBQKhUKhvCZQwY5CoVAoFArlNYEKdhQKhUKhUCivCVSwo1AoFAqFQnlNoIIdhUKhUCgUymsCFewoFAqFQqFQXhOoYEehUCgUCoXymkAFOwqFQqFQKJTXBCrYUSgUCoVCobwmUMGOQqFQKBQK5TWBCnb/QhITE8EwDObNm/eqi2Iz/4QyHzlyBAzD4MiRI6+sDC8bf39/REZGStf/1joQ+8/atWulsKioKDAMI4tX9HnLyoYNG1C9enUolUo4Ozs/dTrFYa3ML5MX/Xz/FF50PTMMg6ioKJvjjh079qnysdbvnzcvc2x+1f3/vwIV7J6SJ0+eYNq0aejYsSNcXV2f+eXz9/cHwzCl/r3IF5zyfJk5cyZ27tz5qovxr+K7777DggULXkneV69eRWRkJAIDA7F69WqsWrXqlZTjRfG6P9+r5MSJE4iKikJ6evoLz2vPnj02C5WU/yaKV12AfyvJycn4/PPPUbFiRdStW/eZNSALFizAkydPpOs9e/Zg8+bN+Prrr+Hu7i6FN2vW7Jny+S8TGhqK3NxcqFSql5LfzJkz0adPH/To0eOl5GcLL7sOysp3332HS5cuYeLEibJwPz8/5ObmQqlUvrC8jxw5Ap7nsXDhQlSuXPmF5PF///d/+Oijj15I2qXxMp7vv0Jubi4UisLP54kTJxAdHY3IyMjnqgm11u/37NmDpUuXUuGOUixUsHtKvL298fDhQ3h5eeHPP/9Ew4YNnym9oh//v//+G5s3b0aPHj3g7+8v+y0xMfGZ8vo3kp2dDa1W+0xpsCwLjUbznEr07+TfWgcMw7zwciclJQHAC12iVCgUMoHgZfIini8nJwf29vbPLT1bMRgM4Hn+lU1QXtY79DL6PeX1gy7FPiVqtRpeXl6vuhhYtWoVAgMDoVar0bBhQ5w+fdoiztWrV9GnTx+4urpCo9GgQYMG+Pnnn0tNu1WrVjYtCaenp2PixImoUKEC1Go1KleujNmzZ4Pneavpfv311/Dz84OdnR1atmyJS5cuyX6PjIyEg4MDbt26hc6dO8PR0RFvv/02ACAuLg59+/ZFxYoVoVarUaFCBUyaNAm5ubmlPo81+7IbN26gd+/e8PLygkajga+vL/r164eMjIwS0yrtPoZhkJ2djXXr1kl1Jtp+3blzB6NHj0a1atVgZ2cHNzc39O3b10JgX7t2LRiGwfHjxzF58mR4eHhAq9WiZ8+eePz4sSwuIQQzZsyAr68v7O3t0bp1a1y+fNmmOmjVqhVq166N+Ph4tG7dGvb29vDx8cGcOXMs7r9z5w66d+8OrVYLT09PTJo0Cfv377fJbi8rKwsTJ06Ev78/1Go1PD090b59e5w9e1Yqx+7du3Hnzh2pzsRJzYu2NfL398e0adMAAB4eHjIbqp9++gldunRB+fLloVarERgYiOnTp8NoNFqkc+rUKXTu3BkuLi7QarWoU6cOFi5cKP1uzcZItMHauXMnateuDbVajVq1amHfvn0W6R85cgQNGjSARqNBYGAgVq5caZPdUknPBwDLli1DrVq1oFarUb58eYwZM8ZiWVHsJ2fOnEFoaCjs7e3xySefYPLkyXBzcwMhRIo7btw4MAyDRYsWSWGPHj0CwzBYvnw5AKCgoACfffYZgoOD4eTkBK1Wi5CQEBw+fFiWr7kN2IIFC6TxLj4+HgBw7NgxNGzYUFYntrBo0SJwHCd7zvnz54NhGEyePFkKMxqNcHR0xIcffiiFmddfVFQU3n//fQBAQECA1HeLvs+2tG9Rivb7yMhILF26VCqD+Cfy/fffIzg4GI6OjtDpdAgKCpL1v9IobWwGgEOHDiEkJARarRbOzs548803ceXKFYt4trRLy5YtUbduXatlqVatGsLCwmwuO6UQqrH7F/Pdd98hKysLI0aMAMMwmDNnDnr16oXbt29LqvvLly+jefPm8PHxwUcffQStVostW7agR48e2L59O3r27Fls+p9++imGDh0qC9u4cSP2798PT09PAMKMvWXLlrh//z5GjBiBihUr4sSJE/j444/x8OFDC3up9evXIysrC2PGjEFeXh4WLlyINm3a4OLFiyhXrpwUz2AwICwsDC1atMC8efMkrcDWrVuRk5ODUaNGwc3NDX/88QcWL16Me/fuYevWrWWqv4KCAoSFhSE/Px/jxo2Dl5cX7t+/j127diE9PR1OTk5Pfd+GDRswdOhQNGrUCMOHDwcABAYGAgBOnz6NEydOoF+/fvD19UViYiKWL1+OVq1aIT4+3kIDMm7cOLi4uGDatGlITEzEggULMHbsWPzwww9SnM8++wwzZsxA586d0blzZ5w9exYdOnRAQUGBTXWRlpaGjh07olevXggPD8e2bdvw4YcfIigoCJ06dQIgaE3btGmDhw8fYsKECfDy8sJ3331n8SEujpEjR2Lbtm0YO3YsatasiZSUFBw7dgxXrlxB/fr18emnnyIjIwP37t3D119/DQBwcHCwKe1nZcGCBVi/fj1+/PFHLF++HA4ODqhTpw4AQcB2cHDA5MmT4eDggEOHDuGzzz5DZmYm5s6dK6Vx8OBBdO3aFd7e3lL9XLlyBbt27cKECRNKzP/YsWPYsWMHRo8eDUdHRyxatAi9e/fG3bt34ebmBgA4d+4cOnbsCG9vb0RHR8NoNOLzzz+Hh4fHMz1fVFQUoqOj0a5dO4waNQrXrl3D8uXLcfr0aRw/fly2DJiSkoJOnTqhX79+eOedd1CuXDnwPI+vv/4aly9fRu3atQEIEzCWZREXF4fx48dLYYBgDgAAmZmZWLNmDfr3749hw4YhKysL33zzDcLCwvDHH3+gXr16smeIiYlBXl4ehg8fDrVaDVdXV1y8eBEdOnSAh4cHoqKiYDAYMG3aNNlYUhwhISHgeR7Hjh1D165dLcotcu7cOTx58kQqd1F69eqF69evW5jOmLeLLe1rCyNGjMCDBw9w8OBBbNiwQfbbwYMH0b9/f7Rt2xazZ88GAFy5cgXHjx8vtf8Bto3NsbGx6NSpEypVqoSoqCjk5uZi8eLFaN68Oc6ePStNxGxtl4EDB2LYsGG4dOmS1HcAYYy8fv06/u///s/muqGYQSjPzOnTpwkAEhMT89zSnDt3LgFAEhISLH5LSEggAIibmxtJTU2Vwn/66ScCgPzyyy9SWNu2bUlQUBDJy8uTwnieJ82aNSNVqlQpU5mOHz9OlEolGTJkiBQ2ffp0otVqyfXr12VxP/roI8JxHLl7966szHZ2duTevXtSvFOnThEAZNKkSVJYREQEAUA++ugjizLk5ORYhM2aNYswDEPu3LlTYvkPHz5MAJDDhw8TQgg5d+4cAUC2bt1a+sObYet9Wq2WREREWIRbe4aTJ08SAGT9+vVSWExMDAFA2rVrR3iel8InTZpEOI4j6enphBBCkpKSiEqlIl26dJHF++STTwgAWRmK1gEhhLRs2dIi7/z8fOLl5UV69+4thc2fP58AIDt37pTCcnNzSfXq1S3StIaTkxMZM2ZMiXG6dOlC/Pz8LMLF/mP+jk2bNo0UHcL8/Pys1rktiOk9fvxYFm6tvUaMGEHs7e2l98pgMJCAgADi5+dH0tLSZHHN28RamQEQlUpFbt68KYVduHCBACCLFy+Wwrp160bs7e3J/fv3pbAbN24QhUJhkaatzyf2nQ4dOhCj0SiFL1myhAAg3377rRQm9pMVK1bI0k1KSiIAyLJlywghhKSnpxOWZUnfvn1JuXLlpHjjx48nrq6uUn0YDAaSn58vSystLY2UK1dONsaIba/T6UhSUpIsfo8ePYhGo5G9+/Hx8YTjuFLrxGg0Ep1ORz744ANCiNBObm5upG/fvoTjOJKVlUUIIeSrr74iLMvK2hUAmTZtmnRd0nhta/taw1q/HzNmjNVnmzBhAtHpdMRgMJSYZnF52DI216tXj3h6epKUlBTZs7AsSwYNGiSF2dou6enpRKPRkA8//FBWpvHjxxOtVkuePHlSpmehCNCl2H8xb731FlxcXKTrkJAQAMDt27cBAKmpqTh06BDCw8ORlZWF5ORkJCcnIyUlBWFhYbhx4wbu379vU15///03+vTpg3r16mHZsmVS+NatWxESEgIXFxcp/eTkZLRr1w5GoxFHjx6VpdOjRw/4+PhI140aNULjxo2xZ88eizxHjRplEWZnZyf9Ozs7G8nJyWjWrBkIITh37pxNzyIiauT279+PnJycF36fiPkz6PV6pKSkoHLlynB2dpaWJc0ZPny4bLklJCQERqMRd+7cASDMogsKCqTlL5GiGxBKwsHBAe+88450rVKp0KhRI6kvAcC+ffvg4+OD7t27S2EajQbDhg2zKQ9nZ2ecOnUKDx48sLlc/wTM20t8j0JCQpCTk4OrV68CELQ6CQkJmDhxooUNmy3uHdq1aydpdAGgTp060Ol0Uv0bjUbExsaiR48eKF++vBSvcuXKkkb1aRD7zsSJE8GyhZ+DYcOGQafTYffu3bL4arUagwcPloV5eHigevXq0rt+/PhxcByH999/H48ePcKNGzcACNqwFi1aSPXBcZxkI8fzPFJTU2EwGNCgQQOr70Hv3r1lWjCj0Yj9+/ejR48eqFixohReo0YNm5bwWJZFs2bNpHJfuXIFKSkp+Oijj0AIwcmTJ6Vy165d+5lsE0tr3+eBs7MzsrOzcfDgwae6v7Sx+eHDhzh//jwiIyPh6uoqxatTpw7at28vxStLuzg5OeHNN9/E5s2bpaV8o9GIH374AT169Hhmu+r/KlSw+xdj/tIAkIS8tLQ0AMDNmzdBCMHUqVPh4eEh+xPtbUSD6pIwGAwIDw+H0WjEjh07oFarpd9u3LiBffv2WaTfrl07q+lXqVLFIv2qVata2KMoFAr4+vpaxL179640sDg4OMDDwwMtW7YEgFLt4ooSEBCAyZMnY82aNXB3d0dYWBiWLl1aajpPe59Ibm4uPvvsM8km0d3dHR4eHkhPT7eaRmntLAp4RevWw8NDJviXhK+vr4UA4uLiIuUh5hMYGGgRz9YdlnPmzMGlS5dQoUIFNGrUCFFRUc/1w/aiuHz5Mnr27AknJyfodDp4eHhIQrDYXrdu3QIA2XJSWSjaxoC8/pOSkpCbm2u1rp9lh6vYd6pVqyYLV6lUqFSpkvS7iI+Pj9UNCyEhIdLyZVxcHBo0aIAGDRrA1dUVcXFxyMzMxIULF6TJp8i6detQp04daDQauLm5wcPDA7t377b6HgQEBMiuHz9+jNzcXKtjStHnKY6QkBCcOXMGubm5iIuLg7e3N+rXr4+6detKz3Ps2DGLcpeV0tr3eTB69GhUrVoVnTp1gq+vL4YMGWKTHZ9IaWNzcX0FEIS25ORkZGdnl7ldBg0ahLt370r1HRsbi0ePHmHgwIE2l50ih9rY/YvhOM5quDjzETcvTJkypdgZrC0fhffffx8nT55EbGyshbDF8zzat2+PDz74wOq9VatWLTV9a6jVapkGARBmcu3bt0dqaio+/PBDVK9eHVqtFvfv30dkZGSxmzVKYv78+YiMjMRPP/2EAwcOYPz48Zg1axZ+//13q4Lls94HCDZzMTExmDhxIpo2bQonJycwDIN+/fpZfYbS2vl58DLyCA8PR0hICH788UccOHAAc+fOxezZs7Fjx45n0jq9SNLT09GyZUvodDp8/vnnCAwMhEajwdmzZ/Hhhx8+VZ+zxsuo/+eBufbSnBYtWmD16tW4ffs24uLiEBISAoZh0KJFC8TFxaF8+fLgeV4mIG3cuBGRkZHo0aMH3n//fXh6eoLjOMyaNUsSlG3J+1lo0aIF9Ho9Tp48KZUbKBRUr169isePHz+zYPcy2tfT0xPnz5/H/v37sXfvXuzduxcxMTEYNGgQ1q1b99zyed6EhYWhXLly2LhxI0JDQ7Fx40Z4eXlJygFK2aGC3WtMpUqVAABKpfKpX5Lvv/8eCxYswIIFCyTNmDmBgYF48uSJzemLyzLmXL9+3cKlizUuXryI69evY926dRg0aJAU/rRLDyJBQUEICgrC//3f/+HEiRNo3rw5VqxYgRkzZjzTfcUtwW3btg0RERGYP3++FJaXl/fUzk39/PwACHUrtjkgaDSep0bAz88P8fHxIITInu3mzZs2p+Ht7Y3Ro0dj9OjRSEpKQv369fHFF19Igt0/zSv9kSNHkJKSgh07dsiM5xMSEmTxxGW2S5cuvZAPkqenJzQajdW6Lkv9F0XsO9euXZP1nYKCAiQkJNj8LKLgc/DgQZw+fVry1RcaGorly5ejfPny0Gq1CA4Olu7Ztm0bKlWqhB07dsjaXVxNKA0PDw/Y2dlZHVOuXbtmUxqNGjWCSqVCXFwc4uLipN2toaGhWL16NX799VfpuiReZr8tKS+VSoVu3bqhW7du4Hkeo0ePxsqVKzF16tRSJ/Gljc3mfaUoV69ehbu7O7RaLTQaTZnaheM4DBgwAGvXrsXs2bOxc+dODBs2rFhhmFI6dCn2JfDw4UNcvXoVer3+pebr6emJVq1aYeXKlXj48KHF70VdZhTl0qVLGDp0KN55551id1WFh4fj5MmT2L9/v8Vv6enpMBgMsrCdO3fK7Pr++OMPnDp1yiaNjfiim89yCSFl2s5vTmZmpkX5goKCwLIs8vPzn/k+rVZrVVjjOM5ipr548WKr7jNsoV27dlAqlVi8eLEs3ed9gkNYWBju378vc5WTl5eH1atXl3qv0Wi0WF7z9PRE+fLlLeqsrEvqLxJrfa6goEBmZwoA9evXR0BAABYsWGDR5s9DK8NxHNq1a4edO3fKbBRv3ryJvXv3PnW67dq1g0qlwqJFi2Tl/Oabb5CRkYEuXbrYlE5AQAB8fHzw9ddfQ6/Xo3nz5gAEge/WrVvYtm0bmjRpIvPhZ61uT506Jdm2lQbHcQgLC8POnTtx9+5dKfzKlStWxyNraDQaNGzYEJs3b8bdu3dlGrvc3FwsWrQIgYGB8Pb2LjEd0RbsZZw8UVxeKSkpsmuWZaWdzyWNZyKljc3e3t6oV68e1q1bJ8v70qVLOHDgADp37gzg6dpl4MCBSEtLw4gRI/DkyROZvS+l7FCN3TOwZMkSpKenSwPtL7/8gnv37gEQlttEI/uPP/4Y69atQ0JCgk2aqefJ0qVL0aJFCwQFBWHYsGGoVKkSHj16hJMnT+LevXu4cOFCsfeKRtKietycZs2aoVKlSnj//ffx888/o2vXroiMjERwcDCys7Nx8eJFbNu2DYmJibKTMypXrowWLVpg1KhRyM/Px4IFC+Dm5lbsUq451atXR2BgIKZMmYL79+9Dp9Nh+/btT62VOnToEMaOHYu+ffuiatWqMBgM2LBhAziOQ+/evZ/5vuDgYMTGxuKrr75C+fLlERAQgMaNG6Nr167YsGEDnJycULNmTWmZuyxuD8zx8PDAlClTMGvWLHTt2hWdO3fGuXPnsHfvXlndPysjRozAkiVL0L9/f0yYMAHe3t7YtGmT5EC1JE1CVlYWfH190adPH9StWxcODg6IjY3F6dOnZZrL4OBg/PDDD5g8eTIaNmwIBwcHdOvW7ZnKbe4Lr6w0a9YMLi4uiIiIwPjx48EwDDZs2GAhrLEsi+XLl6Nbt26oV68eBg8eDG9vb1y9ehWXL1+2WdAoiaioKBw4cADNmzfHqFGjYDQasWTJEtSuXRvnz59/qjQ9PDzw8ccfIzo6Gh07dkT37t1x7do1LFu2DA0bNizTBzYkJATff/89goKCJNvO+vXrQ6vV4vr16xgwYIAsfteuXbFjxw707NkTXbp0QUJCAlasWIGaNWvKTuEpiejoaOzbtw8hISEYPXo0DAYDFi9ejFq1auGvv/6yudxffvklnJycEBQUBECYdFSrVg3Xrl2z6exhURP56aefol+/flAqlejWrdsLMf4X8xo/fjzCwsLAcRz69euHoUOHIjU1FW3atIGvry/u3LmDxYsXo169eqhRo0ap6doyNs+dOxedOnVC06ZN8e6770ruTpycnGR+EcvaLm+88QZq166NrVu3okaNGqhfv/6zV9R/mZe8C/e1ws/PjwCw+me+7V1032FtK3xx2OLuZO7cuRa/ocg2fEIIuXXrFhk0aBDx8vIiSqWS+Pj4kK5du5Jt27Y99fOZb7/PysoiH3/8MalcuTJRqVTE3d2dNGvWjMybN48UFBRYlHn+/PmkQoUKRK1Wk5CQEHLhwgVZvhEREUSr1VotU3x8PGnXrh1xcHAg7u7uZNiwYZLrgNLczRR19XH79m0yZMgQEhgYSDQaDXF1dSWtW7cmsbGxJaZj631Xr14loaGhxM7OTuZ2JC0tjQwePJi4u7sTBwcHEhYWRq5evWrhqkN0d3L69OkSn4MQwXVDdHQ08fb2JnZ2dqRVq1bk0qVLFmkW5+6kVq1aFs8ZERFh4Xrk9u3bpEuXLsTOzo54eHiQ9957j2zfvp0AIL///nuxdZafn0/ef/99UrduXeLo6Ei0Wi2pW7eu5CJD5MmTJ2TAgAHE2dmZAJDyfxZ3J+7u7qRJkybFlq1oekXdnRw/fpw0adKE2NnZkfLly5MPPviA7N+/36qLl2PHjpH27dtLz1inTh2ZS4vi3J1YcwNj7Vl+/fVX8sYbbxCVSkUCAwPJmjVryHvvvUc0Gs1TPx8hgnuT6tWrE6VSScqVK0dGjRpl4baluH4isnTpUgKAjBo1Shberl07AoD8+uuvsnCe58nMmTOJn58fUavV5I033iC7du2y6HcljXeEEPLbb7+R4OBgolKpSKVKlciKFSus1nNx7N69mwAgnTp1koUPHTqUACDffPONxT3Wxtnp06cTHx8fwrKsbOwuS/sWxVq/NxgMZNy4ccTDw4MwDCM957Zt20iHDh2Ip6cnUalUpGLFimTEiBHk4cOHNuVhy9hMCCGxsbGkefPmxM7Ojuh0OtKtWzcSHx9vEa+s7TJnzhwCgMycObPE8lJKhyHkH2adS6FQ/lUsWLAAkyZNwr1792TuEv4JxMfHo1atWti1a5fNy4r/Nnr06IHLly9btWmiUP4tLFy4EJMmTUJiYqLVXcQU26E2dhQKxWaKHt2Wl5eHlStXokqVKv84oQ4ADh8+jKZNm742Ql3R+r9x4wb27NmDVq1avZoCUSjPAUIIvvnmG7Rs2ZIKdc8BqrGjUCg206lTJ1SsWBH16tVDRkYGNm7ciMuXL2PTpk0WNlSU54+3tzciIyMlH3PLly9Hfn4+zp07Z9VvGIXyTyY7Oxs///wzDh8+jNWrV+Onn36SOUCnPB108wSFQrGZsLAwrFmzBps2bYLRaETNmjXx/fff46233nrVRftP0LFjR2zevBl///031Go1mjZtipkzZ1KhjvKv5PHjxxgwYACcnZ3xySefUKHuOUE1dhQKhUKhUCivCdTGjkKhUCgUCuU1gQp2FAqFQqFQKK8JVLB7yaxduxYMwzyVs9R/Kv7+/jY58XxVREZGvnTH0JSXR2RkJBwcHF51McrEP6HMrVq1eu1301p79xmGkTnT/a/DMAzGjh37qotBeY5QwY5CeYWcOHECUVFRL+UoohdFTk4Oli5dig4dOsDb2xuOjo544403sHz58qc6Jk2c/JT2R4V1CsU2XodxhmI7dFfsS2bgwIHo168f1Gr1qy4K5R/AiRMnEB0djcjISDg7O7/q4jwVt2/fxrhx49C2bVtMnjwZOp0O+/fvx+jRo/H7779j3bp1ZUovNDQUGzZskIUNHToUjRo1wvDhw6WwV63x+rdz4MCBV12EV0Jubq7szNr/Aq/DOEOxnf9W7/4HwHGcdPj1P5WcnBzY29u/6mJQnoHs7OwXck6lNby8vHDx4kXUqlVLChsxYgSGDBmCmJgYTJ06FZUrV7Y5vUqVKqFSpUqysJEjR6JSpUr0cHAABoMBPM9DpVI9UzrPev+/FfFsY8rLIy8vDyqVCixLFwlfBrSWXzLWbOz8/f3RtWtXHDt2DI0aNYJGo0GlSpWwfv16m9KcN28emjVrBjc3N9jZ2SE4OBjbtm2z6d5WrVqhdu3aOHPmDEJDQ2Fvb49PPvkEAJCfn49p06ahcuXKUKvVqFChAj744APk5+eXmGZqaiqmTJmCoKAgODg4QKfToVOnTrhw4YIsXkREBDQaDa5cuSILDwsLg4uLCx48eCCF7d27FyEhIdBqtXB0dESXLl1w+fJli7x37tyJ2rVrQ6PRoHbt2vjxxx9tqgegsB0OHDiAevXqQaPRoGbNmtixY4dF3Nu3b6Nv375wdXWFvb09mjRpgt27d1vEEw++tre3h4uLCxo0aIDvvvsOgHCo+/vvvw8ACAgIkJYYExMTkZiYCIZhsHbtWos0i9oIRUVFgWEYxMfHY8CAAXBxcUGLFi2k3zdu3Ijg4GDY2dnB1dUV/fr1w//+9z+b66U03N3dZUKdSM+ePQHAon1fFPfv30ePHj3g4OAADw8PTJkyxWIpmOd5LFiwALVq1YJGo0G5cuUwYsQIpKWllZj2kSNHbF4StrWvAkI/CgsLg1arRfny5fH555/D3AOV2A/mzZuHBQsWIDAwEGq1GvHx8SgoKMBnn32G4OBgODk5QavVIiQkBIcPH7apvqzZ2JXUX0urmy1btuCLL76Ar68vNBoN2rZti5s3b8riFmePW7Qs/v7+xdb3kSNHpHop7q8kint/bt68KWm0nJycMHjwYOTk5Mjuzc3Nxfjx4+Hu7g5HR0d0794d9+/ft8luz9b2Mm/zVatWSW3esGFDnD592iLdQ4cOSf3N2dkZb775puydK2mcMUccO9VqNWrVqoV9+/ZZ5HX//n0MGTIE5cqVk+J9++23sjhif/j+++/xf//3f/Dx8YG9vT0yMzNLrB/K84Nq7P4h3Lx5E3369MG7776LiIgIfPvtt4iMjERwcLDVj6Y5CxcuRPfu3fH222+joKAA33//Pfr27Wvz+ZgpKSno1KkT+vXrh3feeQflypUDz/Po3r07jh07huHDh6NGjRq4ePEivv76a1y/fh07d+4sNr3bt29j586d6Nu3LwICAvDo0SOsXLkSLVu2RHx8PMqXLy+V+9ChQ4iIiMDJkyfBcRxWrlyJAwcOYMOGDVK8DRs2ICIiAmFhYZg9ezZycnKwfPlytGjRAufOnZM+rAcOHEDv3r1Rs2ZNzJo1CykpKRg8eDB8fX1tawQIRzS99dZbGDlyJCIiIhATE4O+ffti3759aN++PQDg0aNHaNasGXJycjB+/Hi4ublh3bp16N69O7Zt2yYJNKtXr8b48ePRp08fTJgwAXl5efjrr79w6tQpDBgwAL169cL169exefNmfP3113B3dwcAeHh44PHjxzaXWaRv376oUqUKZs6cKQkHX3zxBaZOnYrw8HAMHToUjx8/xuLFixEaGopz58690GWZv//+GwCk53qRGI1GhIWFoXHjxpg3bx5iY2Mxf/58BAYGYtSoUVK8ESNGYO3atRg8eDDGjx+PhIQELFmyBOfOncPx48ehVCqtpl+jRg2L5eH09HRMnjwZnp6eUpitfVUsc8eOHdGkSRPMmTMH+/btw7Rp02AwGPD555/L8oqJiUFeXh6GDx8OtVoNV1dXZGZmYs2aNejfvz+GDRuGrKwsfPPNNwgLC8Mff/yBevXqlakOS+uvpfHll1+CZVlMmTIFGRkZmDNnDt5++22cOnWqTOUAhPOHnzx5Igv7+uuvcf78ebi5ucHDw8OiPfR6PSZNmvTUmsjw8HAEBARg1qxZOHv2LNasWQNPT0/Mnj1bihMZGYktW7Zg4MCBaNKkCX777Tebj6sra3t99913yMrKwogRI8AwDObMmYNevXrh9u3bUj+NjY1Fp06dUKlSJURFRSE3NxeLFy9G8+bNcfbsWfj7+5c4zogcO3YMO3bswOjRo+Ho6IhFixahd+/euHv3Ltzc3AAI416TJk2kzRYeHh7Yu3cv3n33XWRmZmLixImy8k+fPh0qlQpTpkxBfn7+f1ZD/EoglJdKTEwMAUASEhKkMD8/PwKAHD16VApLSkoiarWavPfee6WmmZOTI7suKCggtWvXJm3atCn13pYtWxIAZMWKFbLwDRs2EJZlSVxcnCx8xYoVBAA5fvy4rPwRERHSdV5eHjEajbL7EhISiFqtJp9//rksfP/+/QQAmTFjBrl9+zZxcHAgPXr0kH7Pysoizs7OZNiwYbL7/v77b+Lk5CQLr1evHvH29ibp6elS2IEDBwgA4ufnV2pdiO2wfft2KSwjI4N4e3uTN954QwqbOHEiASCrm6ysLBIQEED8/f2lZ3/zzTdJrVq1Ssxz7ty5Fv2BEKG+AJCYmBiLewCQadOmSdfTpk0jAEj//v1l8RITEwnHceSLL76QhV+8eJEoFAqL8OdJfn4+qVmzJgkICCB6vf6Z09NqtbI+Zk5ERAQBYNG33njjDRIcHCxdx8XFEQBk06ZNsnj79u2zGl4SPM+Trl27EgcHB3L58mVCSNn6qljmcePGydLs0qULUalU5PHjx4SQwn6g0+lIUlKSLF2DwUDy8/NlYWlpaaRcuXJkyJAhpT5Dy5YtScuWLaVrW/qrNQ4fPkwAkBo1asjKs3DhQgKAXLx4UQorOlYUV5aibNmyxWobmzN69GjCcRw5dOiQFBYREWHx7hf3/hSts549exI3Nzfp+syZMwQAmThxoixeZGSkRZrWsLW9xDZ3c3MjqampUvhPP/1EAJBffvlFCqtXrx7x9PQkKSkpUtiFCxcIy7Jk0KBBUlhx44xYHyqVity8eVOWBgCyePFiKezdd98l3t7eJDk5WXZ/v379iJOTk/QdEvtDpUqVLL5NlJcDXYr9h1CzZk2EhIRI1x4eHqhWrRpu375d6r12dnbSv9PS0pCRkYGQkBCcPXvWprzVajUGDx4sC9u6dStq1KiB6tWrIzk5Wfpr06YNAJS43KNWqyVbCqPRiJSUFDg4OKBatWoWZerQoQNGjBiBzz//HL169YJGo8HKlSul3w8ePIj09HT0799fVg6O49C4cWOpHA8fPsT58+cREREBJycn6f727dujZs2aNtUDAJQvX17SuAGATqfDoEGDcO7cOUkDtWfPHjRq1Ei23Ong4IDhw4cjMTER8fHxAABnZ2fcu3fP6vLJi2DkyJGy6x07doDneYSHh8vqzsvLC1WqVLF5ye5pGDt2LOLj47FkyZKXZqhe9PlDQkJk78/WrVvh5OSE9u3by+ojODgYDg4OZaqP6dOnY9euXVi7dq3Uv2ztq+aYu5kQNSEFBQWIjY2Vxevdu7dMwwII9rqiFoTneaSmpsJgMKBBgwY2v/vmPGt/HTx4sEwrI45ntoxhJREfH48hQ4bgzTffxP/93/9ZjbN+/XosW7YMc+bMQevWrZ8qH2v9JyUlRVpCFJcmR48eLYs3btw4m9Iva3u99dZbcHFxkZUHKKxPccyLjIyEq6urFK9OnTpo37499uzZY1O5AKBdu3YIDAyUpaHT6aS8CCHYvn07unXrBkKIrH+HhYUhIyPD4hkiIiJk3ybKy4Muxf5DqFixokWYi4tLqbY/ALBr1y7MmDED58+fl9m/lWZrIuLj42OhJr9x4wauXLli8TERSUpKKjY9nuexcOFCLFu2DAkJCTI7J1Gtb868efPw008/4fz58/juu+9kS1s3btwAAEmgLIpOpwMA3LlzBwCsnplpTaAsjsqVK1vUW9WqVQEIti9eXl64c+cOGjdubHFvjRo1pLLUrl0bH374IWJjY9GoUSNUrlwZHTp0wIABA9C8eXObylJWAgICZNc3btwAIaTYc0SLW3Z8VubOnYvVq1dj+vTp6Ny58wvJoygajcairxZ9f27cuIGMjAxZ/zKnpD5tzr59+xAdHY2PP/4YvXv3lqUPlN5XRViWtdgkYt7XzCnatiLr1q3D/PnzcfXqVej1+lLjl8Sz9teiY5golNgyhhVHZmYmevXqBR8fH6xfv97qmHb+/HmMHDkS/fv3x+TJk586r5LKr9PpcOfOHbAsa1G3ZdkYVJb2Kq0+xTGvWrVqFvfWqFED+/fvt3kTVWnfn8ePHyM9PR2rVq3CqlWrrKZR9P15mj5IeT5Qwe4fQnE7ZUkpR/nGxcWhe/fuCA0NxbJly+Dt7Q2lUomYmJhSjZ5FrM2qeJ5HUFAQvvrqK6v3VKhQodj0Zs6cialTp2LIkCGYPn06XF1dwbIsJk6cCJ7nLeKfO3dOGhQuXryI/v37y8oBCLZLXl5eFvf+k90W1KhRA9euXcOuXbuwb98+bN++HcuWLcNnn32G6OjoEu8tTigvyS9c0XbkeR4Mw2Dv3r1W+9eLcBeydu1afPjhhxg5cmSx2pUXgS07zXmeh6enJzZt2mT19+ImMeYkJCTg7bffRvv27TFjxgyL9IEX01etvaMbN25EZGQkevTogffffx+enp7gOA6zZs3CrVu3ypzHs/RXwLYxrKR+be3+yMhIPHjwAH/88YeFYAwIQk7v3r1RtWpVrFmzptQylsTTjsG2Utb2etHlKUteYt9+5513EBERYTVunTp1ZNdUW/fq+Od+FSk2sX37dmg0Guzfv1/mGy8mJuaZ0g0MDMSFCxfQtm1bmzV/Itu2bUPr1q3xzTffyMLT09MtDOmzs7MxePBg1KxZE82aNcOcOXPQs2dPNGzYUCoHAHh6eqJdu3bF5unn5wegUGtizrVr12wu+82bN0EIkT3z9evXAUAyfPfz87Oa5tWrV2VlAQCtVou33noLb731FgoKCtCrVy988cUX+Pjjj6HRaIqtW3F2XtShqDhLt4XAwEAQQhAQECBpgl4kP/30E4YOHYpevXph6dKlLzy/shIYGIjY2Fg0b978qT46ubm56NWrF5ydnbF582YL1w229lURnudx+/ZtWdsU7WslsW3bNlSqVAk7duyQ9aNp06bZ8jhWKa2/PisuLi5WneTeuXPHQnv55ZdfYufOndixYweqV69ucQ/P83j77beRnp6O2NjYF+6iyc/PDzzPIyEhQaYFL7rztzied3uJ40xxY5G7u7ukrSvrGF4UDw8PODo6wmg02tS3Ka8WamP3L4fjODAMI9PkJCYmlrhr1RbCw8Nx//59rF692uK33NxcZGdnl1imorPKrVu34v79+xZxP/zwQ9y9exfr1q3DV199BX9/f0REREhLymFhYdDpdJg5c6Zs6UJE3D3q7e2NevXqYd26dcjIyJB+P3jwoGTzZgsPHjyQuUjJzMzE+vXrUa9ePUkL07lzZ/zxxx84efKkFC87OxurVq2Cv7+/ZHOVkpIiS1ulUqFmzZoghEjPIg68RT92Op0O7u7uOHr0qCx82bJlNj9Lr169wHEcoqOjLdqDECIrX3JyMq5evWrh3sFWjh49in79+iE0NBSbNm0q1l+VXq/H1atX8fDhw6fK51kIDw+H0WjE9OnTLX4zGAyleuUfOXIkrl+/jh9//FFm+yRia181Z8mSJdK/CSFYsmQJlEol2rZtW+rziFoW87Y9deqUrF+WBVv667MSGBiI33//HQUFBVLYrl27LNzvxMbG4v/+7//w6aefokePHlbTio6Oxv79+7F58+aXsuwXFhYGwPIdXLx4sU33P+/2Mh/zzPvupUuXcODAAZkZRHHjjK1wHIfevXtj+/btuHTpksXvT7OLn/LioBq7fzldunTBV199hY4dO2LAgAFISkrC0qVLUblyZfz1119Pne7AgQOxZcsWjBw5EocPH0bz5s1hNBpx9epVbNmyBfv370eDBg2s3tu1a1d8/vnnGDx4MJo1a4aLFy9i06ZNFjPyQ4cOYdmyZZg2bRrq168PQNA0tmrVClOnTsWcOXOg0+mwfPlyDBw4EPXr10e/fv3g4eGBu3fvYvfu3WjevLn0cZw1axa6dOmCFi1aYMiQIUhNTZX8chV1nVAcVatWxbvvvovTp0+jXLly+Pbbb/Ho0SOZBvSjjz7C5s2b0alTJ4wfPx6urq5Yt24dEhISsH37dkmo6dChA7y8vNC8eXOUK1cOV65cwZIlS9ClSxc4OjoCAIKDgwEAn376Kfr16welUolu3bpBq9Vi6NCh+PLLLzF06FA0aNAAR48elTQ6thAYGIgZM2bg448/RmJiInr06AFHR0ckJCTgxx9/xPDhwzFlyhQAgoARHR2Nw4cPl/n80Dt37qB79+5gGAZ9+vTB1q1bZb/XqVNHWqa5f/8+atSogYiICKs++l4kLVu2xIgRIzBr1iycP38eHTp0gFKpxI0bN7B161YsXLgQffr0sXrv7t27sX79evTu3Rt//fWX7N1ycHBAjx49ytRXAcEucN++fYiIiEDjxo2xd+9e7N69G5988olNy8Jdu3bFjh070LNnT3Tp0gUJCQlYsWIFatasaXN/N8eW/vqsDB06FNu2bUPHjh0RHh6OW7duYePGjTLDfQDo378/PDw8UKVKFWzcuFH2W/v27ZGUlITp06cjNDQUSUlJFnFehCPr4OBg9O7dGwsWLEBKSork7kR8J0vTij3v9gIEe9ZOnTqhadOmePfddyV3J05OTjK/eiWNM7by5Zdf4vDhw2jcuDGGDRuGmjVrIjU1FWfPnkVsbCxSU1Of6hkoL4CXvQ33v05x7k66dOliEbc0FwAi33zzDalSpQpRq9WkevXqJCYmRtrCXxotW7Ys1sVBQUEBmT17NqlVqxZRq9XExcWFBAcHk+joaJKRkSErf1F3J++99x7x9vYmdnZ2pHnz5uTkyZOy58nMzCR+fn6kfv36Fu4wJk2aRFiWJSdPnpTCDh8+TMLCwoiTkxPRaDQkMDCQREZGkj///FN27/bt20mNGjWIWq0mNWvWJDt27LDq8sAaYjvs37+f1KlTR6rPrVu3WsS9desW6dOnD3F2diYajYY0atSI7Nq1SxZn5cqVJDQ0lLi5uRG1Wk0CAwPJ+++/L6s7QgiZPn068fHxISzLyvpGTk4Oeffdd4mTkxNxdHQk4eHhJCkpqVh3DaKLjKJs376dtGjRgmi1WqLVakn16tXJmDFjyLVr1yzSOHz4cKn1VBTRvUFxf+ZlFV05FOe6pDhKc3ei1Wotwot7B1atWkWCg4OJnZ0dcXR0JEFBQeSDDz4gDx48KDZ/8b219le0b9nSV8Uy37p1i3To0IHY29uTcuXKkWnTpslcBYn1NXfuXIsy8TxPZs6cSfz8/IharSZvvPEG2bVrl839vej4Ymt/LYrY/kXfk+Jc9syfP5/4+PgQtVpNmjdvTv7880+LspTUnw4fPlxqnzOvZ1vdnRR9f6yN1dnZ2WTMmDHE1dVVcs107do1AoB8+eWXJdaTre1VUpsXLTshhMTGxpLmzZsTOzs7otPpSLdu3Uh8fLzFvcWNMwDImDFjLOJbc03z6NEjMmbMGFKhQgWiVCqJl5cXadu2LVm1apUUp7j+QHl5MIS8AEtMCuVfiL+/P2rXro1du3a96qJQKJR/CefPn8cbb7yBjRs34u23337VxaFQqI0dhUKhUCi2kJubaxG2YMECsCyL0NDQV1AiCsUSamNHoVAoFIoNzJkzB2fOnEHr1q2hUCiwd+9e7N27F8OHDy/RBRSF8jKhgh2FQqFQKDbQrFkzHDx4ENOnT8eTJ09QsWJFREVF4dNPP33VRaNQJKiNHYVCoVAoFMprArWxo1AoFAqFQnlNoILdv4yoqCgwDIPk5ORXXZQywzCMzLdSaXHND0gvC4mJiWAY5oX6SRPzmDdv3gvLo6wcOXIEDMPgyJEjLyT9tWvXgmEY/Pnnny8k/bJQlr70MrBW95GRkTadIPGqEdu16Pm0/yX8/f0RGRn5qovxjyUyMvKFHEFIeTFQwY7yyjhx4gSioqKe2ht6WdizZ88/ShCgUF4UDx48QFRUFM6fP//C84qPj0dUVNS/Qih8mePNi2DmzJlo0qQJPDw8oNFoUKVKFUycOPGpTn0QhfnS/v4NExOKJXTzBOWlkZubKzsI/cSJE4iOjkZkZCScnZ2fWz5+fn7Izc2FUqmUwvbs2YOlS5dS4e41oWhf+ieyevVq6fD0l8mDBw8QHR0Nf39/1KtXr9T4AwcORL9+/WRnTdtKfHw8oqOj0apVq3+8EFDSeHPt2rVij8H7p3DmzBnUq1cP/fr1g6OjI65cuYLVq1dj9+7dOH/+fJlOkQgNDcWGDRtkYUOHDkWjRo0wfPhwKYxq6f6d/LNHRspzhed5FBQUPJfDvJ+Gl5UvwzCv7BkpL4d/Q/uaTyyKw2AwgOd5qFSql1Ai63AcJ51j+k8hOzu7TILKs/I0Qu3LZvv27RZhTZs2RZ8+ffDLL7+gX79+NqdVqVIliyMeR44ciUqVKr2Q49goL5d/9hTlNUC0xSruz5xTp06hY8eOcHJygr29PVq2bInjx49bTTc5ORnh4eHQ6XRwc3PDhAkTkJeXJ4sj2qlt2rQJtWrVglqtxr59+wAA8+bNQ7NmzeDm5gY7OzsEBwdj27ZtpT7PokWLwHGcbDlj/vz5YBgGkydPlsKMRiMcHR3x4YcfysojasyioqLw/vvvAwACAgKk+ii6pLNz507Url0barUatWrVkspfEkVt7CIjI7F06VKpDEXr/vvvv0dwcDAcHR2h0+kQFBSEhQsXlpqPyKpVqxAYGAi1Wo2GDRvi9OnTFnGuXr2KPn36wNXVFRqNBg0aNMDPP/8si5OamoopU6YgKCgIDg4O0Ol06NSpEy5cuGCR3r1799CjRw9otVp4enpi0qRJyM/Pt7nM1rC1HvLz8zF58mR4eHhAq9WiZ8+eVpeDli1bJvW78uXLY8yYMbJ+87z6ElBoe3rz5k1JI+Pk5ITBgwcjJydHVq7c3FyMHz8e7u7ucHR0RPfu3XH//n2b7fZsrfuiNnbmdpkLFiyQ+kx8fDwA2/oIIBzkPmnSJPj7+0OtVsPX1xeDBg1CcnIyjhw5goYNGwIABg8eLPX1kuxNrdnY+fv7o2vXrjh27BgaNWoEjUaDSpUqYf369bL7+vbtCwBo3bq1lJe5neHevXsREhICrVYLR0dHdOnSBZcvX7aoJwcHB9y6dQudO3eGo6OjdIJDXFwc+vbti4oVK0KtVqNChQqYNGmSVUfBV69eRXh4ODw8PGBnZ4dq1apJbkhKG2+s2djdvn0bffv2haurK+zt7dGkSRPs3r1bFke0rdyyZQu++OIL+Pr6QqPRoG3btrh582axdf68EPvXy1pevn//Pnr06AEHBwd4eHhgypQpMBqNsjg8z2PBggWoVasWNBoNypUrhxEjRiAtLe2llJFCNXYvHA8PDwuVt16vx6RJk2Sz9EOHDqFTp04IDg7GtGnTwLIsYmJi0KZNG8TFxaFRo0ayNMLDw+Hv749Zs2bh999/x6JFi5CWliYbeMV0t2zZgrFjx8Ld3V0aCBYuXIju3bvj7bffRkFBAb7//nv07dsXu3btQpcuXYp9npCQEPA8j2PHjqFr164AhMGXZVnExcVJ8c6dO4cnT54U6429V69euH79OjZv3oyvv/4a7u7uUn2JHDt2DDt27MDo0aPh6OiIRYsWoXfv3rh79y7c3NyKLWNRRowYgQcPHuDgwYMWbXHw4EH0798fbdu2xezZswEAV65cwfHjxzFhwoRS0/7uu++QlZWFESNGgGEYzJkzB7169cLt27cljc3ly5fRvHlz+Pj44KOPPoJWq8WWLVvQo0cPbN++HT179gQgfEh27tyJvn37IiAgAI8ePcLKlSvRsmVLxMfHo3z58gAEwaRt27a4e/cuxo8fj/Lly2PDhg04dOiQzXVSlLLUw7hx4+Di4oJp06YhMTERCxYswNixY/HDDz9IcaKiohAdHY127dph1KhRuHbtGpYvX47Tp0/j+PHjUCqVz60vmRMeHo6AgADMmjULZ8+exZo1a+Dp6Sk9EyAIElu2bMHAgQOlg9xL6vPmPI+6j4mJQV5eHoYPHw61Wg1XV1eb+8iTJ08QEhKCK1euYMiQIahfvz6Sk5Px888/4969e6hRowY+//xzfPbZZxg+fDhCQkIACP7XysrNmzfRp08fvPvuu4iIiMC3336LyMhIBAcHo1atWggNDcX48eOxaNEifPLJJ6hRowYASP/fsGEDIiIiEBYWhtmzZyMnJwfLly9HixYtcO7cOZnQazAYEBYWhhYtWmDevHmwt7cHAGzduhU5OTkYNWoU3Nzc8Mcff2Dx4sW4d+8etm7dKt3/119/ISQkBEqlEsOHD4e/vz9u3bqFX375BV988YVN4405jx49QrNmzZCTk4Px48fDzc0N69atQ/fu3bFt2zapPUS+/PJLsCyLKVOmICMjA3PmzMHbb7+NU6dOlbneS4IQgpSUFBgMBty4cQMfffQROI5Dq1atnms+1jAajQgLC0Pjxo0xb948xMbGYv78+QgMDMSoUaOkeCNGjMDatWsxePBgjB8/HgkJCViyZAnOnTsnvfuUF8wrPan2P8ro0aMJx3Hk0KFDhBDhcOgqVaqQsLAwwvO8FC8nJ4cEBASQ9u3bS2HigdXdu3e3SBMAuXDhghQGgLAsSy5fvmxRhpycHNl1QUEBqV27NmnTpk2JZTcajUSn05EPPvhAKrubmxvp27cv4TiOZGVlEUII+eqrrwjLsiQtLU1WHvMDrOfOnWtxyLZ5XJVKRW7evCmFXbhwgQAgixcvLrGM1g4fHzNmjNUD4SdMmEB0Oh0xGAwlpllcHm5ubiQ1NVUK/+mnnwgA8ssvv0hhbdu2JUFBQSQvL08K43meNGvWjFSpUkUKy8vLkx0AL+ajVqvJ559/LoUtWLCAACBbtmyRwrKzs0nlypWlQ9LLii31IB6K3q5dO1k/nTRpEuE4jqSnpxNCCElKSiIqlYp06NBB9jxLliwhAMi3335LCHm+fUl8L4YMGSIrc8+ePYmbm5t0febMGQKATJw4URYvMjLS6gHrRSlL3Rd3uLtOpyNJSUmydG3tI5999hkBQHbs2GFRNrFNTp8+bdH/S8LaYfd+fn4EADl69KgUlpSURNRqNXnvvfeksK1bt1rtc1lZWcTZ2ZkMGzZMFv73338TJycnWXhERAQBQD766COLshUdpwghZNasWYRhGHLnzh0pLDQ0lDg6OsrCCCGyflrSeFP0wPuJEycSACQuLk72TAEBAcTf31/q1+KB9zVq1CD5+flS3IULFxIA5OLFixZ5PQsPHz4kAKQ/X19f8sMPPzyXtLVarawOzBHbyHwcIoSQN954gwQHB0vXcXFxBADZtGmTLN6+ffushlNeDHQp9iWzfv16LFu2DHPmzEHr1q0BCIdI37hxAwMGDEBKSgqSk5ORnJyM7OxstG3bFkePHrUwwh4zZozsety4cQCETQLmtGzZEjVr1rQoh52dnfTvtLQ0ZGRkICQkBGfPni2x/CzLolmzZjh69CgAQauTkpKCjz76CIQQnDx5EoCgealdu/YzbYpo164dAgMDpes6depAp9Ph9u3bT51mUZydnZGdnY2DBw8+1f1vvfUWXFxcpGtRQyKWMTU1FYcOHUJ4eDiysrKktk1JSUFYWBhu3LiB+/fvAxDsfEQDbqPRiJSUFDg4OKBatWqydtmzZw+8vb3Rp08fKcze3l5m9FxWylIPw4cPly1lh4SEwGg04s6dOwCA2NhYFBQUYOLEiTKD9GHDhkGn00nLWS+iL40cOVJ2HRISgpSUFGRmZgKAtJQ/evRoWTzx/SmN51H3vXv3lmmKytJHtm/fjrp161pojABYmHY8KzVr1pT6MyBot6pVq2bT+3fw4EGkp6ejf//+0vMkJyeD4zg0btwYhw8ftrjHXOsjYj5OZWdnIzk5Gc2aNQMhBOfOnQMAPH78GEePHsWQIUNQsWJF2f1PWyd79uxBo0aN0KJFCynMwcEBw4cPR2JiorR8LjJ48GDZCkzRceB54erqioMHD+KXX37B559/Dnd3dzx58uS55lES1t4v82fcunUrnJyc0L59e1m7BwcHw8HBwWq7U54/dCn2JXL+/HmMHDkS/fv3l9kQ3bhxAwAQERFR7L0ZGRkyAaJKlSqy3wMDA8GyrIWNWkBAgNX0du3ahRkzZuD8+fMy+yBbBsKQkBBERUUhNzcXcXFx8Pb2Rv369VG3bl3ExcWhffv2OHbsGMLDw0tNqySKDtIA4OLi8lxtNUaPHo0tW7agU6dO8PHxQYcOHRAeHo6OHTs+VRnFNhLLePPmTRBCMHXqVEydOtVqGklJSfDx8QHP81i4cCGWLVuGhIQEme2K+dLznTt3ULlyZYu2qlatmk1ltkZZ6qG0ZxYFvKLlUalUqFSpkvQ78Pz7Ukll0+l0uHPnDliWtXgvKleubFP6z6Pui+Zdlj5y69Yt9O7d2+a8noVnef/EMa1NmzZWf9fpdLJrhUIBX19fi3h3797FZ599hp9//tki34yMDACFwlPt2rVLLZet3LlzB40bN7YIF5eZ79y5I8uvtHfieaFSqdCuXTsAQNeuXdG2bVs0b94cnp6ekjnDi0Kj0VgsXRftDzdu3EBGRgY8PT2tppGUlPRCy0gRoILdSyItLQ29e/dG1apVsWbNGtlvojZu7ty5xbonKG3beXECmfmMVyQuLg7du3dHaGgoli1bBm9vbyiVSsTExOC7774r9VlatGgBvV6PkydPIi4uTpqdhoSEIC4uDlevXsXjx49ls/2nobideuQ5noLn6emJ8+fPY//+/dKB3jExMRg0aBDWrVv3zGUU23bKlCkICwuzGlcUKmbOnImpU6diyJAhmD59OlxdXcGyLCZOnPjC3WaUpR6eZ7s87770MvrMs1L0nSxLH3mZPEtdis+0YcMGeHl5Wfxe1FWNubZaxGg0on379khNTcWHH36I6tWrQ6vV4v79+4iMjHwlrmSK41X1u2bNmsHb2xubNm164YKdLTuneZ6Hp6cnNm3aZPX34mwaKc8XKti9BHiex9tvv4309HTExsZKhsEi4nKjTqeTZmOlcePGDdnM/+bNm+B53iZfUtu3b4dGo8H+/ftl2/xjYmJsyrtRo0ZQqVSIi4tDXFyctNssNDQUq1evxq+//ipdl8TzXjp62rxUKhW6deuGbt26ged5jB49GitXrsTUqVOf+YMquhRQKpWltu22bdvQunVrfPPNN7Lw9PR0ydgbEPz0Xbp0CYQQ2XNdu3btmcr6vOrBz89PKo+5S4WCggIkJCTI6uF59aWylI3neSQkJMi03rbuYHwRdV+WPhIYGIhLly6VGOef8F6JY5qnp6fNY1pRLl68iOvXr2PdunUYNGiQFF7UXECsv+dZL35+flbb9OrVq9Lv/xTy8vIk7eWrJjAwELGxsWjevLlVpQLl5UBt7F4C0dHR2L9/PzZv3mx1aTQ4OBiBgYGYN2+eVXsJa64kRPcdIosXLwYAdOrUqdTycBwHhmFkS32JiYnYuXNnqfcCgkq+YcOG2Lx5M+7evSvTsuTm5mLRokUIDAyEt7d3iemIfqpexlb94vJKSUmRXbMsizp16gDAM7sPAYQPW6tWrbBy5Uo8fPjQ4nfztuU4zmKGv3XrVsm+SqRz58548OCBzD1NTk4OVq1a9dTlfJ710K5dO6hUKixatEj2PN988w0yMjJkO1CfV1+yFVEjtmzZMlm4+P6Uxouo+7L0kd69e+PChQv48ccfLeKJdf1PeK/CwsKg0+kwc+ZM6PV6i/tsOS1B1BCZ9yFCiIULHg8PD4SGhuLbb7/F3bt3Zb+Z31uWeuncuTP++OMPyc4TEGz8Vq1aBX9/f6t2y6WRnJyMq1evWrjfsYXs7Gyr923fvh1paWlo0KCBFKbX63H16lWrfelFEx4eDqPRiOnTp1v8ZjAY/rWnfvzboBq7F8zFixcxffp0hIaGIikpCRs3bpT9/s4774BlWaxZswadOnVCrVq1MHjwYPj4+OD+/fs4fPgwdDodfvnlF9l9CQkJ6N69Ozp27IiTJ09i48aNGDBgAOrWrVtqmbp06YKvvvoKHTt2xIABA5CUlISlS5eicuXK+Ouvv2x6rpCQEHz55ZdwcnJCUFAQAOEDVa1aNVy7ds2mcxeDg4MBAJ9++in69esHpVKJbt26vRDHpGJe48ePR1hYGDiOQ79+/TB06FCkpqaiTZs28PX1xZ07d7B48WLUq1dPsqd5VpYuXYoWLVogKCgIw4YNQ6VKlfDo0SOcPHkS9+7dk/zUde3aFZ9//jkGDx6MZs2a4eLFi9i0aZOFI9Fhw4ZhyZIlGDRoEM6cOQNvb29s2LDBQhMMCH62WrdujWnTppXoo+151oOHhwc+/vhjREdHo2PHjujevTuuXbuGZcuWoWHDhhYOUJ9HX7KV4OBg9O7dGwsWLEBKSork7uT69esAStfqlKXuy4KtfeT999/Htm3b0LdvXwwZMgTBwcFITU3Fzz//jBUrVqBu3boIDAyEs7MzVqxYAUdHR2i1WjRu3LhYe9tnoV69euA4DrNnz0ZGRgbUajXatGkDT09PLF++HAMHDkT9+vXRr18/eHh44O7du9i9ezeaN2+OJUuWlJh29erVERgYiClTpuD+/fvQ6XSSIFOURYsWoUWLFqhfvz6GDx+OgIAAJCYmSqcyAGUbbz766CNs3rwZnTp1wvjx4+Hq6op169YhISEB27dvf6pTKpYsWYLo6GgcPny4zO5Jbty4gXbt2uGtt95C9erVwbIs/vzzT2zcuBH+/v4yl0T3799HjRo1EBER8ULPy7ZGy5YtMWLECMyaNQvnz59Hhw4doFQqcePGDWzduhULFy6UbTyivCBe8i7c/xzidvji/sw5d+4c6dWrF3FzcyNqtZr4+fmR8PBw8uuvv0pxRLcO8fHxpE+fPsTR0ZG4uLiQsWPHktzcXFl6AMiYMWOsluubb74hVapUIWq1mlSvXp3ExMRIadvC7t27CQDSqVMnWfjQoUMJAPLNN99Y3AMr7iSmT59OfHx8CMuyMlcExZW9qFsCa1hzd2IwGMi4ceOIh4cHYRhGes5t27aRDh06EE9PT6JSqUjFihXJiBEjyMOHD23KY+7cuTY9561bt8igQYOIl5cXUSqVxMfHh3Tt2pVs27ZNipOXl0fee+894u3tTezs7Ejz5s3JyZMnScuWLUnLli1l6d25c4d0796d2NvbE3d3dzJhwgTJpYC564lffvmFACArVqwo8XlsqQfRLcbp06dl94p9vKjLiyVLlpDq1asTpVJJypUrR0aNGiVzWSLyPPqS2HcfP34si2fNlUd2djYZM2YMcXV1JQ4ODqRHjx7k2rVrBAD58ssvS6wnQmyv++LcnVjrM4TY1kcIISQlJYWMHTuW+Pj4EJVKRXx9fUlERARJTk6W4vz000+kZs2aRKFQlOr6pDh3J126dLGIa60vrl69mlSqVIlwHGdRB4cPHyZhYWHEycmJaDQaEhgYSCIjI8mff/4pqyetVmu1bPHx8aRdu3bEwcGBuLu7k2HDhkluj4o+06VLl0jPnj2Js7Mz0Wg0pFq1amTq1KmyOMWNN9bGlVu3bpE+ffpI6TVq1Ijs2rVLFkfs+1u3bpWFWxuDxD76NO6IHj9+TIYPH06qV69OtFotUalUpEqVKmTixIkWfV7Mu7RxsiiluTux1kbFfTNWrVpFgoODiZ2dHXF0dCRBQUHkgw8+IA8ePChTmShPB0PIP8iqmEKhPFc++OADbN68GTdv3vxXHJv0qjh//jzeeOMNbNy4UTr1gEKhUP6NUBs7CuU15vDhw5g6dSoV6sywdhzVggULwLLsc9ukQaFQKK8KamNHobzGWDu39r/OnDlzcObMGbRu3RoKhUJy7zJ8+HBUqFDhVRePQqFQngm6FEuhUP5THDx4ENHR0YiPj8eTJ09QsWJFDBw4EJ9++qmFfzUKhUL5t0EFOwqFQqFQKJTXBGpjR6FQKBQKhfKaQAU7CoVCoVAolNcEKtj9S4mKigLDMEhOTn5lZYiMjLTpCLNXzZEjR8AwDI4cOSKFtWrVyqZDw/39/Z+rg9yy8OTJEwwdOhReXl5gGAYTJ058JeV4GbzoemYYBmPHjn1h6dvKv+WdeVG8yvfpaWjVqpXNzoRtHVOK42XUTVmeh/LvhQp2FMo/lJkzZ2Lt2rUYNWoUNmzYgIEDB77qIlEopXLixAlERUW9lsdHPXjwAFFRUdJpFi+S+Ph4REVFITEx8YXnRXm9oFvAKE/N6tWrwfP8qy7GC+XatWtPdXzQ8+DQoUNo0qQJpk2b9krypzx//gvvzIkTJxAdHY3IyEg4OzvLfnuV79PTcODAAdn1gwcPEB0dDX9/f9SrV++55lW0buLj4xEdHY1WrVr9p7W8lLLz73nDKM8NnueRl5f3zOkolcrX3vGtWq2GUql8JXknJSVZfBifBYPBgIKCgueWXlnIzs5+Jfn+0/gvvDMl8Srfp6dBpVJBpVK9lLz+bXVD+edCBbuXRGJiIhiGKfbPnFOnTqFjx45wcnKCvb09WrZsiePHj1tNNzk5GeHh4dDpdHBzc8OECRMshDbRvmjTpk2oVasW1Go19u3bBwCYN28emjVrBjc3N9jZ2SE4OBjbtm2z6Zms2Qt9//33CA4OhqOjI3Q6HYKCgrBw4cIS06lfvz569eolCwsKCgLDMPjrr7+ksB9++AEMw+DKlSsAgDt37mD06NGoVq0a7Ozs4Obmhr59+z710sWBAwdgb2+P/v37w2AwALC0e1m7di0YhsHx48cxefJkeHh4QKvVomfPnnj8+LEsPZ7nERUVhfLly8Pe3h6tW7dGfHx8qbY0ok1gQkICdu/eLfUR8bmSkpLw7rvvoly5ctBoNKhbty7WrVsnS0Psb/PmzcOCBQsQGBgItVqNy5cvw93dHZMnT5aV09nZGRzHyZbPZs+eDYVCgSdPngAA/vrrL0RGRqJSpUrQaDTw8vLCkCFDkJKSIstbtP+Mj4/HgAED4OLighYtWgAACCGYMWMGfH19pTq5fPmyTe1THDdu3EDv3r3h5eUFjUYDX19f9OvXDxkZGRZxd+7cidq1a0OtVqNWrVrSe2DOuXPn0KlTJ+h0Ojg4OKBt27b4/fffpd/T09PBcRwWLVokhSUnJ4NlWbi5ucHcg9SoUaPg5eUlXRd9Z8zbadWqVVI7NWzY0Kpz6a1bt6JmzZrQaDSoXbs2fvzxR5vt9vz9/dG1a1ccOXIEDRo0gJ2dHYKCgiTb0x07diAoKAgajQbBwcE4d+6cRRqHDh1CSEgItFotnJ2d8eabb0rvIyC0/fvvvw8ACAgIsOi71vr+7du30bdvX7i6usLe3h5NmjTB7t27ZXHEd2LLli344osv4OvrC41Gg7Zt2+LmzZslPvdff/0FhmHw888/S2FnzpwBwzCoX7++LG6nTp3QuHFj6drcJu3IkSNo2LAhAGDw4MHSs61du1aWRnx8PFq3bg17e3v4+Phgzpw5JZZPxLxu1q5di759+wIAWrduLeUlttWff/6JsLAwuLu7w87ODgEBARgyZIhN+RQlPz8f06ZNQ+XKlaFWq1GhQgV88MEHyM/Pl8XLzc3F+PHj4e7uDkdHR3Tv3h33798HwzCIioqS4mVlZWHixInw9/eHWq2Gp6cn2rdvj7Nnzz5V+Shlhy7FviQ8PDywYcMGWZher8ekSZNkM8JDhw6hU6dOCA4OxrRp08CyLGJiYtCmTRvExcWhUaNGsjTCw8Ph7++PWbNm4ffff8eiRYuQlpaG9evXy+IdOnQIW7ZswdixY+Hu7i59CBYuXIju3bvj7bffRkFBAb7//nv07dsXu3btQpcuXcr0jAcPHkT//v3Rtm1bzJ49GwBw5coVHD9+HBMmTCj2vpCQEGzevFm6Tk1NxeXLl8GyLOLi4lCnTh0AQFxcHDw8PFCjRg0AwqkKJ06cQL9+/eDr64vExEQsX74crVq1Qnx8POzt7W0u+65du9CnTx+89dZb+Pbbb8FxXInxx40bBxcXF0ybNg2JiYlYsGABxo4dix9++EGK8/HHH2POnDno1q0bwsLCcOHCBYSFhZWqLa1RowY2bNiASZMmwdfXF++99x4AoQ/l5uaiVatWuHnzJsaOHYuAgABs3boVkZGRSE9Pt6jnmJgY5OXlYfjw4VCr1XBzc0Pz5s1x9OhRKc5ff/2FjIwMsCyL48ePS+0eFxeHN954Aw4ODgCE9r19+zYGDx4MLy8vXL58GatWrcLly5fx+++/W0xQ+vbtiypVqmDmzJmSsPPZZ59hxowZ6Ny5Mzp37oyzZ8+iQ4cOT61JLCgoQFhYGPLz8zFu3Dh4eXnh/v372LVrF9LT0+Hk5CTFPXbsGHbs2IHRo0fD0dERixYtQu/evXH37l24ubkBAC5fvoyQkBDodDp88MEHUCqVWLlyJVq1aoXffvsNjRs3hrOzM2rXro2jR49i/PjxUtoMwyA1NRXx8fGoVauWVIchISGlPsd3332HrKwsjBgxAgzDYM6cOejVqxdu374taXF2796Nt956C0FBQZg1axbS0tLw7rvvwsfHx+b6unnzJgYMGIARI0bgnXfewbx589CtWzesWLECn3zyCUaPHg0AmDVrFsLDw2XLg7GxsejUqRMqVaqEqKgo5ObmYvHixWjevDnOnj0Lf39/9OrVC9evX8fmzZvx9ddfw93dHYDQd63x6NEjNGvWDDk5ORg/fjzc3Nywbt06dO/eHdu2bUPPnj1l8b/88kuwLIspU6YgIyMDc+bMwdtvv41Tp04V+8y1a9eGs7Mzjh49iu7duwMQ2oVlWVy4cAGZmZnQ6XTgeR4nTpzA8OHDraZTo0YNfP755/jss88wfPhwqV2bNWsmxUlLS0PHjh3Rq1cvhIeHY9u2bfjwww8RFBSETp062dJEAIDQ0FCMHz8eixYtwieffCKNeTVq1EBSUhI6dOgADw8PfPTRR3B2dkZiYiJ27Nhhc/oiPM+je/fuOHbsGIYPH44aNWrg4sWL+Prrr3H9+nXs3LlTihsZGYktW7Zg4MCBaNKkCX777Ter34iRI0di27ZtGDt2LGrWrImUlBQcO3YMV65csRCkKS8IQnlljB49mnAcRw4dOkQIIYTneVKlShUSFhZGeJ6X4uXk5JCAgADSvn17KWzatGkEAOnevbtFmgDIhQsXpDAAhGVZcvnyZYsy5OTkyK4LCgpI7dq1SZs2bUotf0REBPHz85OuJ0yYQHQ6HTEYDKXea87WrVsJABIfH08IIeTnn38marWadO/enbz11ltSvDp16pCePXsWW3ZCCDl58iQBQNavXy+FHT58mAAghw8flsJatmxJatWqRQghZPv27USpVJJhw4YRo9EoS8/Pz49ERERI1zExMQQAadeunayNJk2aRDiOI+np6YQQQv7++2+iUChIjx49ZOlFRUURALI0i8PPz4906dJFFrZgwQICgGzcuFEKKygoIE2bNiUODg4kMzOTEEJIQkICAUB0Oh1JSkqSpTF37lzCcZwUd9GiRcTPz480atSIfPjhh4QQQoxGI3F2diaTJk2S7rNW35s3byYAyNGjR6UwsW/2799fFjcpKYmoVCrSpUsXWd198sknNtdJUc6dO0cAkK1bt5YYDwBRqVTk5s2bUtiFCxcIALJ48WIprEePHkSlUpFbt25JYQ8ePCCOjo4kNDRUChszZgwpV66cdD158mQSGhpKPD09yfLlywkhhKSkpBCGYcjChQuleEXfGbGd3NzcSGpqqhT+008/EQDkl19+kcKCgoKIr68vycrKksKOHDlCAMjSLA4/Pz8CgJw4cUIK279/PwFA7OzsyJ07d6TwlStXWrwz9erVI56eniQlJUVWhyzLkkGDBklhc+fOJQBIQkKC1TKYt/PEiRMJABIXFyeFZWVlkYCAAOLv7y+9j+I7XKNGDZKfny/FXbhwIQFALl68WOKzd+nShTRq1Ei67tWrF+nVqxfhOI7s3buXEELI2bNnCQDy008/SfFatmxJWrZsKV2fPn2aACAxMTEWebRs2dJi7MnPzydeXl6kd+/eJZaPEMu6EcdF8zYghJAff/yRACCnT58uNU1rZTR/ng0bNhCWZWX1TwghK1asIADI8ePHCSGEnDlzhgAgEydOlMWLjIwkAMi0adOkMCcnJzJmzJgyl43y/KBLsa+I9evXY9myZZgzZw5at24NADh//jxu3LiBAQMGICUlBcnJyUhOTkZ2djbatm2Lo0ePWhhejxkzRnY9btw4AMCePXtk4S1btkTNmjUtymFnZyf9Oy0tDRkZGQgJCXkqtbmzszOys7Nx8ODBMt0nznxFLVJcXBwaNmyI9u3bIy4uDoCw/HXp0iWZ9sO87Hq9HikpKahcuTKcnZ1tLv/mzZvx1ltvYcSIEVi5cqXNht3Dhw+XaahCQkJgNBpx584dAMCvv/4Kg8EgaUBExPZ5Wvbs2QMvLy/0799fClMqlRg/fjyePHmC3377TRa/d+/eFtoSsawnTpwAUKhVCgkJker70qVLSE9PL7a+8/LykJycjCZNmgCA1foeOXKk7Do2NhYFBQUYN26crO6exY2LqJHbv38/cnJySozbrl07BAYGStd16tSBTqfD7du3AQBGoxEHDhxAjx49UKlSJSmet7c3BgwYgGPHjiEzMxOAUIePHj3CtWvXAAh1GBoaKqvDY8eOgRBik8burbfegouLi3Qt3iOW7cGDB7h48SIGDRokaVAB4b0OCgoqNX2RmjVromnTptK1uOzYpk0bVKxY0SJczP/hw4c4f/48IiMj4erqKsWrU6cO2rdvbzHe2MqePXvQqFEjaakeABwcHDB8+HAkJiYiPj5eFn/w4MGyFY6i9VQc4pgm2noeO3YMnTt3Rr169aT2iouLA8MwsrKUFQcHB7zzzjvStUqlQqNGjUotX1kQ7W537doFvV7/TGlt3boVNWrUQPXq1aXvTXJyMtq0aQMAOHz4MABIJgu2jGfOzs44deoUHjx48Exlozw9VLB7BZw/fx4jR45E//79ZbZON27cAABERETAw8ND9rdmzRrk5+db2A1VqVJFdh0YGAiWZS3szAICAqyWZdeuXWjSpAk0Gg1cXV3h4eGB5cuXW7VPKo3Ro0ejatWq6NSpE3x9fTFkyBCrNkxFKVeuHKpUqSIbYENCQhAaGooHDx7g9u3bOH78OHiel30kc3Nz8dlnn6FChQpQq9Vwd3eHh4cH0tPTbSp/QkIC3nnnHfTu3RuLFy+2WEosCfOPIADpo5yWlgYAkoBXuXJlWTxXV1fZB7ys3LlzB1WqVLEQQMWlGjFfEWvtXr9+fdjb21ut7z///BN5eXnSb+YfudTUVEyYMAHlypWDnZ0dPDw8pPSt1XfRvMWyFe2zHh4eT10nAQEBmDx5MtasWQN3d3eEhYVh6dKlVstTtM0Aod3ENnv8+DFycnJQrVo1i3g1atQAz/P43//+B6BQoIiLi0N2djbOnTsn1aF5vep0OtStW7fU53ja/lRcmK35iIJxhQoVrIYXzb+4uhEnoGXlzp07xaZpnm9x5S9aT8UREhICg8GAkydP4tq1a0hKSrLaXjVr1pQJrmXF19fXYhwx72PPg5YtW6J3796Ijo6Gu7s73nzzTcTExFjYxNnCjRs3cPnyZYvvTdWqVQEI9ryA0A4sy1q809b63pw5c3Dp0iVUqFABjRo1QlRU1HMVbCmlQ23sXjJpaWno3bs3qlatijVr1sh+E7Vxc+fOLXYrvfls3RrFCSfm2haRuLg4dO/eHaGhoVi2bBm8vb2hVCoRExOD7777zoankePp6Ynz589j//792Lt3L/bu3YuYmBgMGjTIwri/KC1atMCvv/6K3NxcnDlzBp999plkGxMXF4crV67AwcEBb7zxhnTPuHHjEBMTg4kTJ6Jp06ZwcnICwzDo16+fTS4lvL294e3tjT179uDPP/9EgwYNbH7W4mzwyD/s6GVr7a5UKtG4cWMcPXoUN2/exN9//42QkBCUK1cOer0ep06dQlxcHKpXry7T9oWHh+PEiRN4//33Ua9ePTg4OIDneXTs2NFqfVvL+0Uwf/58REZG4qeffsKBAwcwfvx4yebU19dXivc826x8+fIICAjA0aNH4e/vD0IImjZtCg8PD0yYMAF37txBXFwcmjVrZpMW+GX1p+Ly+bf056ctZ4MGDaDRaHD06FFUrFgRnp6eqFq1KkJCQrBs2TLk5+cjLi7OwqbvZZWvLDAMg23btuH333/HL7/8gv3792PIkCGYP38+fv/991K/EebwPI+goCB89dVXVn8vKvDbQnh4OEJCQvDjjz/iwIEDmDt3LmbPno0dO3aUyc6Q8vRQwe4lwvM83n77baSnpyM2NtbCuF9cJtLpdGjXrp1Nad64cUM2i7p58yZ4nrdpl9z27duh0Wiwf/9+mQuGmJgYm/K2hkqlQrdu3dCtWzfwPI/Ro0dj5cqVmDp1aomahZCQEMTExOD777+H0WiUPogtWrSQBLtmzZrJBs5t27YhIiIC8+fPl8Ly8vJsdoyq0Wiwa9cutGnTBh07dsRvv/0mGb0/K35+fgCE9jBvn5SUlGeavfv5+eGvv/4Cz/MygeHq1auyfEsjJCQEs2fPRmxsLNzd3VG9enUwDINatWohLi4OcXFx6Nq1qxQ/LS0Nv/76K6Kjo/HZZ59J4aKW2dayi/eYL3U+fvz4mTUaQUFBCAoKwv/93//hxIkTaN68OVasWIEZM2bYnIaHhwfs7e2l5VVzrl69CpZlZR+6kJAQHD16FAEBAahXrx4cHR1Rt25dODk5Yd++fTh79iyio6Of6blEzPtTUUrbFfo88y+ubtzd3aHVagEUP7ksLt3i0jTP91kRl0Tj4uJQsWJFSeMaEhKC/Px8bNq0CY8ePUJoaGiJ6ZTl2Z6V0vJq0qQJmjRpgi+++ALfffcd3n77bXz//fcYOnSozXkEBgbiwoULaNu2bYn5+fn5ged5JCQkyDTuxfU9b29vjB49GqNHj0ZSUhLq16+PL774ggp2Lwm6FPsSiY6Oxv79+7F582arS2TBwcEIDAzEvHnzJBcT5hR1pwEAS5culV0vXrwYAGx6gTiOA8MwMBqNUlhiYqJsJ1RZKOr2gmVZaUdracsE4kA7e/Zs1KlTR1oKCgkJwa+//oo///zTwlaJ4ziLmfDixYtlz1MaTk5O2L9/v7Ql/9atWzbfWxJt27aFQqHA8uXLZeFLlix5pnQ7d+6Mv//+W7b71mAwYPHixXBwcEDLli1tSkf8oC1YsAAtWrSQBvWQkBBs2LABDx48kNW3KFAXre8FCxbYXPZ27dpBqVRi8eLFsnTKkkZRMjMzJdc0IkFBQWBZtsxLUxzHoUOHDvjpp59kpgyPHj3Cd999hxYtWkCn00nhISEhSExMxA8//CDVFcuyaNasGb766ivo9Xqb7OtsoXz58qhduzbWr18vGxt+++03XLx48bnkURLe3t6oV68e1q1bJ5s4Xbp0CQcOHEDnzp2lMFHAs2WC1blzZ/zxxx84efKkFJadnY1Vq1bB39/fql3w0xISEoJTp07h8OHDUru4u7ujRo0a0i7+0tqrLM/2rBSXV1pamsV7KK7wlLXPh4eH4/79+1i9erXFb7m5udLyelhYGABg2bJlsjji90bEaDRamEF4enqifPnyT7VUTHk6qMbuJXHx4kVMnz4doaGhSEpKwsaNG2W/v/POO2BZFmvWrEGnTp1Qq1YtDB48GD4+Prh//z4OHz4MnU6HX375RXZfQkICunfvjo4dO+LkyZPYuHEjBgwYYJNdT5cuXfDVV1+hY8eOGDBgAJKSkrB06VJUrlxZ5j/OVoYOHYrU1FS0adMGvr6+uHPnDhYvXox69epJNjPFUblyZXh5eeHatWsyg9zQ0FB8+OGHACwH3a5du2LDhg1wcnJCzZo1cfLkScTGxkquK2zF3d0dBw8eRIsWLdCuXTscO3asTC4krFGuXDlMmDAB8+fPl9rnwoUL2Lt3L9zd3Z965j98+HCsXLkSkZGROHPmDPz9/bFt2zYcP34cCxYsgKOjo03pNG3aFAqFAteuXZO5dwgNDZWEUfP61ul0CA0NxZw5c6DX6+Hj44MDBw4gISHB5rJ7eHhgypQpmDVrFrp27YrOnTvj3LlzUp0URdQ6l+SX8NChQxg7diz69u2LqlWrwmAwYMOGDeA4Dr1797a5bCIzZsyQ+sLo0aOhUCiwcuVK5OfnW/gjE+vn2rVrmDlzphQeGhqKvXv3Sv7onhczZ87Em2++iebNm2Pw4MFIS0vDkiVLULt2basTwefN3Llz0alTJzRt2hTvvvuu5O7EyclJ5scsODgYAPDpp5+iX79+UCqV6NatmySomPPRRx9h8+bN6NSpE8aPHw9XV1esW7cOCQkJ2L59+3M9pSIkJARffPEF/ve//8n6dmhoKFauXAl/f3/Z0r01AgMD4ezsjBUrVsDR0RFarRaNGzcu1ob5WahXrx44jsPs2bORkZEBtVqNNm3a4LvvvsOyZcvQs2dPBAYGIisrC6tXr4ZOp5MJ2LYwcOBAbNmyBSNHjsThw4fRvHlzGI1GXL16FVu2bMH+/fvRoEEDBAcHo3fv3liwYAFSUlIkdyfXr18HUKhdzMrKgq+vL/r06YO6devCwcEBsbGxOH36tGxlhfKCeTWbcf97iNv1i/sz59y5c6RXr17Ezc2NqNVq4ufnR8LDw8mvv/4qxRFdSsTHx5M+ffoQR0dH4uLiQsaOHUtyc3Nl6QEodvv5N998Q6pUqULUajWpXr06iYmJkdIujaKuG7Zt20Y6dOhAPD09iUqlIhUrViQjRowgDx8+tKmO+vbtSwCQH374QQorKCgg9vb2RKVSWTxXWloaGTx4MHF3dycODg4kLCyMXL161cJtQGnuTkRu3rxJvL29SY0aNcjjx48JIcW7OynqasBaHgaDgUydOpV4eXkROzs70qZNG3LlyhXi5uZGRo4cWWp9WHN3Qgghjx49kp5bpVKRoKAgC/cLohuNuXPnFpt+w4YNCQBy6tQpKezevXsEAKlQoYJF/Hv37pGePXsSZ2dn4uTkRPr27UsePHhg4e5A7D9iHZpjNBpJdHQ08fb2JnZ2dqRVq1bk0qVLFvVMCCHu7u6kSZMmxZafEEJu375NhgwZQgIDA4lGoyGurq6kdevWJDY2VhavuHfAWr5nz54lYWFhxMHBgdjb25PWrVvLXISY4+npSQCQR48eSWHHjh0jAEhISIhF/OLcnVhrp6L1Sggh33//PalevTpRq9Wkdu3a5Oeffya9e/cm1atXt1q+os9qrT9Zq5viyhUbG0uaN29O7OzsiE6nI926dZPcFJkzffp04uPjQ1iWlbk+sVbft27dIn369CHOzs5Eo9GQRo0akV27dsniiO9XUbc2YjmtuR8pSmZmJuE4jjg6OspcMm3cuJEAIAMHDrS4p6h7EEIEVzQ1a9YkCoVClre1MYUQyzYvDmt1s3r1alKpUiXCcZw0vpw9e5b079+fVKxYkajVauLp6Um6du1K/vzzz1LzsPY8BQUFZPbs2aRWrVpErVYTFxcXEhwcTKKjo0lGRoYULzs7m4wZM4a4uroSBwcH0qNHD3Lt2jUCgHz55ZeEEMG9y/vvv0/q1q1LHB0diVarJXXr1iXLli0rtWyU5wdDyD/MOpZCeY1JT0+Hi4sLZsyYgU8//fRVF+cfi+jk92kcZf/XqFevHjw8PMrsZohCeVbOnz+PN954Axs3bsTbb7/9qotDMUFt7CiUF0Rubq5FmGhPJh5TRLHO4cOH0bRpUyrUmaHX6y3sCY8cOYILFy7Q/kR54RQ3nrEsW+qmE8rLhWrsKJQXxNq1a7F27Vp07twZDg4OOHbsGDZv3owOHTpg//79r7p4lH8ZiYmJaNeuHd555x2UL18eV69exYoVK+Dk5IRLly6V2baUQikL0dHROHPmDFq3bg2FQiG5tBLtfin/HOjmCQrlBVGnTh0oFArMmTMHmZmZ0oaKsrjgoFBEXFxcEBwcjDVr1uDx48fQarXo0qULvvzySyrUUV44zZo1w8GDBzF9+nQ8efIEFStWRFRUFDUp+QdCNXYUCoVCoVAorwnUxo5CoVAoFArlNYEKdhQKhUKhUCivCVSwo1D+pSQmJoJhGKxdu/ZVF+W1YO3atWAYpkSHyCUhtse8efOeb8H+QzAMI3N2TKFQyg4V7CgUSpmJj49HVFTUUwtB/2b27NlDhQ8KhfKPhQp2FMq/FD8/P+Tm5mLgwIEvPe/4+HhER0f/ZwW76OjoV10MCoVCsQoV7CiUfykMw0Cj0YDjuFddlH8FPM8jLy/vVRfjlSMe7E6hUF5PqGBHodhIVFQUGIbB9evX8c4778DJyQkeHh6YOnUqCCH43//+hzfffBM6nQ5eXl5WD71OSkrCu+++i3LlykGj0aBu3bpYt26d9Lter4erqysGDx5scW9mZiY0Gg2mTJkCwLqNXWRkJBwcHHD//n306NEDDg4O8PDwwJQpU2A0GmXppaSkYODAgdDpdHB2dkZERAQuXLhQqt3e2rVr0bdvXwBA69atwTAMGIbBkSNHpDjLli1DrVq1oFarUb58eYwZMwbp6enS74sWLQLHcbKw+fPng2EYTJ48WQozGo1wdHTEhx9+KIXNmzcPzZo1g5ubG+zs7BAcHIxt27ZZlJNhGIwdOxabNm2SyrJv3z4AwOXLl9GmTRvY2dnB19cXM2bMAM/zxT6zef0uXbpUSl/8K8qqVasQGBgItVqNhg0b4vTp0xZxrl69ij59+sDV1RUajQYNGjTAzz//XGoZANvbTuwPt27dQufOneHo6Cgd/ZSdnY333nsPFSpUgFqtRrVq1TBv3jyYe8AqyY6zqD2c+H7cvHkTkZGRcHZ2hpOTEwYPHoycnBzZvfn5+Zg0aRI8PDzg6OiI7t274969ezY9O4VCKRnqoJhCKSNvvfUWatSogS+//BK7d+/GjBkz4OrqipUrV6JNmzaYPXs2Nm3ahClTpqBhw4bScTu5ublo1aoVbt68ibFjxyIgIABbt25FZGQk0tPTMWHCBCiVSvTs2RM7duzAypUroVKppHx37tyJ/Px89OvXr8TyGY1GhIWFoXHjxpg3bx5iY2Mxf/58BAYGYtSoUQAE7VW3bt3wxx9/YNSoUahevfr/t3f/QVFVbRzAv7vrwioCGrskYqkIA5U7UCqjyEIQ4yIpA6WQ1ATFohFKNKZDOQ1ZTaGWEiIOEe0fGCmJA5kYqTC5EGVpxUwTJIpUFvJzEAcUln3eP5i9edldWdTJXnw+M47eh3PPj3vussez555FRUUFEhMTx2x/SEgI0tPTkZubi9deew0PPPAAAAh/v/HGG9i6dSsiIiKQmpqKpqYm7N27F99//z3q6uogl8uh0WhgMplQW1uLFStWAAAMBgOkUikMBoNQ1o8//ogrV66IvrLogw8+QHR0NJ5++mkMDg5i//79WL16tdXvla2urkZpaSnWr18PpVKJOXPmoK2tDWFhYTAajcjMzISTkxM+/PBDTJ48ecy2r1u3Dn/99ReOHTuG4uJiq2lKSkrQ19eHdevWQSKRYPv27XjiiSdw/vx5yOVyACMDy6VLl8LT01OoQ2lpKWJiYlBWVobY2FibdRhv3xmNRmi1WgQHB+O9997DlClTQESIjo5GTU0NkpOTERAQgKqqKmzatAkXL17Erl27xrwWtsTFxWHu3Ll49913cebMGXz00Udwd3fHtm3bhDQ6nQ779u1DQkICgoKCUF1dzV8fx9jtQowxu2RlZREAWrt2rRAzGo00a9YskkgklJ2dLcR7enpo8uTJlJiYKMRycnIIAO3bt0+IDQ4O0pIlS2jq1Kl0+fJlIiKqqqoiAHT48GFR+VFRUeTl5SUct7S0EADS6/VCLDExkQDQm2++KTr34YcfpgULFgjHZWVlBIBycnKE2PDwMIWHh1vkac1nn31GAKimpkYUb29vJwcHB1q2bBkNDw8L8by8PAJAH3/8sVCWi4sLbd68mYiITCYTubm50erVq0kmk1FfXx8REe3cuZOkUin19PQIefX394vKHBwcpPnz51N4eLgoDoCkUin98ssvonhGRgYBoO+++05Ub1dXVwJALS0tN2x7WloaWfvVae4PNzc36u7uFuIVFRUW/fnYY4+RWq2mq1evCjGTyURBQUHk4+Nzw/LH03fm+yEzM1OUR3l5OQGgt99+WxRftWoVSSQSam5uFrXJ2v0AgLKysoRj8+vj+eefF6WLjY0lNzc34finn34iAPTiiy+K0iUkJFjkyRgbP/4olrFx0ul0wr9lMhkWLlwIIkJycrIQnzZtGnx9fXH+/HkhVllZiRkzZmDNmjVCTC6XIz09HVeuXMHXX38NAAgPD4dSqcSBAweEdD09PTh27Bji4+PtquMLL7wgOtZoNKK6fPnll5DL5UhJSRFiUqkUaWlpduVvy/HjxzE4OIiMjAxIpf/8eklJSYGLiwuOHDkilBUUFISTJ08CAH799Vd0dXUhMzMTRIT6+noAI7N48+fPx7Rp04S8rp9Z6+npQW9vLzQaDc6cOWNRn9DQUDz44IOiWGVlJRYvXozAwEAhplKphI8ob1V8fDymT58uHGs0GgAQrn93dzeqq6sRFxeHvr4+dHZ2orOzE11dXdBqtTh79iwuXrxoM/+b6TvzTK1ZZWUlZDIZ0tPTRfGNGzeCiHD06FH7GzyKtXuvq6sLly9fFsoGYFF2RkbGTZfJGPsHD+wYG6f7779fdOzq6gqFQgGlUmkR7+npEY5bW1vh4+MjGvAA/3yE2draCgCYNGkSnnzySVRUVODatWsAgEOHDmFoaMiugZ1CoYBKpRLFpk+fblEXDw8PTJkyRZTO29t7zPxvxNwGX19fUdzBwQFeXl7Cz4GRN/zTp09jYGAABoMBHh4eeOSRR+Dv7y98HFtbWysMjMy++OILLF68GAqFAvfccw9UKhX27t2L3t5ei/rMnTvXah19fHws4qPrfLNG3x/mQZ75+jc3N4OI8Prrr0OlUon+ZGVlARhZi2nLePtu0qRJmDVrlkUeM2fOhLOzsyg++l68GWO1v7W1FVKpFPPmzROlu13Xn7G7Ha+xY2ycrD2FauvJVLrJr2J+6qmnUFBQgKNHjyImJgalpaXw8/ODv7//TdXvvyg4OBhDQ0Oor6+HwWAQBnAajQYGgwGNjY3o6OgQDewMBgOio6MREhKC/Px8eHh4QC6XQ6/Xo6SkxKIMe9bN3W5j3QvmhzReeeUVaLVaq2lvdYB9PUdHR4v/TNjL2oMhACwexLne7X4tMMbGhwd2jP1LZs+ejYaGBphMJtEbbWNjo/Bzs5CQEHh4eODAgQMIDg5GdXU1tmzZclvrUlNTg/7+ftHMT3Nzs13n23rDN7ehqakJXl5eQnxwcBAtLS2IiIgQYoGBgXBwcIDBYIDBYMCmTZsAjLS9sLAQJ06cEI7NysrKoFAoUFVVBUdHRyGu1+vtqre5jmfPnrWINzU12XW+rbbby3xd5HK56HrY61b7zpzH8ePH0dfXJ5q1G30vmmfbrn96Gbi1Gb3Zs2fDZDLh3Llzolk6e68/Y+zG+KNYxv4lUVFRaGtrE62dMxqN2L17N6ZOnYrQ0FAhLpVKsWrVKhw+fBjFxcUwGo12r6+zh1arxdDQEAoLC4WYyWQStvIYi5OTEwDLN/yIiAg4ODggNzdXNENTVFSE3t5e0ZOPCoUCixYtwqefforff/9dNGM3MDCA3NxczJs3Dx4eHsI5MpkMEolENGN04cIFlJeX2932qKgofPvttzh16pQQ6+jowCeffHJLbbeXu7s7Hn30URQUFODvv/+2+HlHR8cNz7/VvgNGrsHw8DDy8vJE8V27dkEikWD58uUAABcXFyiVSmEtpFl+fr7dZY1mzjs3N1cUz8nJsUjb39+PxsZGdHZ23nR5jN1teMaOsX/J2rVrUVBQgKSkJJw+fRpz5szBwYMHUVdXh5ycHIv1TvHx8di9ezeysrKgVquF9U+3Q0xMDAIDA7Fx40Y0NzfDz88Pn3/+Obq7uwGMPSsVEBAAmUyGbdu2obe3F46OjggPD4e7uzteffVVbN26FZGRkYiOjkZTUxPy8/OxaNEiPPPMM6J8NBoNsrOz4erqCrVaDWBk4OPr64umpiYkJSWJ0j/++OPYuXMnIiMjkZCQgPb2duzZswfe3t5oaGiwq+2bN29GcXExIiMj8dJLLwnbnZhnVMeyYMECACOL/7VaLWQy2Zhb0Iy2Z88eBAcHQ61WIyUlBV5eXrh06RLq6+vx559/4ueff7Z57q32HQCsXLkSYWFh2LJlCy5cuAB/f3989dVXqKioQEZGhmj9m06nQ3Z2NnQ6HRYuXIiTJ0/it99+G1d7rxcQEIA1a9YgPz8fvb29CAoKwokTJ6zOOJ46dQphYWHIysrir3FjzF537oFcxv6/mLdz6OjoEMUTExPJycnJIn1oaCg99NBDotilS5foueeeI6VSSQ4ODqRWq21uLWIymei+++6zui0Fke3tTqzVxVz363V0dFBCQgI5OzuTq6srJSUlUV1dHQGg/fv327oMgsLCQvLy8iKZTGax9UleXh75+fmRXC6ne++9l1JTU0VblpgdOXKEANDy5ctFcZ1ORwCoqKjI4pyioiLy8fEhR0dH8vPzI71eb7V9ACgtLc1q3RsaGig0NJQUCgV5enrSW2+9RUVFRXZtd2I0GmnDhg2kUqlIIpEI5Zr7Y8eOHRbnwMo2HufOnaNnn32WZsyYQXK5nDw9PWnFihV08ODBG5ZPZH/f2bofiIj6+vro5ZdfppkzZ5JcLicfHx/asWMHmUwmUbr+/n5KTk4mV1dXcnZ2pri4OGpvb7e53cno14der7e4rgMDA5Senk5ubm7k5OREK1eupD/++MMiz5qaGt4ChbFxkhDxilbG2Ijy8nLExsaitrYWS5cuvdPVYePAfccYAwAe2DF2lxoYGBA9NTo8PIxly5bhhx9+QFtb2x15opTZh/uOMWYLr7Fj7C61YcMGDAwMYMmSJbh27RoOHTqEb775Bu+88w4PDP7juO8YY7bwjB1jd6mSkhK8//77aG5uxtWrV+Ht7Y3U1FSsX7/+TleNjYH7jjFmCw/sGGOMMcYmCN7HjjHGGGNsguCBHWOMMcbYBMEDO8YYY4yxCYIHdowxxhhjEwQP7BhjjDHGJgge2DHGGGOMTRA8sGOMMcYYmyB4YMcYY4wxNkH8D9x+EWYnBGfvAAAAAElFTkSuQmCC", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "checkCaption(5, all_captions, all_valid_obj_ids, False)" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + } + ], + "metadata": { + "kernelspec": { + "display_name": "t2v", + "language": "python", + "name": "t2v" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.10.16" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/mbench/check_image_numbered_cy_score.py b/mbench/check_image_numbered_cy_score.py new file mode 100644 index 0000000000000000000000000000000000000000..c0df9bf0b132862f533254d1f1eff67d34054ab5 --- /dev/null +++ b/mbench/check_image_numbered_cy_score.py @@ -0,0 +1,212 @@ +import sys +import os +import argparse +sys.path.append(os.path.abspath(os.path.join(os.path.dirname(__file__), '..'))) + +import opts + +import numpy as np +import cv2 +from PIL import Image +import json + +from mbench.ytvos_ref import build as build_ytvos_ref +import t2v_metrics + +import matplotlib.pyplot as plt +import textwrap + + +def scoreCaption(idx, all_captions, all_valid_obj_ids, clip_flant5_score, color_mask = False): + vid_meta = metas[idx] + vid_id = vid_meta['video'] + frames = vid_meta['frames'] + + first_cat = list(all_captions[vid_id].keys())[0] + sampled_frames = list(all_captions[vid_id][first_cat].keys()) + imgs = [] + masks = [] + for frame_indx in sampled_frames: + frame_name = frames[int(frame_indx)] + img_path = os.path.join(str(train_dataset.img_folder), 'JPEGImages', vid_id, frame_name + '.jpg') + mask_path = os.path.join(str(train_dataset.img_folder), 'Annotations', vid_id, frame_name + '.png') + img = Image.open(img_path).convert('RGB') + imgs.append(img) + mask = Image.open(mask_path).convert('P') + mask = np.array(mask) + masks.append(mask) + + vid_captions = all_captions[vid_id] + cat_names = set(list(vid_captions.keys())) + + vid_result = {} + + for cat in cat_names: + + cat_captions = vid_captions[cat] + + cat_result = {} + + for i in range(len(imgs)): + frame_name = sampled_frames[i] + frame = np.copy(np.array(imgs[i])) + frame_for_contour = np.copy(np.array(imgs[i])) + + mask = masks[i] + + all_obj_ids = np.unique(mask).astype(int) + all_obj_ids = [str(obj_id) for obj_id in all_obj_ids if obj_id != 0] + + if cat in all_valid_obj_ids[vid_id]: + valid_obj_ids = all_valid_obj_ids[vid_id][cat] + else: + valid_obj_ids = [] + + for j in range(len(all_obj_ids)): + obj_id = all_obj_ids[j] + obj_mask = (mask == int(obj_id)).astype(np.uint8) + + if obj_id in valid_obj_ids: + if color_mask == False: + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 3) + for i, contour in enumerate(contours): + # 윤곽선 중심 계산 + moments = cv2.moments(contour) + if moments["m00"] != 0: # 중심 계산 가능 여부 확인 + cx = int(moments["m10"] / moments["m00"]) + cy = int(moments["m01"] / moments["m00"]) + else: + cx, cy = contour[0][0] # 중심 계산 불가시 대체 좌표 사용 + + # 텍스트 배경 (검은색 배경 만들기) + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + text_size = cv2.getTextSize(text, font, 1, 2)[0] + text_w, text_h = text_size + + # 텍스트 배경 그리기 (검은색 배경) + cv2.rectangle(frame, (cx - text_w // 2 - 5, cy - text_h // 2 - 5), + (cx + text_w // 2 + 5, cy + text_h // 2 + 5), (0, 0, 0), -1) + + # 텍스트 그리기 (흰색 텍스트) + cv2.putText(frame, text, (cx - text_w // 2, cy + text_h // 2), + font, 1, (255, 255, 255), 2) + else: + alpha = 0.08 + colored_obj_mask = np.zeros_like(frame) + colored_obj_mask[obj_mask == 1] = colors[j] + frame[obj_mask == 1] = ( + (1 - alpha) * frame[obj_mask == 1] + + alpha * colored_obj_mask[obj_mask == 1] + ) + + + contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, colors[j], 2) + cv2.drawContours(frame_for_contour, contours, -1, colors[j], 2) + + + + if len(contours) > 0: + largest_contour = max(contours, key=cv2.contourArea) + M = cv2.moments(largest_contour) + if M["m00"] != 0: + center_x = int(M["m10"] / M["m00"]) + center_y = int(M["m01"] / M["m00"]) + else: + center_x, center_y = 0, 0 + + font = cv2.FONT_HERSHEY_SIMPLEX + text = obj_id + + font_scale = 0.9 + text_size = cv2.getTextSize(text, font, font_scale, 2)[0] + text_x = center_x - text_size[0] // 1 # 텍스트의 가로 중심 + text_y = center_y + # text_y = center_y + text_size[1] // 2 # 텍스트의 세로 중심 + + # 텍스트 배경 사각형 좌표 계산 + rect_start = (text_x - 5, text_y - text_size[1] - 5) # 배경 사각형 좌상단 + # rect_end = (text_x + text_size[0] + 5, text_y + 5) + rect_end = (text_x + text_size[0] + 5, text_y) + + cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1) + cv2.putText(frame, text, (text_x, text_y), font, 1, (255, 255, 255), 2) + + + + # fig, ax = plt.subplots() + # ax.imshow(frame) + # ax.axis('off') + + frame_caption = cat_captions[frame_name] + if frame_caption: + # wrapped_text = "\n".join(textwrap.wrap(frame_caption, width=60)) + # ax.text(0.5, -0.3, wrapped_text, ha='center', va='center', fontsize=12, transform=ax.transAxes) + + #calculate vqa score + frame = Image.fromarray(frame) + score = clip_flant5_score(images=[frame], texts=[frame_caption]) + else: + score = None + + # plt.title(f"vid_id: {vid_id}, cat: {cat}, frame: {frame_name}, score: {score}") + # plt.tight_layout() + # plt.show() + + cat_result[frame_name] = { + "caption" : frame_caption, + "score" : score + } + + vid_result[cat] = cat_result + + return vid_id, vid_result + + + +if __name__ == '__main__': + parser = argparse.ArgumentParser('ReferFormer training and evaluation script', parents=[opts.get_args_parser()]) + args = parser.parse_args() + + #==================데이터 불러오기=================== + # 전체 데이터셋 + train_dataset = build_ytvos_ref(image_set = 'train', args = args) + + # 전체 데이터셋 메타데이터 + metas = train_dataset.metas + + # caption 데이터 + with open('mbench/numbered_captions_gpt-4o_final.json', 'r') as file: + all_captions = json.load(file) + + # valid obj ids 데이터 + with open('mbench/numbered_valid_obj_ids_gpt-4o_final.json', 'r') as file: + all_valid_obj_ids = json.load(file) + + # 색상 후보 8개 (RGB 형식) + colors = [ + (255, 0, 0), # Red + (0, 255, 0), # Green + (0, 0, 255), # Blue + (255, 255, 0), # Yellow + (255, 0, 255), # Magenta + (0, 255, 255), # Cyan + (128, 0, 128), # Purple + (255, 165, 0) # Orange + ] + + #==================vqa score 모델 불러오기=================== + clip_flant5_score = t2v_metrics.VQAScore(model='clip-flant5-xxl') + + #==================vqa score 점수 계산하기=================== + all_scores = {} + for i in range(5): + vid_id, vid_result = scoreCaption(i, all_captions, all_valid_obj_ids, clip_flant5_score, False) + all_scores[vid_id] = vid_result + + with open('mbench/numbered_captions_gpt-4o_final_scores.json', 'w', encoding='utf-8') as json_file: + json.dump(all_scores, indent=4, ensure_ascii=False) + + print("JSON 파일이 성공적으로 저장되었습니다!") \ No newline at end of file diff --git a/mbench/gpt_ref-ytvos-cy.ipynb b/mbench/gpt_ref-ytvos-cy.ipynb new file mode 100644 index 0000000000000000000000000000000000000000..5e6add8af0320efe74353b8142e716b046eca047 --- /dev/null +++ b/mbench/gpt_ref-ytvos-cy.ipynb @@ -0,0 +1,1211 @@ +{ + "cells": [ + { + "cell_type": "code", + "execution_count": 1, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "/data/projects/yejin/VerbCentric_RIS/ReferFormer/mbench\n", + "/data/projects/yejin/VerbCentric_RIS/ReferFormer\n" + ] + } + ], + "source": [ + "import os\n", + "print(os.getcwd()) # 현재 작업 디렉토리 출력\n", + "%cd /data/projects/yejin/VerbCentric_RIS/ReferFormer\n" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "metadata": {}, + "outputs": [], + "source": [ + "from mbench.ytvos_ref import build as build_ytvos_ref\n", + "import argparse\n", + "import opts\n", + "\n", + "import sys\n", + "from pathlib import Path\n", + "import os\n", + "from os import path as osp\n", + "import skimage\n", + "from io import BytesIO\n", + "\n", + "import numpy as np\n", + "import pandas as pd\n", + "import regex as re\n", + "import json\n", + "\n", + "import cv2\n", + "from PIL import Image, ImageDraw\n", + "import torch\n", + "from torchvision.transforms import functional as F\n", + "\n", + "from skimage import measure # (pip install scikit-image)\n", + "from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely)\n", + "\n", + "import matplotlib.pyplot as plt\n", + "import matplotlib.patches as patches\n", + "from matplotlib.collections import PatchCollection\n", + "from matplotlib.patches import Rectangle\n", + "\n", + "\n", + "import ipywidgets as widgets\n", + "from IPython.display import display, clear_output\n", + "\n", + "from openai import OpenAI\n", + "import base64" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "'/data/projects/yejin/VerbCentric_RIS/ReferFormer'" + ] + }, + "execution_count": 3, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "%pwd" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 1. 데이터 불러오기" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "skipped 57 short videos\n", + "\n", + " video num: 3471 clip num: 3414\n", + "\n", + "\n" + ] + } + ], + "source": [ + "if 'ipykernel_launcher' in sys.argv[0]:\n", + " sys.argv = sys.argv[:1] # Jupyter 추가 인자를 제거\n", + "\n", + "parser = argparse.ArgumentParser('ReferFormer training and evaluation script', parents=[opts.get_args_parser()])\n", + "args = parser.parse_args()\n", + "\n", + "#==================데이터 불러오기===================\n", + "# 전체 데이터셋\n", + "train_dataset = build_ytvos_ref(image_set = 'train', args = args)\n", + "\n", + "# 전체 데이터셋 메타데이터\n", + "metas = train_dataset.metas" + ] + }, + { + "cell_type": "code", + "execution_count": 80, + "metadata": {}, + "outputs": [ + { + "ename": "NameError", + "evalue": "name 'info' is not defined", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn[80], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m info\u001b[39m.\u001b[39mkeys()\n", + "\u001b[0;31mNameError\u001b[0m: name 'info' is not defined" + ] + } + ], + "source": [ + "info.keys()" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "metadata": {}, + "outputs": [], + "source": [ + "frames, info, annos = train_dataset[1]\n", + "# print(len(frames))\n", + "# print(info)\n", + "# print(annos.keys())\n", + "# print(annos[4])\n", + "\n", + "# 여기서 annos.keys()는 frame idx이고, info['frames_idx']와 동일한 명칭을 가지고 있다. \n", + "# 각 frame idx별로 obj_id와 해당 obj_id의 그 프레임에서의 category_name, bbox, valid, mask 를 담고 있다.\n", + "\n", + "# for ann in annos :\n", + "# print(ann, annos.keys()) # 4 dict_keys([4, 8, 11, 15])\n", + "# for k, cat_items in annos[ann].items() :\n", + "# print(cat_items.keys()) # dict_keys(['category_name', 'bbox', 'valid', 'mask'])\n", + "# print(k, ': ', cat_items['category_name'], cat_items['bbox'], cat_items['valid'])\n", + " \n", + "# if ann == 8 :\n", + "# break\n", + "\n", + "# info['masks']" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "import matplotlib.pyplot as plt\n", + "import matplotlib.patches as patches\n", + "\n", + "def show_image(idx, train_dataset):\n", + " box_colors = ['red', 'blue', 'green', 'purple', 'grey'] \n", + " mask_colors = ['Reds', 'Blues', 'Greens', 'Purples'] \n", + " \n", + " frames, info, annos = train_dataset[idx] \n", + " frames_idx = info['frames_idx'] \n", + " \n", + " fig, axes = plt.subplots(1, len(frames_idx), figsize=(16, 4))\n", + "\n", + " # 각 프레임별로 시각화\n", + " for i, ax in enumerate(axes):\n", + " frame = frames[i].permute(1, 2, 0).numpy() \n", + " ax.imshow(frame)\n", + "\n", + " frame_idx = frames_idx[i]\n", + " if frame_idx in annos.keys(): \n", + " for obj_id, obj_data in annos[frame_idx].items():\n", + " if obj_data['valid'] == 1: \n", + " bbox = obj_data['bbox'].numpy()\n", + " mask = obj_data['mask'].numpy()\n", + " mask = mask > 0.5 \n", + " masked_mask = np.ma.masked_where(~mask, mask) \n", + " category = obj_data['category_name']\n", + " x, y, w, h = bbox[0], bbox[1], bbox[2] - bbox[0], bbox[3] - bbox[1]\n", + " rect = patches.Rectangle((x, y), w, h, edgecolor=box_colors[int(obj_id) % len(box_colors)], facecolor='none', linewidth=2)\n", + " ax.add_patch(rect)\n", + " ax.imshow(masked_mask, cmap=mask_colors[int(obj_id) % len(mask_colors)], alpha=0.6)\n", + "\n", + " ax.text(x, y - 5, category, fontsize=8, bbox=dict(facecolor='white', alpha=0.7))\n", + "\n", + " ax.set_title(f'Frame {frame_idx}')\n", + " ax.axis('off')\n", + "\n", + " plt.tight_layout()\n", + " plt.show()\n", + "\n", + "show_image(46, train_dataset)\n", + "\n", + "# 17, 46" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 2. json 만들기" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "metadata": {}, + "outputs": [], + "source": [ + "#==================json 만들기===================\n", + "def createJson(train_dataset, metas):\n", + " entire_json = {}\n", + "\n", + " #초기화\n", + " vid_idx = 0\n", + " \n", + " sampled_cy = 100\n", + " \n", + " while vid_idx < sampled_cy :\n", + "\n", + " #하나의 비디오에 대해\n", + " video_data = {}\n", + " video_train_frames, video_train_info, video_annos = train_dataset[vid_idx]\n", + " video_meta = metas[vid_idx]\n", + "\n", + " video_id = video_meta['video']\n", + " video_data['bins'] = video_meta['bins']\n", + " bin_nums = len(video_meta['bins'])\n", + " obj_nums = max([int(k) for k in list(video_meta['obj_id_cat'].keys())])\n", + " \n", + " annotation_data = []\n", + " frame_names = []\n", + "\n", + " for i in range(bin_nums):\n", + " bin_data = {}\n", + " for j in range(obj_nums):\n", + " obj_id = str(j+1)\n", + " try:\n", + " obj_data = {\n", + " \"category_name\":video_meta['obj_id_cat'][obj_id],\n", + " \"bbox\":video_train_info['boxes'][i*obj_nums+j, :].tolist(),\n", + " \"mask\":video_train_info['masks'][i*obj_nums+j, :].tolist(),\n", + " \"valid\":video_train_info['valid'][i*obj_nums+j].item()\n", + " }\n", + " except:\n", + " obj_data = {}\n", + " bin_data[obj_id] = obj_data\n", + " annotation_data.append(bin_data)\n", + " \n", + " video_data['annotations'] = annotation_data\n", + " \n", + "\n", + " sample_indx = metas[vid_idx]['sample_indx']\n", + " frames = metas[vid_idx]['frames']\n", + " for i in sample_indx:\n", + " frame_name = frames[i]\n", + " frame_names.append(frame_name)\n", + "\n", + " video_data['frame_names'] = frame_names\n", + " video_data['video_path'] = os.path.join(str(train_dataset.img_folder), 'JPEGImages', video_id) \n", + " entire_json[video_id] = video_data\n", + "\n", + " vid_idx += 1\n", + "\n", + " return entire_json" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "metadata": {}, + "outputs": [], + "source": [ + "entire_json = createJson(train_dataset, metas)" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "metadata": {}, + "outputs": [ + { + "ename": "KeyboardInterrupt", + "evalue": "", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mKeyboardInterrupt\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn[9], line 4\u001b[0m\n\u001b[1;32m 1\u001b[0m output_file \u001b[39m=\u001b[39m \u001b[39m\"\u001b[39m\u001b[39mentire_data.json\u001b[39m\u001b[39m\"\u001b[39m\n\u001b[1;32m 3\u001b[0m \u001b[39mwith\u001b[39;00m \u001b[39mopen\u001b[39m(output_file, \u001b[39m'\u001b[39m\u001b[39mw\u001b[39m\u001b[39m'\u001b[39m, encoding\u001b[39m=\u001b[39m\u001b[39m'\u001b[39m\u001b[39mutf-8\u001b[39m\u001b[39m'\u001b[39m) \u001b[39mas\u001b[39;00m f:\n\u001b[0;32m----> 4\u001b[0m json\u001b[39m.\u001b[39;49mdump(entire_json, f, ensure_ascii\u001b[39m=\u001b[39;49m\u001b[39mFalse\u001b[39;49;00m, indent\u001b[39m=\u001b[39;49m\u001b[39m4\u001b[39;49m)\n", + "File \u001b[0;32m~/.conda/envs/risall/lib/python3.9/json/__init__.py:179\u001b[0m, in \u001b[0;36mdump\u001b[0;34m(obj, fp, skipkeys, ensure_ascii, check_circular, allow_nan, cls, indent, separators, default, sort_keys, **kw)\u001b[0m\n\u001b[1;32m 173\u001b[0m iterable \u001b[39m=\u001b[39m \u001b[39mcls\u001b[39m(skipkeys\u001b[39m=\u001b[39mskipkeys, ensure_ascii\u001b[39m=\u001b[39mensure_ascii,\n\u001b[1;32m 174\u001b[0m check_circular\u001b[39m=\u001b[39mcheck_circular, allow_nan\u001b[39m=\u001b[39mallow_nan, indent\u001b[39m=\u001b[39mindent,\n\u001b[1;32m 175\u001b[0m separators\u001b[39m=\u001b[39mseparators,\n\u001b[1;32m 176\u001b[0m default\u001b[39m=\u001b[39mdefault, sort_keys\u001b[39m=\u001b[39msort_keys, \u001b[39m*\u001b[39m\u001b[39m*\u001b[39mkw)\u001b[39m.\u001b[39miterencode(obj)\n\u001b[1;32m 177\u001b[0m \u001b[39m# could accelerate with writelines in some versions of Python, at\u001b[39;00m\n\u001b[1;32m 178\u001b[0m \u001b[39m# a debuggability cost\u001b[39;00m\n\u001b[0;32m--> 179\u001b[0m \u001b[39mfor\u001b[39;00m chunk \u001b[39min\u001b[39;00m iterable:\n\u001b[1;32m 180\u001b[0m fp\u001b[39m.\u001b[39mwrite(chunk)\n", + "File \u001b[0;32m~/.conda/envs/risall/lib/python3.9/json/encoder.py:431\u001b[0m, in \u001b[0;36m_make_iterencode.._iterencode\u001b[0;34m(o, _current_indent_level)\u001b[0m\n\u001b[1;32m 429\u001b[0m \u001b[39myield from\u001b[39;00m _iterencode_list(o, _current_indent_level)\n\u001b[1;32m 430\u001b[0m \u001b[39melif\u001b[39;00m \u001b[39misinstance\u001b[39m(o, \u001b[39mdict\u001b[39m):\n\u001b[0;32m--> 431\u001b[0m \u001b[39myield from\u001b[39;00m _iterencode_dict(o, _current_indent_level)\n\u001b[1;32m 432\u001b[0m \u001b[39melse\u001b[39;00m:\n\u001b[1;32m 433\u001b[0m \u001b[39mif\u001b[39;00m markers \u001b[39mis\u001b[39;00m \u001b[39mnot\u001b[39;00m \u001b[39mNone\u001b[39;00m:\n", + "File \u001b[0;32m~/.conda/envs/risall/lib/python3.9/json/encoder.py:405\u001b[0m, in \u001b[0;36m_make_iterencode.._iterencode_dict\u001b[0;34m(dct, _current_indent_level)\u001b[0m\n\u001b[1;32m 403\u001b[0m \u001b[39melse\u001b[39;00m:\n\u001b[1;32m 404\u001b[0m chunks \u001b[39m=\u001b[39m _iterencode(value, _current_indent_level)\n\u001b[0;32m--> 405\u001b[0m \u001b[39myield from\u001b[39;00m chunks\n\u001b[1;32m 406\u001b[0m \u001b[39mif\u001b[39;00m newline_indent \u001b[39mis\u001b[39;00m \u001b[39mnot\u001b[39;00m \u001b[39mNone\u001b[39;00m:\n\u001b[1;32m 407\u001b[0m _current_indent_level \u001b[39m-\u001b[39m\u001b[39m=\u001b[39m \u001b[39m1\u001b[39m\n", + "File \u001b[0;32m~/.conda/envs/risall/lib/python3.9/json/encoder.py:405\u001b[0m, in \u001b[0;36m_make_iterencode.._iterencode_dict\u001b[0;34m(dct, _current_indent_level)\u001b[0m\n\u001b[1;32m 403\u001b[0m \u001b[39melse\u001b[39;00m:\n\u001b[1;32m 404\u001b[0m chunks \u001b[39m=\u001b[39m _iterencode(value, _current_indent_level)\n\u001b[0;32m--> 405\u001b[0m \u001b[39myield from\u001b[39;00m chunks\n\u001b[1;32m 406\u001b[0m \u001b[39mif\u001b[39;00m newline_indent \u001b[39mis\u001b[39;00m \u001b[39mnot\u001b[39;00m \u001b[39mNone\u001b[39;00m:\n\u001b[1;32m 407\u001b[0m _current_indent_level \u001b[39m-\u001b[39m\u001b[39m=\u001b[39m \u001b[39m1\u001b[39m\n", + "File \u001b[0;32m~/.conda/envs/risall/lib/python3.9/json/encoder.py:325\u001b[0m, in \u001b[0;36m_make_iterencode.._iterencode_list\u001b[0;34m(lst, _current_indent_level)\u001b[0m\n\u001b[1;32m 323\u001b[0m \u001b[39melse\u001b[39;00m:\n\u001b[1;32m 324\u001b[0m chunks \u001b[39m=\u001b[39m _iterencode(value, _current_indent_level)\n\u001b[0;32m--> 325\u001b[0m \u001b[39myield from\u001b[39;00m chunks\n\u001b[1;32m 326\u001b[0m \u001b[39mif\u001b[39;00m newline_indent \u001b[39mis\u001b[39;00m \u001b[39mnot\u001b[39;00m \u001b[39mNone\u001b[39;00m:\n\u001b[1;32m 327\u001b[0m _current_indent_level \u001b[39m-\u001b[39m\u001b[39m=\u001b[39m \u001b[39m1\u001b[39m\n", + "File \u001b[0;32m~/.conda/envs/risall/lib/python3.9/json/encoder.py:405\u001b[0m, in \u001b[0;36m_make_iterencode.._iterencode_dict\u001b[0;34m(dct, _current_indent_level)\u001b[0m\n\u001b[1;32m 403\u001b[0m \u001b[39melse\u001b[39;00m:\n\u001b[1;32m 404\u001b[0m chunks \u001b[39m=\u001b[39m _iterencode(value, _current_indent_level)\n\u001b[0;32m--> 405\u001b[0m \u001b[39myield from\u001b[39;00m chunks\n\u001b[1;32m 406\u001b[0m \u001b[39mif\u001b[39;00m newline_indent \u001b[39mis\u001b[39;00m \u001b[39mnot\u001b[39;00m \u001b[39mNone\u001b[39;00m:\n\u001b[1;32m 407\u001b[0m _current_indent_level \u001b[39m-\u001b[39m\u001b[39m=\u001b[39m \u001b[39m1\u001b[39m\n", + "File \u001b[0;32m~/.conda/envs/risall/lib/python3.9/json/encoder.py:405\u001b[0m, in \u001b[0;36m_make_iterencode.._iterencode_dict\u001b[0;34m(dct, _current_indent_level)\u001b[0m\n\u001b[1;32m 403\u001b[0m \u001b[39melse\u001b[39;00m:\n\u001b[1;32m 404\u001b[0m chunks \u001b[39m=\u001b[39m _iterencode(value, _current_indent_level)\n\u001b[0;32m--> 405\u001b[0m \u001b[39myield from\u001b[39;00m chunks\n\u001b[1;32m 406\u001b[0m \u001b[39mif\u001b[39;00m newline_indent \u001b[39mis\u001b[39;00m \u001b[39mnot\u001b[39;00m \u001b[39mNone\u001b[39;00m:\n\u001b[1;32m 407\u001b[0m _current_indent_level \u001b[39m-\u001b[39m\u001b[39m=\u001b[39m \u001b[39m1\u001b[39m\n", + "File \u001b[0;32m~/.conda/envs/risall/lib/python3.9/json/encoder.py:325\u001b[0m, in \u001b[0;36m_make_iterencode.._iterencode_list\u001b[0;34m(lst, _current_indent_level)\u001b[0m\n\u001b[1;32m 323\u001b[0m \u001b[39melse\u001b[39;00m:\n\u001b[1;32m 324\u001b[0m chunks \u001b[39m=\u001b[39m _iterencode(value, _current_indent_level)\n\u001b[0;32m--> 325\u001b[0m \u001b[39myield from\u001b[39;00m chunks\n\u001b[1;32m 326\u001b[0m \u001b[39mif\u001b[39;00m newline_indent \u001b[39mis\u001b[39;00m \u001b[39mnot\u001b[39;00m \u001b[39mNone\u001b[39;00m:\n\u001b[1;32m 327\u001b[0m _current_indent_level \u001b[39m-\u001b[39m\u001b[39m=\u001b[39m \u001b[39m1\u001b[39m\n", + "File \u001b[0;32m~/.conda/envs/risall/lib/python3.9/json/encoder.py:316\u001b[0m, in \u001b[0;36m_make_iterencode.._iterencode_list\u001b[0;34m(lst, _current_indent_level)\u001b[0m\n\u001b[1;32m 313\u001b[0m \u001b[39myield\u001b[39;00m buf \u001b[39m+\u001b[39m _intstr(value)\n\u001b[1;32m 314\u001b[0m \u001b[39melif\u001b[39;00m \u001b[39misinstance\u001b[39m(value, \u001b[39mfloat\u001b[39m):\n\u001b[1;32m 315\u001b[0m \u001b[39m# see comment above for int\u001b[39;00m\n\u001b[0;32m--> 316\u001b[0m \u001b[39myield\u001b[39;00m buf \u001b[39m+\u001b[39m _floatstr(value)\n\u001b[1;32m 317\u001b[0m \u001b[39melse\u001b[39;00m:\n\u001b[1;32m 318\u001b[0m \u001b[39myield\u001b[39;00m buf\n", + "File \u001b[0;32m~/.conda/envs/risall/lib/python3.9/json/encoder.py:231\u001b[0m, in \u001b[0;36mJSONEncoder.iterencode..floatstr\u001b[0;34m(o, allow_nan, _repr, _inf, _neginf)\u001b[0m\n\u001b[1;32m 229\u001b[0m \u001b[39mif\u001b[39;00m o \u001b[39m!=\u001b[39m o:\n\u001b[1;32m 230\u001b[0m text \u001b[39m=\u001b[39m \u001b[39m'\u001b[39m\u001b[39mNaN\u001b[39m\u001b[39m'\u001b[39m\n\u001b[0;32m--> 231\u001b[0m \u001b[39melif\u001b[39;00m o \u001b[39m==\u001b[39;49m _inf:\n\u001b[1;32m 232\u001b[0m text \u001b[39m=\u001b[39m \u001b[39m'\u001b[39m\u001b[39mInfinity\u001b[39m\u001b[39m'\u001b[39m\n\u001b[1;32m 233\u001b[0m \u001b[39melif\u001b[39;00m o \u001b[39m==\u001b[39m _neginf:\n", + "\u001b[0;31mKeyboardInterrupt\u001b[0m: " + ] + } + ], + "source": [ + "output_file = \"entire_data.json\"\n", + "\n", + "with open(output_file, 'w', encoding='utf-8') as f:\n", + " json.dump(entire_json, f, ensure_ascii=False, indent=4)" + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "dict_keys(['003234408d', '0043f083b5', '0044fa5fba', '005a527edd', '0065b171f9', '00917dcfc4', '00a23ccf53', '00ad5016a4', '01082ae388', '011ac0a06f', '013099c098', '0155498c85', '01694ad9c8', '017ac35701', '01b80e8e1a', '01baa5a4e1', '01c3111683', '01c4cb5ffe', '01c76f0a82', '01c783268c', '01e64dd36a', '01ed275c6e', '01ff60d1fa', '020cd28cd2', '02264db755', '0248626d9a', '02668dbffa', '0274193026', '02d28375aa', '031ccc99b1', '0321b18c10', '0348a45bca', '0355e92655', '0358b938c1', '0368107cf1', '0379ddf557', '038b2cc71d', '038c15a5dd', '03a06cc98a', '03a63e187f', '03c95b4dae', '03e2b57b0e', '04194e1248', '04259896e2', '0444918a5f', '04460a7a52', '04474174a4', '0450095513', '045f00aed2', '04667fabaa', '04735c5030', '04990d1915', '04d62d9d98', '04f21da964', '04fbad476e', '04fe256562', '0503bf89c9', '0536c9eed0', '054acb238f', '05579ca250', '056c200404', '05774f3a2c', '058a7592c8', '05a0a513df', '05a569d8aa', '05aa652648', '05d7715782', '05e0b0f28f', '05fdbbdd7a', '05ffcfed85', '0630391881', '06840b2bbe', '068f7dce6f', '0693719753', '06ce2b51fb', '06e224798e', '06ee361788', '06fbb3fa2c', '0700264286', '070c918ca7', '07129e14a4', '07177017e9', '07238ffc58', '07353b2a89', '0738493cbf', '075926c651', '075c701292', '0762ea9a30', '07652ee4af', '076f206928', '077d32af19', '079049275c', '07913cdda7', '07a11a35e8', '07ac33b6df', '07c62c3d11', '07cc1c7d74', '080196ef01', '081207976e', '081ae4fa44'])\n" + ] + } + ], + "source": [ + "print(entire_json.keys())" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "metadata": {}, + "outputs": [], + "source": [ + "def check_json(vid_idx, frame_idx, obj_idx, entire_json, train_dataset, metas):\n", + " video_id = metas[vid_idx]['video']\n", + " video_data = entire_json[video_id]\n", + "\n", + " obj_dict = video_data['annotations'][frame_idx][str(obj_idx+1)]\n", + " cat_name = obj_dict['category_name']\n", + " bbox = obj_dict['bbox']\n", + " valid = obj_dict['valid']\n", + " \n", + " frame_name = video_data['frame_names'][frame_idx]\n", + " video_path = video_data['video_path']\n", + "\n", + " frame_path = os.path.join(video_path, frame_name + '.jpg')\n", + " frame = Image.open(frame_path).convert('RGB')\n", + " \n", + " #bbox\n", + " draw = ImageDraw.Draw(frame)\n", + " if valid == 1:\n", + " draw.rectangle(bbox, outline='red', width=2)\n", + " \n", + " frame.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 3. json 불러오기" + ] + }, + { + "cell_type": "code", + "execution_count": 38, + "metadata": {}, + "outputs": [], + "source": [ + "with open('mbench/sampled_frame3.json', 'r') as file:\n", + " data = json.load(file)" + ] + }, + { + "cell_type": "code", + "execution_count": 17, + "metadata": {}, + "outputs": [ + { + "data": { + "image/jpeg": "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", + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "check_json(10, 0, 0, data, train_dataset, metas)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 4. gpt 돌리기" + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "metadata": {}, + "outputs": [], + "source": [ + "os.environ['OPENAI_API_KEY'] = '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'" + ] + }, + { + "cell_type": "code", + "execution_count": 16, + "metadata": {}, + "outputs": [], + "source": [ + "# Function to encode the image\n", + "def encode_image(image_path):\n", + " with open(image_path, \"rb\") as image_file:\n", + " return base64.b64encode(image_file.read()).decode(\"utf-8\")" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "#### (1) captioner: per frame" + ] + }, + { + "cell_type": "code", + "execution_count": 26, + "metadata": {}, + "outputs": [], + "source": [ + "ytvos_category_valid_list = [\n", + " 'airplane', 'ape', 'bear', 'bike', 'bird', 'boat', 'bus', 'camel', 'cat', 'cow', 'crocodile', \n", + " 'deer', 'dog', 'dolphin', 'duck', 'eagle', 'earless_seal', 'elephant', 'fish', 'fox', 'frog', \n", + " 'giant_panda', 'giraffe', 'hedgehog', 'horse', 'leopard', 'lion', 'lizard', \n", + " 'monkey', 'motorbike', 'mouse', 'owl', 'parrot', 'penguin', 'person', \n", + " 'rabbit', 'raccoon', 'sedan', 'shark', 'sheep', 'snail', 'snake', \n", + " 'squirrel', 'tiger', 'train', 'truck', 'turtle', 'whale', 'zebra'\n", + "]\n", + "\n", + "\n", + "def getCaption(video_id, json_data):\n", + " #데이터 가져오기\n", + " video_data = json_data[video_id]\n", + " frame_names = video_data['frame_names']\n", + " video_path = video_data['video_path']\n", + " \n", + " cat_names = set()\n", + " all_captions = dict()\n", + " for obj_id in list(video_data['annotations'][0].keys()):\n", + " cat_names.add(video_data['annotations'][0][obj_id]['category_name'])\n", + "\n", + " # cat_names : person, snowboard\n", + " # 1. gpt에서 직접 action의 대상이 될 수 있는가 물어보기\n", + " # 2. ref-youtube-vos 에서 제공하는 카테고리 정보에서 우리가 처리하고 싶은 카테고리 이름만 남긴다\n", + "\n", + " for cat_name in list(cat_names) : \n", + " image_paths = [os.path.join(video_path, frame_name + '.jpg') for frame_name in frame_names]\n", + " image_captions = {}\n", + "\n", + " captioner = OpenAI()\n", + "\n", + " #0단계: action의 대상이 될 수 있는가?\n", + " is_movable = False \n", + " if cat_name in ytvos_category_valid_list :\n", + " is_movable = True\n", + "\n", + " # response_check = captioner.chat.completions.create(\n", + " # model=\"gpt-4o\",\n", + " # messages=[\n", + " # {\n", + " # \"role\": \"user\",\n", + " # \"content\": f\"\"\"\n", + " # Can a {cat_name} be a subject of distinct actions or movements? \n", + " # For example, if {cat_name} is a person, animal, or vehicle, it is likely an action-capable subject. \n", + " # However, if it is an inanimate object like a snowboard, tree, or book, it cannot independently perform actions.\n", + " # Respond with YES if {cat_name} can perform distinct actions or movements; otherwise, respond with NONE.\n", + " # Answer only YES or NONE.\n", + " # \"\"\"\n", + " # }\n", + " # ],\n", + " # )\n", + " # response_check_content = response_check.choices[0].message.content.strip().lower()\n", + " # print(f\"Movable Check for {cat_name}: {response_check_content}\")\n", + "\n", + " # if response_check_content == \"yes\": is_movable = True\n", + " \n", + " if not is_movable:\n", + " print(f\"Skipping {cat_name}: Determined to be non-movable.\")\n", + " continue\n", + "\n", + " for i in range(len(image_paths)):\n", + " image_path = image_paths[i]\n", + " frame_name = frame_names[i]\n", + " base64_image = encode_image(image_path)\n", + " \n", + " #1단계: 필터링\n", + " print(cat_name, frame_name)\n", + " response1 = captioner.chat.completions.create(\n", + " model=\"gpt-4o\",\n", + " messages=[\n", + " {\n", + " \"role\": \"user\",\n", + " \"content\": [\n", + " { \n", + " \"type\": \"text\",\n", + " \n", + " \"text\": \"\"\"Are there multiple {cat_name}s in the image, each performing distinct and recognizable actions? \n", + " Focus only on clear and prominent actions, avoiding minor or ambiguous ones.\n", + " Each action should be unique and clearly associated with a specific object. \n", + " \n", + " Respond with YES if:\n", + " - The {cat_name}s are people, animals or vehicles, and their actions are distinct and recognizable.\n", + " - The {cat_name}s involve clear, distinguishable actions performed independently.\n", + "\n", + " Respond with NONE if:\n", + " - The {cat_name}s are objects (e.g., snowboard, tree, books) and do not involve direct interaction with a person.\n", + " - Actions are ambiguous, minor, or not clearly visible.\n", + "\n", + " If the {cat_name} is 'snowboard' and it is not actively being used or interacted with by a person, output NONE. \n", + " If the {cat_name} is 'person' and their actions are distinct and clear, output YES.\n", + "\n", + " Answer only YES or NONE.\"\"\"\n", + "\n", + " },\n", + " {\n", + " \"type\": \"image_url\",\n", + " \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_image}\"},\n", + " },\n", + " ],\n", + " }\n", + " ],\n", + " )\n", + " response_content = response1.choices[0].message.content\n", + " should_caption = True if \"yes\" in response_content.lower() else False\n", + " print(response_content)\n", + "\n", + " #2단계: dense caption 만들기\n", + " if should_caption:\n", + " response2 = captioner.chat.completions.create(\n", + " model=\"gpt-4o-mini\",\n", + " messages=[\n", + " {\n", + " \"role\": \"user\",\n", + " \"content\": [\n", + " {\n", + " \"type\": \"text\",\n", + " \n", + " \"text\": f\"\"\"\n", + " Generate a detailed action-centric caption describing the actions of the {cat_name}s in the image. \n", + " 1. Focus only on clear, unique, and prominent actions that distinguish each object.\n", + " 2. Avoid describing actions that are too minor, ambiguous, or not visible from the image.\n", + " 3. Avoid subjective terms such as 'skilled', 'controlled', or 'focused'. Only describe observable actions.\n", + " 4. Do not include common-sense or overly general descriptions like 'the elephant walks'.\n", + " 5. Use dynamic action verbs (e.g., holding, throwing, jumping, inspecting) to describe interactions, poses, or movements.\n", + " 6. Avoid overly detailed or speculative descriptions such as 'slightly moving its mouth' or 'appears to be anticipating'.\n", + " 7. Pretend you are observing the scene directly, avoiding phrases like 'it seems' or 'based on the description'.\n", + " 8. Include interactions with objects or other entities when they are prominent and observable.\n", + " 9. If the image contains multiple {cat_name}s, describe the actions of each individually and ensure the descriptions are non-overlapping and specific.\n", + " Output only the caption.\"\"\",\n", + " },\n", + " {\n", + " \"type\": \"image_url\",\n", + " \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_image}\"},\n", + " },\n", + " ],\n", + " }\n", + " ],\n", + " )\n", + "\n", + " caption = response2.choices[0].message.content\n", + " print(image_path, frame_name, caption)\n", + " else:\n", + " caption = None\n", + "\n", + " image_captions[frame_name] = caption\n", + " all_captions[cat_name] = image_captions\n", + " \n", + " # final : also prepare valid object ids\n", + " valid_obj_ids = []\n", + " valid_cat_names = list(all_captions.keys())\n", + " for obj_id in list(video_data['annotations'][0].keys()):\n", + " cat = video_data['annotations'][0][obj_id]['category_name']\n", + " if cat in valid_cat_names : valid_obj_ids.append(obj_id)\n", + " \n", + " return all_captions, valid_obj_ids" + ] + }, + { + "cell_type": "code", + "execution_count": 28, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Movable Check for person: yes\n", + "person 00010\n", + "NONE\n", + "person 00080\n", + "YES\n", + "data/ref-youtube-vos/train/JPEGImages/01c4cb5ffe/00080.jpg 00080 A skier dressed in a red suit carves sharply down the snowy slope, bending one knee while angling their skis to control their descent. Meanwhile, another figure in the background ascends the hill, moving upwards with a steady gait. Snow sprays up behind the skier, creating a cloud of white as they navigate the terrain.\n", + "person 00115\n", + "YES\n", + "data/ref-youtube-vos/train/JPEGImages/01c4cb5ffe/00115.jpg 00115 A skier in a red outfit stands on a snowy slope, bending slightly at the knees while holding two ski poles in both hands. The skier's head is turned to the left, looking towards the slope, with goggles reflecting the surroundings. In the background, another skier ascends the slope, leaving tracks in the fresh snow. The snow continues to fall, creating a light mist above the surface.\n", + "person 00140\n", + "NONE\n", + "Movable Check for snowboard: none\n", + "Skipping snowboard: Determined to be non-movable.\n" + ] + } + ], + "source": [ + "# 17, 46\n", + "video_id_1 = list(data.keys())[17]\n", + "image_captions_1, valid_obj_ids_1 = getCaption(video_id_1, data)" + ] + }, + { + "cell_type": "code", + "execution_count": 30, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'person': {'00010': None,\n", + " '00080': 'A skier dressed in a red suit carves sharply down the snowy slope, bending one knee while angling their skis to control their descent. Meanwhile, another figure in the background ascends the hill, moving upwards with a steady gait. Snow sprays up behind the skier, creating a cloud of white as they navigate the terrain.',\n", + " '00115': \"A skier in a red outfit stands on a snowy slope, bending slightly at the knees while holding two ski poles in both hands. The skier's head is turned to the left, looking towards the slope, with goggles reflecting the surroundings. In the background, another skier ascends the slope, leaving tracks in the fresh snow. The snow continues to fall, creating a light mist above the surface.\",\n", + " '00140': None}}" + ] + }, + "execution_count": 30, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "image_captions_1" + ] + }, + { + "cell_type": "code", + "execution_count": 32, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Movable Check for ape: yes\n", + "ape 00020\n", + "YES\n", + "data/ref-youtube-vos/train/JPEGImages/04474174a4/00020.jpg 00020 Two young apes engage energetically on a rocky surface. One ape, facing the other, reaches out with both hands, grasping the top of the second ape’s head. The second ape leans slightly forward, turning away while twisting its body, creating a dynamic posture. The first ape maintains a firm grip, pulling gently. Both apes exhibit a playful stance, with limbs in motion, as they interact amid the textured backdrop of the rocky environment.\n", + "ape 00075\n", + "YES\n", + "data/ref-youtube-vos/train/JPEGImages/04474174a4/00075.jpg 00075 Two young apes wrestle energetically on a rocky outcrop. One ape grips the other’s leg with its hands while the second ape attempts to evade by twisting its body and jumping to the side. The first ape shifts its weight, maintaining its hold as they both teeter near the edge of the rock. The second ape uses its arms to push against the first, trying to gain leverage to break free. Their movements convey a playful yet vigorous exchange as they tumble and reposition themselves on the uneven surface.\n", + "ape 00090\n", + "YES\n", + "data/ref-youtube-vos/train/JPEGImages/04474174a4/00090.jpg 00090 Two young apes are engaged in playful interaction on a rocky surface. One ape is clasping the other's shoulder with its left hand while using its right hand to grasp the other’s foot, pulling it towards itself. The second ape is leaning back slightly, opening its mouth while using its free hand to push the first ape away. Both are positioned on their knees, showing a lively exchange of movements as they engage in mutual play.\n", + "ape 00140\n", + "YES\n", + "data/ref-youtube-vos/train/JPEGImages/04474174a4/00140.jpg 00140 A young ape leans forward, using its hands to grip the rocky surface as it inspects a small object in front of it. Meanwhile, another ape, positioned slightly behind, reaches out with one hand towards the first, gently brushing against its back while craning its neck to observe the object being examined. Both apes maintain a posture of engagement, showcasing their interaction in this rugged environment.\n" + ] + } + ], + "source": [ + "video_id_2 = list(data.keys())[46]\n", + "image_captions_2, valid_obj_ids = getCaption(video_id_2, data)" + ] + }, + { + "cell_type": "code", + "execution_count": 33, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'ape': {'00020': 'Two young apes engage energetically on a rocky surface. One ape, facing the other, reaches out with both hands, grasping the top of the second ape’s head. The second ape leans slightly forward, turning away while twisting its body, creating a dynamic posture. The first ape maintains a firm grip, pulling gently. Both apes exhibit a playful stance, with limbs in motion, as they interact amid the textured backdrop of the rocky environment.',\n", + " '00075': 'Two young apes wrestle energetically on a rocky outcrop. One ape grips the other’s leg with its hands while the second ape attempts to evade by twisting its body and jumping to the side. The first ape shifts its weight, maintaining its hold as they both teeter near the edge of the rock. The second ape uses its arms to push against the first, trying to gain leverage to break free. Their movements convey a playful yet vigorous exchange as they tumble and reposition themselves on the uneven surface.',\n", + " '00090': \"Two young apes are engaged in playful interaction on a rocky surface. One ape is clasping the other's shoulder with its left hand while using its right hand to grasp the other’s foot, pulling it towards itself. The second ape is leaning back slightly, opening its mouth while using its free hand to push the first ape away. Both are positioned on their knees, showing a lively exchange of movements as they engage in mutual play.\",\n", + " '00140': 'A young ape leans forward, using its hands to grip the rocky surface as it inspects a small object in front of it. Meanwhile, another ape, positioned slightly behind, reaches out with one hand towards the first, gently brushing against its back while craning its neck to observe the object being examined. Both apes maintain a posture of engagement, showcasing their interaction in this rugged environment.'}}" + ] + }, + "execution_count": 33, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "image_captions_2" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "#### (2) referring expression generator & QA filter: per frame" + ] + }, + { + "cell_type": "code", + "execution_count": 120, + "metadata": {}, + "outputs": [], + "source": [ + "def getRefExp(video_id, frame_name, caption, obj_id, json_data):\n", + " # 이미지에 해당 물체 바운딩 박스 그리기\n", + " video_data = json_data[video_id]\n", + " frame_names = video_data['frame_names']\n", + " video_path = video_data['video_path']\n", + " I = skimage.io.imread(osp.join(video_path, frame_name + '.jpg'))\n", + " frame_indx = frame_names.index(frame_name)\n", + " obj_data = video_data['annotations'][frame_indx][obj_id]\n", + "\n", + " bbox = obj_data['bbox']\n", + " cat_name = obj_data['category_name']\n", + " valid = obj_data['valid']\n", + "\n", + " if valid == 0:\n", + " print(\"Object not in this frame!\")\n", + " return {}\n", + "\n", + "\n", + " x_min, y_min, x_max, y_max = bbox \n", + " x_min, y_min, x_max, y_max = int(x_min), int(y_min), int(x_max), int(y_max) \n", + " cv2.rectangle(I, (x_min, y_min), (x_max, y_max), (225, 0, 0), 2)\n", + " plt.figure()\n", + " plt.imshow(I)\n", + " plt.axis('off')\n", + " plt.show()\n", + " \n", + "\n", + " cropped_I = I[y_min:y_max, x_min:x_max]\n", + " pil_cropped_I = Image.fromarray(cropped_I)\n", + " buff_crop = BytesIO()\n", + " pil_cropped_I.save(buff_crop, format='JPEG')\n", + " base64_cropped_I = base64.b64encode(buff_crop.getvalue()).decode(\"utf-8\")\n", + "\n", + "\n", + " pil_I = Image.fromarray(I)\n", + " buff = BytesIO()\n", + " pil_I.save(buff, format='JPEG')\n", + " base64_I = base64.b64encode(buff.getvalue()).decode(\"utf-8\")\n", + "\n", + " # 구분 가능 여부 확인\n", + " generator = OpenAI()\n", + " response_check = generator.chat.completions.create(\n", + " model=\"chatgpt-4o-latest\",\n", + " messages=[\n", + " {\n", + " \"role\": \"user\",\n", + " \"content\": [\n", + " {\n", + "\n", + " \"type\": \"text\",\n", + " \"text\": f\"\"\"Can the {cat_name} in the provided cropped image be clearly identified as belonging to the category {cat_name}? \n", + " Focus on whether the cropped image provides enough visible features (e.g., ears, head shape, fur texture) to confirm that it is a {cat_name}, even if the full body is not visible.\n", + "\n", + " Guidelines:\n", + " - If the visible features (like ears, fur texture or head shape) are sufficient to identify the {cat_name}, respond with YES.\n", + " - If multiple {cat_name}s are entangled or overlapping, making it difficult to distinguish one from another, respond with NONE.\n", + " - If the object is clearly visible and identifiable as a {cat_name}, respond with YES.\n", + "\n", + " Output only either YES or NONE.\n", + " \"\"\"\n", + " },\n", + " {\n", + " \"type\": \"image_url\",\n", + " \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_cropped_I}\"},\n", + " }\n", + " ]\n", + " },\n", + " ]\n", + " )\n", + "\n", + " response_check_content = response_check.choices[0].message.content.strip().lower()\n", + " print(response_check_content)\n", + " \n", + " if \"yes\" not in response_check_content:\n", + " print(f\"Referring expression not generated: {cat_name} is ambiguous in this frame.\")\n", + " return {\"ref_exp\": \"NONE\", \"caption\": caption, \"cat_name\": cat_name, \"file_name\": frame_name, \"isValid\" : False}\n", + "\n", + " # Referring expression 만들기\n", + " # generator = OpenAI()\n", + " response = generator.chat.completions.create(\n", + " model=\"chatgpt-4o-latest\",\n", + " messages=[\n", + " {\n", + " \"role\": \"user\",\n", + " \"content\": [\n", + " {\n", + " \"type\": \"text\",\n", + "\n", + " \"text\": f\"\"\"Based on the dense caption, create a referring expression for the {cat_name} highlighted with the red box, corresponding to Object ID {obj_id}. \n", + " Guidelines for creating the referring expression:\n", + " 1. The referring expression should describe the prominent actions or poses of the highlighted {cat_name} (Object ID {obj_id}). \n", + " 2. Focus on the behavior or pose described in the caption that is specifically associated with this {cat_name}. Do not include actions or poses of other {cat_name}s.\n", + " 3. If multiple {cat_name}s are present, ensure that the referring expression exclusively describes the {cat_name} corresponding to Object ID {obj_id}.\n", + " 4. Avoid ambiguous or subjective terms. Use specific and clear action verbs to describe the highlighted {cat_name}.\n", + " 5. The referring expression should only describe Object ID {obj_id} and not any other objects or entities. \n", + " 6. Use '{cat_name}' as the noun for the referring expressions. \n", + " Output only the referring expression for the highlighted {cat_name} (Object ID {obj_id}).\n", + " \n", + " {caption}\n", + " \"\"\"\n", + " },\n", + " {\n", + " \"type\": \"image_url\",\n", + " \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_I}\"},\n", + " },\n", + " # {\n", + " # \"type\": \"image_url\",\n", + " # \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_cropped_I}\"},\n", + " # }\n", + " ],\n", + " }\n", + " ],\n", + " )\n", + "\n", + " ref_exp = response.choices[0].message.content.strip()\n", + "\n", + " #QA filtering\n", + " #QA1: 원하는 물체를 설명하는지\n", + " filter = OpenAI()\n", + " response1 = filter.chat.completions.create(\n", + " model=\"gpt-4o\",\n", + " messages=[\n", + " {\n", + " \"role\": \"user\",\n", + " \"content\": [\n", + " {\n", + " \"type\": \"text\",\n", + " \"text\": f\"\"\"Does the given expression describe the {cat_name} highlighted with the red box? If so, only return YES and if not, NO.\n", + " {ref_exp}\"\"\",\n", + " },\n", + " {\n", + " \"type\": \"image_url\",\n", + " \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_I}\"},\n", + " },\n", + " ],\n", + " }\n", + " ],\n", + " )\n", + "\n", + " response1_content = response1.choices[0].message.content\n", + " describesHighlighted = True if \"yes\" in response1_content.lower() else False\n", + "\n", + " #QA2: 원하지 않는 물체를 설명하지 않는지\n", + " response2 = filter.chat.completions.create(\n", + " model=\"gpt-4o-mini\",\n", + " messages=[\n", + " {\n", + " \"role\": \"user\",\n", + " \"content\": [\n", + " {\n", + " \"type\": \"text\",\n", + " \"text\": f\"\"\"Does the given expression describe the person not highlighted with the red box? If so, only return YES and if not, NO.\n", + " {ref_exp}\"\"\",\n", + " },\n", + " {\n", + " \"type\": \"image_url\",\n", + " \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_I}\"},\n", + " },\n", + " ],\n", + " }\n", + " ],\n", + " )\n", + "\n", + " response2_content = response2.choices[0].message.content\n", + " describesNotHighlighted = True if \"yes\" in response2_content.lower() else False\n", + "\n", + " isValid = True if describesHighlighted and not describesNotHighlighted else False\n", + "\n", + " print(f\"describesHighlighted: {describesHighlighted}, describesNotHighlighted: {describesNotHighlighted}\")\n", + "\n", + " return {\"ref_exp\": ref_exp, \"caption\": caption, \"cat_name\": cat_name, \"file_name\": frame_name, \"isValid\" : isValid}\n", + "\n" + ] + }, + { + "cell_type": "code", + "execution_count": 121, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "yes\n", + "describesHighlighted: True, describesNotHighlighted: False\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "yes\n", + "describesHighlighted: True, describesNotHighlighted: False\n" + ] + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAgMAAAErCAYAAABDzICRAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjkuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8ekN5oAAAACXBIWXMAAA9hAAAPYQGoP6dpAAEAAElEQVR4nOz917Nk3Xbdif2W2T7t8abs567FBQgQrkk2mhH8RyU9SqEItUIv6u4QySZBigAJ4AL34vPl6/j0ue0yelg7s75LKiRE6Cr6AbUiKqrqVJ2TmXuvveacY44xpvDeez6uj+vj+rg+ro/r4/pHu+T/1m/g4/q4Pq6P6+P6uD6u/23Xx2Tg4/q4Pq6P6+P6uP6Rr4/JwMf1cX1cH9fH9XH9I18fk4GP6+P6uD6uj+vj+ke+PiYDH9fH9XF9XB/Xx/WPfH1MBj6uj+vj+rg+ro/rH/n6mAx8XB/Xx/VxfVwf1z/y9TEZ+Lg+ro/r4/q4Pq5/5OtjMvBxfVwf18f1cX1c/8iX/of+x3/+z/6INE1RSqGUQkqJ1jr8Hgm8t3gPSiVEKgXhMLbBGMPO5FBrTaIjYqmIkgwpJdYLhFJ0XUfTNXgHQiisdYDg6uqabTlDSoGUEikVeZ7hPay3JevVmtVyQdNUZElC1zV0raFuWk5Pz/ijP/pD0jTFufDzjo6OKcuKo8MTttuSt2/fMRxN8EqQJDFxHDEeD+lMS5EXHE2O+fqrb0iSBGstxhjyLKNczXn75jVIwXA8QijJ9OAA4x1VXVNutyznC9arFQDeOVpjiKJofw0nkwlaK+aLOU+ffMpwMKUsa25u3vMwu2W9XpJlKd57tNZMJhOGwxFxlKGEpF6XKClpuhanJFW9oWlKhJRUdY1UkihNOJgecnJyzsHhMSqKMRbKqsF0lqouw7Vvwr3KsowkSehMh1IarSOEEOT5kKqs2C5mOGvw3hDHirZraNsKi0NIgXceZwxt3SAApSTCe5qmQSrQWmFth0fQdeFzdV1H13VEUQSA8Q4AKT/kqtZa2qpFCEGSJPuvaa2x3uOcC9dJSmId4b0nywtklOCcQwhBWZaUZcmgKBDeIwTkRYpzlrZtqKotWgikFOAttmsRQKQkrbGUdY3SMcVgiFBh70uh8J6wL/IcIUR4r6ZBRxqtIsL2D5/FmI66rvDe7/eC9x6lFFpI0jTFG0OaRAwHBXGkqLYb4jhCa03TNCyXS4wxdG3D/f09UkqyLDxPzjmcc8RxzGAwIMsyuq5jNBoxmUxpu443b94wm80AmM/n5HnO6dkJSinatmU0GmGtZbvdYvE47xFColTYC6PhhGE+YjFbMF8uGI6GnF6eMZvNWCxWaJUghGA4HDIajUiShN/9nd9DWU3XtXz19Zc41+7PjW25xLnwbMRxzPX1NcvlElyLs56utRTFkOfPPsVaz2R6yNn5BZ9/8QUPswekUhTDAUma8/b9NZ01RHHMttyy3mwQSiLwKBkjheb4+BjnDXmRUBQ5zjmMaYnjGCE8zllM3WLaDmst0kMcRSznC+I0pXMdb9++YjZ/wHQW0PzRH/8paZHTNA1d1zEYDML9KktMWdG2HTpOSYshWTZA6QiZRERJThRlOO8wvqMzNav5jNVsxnazRNiWzXzOerXAYrm+u6GqasqyIo4Sjo9PWS5WGOMoioLLy0sGgwFCCNI0QQnPfLmkqlqubh64ub1ns9lyeHzC6dkpn33+KXmeISVcXV0xHOSkaYoxlrpuSJOczz//gjRNyYuU1WrJixffURQZV1fv8d5iuo6uMzx69BRBxF//1d9wcHDA8ckxdV0RxwlSCqqqYrlccnt7B8Cjx0+p6obNtuLTzz/jJ599xqDIWSzm1E2NUvDdd99xeHDAtiyRccS6rDg/v0DLiNub99SmJE8zHh4e+PLXv0Z4KIqci/MzNus1WZpxcnjEuzdvubu7Q0YRTVshZNjfs9mSNCk4HI85Pjrm9OKcbVUzHE0oqwrvDcNRwWQyJs9zjDGkaUZZ1tzd3jKb37Nczqjrhn/7b/+cJMk5Pr/AWAN4iiLDecvt7TXlaouSijgKz3KepHjnAUdZlUwnE7arNXVVo5UiTRLWqzVt25KmKVmWATAZH/DTH/0CKSVl29CZjpvbW3QSMZiMsM7SNA2z2Wx/Hv2f/s//x99eMhAelBCQhRD7rwshMMbiXBcODAnWObw3CCmI43j//6SUiJ35sfcYY9hUDXXTECdxCAYCrDUY45BS4b0DL/AevA8BoGlaBoMB0zghTVKKPKPrGrx11HWJMZa4qlks5vy7f/fvGI/HDAYDiqIgjhMOD49YLBakacbTp0+p6hbjDBJQEpwNf54/3IOBn/70x5RlycPDA/f3a7SWdF3HeDxivlxS1zVRmrDdbonSBK0UURSRZRnOWpy1WOegbfcBTkrJarWiqivqusJZyRefp1hr2Ww2bLdbqqoky9L9NQ+/Q2dayrolURprOtabFSLSRLEiUgWdNZRlSdO1eMB0HXVdgnfEOgLvSKIYKQxdp2jqmq5tKMuKuqo4OTnBORuSNWsQSNqmDgeqUkg8TdvSdeFmKqUQQtKZDmcsAkEURUgh8M6FA8MYsA4hYqRUWOdQKgSxoijC+20ahBD7/bX78y4ZImafSFlr/5t9KPv/b60FwDmH/MHPGQwGIakzBpwLQT9sxZCweuDDj+x/hsXiMf3P1FqjtEbIEMR/+B7+67/3X8V7+iDj94nJLhEQQoSv9S8cklZP07TkWYrok4DwnJn99/efGiFESLSk3CfrQgi6rqMsy/3eCd9rubi4YDAY8O2332KM4fHjxxwfHzNfzFgulzjnsNaGa6ck3vuwf63Fe4FSGmstw+GAi7NzvvzqS5quo2s7rHX967N/3eVyidaab7/5hqPxCUkS03Uduzwv3C/TXyePtRalFGmWMsjGmM6wWq6p6zpceyVYLBaoKCIfFERRxHyxoDEdBwfh+7RzbLYbxuMxJ6en6DhC4Glqw3AwIcszrG0RwoY96xxFMUAp2e/VDrQD5xGAlgolJdPpFC8Eq/WSo8ND0jSmbQ3TyRFnp2c44WE02t/Htm3DvcGTZgmgSOJQcCRphtAKhEDiMc6y3a5xviNLU+R4gutq6rLF4VFak2c5+TCnrltev35DHCUcHR3xcD9jOj3cv+ZgMODk5IQ41swf7lFRxGh8QJq/xljPH/zTf8oXP/4peZFRVSVNU2GtYTwe0zYVdV2zXm+o64ZIl2RZzuPHjxDSk6Ypv//7v8/t7Q2b7YbZw93+PPvbv/1bhoMpIMiLnCxLMKbl7dvXLBYLjDEh6VURSZLykx//CI9AKE0xGDIoCpq6Dk+Nc7x4/QprLXmWE6cJTgiywWi/t45PTpDagYfDgwOwluura4QI1z8vCt6+es1qviBPQ1JcZBlCJmgt0FqjdcKgGDMZDpiMJzx79hzrPUcnZ7RtR2cahHCUZUkURTgHxljapiHLc55NnvHuneT25o6TkxOePvuUyydPmc1n3N/f0jT1PjHvqpZIR8RRhJIS6yxa6v2eT9KUZ0+ecH11zez+gaqq90VOXdf757muWiKZcfnoEWmR4/FMp1OMt3Rdi44ijDH7M+8fuv7ByUCapgB7VOCHiUE4INy+KtFKoOMEHYVDy9oQWJRSCOcRzmOdZVtWLFcbRB8890Glq7HWYK3rKxKNEOHgcN5jjKOqGpIsYzAYEEeaui5pqpBNOgtK6VBlec92u6XrOlarNcY4suwt93czsixnOj3g+OSU8WSE1grnDM4a0jTBmY4oCl/bbjekaYzWkr/4i/8n1WqFNYbD4yNOTk9RsaZpW1IVqkM8JEmCs5auCxWGkHKfDHgfDr8kjgDLer3i7u6Wg4MjpgcT5os7Tk6OkSokWwhPWW1wzjIYjNFa0dY1AkFeZFg8SgkkIVHxzuGsC4lAVbFeLbnVMaenCiE1QngE4ZdWkjzL0EqxWCx4+eJ7kjTh4OCQYjAkiZNQ5bhQKUulAKibGms7hHA4PJ21SCHQUoLwCAQe1+8RhejRHaXC36VKkFJSFMVvBDHXIwO7YLGrnp1y+2RgFxB31TACJCIgJXWD/MG/7wKu7YOakBIpJODCtcXt70e4IiEnkFJi+uSstY44SfaBeXcdlFQ499+O99gFNoHAOZASus6g1A8QNa1/8Lqh+nbOIbyn7Vo2mw2ma8nTGN8nz1EU/QYCkCQJXdeFZAv218b2+66ua/I8VL9d17HZbNhsNgyHQ7quI0kS5vM5q/Ua78OhEoJ1eO5M1+4+Uf81SVVVvH37hqePn/L8+XNWmw1pkfP55z+i6yzff/+Cu7sQJC4vL5FS8jCboUnCs5UkIBxK+31SsEtmvPdkWUae52SxYrPZ0rWGrtvw7t07JpMDhNScnJxQliXb7RYPOAFPnz7n+Ow8VHTAerMmy3OyIgfv6FqHVvE+KOVFjpSCtm1p2yY8EyLcF5UInLE0xuCwaKXI04y2MwwHA4bDHCUF1noeXV7inSNOY7LsAzpU1zXb5RJrw30z1lPXJZ6AbkUqRvT3S8oIPRrhXIczhlRp0khy/f4NSaRDxZ4nrDcrXr58RZIkHB0e86Mf/Yg0CZXqu3fvWC6XvH//vg90CmcMy+WSh9mSuu74kz/9U05PTmmM4e2bt1R1ycHBdP+cbTabgLZZG5455yjLbUC72hbvHev1koeHe0bDEc524D1dZ1gs1qRpSnyS8vLFS5bLWY8QDWiamvv7e9brNdWm5Hd/9/dYLhc8zOZ88aMfczSd4q3lej7De0fTNuR5ThzHAYHzkGQpQmkeHmaYxoSAHofzxjnHaDBiU6zp2pa6rkmShJOTEx7u7rm/vfuAHmoNok94pcRay2q1IlIRd3d3PH7ylHK7JYpjhoMh4CiKYTgD65q6rlktN+FccpYkSaibmul0wmq5hHfvOTs/xdqO7XaDkGC6FtdaTGeIoyhcs6ZF6v5sNIKb62siKZk9PIRzsI+bWmucc0RR1J8rjpubG9I05VAeEyUxcRyjvGPTbEEIxuPxvnD4IcL6/2n9g5OBJEn2lc8Pf4XD1veHtEYKhVQKrRVg9xXKvlUgJG1VUwxGrJYrpNKkPcTpCeV/27ZUVY33oRLLshzv3f6A9d7jnd8fikrrAJMKgbcRbWtQPfy8q3LCZpfc3t5irSPSCXXdMJ8vePXqNTqSDIYFl5cXKCX49LNPuLg8J9E5o9GQoij4m1/+Dd9++y1NU/cPiuXq/Xu25ZYvfvoTBoMBTd0Qp3F/uAeo1NkAA+muQ0hJHMf7JMX5XQXe8OLF9+Fhqbc0TUVRxAgh+wMzZP3GdGy3JVgYpBl5mrErFG1nMC5kraYPEFEU4awB76i2G2YP90RJhveSOIqItcJHGiU8Es3hdMJ8PqdrG4xpcCZBZVmfXJhQ6fT3PokTVusKcCBBSEmkNM46nA0BznuQYledh2QojsPmreoO7z2r1Wp/GBlrEO43A/ku8EdRhCDsuf1DDeEw8KG6lkLsEZldUN7tPSHEPgAr2F9b06MMOtJ4a0Nwd6EyNCZcxyRJiZMUIQXOWoQSRH2CG1pknrIsw2EYx3jhaNsG48Pn3j07u8r5h8/P/mF1nvV6TRpFKEkIysIjcCFhy/P9dZEyIDG77921Wnb/9sNEA/o2S9fx+vVr3r9/j3OO4XDIwcEBq9WKpg2HZxzH+2csVOz0hxBoHfdJRotpWr5/8S2ff/FjPvvsU45Oz8jzAdtNyWKx5P7+nrOzMz7//HOklPzdL/+Ov/u7v2M6GXN6dhIgTxHQiuFwiBDhdTabTUh4lCSOFSMp8Q6M8SwWC7rOEsUpi8WSwXBAMRjQNA2bzYavv/6aJ88/QSiJ6wPZzc0NxlsmwxHD4QShJUmSYGwL3hNFITkI5xUoFZCo1vatnDhG9Em1T1KkELStoesqvPOsVyvEhUBLhZYKrMP217ypapI4pvMddVMhhELoKLQhTEdkNcKB0AopQHpH17U4Y4l1hNOa6cEBUniWyxnr+xVv3rzh9evXSBHOvLZtGQ6HvHnzjpcvX/LZZ59xf3/fP1OeIst4/PQJcZzhRUSSFbRdx8XlIy4vL6mbCqUExnTh+rb1/n5fX99wdHxEFMXc3NzgfECm0jSmritGoyHjyYT1chnQGqkYDoeU25pHjy5ZbxZYa3j8+JLhsODx40c4a3n35i3r9Yr//Bd/gReC46Mj8izFGsdqteb+/paH2T1SCkajIdf2ijTPmJ4ckWQDhsMhPgdnGjoTkIy7m1tur29w1hLHEfP5HGcdp8fHjEYjlAhJ7GKxwGOo6g1VVWEtDAcT8jimKiuu7265ubvj/PIRJydnnJycUFVblssVSnU9OqUZj8fUTUXThESpazvyvGAyOSQZhMThJz/5Cbe3N8zmD9R1SVEUmM6Es9SFto6zDmPbPs5lNE2LVArvW5TWqP7c8N7v2xTCK7TWlGVJst1wMbkEITDOUpoaIQTb7Za2bffI/P9fkoHdAb07MKQU4SAWgh3G6p3DWI+1ASYLFz0kBsJ5IiFJ0pzhcIBQEb7/sM454ijqD4Ut3kOWZlgXeo0BYdB9FSRpmxbwZGlCUmRsZYCzQSD+q/fp+74nePDhEApwZkAdTNdyc73i/bvXnJ+fMxoOOD46omlrvvv+lqurK77/PgTrLEtJigFNXbParJkvFnzzzTf87Gc/QycxZVnRNi2b9Tocpipkdk2fse7eU4ARq76XnoD3XN9c0bY1USRpuwbt9T7ohaDiMKbDtoauLOmKAicFje2wbRcOI+cwbUvTtX3V2CEE1GXNZr1FqAiECq2TPA/B3YMSgsZ0xJHGuo7VckGsIybjCUIolnXNcrkkz9I9fBYCrkOgEF4iUAF3R+CcxzuHUEDfYgifg9CSaMy+St4FMGMNznvsDmXSOqAIQtL5DmdDUrQLirv2gJIK4ekfNNsHwbCvqqraVxi7fWa6jiSJ8Z59xRCSA4/H4WFfBas+iYijCOs9HgICojW6R8V23JhddXt7ewsCBsWAJMn2VYhzH/Zh0zTEcWiPGWNCNeBC4phnKU3bEmlJ2wZOSFEUSCn3KJqUguurq/3Pbppmj9ztkINd6yVN0/CMCslkMtm3UsqyRMhQSYzH4z0fQSm1T7x2XB0pP7RnpPC0bc2792+om4bp0QltaxBSMR6P+YM/+AMuLi5o25b7+/vAJ5gvWC2XZHlKURyjdMRoNCVO2D/fWZZR13V/D1XfNoHtdstmXdJ1ljjJ+C//+T/z3/3zf9ZXeWLPd7i7u6OsKkaTMXGPmug42l+XHfLkMSAUbdf2yV6MtTt+k99fsySOibXm+uqat2/fcnx0TJamJLHCGkOXZjhnub+9ZbXd7tt8w+GQ8/MzIq1RxLTtBusctqlompa2bYmUJtIxo/EBcZZiTYcEtNI0dUPTNER9j/vVmzfc3l7jbEdV1URRzGq14v7+HgifP47jfcAI+0qTpXHPGZni0OgeiZjP55ydnRInEd47rA2JZLndUNcVt7d3xHFMEoei6eDggEePz1mvV7x8+YKLizOMNcxn92w2m32L4quvvkKrmKfPHvP0+U/58ssv+Z//l/+JJEm4uLjgk+efMB4Oefn9Lzk9P2e52vB//R//L3zxox/z6NET0iTl6dOnDIcD5osZ7969I4kipuKI+v0V+XBEHCcsZgu86bAucJOEhzSO0Vrz4uUL7mf34VnabNis1tRlFZ7hPOvPXE+W5TgXCs4ojrDGMpvNubuf8d2LV/ziF79L27ZMpxPiOOmRthZjLEIorq+vmU5HpGnGYDhgvSr5/T/4fX7vD/6Iv/27X3J3d8PZ2RlNG/YzncdGoW1c1zU4H84upZEynHW+RwBIPbYzWGv3XJodQooL595iueRhOQchOL+4oDUdmU0xPaKQpmnf2viAtP5WkgHfQ7H96d+TrrrQA4pEn+06lAQpI6QTv1GVO+d65MCTp2mA3QYDvJDUTYux4YO3vt0TJhaLZV9BsQ8ku+onzVJkpOiahrbr6LoWfKgu8AKpwsU1xoSDfQ8XQ9O01HVLQKMdoEL/Ok3Ax8xnD/zyl3/Nm9evsE7Qtg1dZ/peb4DYpQoEHeMsjlDRffnllxweHyN1gKqbuqZtmr6iN3R9wNhBeLskSyqHlBpnQ7CI4wghQ087ijRRFPWJkKBtfehzWotrOrq2RsYRXkq8sbg2fF7nHbK/YW3TsFmvGQzAWo+OPQjFdrOhKrdEWiOkoOsMdV33wTZUx6vlAtMZ0iTrD7GG7WZNnGiGwwL6RMsai5ACK2wgf/q+rbOHl/W+l9w0LVIqtFLUdb0PiDtYHiHxe3i633KE62YJlemuslZKQY8qCQFSKPBgjcU6i22aPgHZ8QNCD3xXqVtn9vt091mcc7RNQ7Xd4J0ny1OkUvv2SNe2+0QD2N+bNM0pyy03NzcBOYg12+22D6KeOE6ItN6jDbtkdRe8d0G47Vq6tkPg6CKNTqLfaHWkaeCRJEnMZr2maZr997dtu0/cdwnnD7kMOor3379D7eIk/g0EZgcTO+8DWkIgSFrbASBl6H3bvg1xdXXNyftrjo/PyLKM4XAY0Jm+NZFlGX/0x3/M1ek1d3e3dF3HYrFkPClC+1FOaOpqn9DMZjO6rmM4HKCkYrN+td+XxjhUVSNVgGyjKKLIc5CSKE4oywrnP7SThsMhxXDAIM8xJgT6SGuUjjA2BFyANE36ZzskgRhHudmy3W7Jk5TJZEKWpDjjkEKw2W65vblBCsWb169xTmCcY7vdslws6I6OOJhMSNOYJEtBSObzFWW9pqxa8AI6i/MynJdKcXhyyOWjU6SM0VLS1DUei44Vx6cnZGmMc4ayrBkMhpRlyatXLzk4OGIwGPDJJ5986E93HUII1psNUZIAM4bjA5KsAODt2zcsVwu++OLzfj+GltF4PCaKNHGcgBeUZc379+9pmprTs6P9Hnz9+jVKSZwPHC6lFOvNGilinn3xCZvNhjd/+T1JEmNMOJ+/+eYrXnz/HfUqEL/v7u6wnn0C+ejyMW3XkCRHHB8f05mGs7Mznj99xng64dtXL1ksFkghscbx8vtvefPqe5SSjIcjfv6zn7HdbGjrBiUli8WCzXJFrCNM1yEaQd21xGnUI3gRdR1aZzfv3uGcp+k6dByzWK9pmtCq+8lPfsJ0OiWK4h6FKlESijwPRL80IUsztuuGzXrLZDLmv/vTP+V//3/433F/f4fH7c8c5xw6ihhGEaYJz7kzds/FadvQ4o3jmNpasOyf/TRNe6J9i5YJx8cnxFlK14WiO0pjRP0BtY/iCCEkUX9u/X9b/+BkoG02CARChgO4a1vubm8RUagw4/6FpdIgQ+UuvEM4h5YKESWBQIVhvlrQWANKkCQp3hpMZ5BOs9jOWW+3ZHkOWjJfLxmOJ2gZDuMk0ighSLMUB7SdobMWpRVRFC6olo5YhB5r0zT9AZoR6wjbGSKlET48uG1ngAQdxygtMaZFasl6s6VuDEkUoZUKPb4eUqyqivV6FSD/KCItUpy1bFYL5vMHdBwDvj9AQ6UqCdWV1hq8DQmL8BhrSNKsZ9xq2sbQNAqPRUiIYx1IlMJhnQ9fFwapHEQeJftsXyqcENTOYLtAyJIC8A7hJMpLpBcBFbENcZwgXEBRTCvxQNt01FVNWVXUJiR6aZqx3W7J0pwsK3j6+JKHh3uapmazWtJ2DVmW9p/J43o2tgjpBKEv7/qgF2Etoc9uQ1thd3+gJwfKsIeyLA9tA2Po+s/jMaGn6kzPwA9olOgRCKUCIas1LeDAO3yPLuweqF1iIKSnaav+PoVkTYrwQHjrsMYhVEQ2GAYCmlC0IcfBhegYvkdKBI7w0h2z2Q1pKjk5PQIZrqkQJqRl3uF8SMSkDrwSYz1e7K5XSDwBbH89q6YJ7GNUuHZK79EUEAyGQxbLJaZtQhunbZFKomQIhjuEoK7rUOGrmJD3ONI05+TkjIeHBxaLWb8HFdtNGarMNA+kOCn7vRz2i9Lh+qVZQtc2ONvxt3/5n9E65fD4kDiNSbMU40Li4rzj0aNHPP/RI55/8YTvvvue+XzGcFpQm47R+JCt3vQJZM3ZaU6eZUzHY7SW/O0vvwytRkIiPBwMOD06olxtGBQD0jgBAXGaUltPWVXc3j5wcHjIaDzp2xtAz2Ex1uG8BCRpVpAkMVKB9zYQPb1FxwlxktO1lqqsMSr08puqYrlYcHt3HQLk6QmurVA6oSxrmm1JW9UkOkJYRxYltKbGtBZrDG1ds92sWa/WVMuKtunQKmI0njBIYsTxCTKXPCzv+fWvfoVUnsGgIM3SPiApyrLk9vYWpSV1XXJ3f81wOOXk7ITp9CA8E4S213K5ZL3ZMF+VjOuaM0Hf0lqitOOv/+ovOT8/YzqdoGRAQwJB+4MK58nTR+go5vb+HmcN5xfnWGP467/6L0jhw7MiBFmSYDrLdj2jrEqSKGG72pDGCW3TMBgMsNawaiqkcKSxYrlcslyu+C+rGW/ffMf04JCjw2POzy6QSqNUxNu3b7m+fs8v/+5vabuW4+MjBkVBtZ0zXzwghWDxMCNWEThPU9V4Z8B2GOcYDnKiWIbAGMdEWuGMY11twvnjAsp1fXNDXgwYRwe0VcP1+ytiHZFGCelPfsL0YIqIwXYd63LD44tLhPR8/dU9pnaYxvHtl9/x3ZffcPnogi+ef4rGM5vd01nbK9LCmdS5Dh1r8jTCNhHLxWrPAYqiCGsMtqpoTOBkaC2ZxkMinbP0G4S2NLakK1uW6zWbasvZxTmuB+htz7sSSoD8LScDgXgd2LVSQKQV08kYJzxRHPXs8R629R78rjqUKClwxiMRZIMBSR7TNC0P8zmyJ2A5DzrK6YwnTQuEkKR5Tj4aoVQUWgICkiRFCh/IUGWFsZa6qhiPx2RZESBGa9BKglB0xhEnQS43GY9ZL1c4QLc1ne3C4eA6hJN4AcYFqFXrFB2p/rNpdN/HlAjyQY6KFbP5HKkEkY4QWqGEQFqLE+Fz7/o1oUoL8Skw2EOForTqEYaUOE5IkhQxUqxXG5qmxroQmLUKSYRxAaKNI42TDickeAk9zL/riVsbiCoh/niED31u7xxIgbMG0/Voi6Mn0wmUCkiE21i87RBKEkc6kBy9I88SwFHkGWkasVwu2M43lNsNSRKRZmlQk3Sh3xVpDVJjevjbWovrAgwmhEAoQVEUAQbvD54AZYcWw64S3bWjhJT7Cs70wbjrWiIdI3tW/a46Vkohuw6hJcJ9IAiGhENgjQvtjR6t0Dr0/p0J+zZOQoKIFHTGoSOFMyFpyfMgIWvatu/NBxJiVZUoBWdnx3jhUFoiZQJO4pToA7NBqCj0iuUHco91bp9oowQI0EphrKWsKqSQ1HVDlmVE/b7quhalA4N+h6w57+g6g1eeoij2rYeQGLdEcbZXijhnqapyjxSsV2uGwyGnp6d0XcdyuaR1hsFw2BPJQt+TXm4YxTGmCxDocj7HdI6bm/d88tmntE3K1c0No8mob51YxqMhB9MTEIIszymriq+/+Zo8L/DOc35ywsH0CCEERZZzdnLCw8M9SZyyXm8Ay8HBAf/qX/0rTOd58+YNw9EI7z2D0ZDVaoWME5I4pmk75rM5s9mCs/Mzjg6mCMFeRpskCUmSBBTABX5LHGvSLGW73TDfLNlsy7Cv2o7WhXaR7xNMKQR5lrFZr9lsVhwenmCNI44khwdjnGn57tuvODg4IB8O9m2vOIoYDYdkSUIVb6nKEmscSlrmDzf8qtwwOJiw2qxRUpFnCc466jJA22kWFAQgePXqFULAerOiKEZMp9M+4DqGwxFSKa5ubtFxwtnREaenp0gp2Ww2XF6ek2UZVVXRts2+nbRDyXbniJCCn//8Z9RNS5Kl2L61qdKU3/3FL/jbX/4NzjoGgwGDYkBd1yglyNKEtjWAYDwa79GHarulaUuyNGM0GlFVK5xrqGvL/R1cX79DCM1Pfvxznjx5ThRFvHv/Fu8NdR1UD02RcnwwJtKCNNUhzjh4/foFx4fHtE1NXEQ8ffYUay1xHFol6/U6nENO7km3zgel3OTJI5zwtG14HR3FCCN4+eI7yu2G6+v3nJ6eEscxzjkeXV4gELx59Ypf/+pXpMkA21rubm75N//6X/M7v/g53nnKTUlVVngbCjcQVHVN3ZQkSUySxDRVs1cEGWN74nx4RpSSrJcr2tZwd3dLliYMh0Vow7sO6y3WGuaLGcVowGA0AiSRjoI8M9K74P1bTAb6fiuw7xtmWbaHVkUXAo3WoQoN8rI4wN/Ok6W9jAqH8gohNKvVBucCXC+kZltt0FGEB7I8R0eKumlIROgbt3VNXVVI7xCyZ5Ibg7OW5WLB4cEBkdZYgiRs15+Nopi6bliJdei5xjF124YDLdJBQiVs6J25DiE9USLJsoRIhxZCFPesX61D/1Qrxs6xWW/2ulBJgLySJO7bAKKXK4HpTK9J9vueduAOOFarFefnlz20ClmW9YzajrZpEYlkMMj3bHDvfeiRKxm6HHgctpewfYB7d1I0Kyzz+Zy6bRhPJ6FSlvSfPRDc8AKQWOvQWtIaB96gZDgkm7rl4f6WPAsbtG0brA3BeLtd4/FkabZnrss+cMdxDD1su1OUhAq+Jxz2LZB9L1oqvLWYPXvZ97JWhek60uQDwe2HhNau/RD09u2DPpHYeRjs0QHvepjc9NWgRCkwJgTkkLQF9Ev213nXruq6bn/v2rbFdh1SCKQK1/zg4LAn/AhMF9Qwe2WCMaFi0x+UOD/8DErv+CEO0zYYZ1FS7LkFiUix3qH69y/6vuDOF2B3vegJirvkZ4dgGGsQYtcTD54HVRXIvSGIWBaLBZPJhNlsRhRHTIYTjk9P9qzrHbPZdB3b7RYlQttDADoKxL2q3KCUYLWY8/79W37805+w3cQsF3OWi81+b1or90lIWZbYNiQsx4fHbF3J7d0ty8WCtu1I4pS6KXn+/BPG4xGm83z11Zbvv/+OYjTAOc9ys+bs8pKf/PTnKCkwLrzO65cvaMsNTx4/oWwbrOnQWQreEemQxCZJvG8BWuMYjeDhbsZ6sWCzXBBrRRrHZElCnue03ZD3799xe3tDWW64vr7h+PQUrTRt1+F8S57lGNvur3+ko5C4K0kcRxSx5uE+tExiIWm7LW1X4XWAk89OjhkOh8EDoy4RGoxteXiYU5YVw+GI29tbsixIc9frFXlekCRJUEj0baAsyxiPxxhjeHh4YL5YMBgOePToEePxmJ30NOjSFavVak9WnU6nbDYb3r59z9HxIUpKVss5gzzn5OiYTz/5hPl82beB1b6vHQhuC7TWDAY5WZby7t1bTJ4xGGXgHYv1PZYWpKHpGqgkbWfZbiru7u757NNrptMDhPAIGdqnh4eHvd5eIKXn/PyIuqppygYlNVEsmKQjhtMxzlqiOLTFqqoi7pEL7yFxFikVTdswGg5pu5bnPfnSO08Sp70aSFFXJd98/RXffP0VcRxzfHyM7VpOj4/pmobT42Pu7+eYrqHtLN98/RWjUcFs9oAxhkE+oKwr2q7uZfgevKCpGwQC03X756pt231RF2nFaDhEIijLDUUxIEnCHoqUpm0apNZoLdlWW9arVUgCESRxILGnSRpk7b/NZCCKov1BCOwrOb/rD0uJ8DLA4UL1h6ggigTesQ/MVVtTVYEsNF8sGBRDpFTkxZBtFVQADo/QEXGc0LSG9XpLnqU451mvNgjvGI4GtFUZdMU9ecaaINtoe/JYFAWjlCjSNE3Hw2zGaDBgu90Edn+k0ZFGaYkn9P7pofsojsiLDCkU1ti9+U7QjUYYEyovgaDcbnviT6jqokj1wSfAut4HNrhRwfhICB8IU85gewj3xYvvefz4KUkc5HbBRMb35JKg1HAOqqrGesd0MqXrqlDViEBoCyhJ8xsqCudcSBSEx5hAxPTCIazAY4Hg5aCU7gljgVEtpUdgsKbBdBG6P8ACTBkydOdsID9GkqZuWcznbNZr0iQlzdLgJdF2SBWkVDsy2q53FvWHx854yHsfyKjyA6lwl9C0rcV0LXVfySZJsg94tvdV+KG51T7Qwj6Y7r7mnUUQrnuo6sE5g9KSWCqQIlRyBIIPMkCMQrh9lbH7WfPFokehwj2N44jJZBRIiQJAEkWiR8kcoX3xQYr4w6QAAjdHQEj08AglMG3gmzjvMc4hrSXWgUvStvU++dJaU9eBTTwsBoxGoz2CYvd+F4YoDvveOYswIXEYjUZoHWDl+XyOMUF3HiUJdV1zcHBAURR7SeJ8NsNbF9AtGTgaWgmsMcznD2y3a6ztWC3nLOYPAYa9vubs7BF//Ef/HbPZAw8PN+H+Zwl12VBVNbc3d9RlTV3VnJ0cc3Z2yp/92f/A06dPubu75fd//59QVzXv3l3hveVhfs/N3TWiR1GsM5yfnpDlA7wX2M7Q1RXfff01XV2hpOLs/BwFeGOoSkPbBjTQGst0OiWJc1wKz549Y71Y8ObFC77/7hvyNCVLUmIdZLJPnz7l0aNLrq/fUzc1jx9fADCfBxZ9lscoHVo/SRLIXFKH92lsh/CCLIu4udmyXM5JkpTRaEJtWtq2YzUYcjCdcnxyQlPX9F0/JuMpg8GItm3ZrLfc3T9wchJxfn7OixevSNMMKcMZlCRJz79a7InIo9GItmv3AWjH1VgsFigVkvMkSfbJdRRFPHv2jBcvvgPvOTs5xtmOzXpNHAek4vb2liRJeHh4wHvPyckJn30W/Fzm8wfqutnv88snl/zoi8/5j//xP3J18544i1itKmICqTvNEtrG8O13X3MwPeInP/0xh4dTjo+POT4+pG1rHh4eGAwKhuM8cAPkBq00USRJ0oy6aXh4eGA8HpMXBcbaIBlWisODw72i6+7unqppmB5OaZqasbO0Vc2gKDicHpDGGXlWsF5v9nL32cMDX/7675mfPHB5ecrTJ0+oy4rlYkm72TJf3fN/+x/fUtU1XddycX7ByekZWkZkWYFzHikNbVuzXK4QNqDmP5RRd12HJJAFsyylbaq9/DXwlzx5kdO0LUoHJHG7XeOsRWmNdz5IeHtvnt9qMrDumfG7w3uXEFgfAlYUpzgvMIGejegcrg2ZiewNWpqmDaQu52g7w2K5IklyikGO89A0Bt8YDo+PGY5HeOGxXiApEQSvAyUE1XaL6KGQJIohCgdaVZYoqZAqOL/tpFtRFKFkxFJA1dSsNpsg29CSKOr17VYAljjNwwOUD0jzIba1NE1F0wZoNS/GfaIRiHFxFDMejajLMpBUtMRLAL9/qJSKwEus+WBA433GttyQybRnd3vW6xW+GJIkWX9NE5I061UHIct3Dt69u+L25p4izyjyjDjSRErStV2oVvtE4ANxLHA9hNj1RSOE8LRt0xMUE/pueF85OryzWG9p6pIkikiSDO86ICFJIrROyYsEjyFNI7wFLfUelpZKIftK3ENQIeT5vuLwePQOtu+r151k1OP2h9SOiLbTanc+BPH7+3tGo1Goarqw2Xeb/odeDkqERGSz2WCtDezepqary76dEK4L4SqBEHghcFhUpJFa9VW7wrVuz9TeqQbyouiRjLZn7AeGvtYRXkiUCvcgSRO6tsPYQKoT/3ViFEWoSGGN72WZ4EVojUit6KwJJlJC9MlqTJTEpDbdJxK7Z7Kpa/xwtE/AkiTplQaSuq6IIkWSBM2yjuSeOHp0dMRoNGK9XnN1ddUfTG6vmU/6qvj6+hpnHd7tfEcEVjic70JLyTmqssR0LaPBgCSKMW3H4eHhnt3805/+lF/+smG9WWCsZTAcUW23rJZrbm/uGA6GSA+L+YJPP/uEP/7jP0VK2G43fP3VNxgbXBVFpHh/dcV8/sBoNKbabHj76iU/+/nvECUpd/cz7m+ueP3iJS+++YbhcMBnn33G559/Ttt1xIMMISRlWbHdlrxPbvjiiy/IixxnDa7IefzkMVW5oW0aIilZLVckacR6vaLrWjabFcPRkDTN8N5zcHBA2wbW/3K5oRhOQMiQcMUROorYlhtWDyWj0YijoyPu7+/7XnFH1bTUTRv4LlIxKIbgg6bde8FwGEh+8/mC8/NLBsMR5+ePGI1GfPrpp7x585b5fEFV1yRpymAw2Cs7Hh4eOD05Ydsnz0oF8l7T7MiUcv88jkYjiqJgPB6TxClpj/JlacxmvaJrmp4E3Oxh99vbWyaTCV9//TVFMezNcjZBqVBuiOKI6fQAYx1ax0ymh0SR5ui4I8tyZrMlq9UWrSKcE9RNxc31NZeX5+R54C+9f/8OpSWrdSAyZ1lOkQ6YPcyDI6eOiIp8D5FvtluQgtYY6qojSWvKKnCVlI64PDnBCAta0tze8vbtaz775BO6rsJ1Bm8dgyLfn0WDPMOY0PKpqoosi7l8dI7Wmr/71a+5uXpHWdY950HxqnlNVXV4JSkGA7SOiOOIrguutrYJhnk7dGWXNMWRRoogGU/SFOHcHpVFBn6QkKpHFCXGdNze3DCZTsPXotBa3rU3f2vJwA7m/SGkq6OI5WaJkIqcAIdbY8mAtq65u71jPB6TpkHCIYTEYml6NvWjx08YjyZEcQJoyjocxKPxlCRNaLqWotB4Y7EmyMqk1MFWtW3wLrCvdxCpMYYszciKGGs9dV3tDUy8DzDwerMBIYiTJPTIY00UxbTG4pwhSTR5McAajxCaOElwPiQzURQjZG91m+XUdUXXKyryPMD4xnUYbyir4CK42QYjjiTKsCYgJL53iuq6Bh1H/SHuadsO01nOzvLw8FQ79rb8wHhHUAxDxWedD8YqaRw2SdVhO/8blSZ96MX3ToC2Q5qgr/c+bGjwgbDiQmuiaWvqetvL+iSdaUPwVpqm7RgMhozHQ6w1wYrYtngDWgXXwR1TXUoZoG4l98F6x97ekdt2vgO7wOj76veHTnvhz6Ey3wX6nduelIFZvPv5u1ZAsLoGhEDvWhOEpDYgDFt2tr172Z93dLaXJ/rg+pZmWZ8ImH174IeZ9o7PkMUZURRRVVu22w1dZ3E++Ez4vpXjnWe7LcmLQ3buh7tAa60lcjp8vUfZejgheGaYnhzYoxSt6cgTvVcj7M2LelRshxTsGMgB2Wt7RCdcL+fdvu1UFMN9qyDpzZXevHmDjBTHp6d7OePDwwNxHGPiQPoNCTfoPqnqTENVhsRISQGxRkrPYDgkH4zwTvKXf/mXDIcDRqOCJEkxnQcdiJtJlOwNo1xfuQkp+MlPfsQnn3zCr3/9K0ajAV9//SWPHj3i9OKCo+NDvvz6q8BNMS1X79+y3W4ZTybMZgtu7+4wjaXaltzd3LCcL7i7ueX5J885js9YrJY0dWDpj4ae+cMCIScIBHUdgsaTJ0+4u71l3vsnbLYrsizj4uKc+fwBIYMz3Gq9pqoqAF6/ekUUJzx6Ijk4PEJIyXw2RycRq1VoDYxGA9brLW/fXrHdNKRpRpJlgVNQlqxXG5SMOD05wwFxnAQHvDa0F46PTzi/uOD91TV/8zd/w5Mnz/jkk0+4ubnlu++/37cGfv3rX6OU4vz8nLIsafdSbcm2LDmYTvdKn52qYDgcEvXWuXgYj8dU2w13t7doGdxk6yq4luZ5zmAw4OjoiPl8zv39A1m2JYoiJpMxx8fH3N3d8O79G7777gV5PuDnv/hdpIroTLc/w9O0pizrnmsWkecDymrLn//5nzOZjPd7+PGTR7Smpqo9m80WbG86pmLiJOXk9IzBcNDHBUu5LkmShCIfMZlM9tX36ekpZVWyXs3RWhGlCU3XcHt7zSCPKZIhVbmlbWq2m5g0TRiPhzgTnAglkMQRaTJlvVz1vJgJXXOL955hPgAv6ZoOHymur2+YTMbEiabrDMZ0SOfJelO/3VmklMJbQ9vUSCEZDYd0TU3b1ngI8RBIs3wvh91ut1ycPgIXeC3WBDSE/9YT7f/t+ofbEac54HFt15u0BNJGmmV0pqPtavBBumdMt9dMWhssP9+9v0JKTZpndC7oy8fjA6SMsSb0XAejUdh8kSZN0j4IQOmhMw7vfN/PSlEy9Lk3q00PbwukF5i246G6o2lamrYhjlPu70KLo2lbdBQjNTgf+qeRThgMBnhgs925SmmUlGidIpAgLE1TB8c05wOkHJT1+F2FFyJDYHG7bq8RNsawWq1IYkOeDnv7U4MQgaQmAoKMEBBFoU2BsIGwKMFah7UOKTR4jZIJo2LEzc0N23ZNnmU0jUWr4KoXDlK/d9EL6ABY7zHW9zbPFomBSFCXNd5X7Kxyd4Y7gcAXHPq6H7jQCeGoyhVNHqOkII9jmjiltg3OGJQMbZJALDPBjdAHW2BvDNumCeztJMF1XQjWcYwTQVbYGUvnPtjuir79gfcIF8gyQeWQ7uWIWIfrOqySwYlw1+pAQy9pdd7t/S6c62jbisGgIM+z/iG0PWnThavXJzVt0yBagXBh7oIUCmd9T1JVWGcDeVRHvaFUipI6tKLKku16RRJpnA4E1KasSZIG7xQICT5wRIQM/I+Q9Hi80DgRlAtChiTaOtuTWKEtt2ifksQJWZrTtR2r9ZoiL2iacABGUdxbg/dtPKWCXNEYtlWJB9JBThTFOAFtvy+NM8RJxPn5GVXTIJxHC8nR6RmLxYKyLOmaBilkqJ6d2/MqtJA0ZkW53HJ8chJaPHVFG0VIoRFC4bqatpbEBxOydMB2s0UIySjPgYAqlFVJ1ZSoWHJzd81mu+JXv/o7qrKkaWsWyzmj8ZhBWRInCadnJ1RVyXKx5vrmmqqumc8eAroUa4xS2C6QaNfLOS9fdDx7/oSj8QEazUaWNGXLZr0J0C1hPkSRZWjhIYs5PjlgvVxTlzXuxhMlCUcnx5heHQSSIh9QlcE69vDwmPuHB2bzB7qvu5BY9wlrpBUn0ykUAQ3Kkox1u+mNwDTL9RpjHdttyYuX35NmGcUox9rAaZBKcXpyhpSSL7/8EmPDWfvrv/sVk+mUQTHgd376UxbzBe+urph3hk8//wwBGGvIBzmd7Zgt5uGZFzK0hbRBiuCfgfcIH1RJ7969DUii1rTG0LrgyBnUGAlxknB/P0NHMSen5zx5+gnz2UPfWhqQJCHYRVHM7e172tawXG1AahDB2aNqOlCKw5NjnA1E2CxLGQ9HiB7uliKi6+D2+o58kAcX2VHO4cEhNzfXXF/dcFqMGI8POD4+JsuDAdlmu2W9XjMoRgwGAx4eZmGGTN2w3ta8fvWGIg9kzcnkAC8E8+UaPY2IpMa5UOy2jWXVNdi+2yfVgIOjw9B6SxOG0xHJIKNqazbrksY2JHGGigTJICPJYpzrCEdaX8Q4x3qzBUFA0lWY1xP1cL8goKUoAbI3fIvSkPQrjTFBsbVZbwIfRuZoFdF1FikkkYt+u8mA6HWQUkcIFb7NC9EPUJF96AlVS5qkxDrm6bOn7E7nOE1ZLlekec5oMAlVnwsBvWlahLQkxZC2a3j39i1FnrNcrfDOMc5ztBBBLzsMQ4SkEDgEZe/fzI6IJYNZz2a7xlrLYDCkkZI4ThgUAxCyh6QVWkuSOEariDRPGQyGxFGQ+Qkh+2zV7OHQYIqSEkcJXV0ilSLuWyBWuF4fqimSvIe8ZtR1BQgiHZNmWSCx2J32fTeHwfRXWRGkeIGxW9chSIMgiRXbbUW5DSZF08k0sKd3r28FIpKgPqACvQAkJAc+VFpd2/VJQ7j2xgX04QPyEKQzzjhwYKUJLRDVEicJw+EokKy6lrr3UNAe6rIMg3xU6HEF//fw2ZwN0PVORmjSFGcMOEtTlqA0dd0glcY6ixMeIcWHdpQPzpRafHAf3JFtpJQoIXDGkKcpXgSW/i7p8j28GlQE4XpoFZHn0yCR7br+Gvv9A+6cD4RQ/8EMCy9w1veirR07V/QHaWgvQKhmUDLI3byh7iVKznlGozE6inGmA+WQKtrdpfA5vAqoRG96E8i3gcsSkm7Tz3oIvBbf25BeXFzQdQGWHgwGoU+7WHB9c8NoNCLNArzqrAskv17/75VE4lGRRwjParvm+PAIBMzns57EdspisWC1WDIsBuRphu1MaI140yNOgTC2S36nB9N+3zukpFd8SLo2DBFLkwgt4dWLl0RRRJokPH3yBOcMV9fv2W43LBZzhJJB/ls3QVosRDggsRwcHnB0HIaOLVcLDk8OOL844927a9I0JU1ShPdB1iwlSZbjOsO6h8+r7Yp/86//F5ptzaNHT0i05nh6gPWeJNJkcUKkghX51WzGy5ff8ejRJQeTQ6p6SZSkHJ0ec3x8RNXUlNuSWMfc39+jdbBLPjk5IctzOh8M13bP2Wq1oms77u4euL66wVrLyckJBwcHvHv3jofZA3d3DxydnnB4dBgIgvWWxWaOsZbj42M0oYX29u1b4jilXCzo2pbZbEZVVUwnE67eG7K84OT4GC/AWcvN7S1eeFh6Li8fMR6HFqXWmnJbMSyGRDraq2SkFKxXK2azB2azGUmScHt7SxRFRFozKDK6quRhPqOqW6SO0VFE3FsBn5wE8unDwwN5HtQGx0fHjEYTrm+/pjOGJMuJvePR4yfc3d3trXaHw+DkNx4O0VKxWW+ZzxdAjhCK4XBEFAcp3tHxKafnlzzM/x80neHw8JjPP/9Rb7G85tGj9Df4Eze3d1R1w/TwiOnRSWgLmZbNesXp0QlX79+x3qxJoy1FlpBECd4ZvO+LN4KHynK15K/++q8YT0ZMD6e0tqOsK3SkGY6HfPbZZ2RZwXK1YrUt954A7SIUWEdHweFxu93uhxHtzjilFEWeB8dP29K0YU5FlmbEWY7WweDOde1edvz+/VuUVFRVixSKk5NzvPwt2xHvyA2/YZ8ajuo+wxEIoQDZy/8kRTHAeo+QioODQ0bjadhoUcRu5kCShOp7sVojdUSapMzu7qg264ASKEXb1D05S9O2dd/TfE+sdSBJEKqrOI5ZLBfMZjOMNYzHo/69g+lJOToK0jR6f/A0zRAIkiTAvEmcoXWM1js5yiZU55UFgkFSmgZrWt9DxtZakI6qqtERJHEWfo6KuH+47+FUvWfGx0L3/ftgWbsjt+3gxZBE1L02PKgSDqYxaZoFH4K2RMoAQX9wgpQoLXr43wdiZ6+/dy4YyOyguB38LmKIVLQPeDvCUNd1uDa0Blpr0N7hlQJr0OMJKoooy5LNeh00s03LttxSNw077/LxZIyU4Gx4ekxnenljsEtWUgRegvPoKGa53jAYjkBAa0MVFRQpoUJBBIOTXaKzS6C892gfgkSYXeF6NKUfDoVgWwYXrzRNexVFFFo+e3VAj6AgevOkkNQGhewHxGRngf1DZY3oOQmiNyESUiCd2DOCdRRaHE3TBeg0z4nTlEGa4nyAGsUOGvIBoRDygy2ucw5jDSqRSNH/O2JvRbxzGhsOh/sBVzsnwnfv3u3Jgbv/q5TCeYfrHJKwJ0NyLLi+vmY0HKFVmKg5e+j14kmCUmrf4tm1NXbthF0bYucy+sO9GZIaQZzEOBdcQ7uuRakAkXvvOT46YjQZc393S5ykPMxnGGfpeog0z3POjk/2Aci6jpOTE6I4o6wblusl2+2WstySJBEHBxNM7ydyMJ2glEYJiWk6lDFUmzVKCsrViv/w7/8tBwdHfPrpZxyfnodEYb3CVidcXp7TNS2nx2fYznJ/e8fiYYWSkru7W96+e02SxHzyyTOOj44xbVAN7Bwyj4+PWa6W/PrXv6ZpGsqyZDwe8+zZMzql0R4Wi8VetlmWZU/iU6RpzMFk0kt0FTfXV5RVxXA4ZB0F8tt0OmU8GBIrzaPzi2C16zwKwWQ0xgl49OQpaZ6z2Qbzoe27d7x585r57J5BMaAoBtzd3SOFIs8L6rKi61qKIljACxy3NzfMHh64v78jjhOKPO/PwJg8iamF524WzLmUTrDOEWlNPhwwGAyYzWb7xP53fucXxJFksVpwdXNL21mGwyA//fTTT/H+11xd3eB7lFnrmMFwxLAYMJkYzi8eEdpgQTb+6PFjLi8vybOc+XzWt1AaHj9+zHA43Dsz7oZwRVHEcrnk8PCQqmq4ub7m/PKSJ0+esnh4YLVckecDTk/PmEdBaRKnyZ6LplRAjV0Q7ZDmKV3b8td//VdIHdDNtq77qr7j4f4ea29ZrdaUbd/27QvLNEk5PDhkMhhwd3sbDKvmC/K8L0h747kgew6eL0kS98VC4PoEIqSgTYIB3Waz5tWrl6xWW6TUeC959OjxbzcZ+GEC8EP5WkgGeoK0l2gdDlprQkXjPHRNR9tZdBxT1jV5f4DuDpDtNrjgBWe54GFgnQvDHawgimPms3uurt6z3W6pm6qH2j8kJvsAJ0RPQgueBMNhOKCjSCHQOB/cEgM5CpSMGA6D3zWI4GDHTrMeYOvWWLZ1mNpXDApaa1E+yM8kIpjQ2ADprlZrJtMJu0loQkiqqqZpwgERHKhkrzRQ+97xzmd850a3+zxd19G2hjjeEOtsr5uNIr0flbpj3CsFiJAAWGGDI+H+pn2Y67AjgRpjUULtSXwfOCGmz4I9vvclwDukIOjSRUFTB9c7JQRd26K1QrRg3U4uOQ8vC8Gm+Ac8hnK7pm0qkjgYR2kdE2mNJAxlkiJMikt7Pf2uNbDTav/Q579pGrZVHYykZECPtmWJ88HpK1SsqjdvCtK4OI7wXlBVNapPhrquQ+sIyQfZ3w4REMj99fjh/t8nd3GMs0EFsF1vgp+DD46DWVr0SV1NnhfkxaAnWwWnRefsfr+KPsnQqpe42XD3dvMCsjzo7Q8nE4QP1f0O3SiKgjzPubu7Y2eLPB6POTg42KNaEJwzne3ojCGOggdE2GdBghZFGuE8J6enLPsZA0eHR3seyGKx2CsWdtd/x//YkSqBnj8Resmj3gsgSSKkDDD6drslz0N1UzUNV9fXgCMvMopBQdPWIARJFIGHh9kDjy4ugcAX0VHwn7+6vaNuKnQ8ZdrLZquy4uHunnyUsF6vwrCwpqWrG1Idc3P9jvHBFJ0kmK7i6t0rlvN7Hj16wny+YD5f8OjpU373n/wedVWTFwVt02Iaw8NqwdHxIW3X8f79W4zpuLm54uc/+znPnz5nMBhwc3NDVQXPfIFgu9mQpRlHB4d0Xce7N2+YTKb75/7q6mo/ijpN0374j+P1yxeMJlMODo96QqGnbRrWq1VgyHeBMHx0dMTBdMrJyQmnp6d89eWXrNdrpoeH3Nzf0XYdB4cHeCk4Pj2hGOS0dcnB9IDFbE5TNSRxRNe2bBdr6rIkL3LSNGEwCOZPkoAGFkUe/C6SKAxLa2pcr3VP0zSYjllLVW/p2oaXL19yd3fH0dERz549I00zurakqmr+7M/+jMVyyS//9pesViu+//77fpBc1rfLAvK22ZQU+YDxdMpwOAYPZdmwWq24uHzEsCcSD8cTfvY7v8P9/f1vnGlt27JYLHjz5g1pmobBY53hxYsXrLYb1pstz58/Zzoa8ad/8s94++Y1q+Was7NHHE7GZFmClrKfWxIQzbbtwph4KXq1xRNa01HXDcNixHazZj4LQ992qPBoMMT1vJ7pdIr3nsl4zOXpCZGUXF1fIQitGdEXPrZH3rIsD0h0b4mOkn0rN8xiODicAJbNYkVVl0GC6CXL5YzpdPIPivH/4GRgMBjsDwFgX7V4H3zhd5araRokgFXd4BHEccJ6E6ZTnZ6fU5YVqmf4a62pqprZfE4cxeRFTpxlDIuCpqlZLJY0Tc3VZsP1zRV1VfVyuCD5cr6ftU7ou+D9XuIIgoeHGd5bzi9OkDKMP21a2xs7qF7ioUmzvHeKk9T1tu+rS5yD1bbEOctoPCFJor3bomuqINXqWryQdLYlKwrubtdBCpnn/aGco1SElBXO9iOAld9XTEopttstq9VqP39+vV7vA3fbdjS9M2BxOGY0GuK86asrta9Ad9bFxoZ5BKE9IPYVv5fsNfh7G1whcOrDQJv9lCuliNMw6ldFCi/B4pA+zFewHpyx6B/4BiileommwpiQEAQLfhH0UATZ2Y7o2VSejdsgdVBN6CgO1UlRgApaWpwPVbdnb6oDHxQtUgbLUeEcOgns2c7agCaIsPfyYvAbZMq9B4BxQeURNnNomXSOPM36eQMmSDXrmr1pVL/2088ivZdnVVVFlqb9/nc0VfB4z9I8uGx6wXA4Ih8MQ+VrOpSOUVLRdCaMqVXBo6IzYTpcmKIXBkLtPQ/6HHwXgHfIVFEU+/kDO1LmDnLcmV8579BaIJqgeEAGEnDgXmjOz89RUoHwe6h4NBztR/HuKqskSYJy5gdeBrvk6Yde6Ds1yGQyoaqq0JZLAvfIOteTboOj5y4ZGA4HvaFRxGQ8DtLQuiEZDplOp71j3ZKyqri6usULyWAUJI9FEUi8B9MJUoBpmnDv8GyrLaZpWLcL0iJlcjihapqQJJuO2eKOxWJG16sAbm/f8+03v+aT55/w9OlzHj9+QrPdoJVkuVzyyfPnfPrpc3Zjj7frLf/pP/0nhsMhcRzz8uVLjDE8efKEz55/spd5rlarMCJ4tWK9WmGt3ZsFrddrttstFofuLUSqcsvL1RqpNWdn5xhjuLq64tGjR7Rp0MIv5nNwjuOTE/KzM7q25Ve/+hWz2Yy4KDg8PuJweoj1luFoBP6YrqlASJaLFQKYz+bEUcKzR0/J0pT5/IHrm2uauuxVTJZnz57w8PCAMQ1lKYijiOGwQKThbDW2C0z+KBQ5k8lkP/p3B/0H87ch/+T3fh+pNaPRitlswbt373j96g1xknBx/oimaSj62SmHR4dh3wtFVTcIFKvNhuF4QtN2XN/chms4HKF0RFXXvHr1iru7u70lfZqmHB0dkWUZl5eXvHn7nrPzis9GIwbDEZGKUSpGyojttmY0nHJ0OOXk6IgsCwoq27V0bYXwtkdGN2yrLU3bYlxHkiUoreiaBdPJiLZpemTRI2Uw6YuTgCScnJwEZ8iHB1xdMR4NUVJwdDDtEb41gyJjV2wHdVaQygvvwzTNfXESzOyKIiOWKji+mjDcy/RS399qMrCr4neJwM5Io207nIXBICeKQr/15uYWIRSnZ2eByBTHnF1ckOVFb84TMp2gFZdEWjNfzKmbEgjyiqoqeffuNavlEtND20rJHqoFqSTWC1x/wOs+yEkh8C5Uc851zOdz4kRyeXmx94Q3xqFVQnC1avjmm2+QWuwlRsdHJ1xchNGrCBmITFpxdHJMmgarYenjQFpzDo2gLMMBmKY5de+ZvjuYd0NPvNuhwaG1soOCQ4Wk96jArsIKgbum6yzrzZq2tQyKEVGs2PnO7+5H27bkRdp7BEh0HMaXdv0wJ/jgWb1jxQugNOU+uPywV+WlxksPWuJ7N0sfQJNQkfajXveaZBGMj6w11HUPlfQkUxF4L3gpAgdGK6x1dH0rQ4tAlnHWslwuUZEk7ScP7nkMxmJN+Jm74L6rVgdptidLeu/J8t3e0jj3QV1QN01Qu+R5EFj43jpZBIOsJEnQgr0MEaCuwnx1HSlkr4rYJU1aaXSkaLrmNxKz1Wq1lwmF1xb7fmVolfj9fQsZU/h8Xn5QFzgXyFO7ZCMMHapJ4oSqqhgW+f7Z3O2zHRqwXC7RWv9GS0jKQC6Nojj0jxeLMAClb7MdHh4ymUzCTAvPvqqXvQnNrq+9u9+zh4d9G+BDq8HvW1a7P++S/t3f0zTpvTdC8NBa44QkK3KUDsoV6x1ZUTAsBhR5wWI+p6mCT0FwxWM/213oiOOjYwZF0UvFZD8JdJeoSG7vblmXK8bFAKUTVKl4WM2CgZiSOOVpTI3wAikkSarI85QsVbx5/R3z2T3j4YDpZEQ6SBlNJnSmYzweEkZSS6JHEevVmpcvX/LixQtubm7w3gdNf9Pw7t07oihiOp1ireXR5SVf9nMl5vN5kC3nOc5ZsvGQy4szyjoQ1azzRFFCWbdkecF4OOL48Gg/ha9tW76pvkYpxdHREWmWMhoMub27QwvF0eSA8+NjdBzT9gO65vOHoINvW1bLNevVhvlszuZHKz59/pyqLnGdIR0URErx9u0rzs+O2K4i1s4TSUmsg/RNKcmPf/wjbm7uEFqzXK15uL9hOV/tZY07r4LDw0PGoyFlVbLaPPDn/+HPe6+VZm9BvlgESD9JUo6OjhmPxyCgqVvG4wlffvk1/+U//zXPnj/n2+9fcDA94Hd+5+eMxxMGg+CDMSgylsvlb0zte/r06R79rOve0CwL/K7bmwe62vDVl1/z+uULppMxR4eHjMcHARnQAudacBlaSarthvF4xGa7obMdQsK2Dm6Dx4dHzB9mpHGMGAa0+fz8kpOLx0wmE3YDv66ur/j222+5fvMqWE6nYVhVHEXE0QhPsPV3zjOfz1AyCj4Q/Z7w3iEV/V53pFmCFoquNVjjaRpDVZfc3Bj+IesfnAwExm84dJx1lNtg/hIyqjDXvWk6vIMiG1I1LU1jaLuWLMsoikGQmfkErRTDwQApNXEU89nnn/LLX/5tkO7MZqxWS6oqDBzBe+JIhZG0UuClIEnCDW5cmP0upEIASurAvG67ALfIwBibPcxx1jIajxBSYjqHi4I3e1mVgCCOg1NTlufB7lXQDzwB8BwdH/HkyRPm8xltG8huzoGOwGvHZrtluVxweDDZ91TTNCXps3eldM/uDq53nl7f7h2xCrKtPfyqFVlvsmSNZzjURLqjLIMxiPNdH2BiwmjnQDrcbjuSJCKOkjAHxQUZp3X9tfMeJUD3ntI7yErJ3VS6kGxpqQKhABlUCjJQ5mKtAYuWwd9BKc12s8V0LV5BkiU4p5G6790bE8QRJqAO0nmSuO8pRp4oCvdIR0HqWDUl1nloRRgHnGVhIE4fWPFhIqMTBIi068izDNUz/ENlEmRQbRvGxlojKPIcoQMD1ynHerVhPJmgVNDmWhtY+sZ21HVFVVU98uT28lUf0k58b8kter1/V7XYvvJwNvghDAYFgoy6KUOLwYNSEc4HnojzgdGvCYGkyPOA1nS9B0cbuBdZlu7bYFIKmrZlOh6xXi7QyRhFgEDruu7HAAsODw+x1lHXHV1nyfPQF94NQmlMw96Vs2pQSqMTjelMsPyOY5IoojMtWZEzmUwZj8e8fPmS9+/fI4Tgxz/+McZamrreJ6675NUD3ob+cZblwdzI9+NaXQjScaTJ0gRngyNjpIM1sHWGOIkZjAZEWlFvq/1Uxd3z6KWntZZNWWKc4/mjR+TDAqRC9fMF8FAUOaZpMV1HlmcsN2t0koJzPPn0GXXXkGQpB5NDkiRhMZszv5+xnM0pt1varkYIj5YR1WrFf/lP/4l/9s//BcM0RwGt690qlUREmqYN12swHDAcDri5uWa73fD2zRum0wMODg8ZFAUPswdub25YrhakaYL3ARkQQrBeBxvyu5srkiRmenCIEGHmyqeffoLSEavVmru7ex7ub1FaE0caJQWDQcH333/P27dvOD4+5unTp3z26Wck2YDHF48xrcX7ltVqQZzEfPP1N4zHk+DT4oLbqOs6vv/+BVJI5osZSRLhvGMynfD48SPK7Zq7u2uKvKAo+oIFGA3HrNZrTo6OuL1/4GR6gHSeV6/ecX93z7Nnz7i7vWWzXvPzn/8c0zTcz+75i//8F6y3G+Ik5e72Hh0Fbtnd3QNSKgaDIHdt24Z3794Hh1ChePXqFfP5jGfPn/P0yVM+/ewzDg8PoTfskVpjK9+fsSEhr+ua+XzFcr3i7OwM62E0mfLs+Sc0TUuaDGiqhrv7ey4ePebgYEoxnDBfbTAenjy+oOtqBBYpHF5KsoFHJQld16C0YMqE5XLFm1dvgnTTO+q2JdIJZd3suThaB8XIwWRCsy355d01k0ngkmilqeuKxXzBdDruIX7B9dUN76+uWS9XSB2BDud1FCdoLXEYmrpERQKPREUKoRR22wR3x99mMtDULUmahh6rC9PhTGd7NUGMFJo4CsM/smxAUgXHKSV1r9+PoOmo6oooK4ijCGMt8/mC+4cH7u5vWMzmvcZ7N7pRUQxyijxjNpuB90ilOTo5CpDbZhWmTKkA/WqtKYqCxXxGHCmSJN+T5larMIFMKSgGI5I0BuXpmg6Q+Ho3BjQhSkIyIJVAR4rBMOf45Igo0n0FGKxghQzue95Z0iTh716/ZrtecXJ6EsxlTCDISSlJ0oLBcEJZbnA+GPWEEbklrmtouwBZChkG7wQSUY4QGtM5ktiSZUHZsJNFip5Q5rxFRxIIlRrChQ2hNWlehEpJyd4tLiAVuyFK9CQ7aR2mNT2ZrZe4udCzS5MwDCnREcIZkjTobZWMWIklxhm8ABWFYVKKCOk9Kgoe/F3V4mwbXMBsH0ylQCiDw9Jah7OhCgrDnGK6nsxos4wsy/jv/8W/YH5/x7fffktZVbS994HQek/e89738zEUXd+SOJgcBV7KZk2aZQyKwf66haTMBeKlgqauMF2NkL4P2B3Wm7DvXIDPlVAgQ3LQNBXOuDDhzYUiX4rgRhhmDaiQZNow4jkMyOmhEna/BcJNIDx24ESP7kS9tl9jTTCEcdawqaowjERJlNT4tqPruj1/QGvN8fEJ79/dkCYpxjjm/cEyGA6o5w3bsqLtQgJzfHSyr+xXyyXT6RSndSCuAcKHnujx8fEeBdix5d+8ebMnQ3kfnNG0jlAqZjodh/ZCPzgJGdjsXRuqO4HsFSQR48kh1jlWmwVVWwWjmGpLIqPApVm2dLYD0ZNcvSDOCpJCMj0+ZDgchr1iepc769j0yEFQs3geXz7nix99TtvVREnwR7m8vKDeVNiu4/jgkPnBA+/fvmU+m7HdBofSWCiEEdzf3PI//9//J8aTMYcnxyTjATKWHJ4eU3cd3ho2yxlVU/U+HSU//tEXPLp8zKassbbj7bu3bLZr5ssZ8xczzs/O+raKYTqdUtdbnDNcPn5EkiR0nQ2wsBBcv7/m8ZPHPH3ymGdPn3B/f8/bt2/xPvB0lndrokhTFDnr9YqHh3t0lDCZRMweFjSdJSsSuq7lzds3vHt/zWq95fDggOfPnnF7c8Pl2RlJOqTtLD/56c+4unrPw2zBcr3ms08e4V3DaFhwcnIa2lxKUW6DhM51njRKcU1HNhjxB7/4PWwbRgKfnxxzNJ3w+vVr3rx8xXoy4eb2PU29JdYS07XgPcvlMjwrQtO1lvlsyUv9kq5rWC3XGONYLBZcXV3xx3/8R/zRH/1JGCnfW0m7vo2po4gkyVmttnQmyNNX6y1xklFWLTe3D8RpjjSGPC8o8gLThcF1F48CL2Wz2ZANg9R9uS757uUbsjTm5PgAqSSjSUZnWpZlhaUN44a7lrZpGI4GnD06Z9u0NNajopQoz1muV3z7b/416+WKREdcXFwwGQ35xe/+bm+CpxmPJ5wmp0zHS7z1dFXgt52fXOANvHnzhnW5RESaLB8wGI2RameDbmnLksV8FYybkoiBjqjK9r8N6P+/JAPGOZrVimIwQElJkqaUVYWpSnQUB6cjFw6KOEmI49Ab2XQdD3f3JGengdDWdWzsGmMND/MZX339Fav1Guc9aRoTxvTWGCNCgBOOzWaN97aHwQ44OTlCSEEy0yxXy55I4QFD225R6sN8b+s+jKsdjQdkWcxgMCJOizC5TAQyWRSHfu9wFKQ1ph/wk2Y5k+kBxnpm8yVdZ0GoPnBLhA/WtecXl/z0pyVffvn3bLZbiqLg7OyMLBM9A1XtR+9a40iTLBw2cYLCs1mvWa/XIZhJj4o1WnviKKVpO7q2I04cUvQDdZzdD/+wrut1sAHP11qjZIRzwVfAOgNyxwsIvA6top0XEaYL3hFNFWY/aK3C/8cFhMXFjMejgP60DbZzaJlivMF1hjzNUKmm7ROvrg0SnDiJUUJgpekjn9gTGW1ncMKhojDOWQqF6HtrIagFZ7N1b+LyH/7Df2C7WuK9I+mrUaVkCDDehQfHGgy+l1cSxsA2vV2vkuR5eICD+9dOjdDPpDAuWPv+QKWwI8nt+uGuJ/P8cO6B7fv2IbkyeB8IonVdYUzHaBRm02fZIDxHPWK0k4yGNkjwcpC9S+ReibBvEXjquqFpaoSAIk3oOsNkOqIR9Z6Mu4NAlQpW3vsR3j5I/sbTCQaHkMEN7/LykuFwyNXVFXVf5UdRtA/4AlCEkdDD4ZCqqjDGcHd3x2Kx6BMa0ZMvPyTKJycn5HmYr9GZMDwqSRKUDLa3q9U6yHnTFKXjvu2nGY6GqFrRmg7wZDJhMp6EvvhiTpomtG0NIqgwLk5POTg46Ns8EV0LAk252aKlZnQwYDwa9z97ytHxEYvlHOstl8Mwh/7++oGjwyNwlidPnjEZT9hu1rx89T3VeoupTJiG2nRsyyXOW+I84/DyjLY13N/P+OabbxjkOW1Vcn97R57lPHv+KWdnFxyfnnL/91+RpkECend/y9XVezrTcXN7Q5YGV7u3b9/y/PlziqLo7bND+ybA3ILhIDhDBpg/tJzOzs4C32i95uAgBKmqrALXYzyi3NbMHu6xruPR40uMScmKII0WQnDbV+vPHj/h9PSUuqxI0hHD0ZDNZr1vu2itubq64vTkkE8++QwIrcL7+zuKfIC14Vm5vr5mu90SxzGj0Ygf/+hz5rMZXRMUCs+eXHJ0fIJzgrfvXnF4cMBitWJTbpkeHNDetoHLQgQChqNhP0ip5rPPPg8S19WGn//8Z/zhP/3DvaGckgpcQAYX83lIrjuz52G0bct2u0XrQL4TQcoVvGT25Nqg/ri6uurVRtFeKaN0QG2rcoPWgoPpGOUFb99dcXd3gxKePIsoN2tmsxneOyIdc3p6ymjS0bThOrZdzXq95Kuv/x7XWX75y79iMBiQ5hmT6YQ0TkjjhIvzC44OD7EuqHOurq4oioKTkxO01ry9ek/ddWRpzrAYkuYJh8cTEJa2KsFLlIpp6g5j3N7j4beWDBSDgs1mEwJakiC1CtpM56mbhsgF9jSyt751gjxJaauKw+kBtuu4v70LFqlKsFoteP/+Lff3t0ilyLKcyWhImiXcXF/jMXhvaBqDFIIkDezVYpChI0HbdsSJ4uLyFBBMxoFpmucFX/79N1xdXdP2HAHrQ9AZjccURY6QGkSQj8VJcKyKopTxeMThUagkTWdo2gbnoW5b5CbMthdC9L77gJBIFfo1CHj2yWccHBzy9u3rvgc46HvRaR88AtydiJSybDg4KIh0TKwlg+GYQZ8QNE2DkEF6iehwiF6OKfZQvum63ivb0JlgB+r6GQNhEiQ4CwiL6wQoHzZblvVa7b4ibdpg8rLdYr1FqlBVGxcUAkoKui4QnyqlMM4gULS1o23DfILBMMcKh+lJnM76PXkmiSKQwUXPOoffuQT2gl1ng/tcFGsUIRlwvTVnFKnw+bqGV69ekMVxCBha4ppgqGGdwfmg/TfO4DuPakSfYEiaJsg1gwa+/cCXEOGzua5PqvoJifDBBWzHsZBS/kD7/0FaGMh54XVs/56dCxV+mALXG6WoMOzK9nM8lFY0TUWaanZ+FsPhiCSJWPS9/J0l7C4ZKYoBUa8A2VWMw+EIJeS+p7+z3ra2J4tKoGtJ0zi0qKxlNBrtA79zjvl8HkxjeifGXSKye91IqMDzkZLBYMC7d+/6QTHs/et3KxyqsreJDomWVLBerwDIknSvVtEqJC+z2QPOzzg4OuTs4gKhJPezGcvFHNMY3r17j1KSs7ML7u9vieOUPE7IByOePXu2H4wFUOQJXVtzc33DerXm9OgYZy3bcsXrN1cUwwF/+Id/yPHpCZvtlm255U/+5L/n9vaG1WLGeHIQEpc0oarWbNKUcrklUykPswVxlpOkGZv1itubW7Zv3vCT3/k5/+Kf/xlHhwfEkeblixd89eu/Z7vZ4DxcXFwyHI55//4dxrREsSbPU+7v75nP5nRty8HBAVVVMZvNKIowdEipIA3bKUUeHh7Iipx379+zWq32hE4hBPmgCEZDwxFKSebzGYvlLBDiREpdhyFGP/3ZT8myHIHqGeohmO5mV8xmM7blNTqKg9ufaajrCiE8b1+/YDoZkaUpZ+dnzOcL1us1T5885fDwqCdWx4HPMhxye3PD/f0to9GI4+NjZrMZw0FOGmt0nPMnf/In/K///t/0e3/IYDBmvpzTNl0fyFq+//4Fk8kAKTx//+tf8Xu/+0/4p7//h8xmC6wxHB0e4p3vpX8S7RSHfXL4cHePEIHEuNkEM7nhcMj3379gWBTM5nOePH6MaVuur6+5uZ2BENR1vecZFEWIecKHFpUVgpvrG7q2Zj6bcX1zCzgiJRgPz0kTSxKXvH37ltVmw2g8IU5zZvMFUsJms6KsNgxHA5qyYpAXvZy0Yb1Zo6VCK83N9TWff/Y5l+eXVFXF+/fvmU6n/OIXv+Dp06eMD6Ys1xu0TiiGI0aTAYNRxnx+h3eCLBtgLUSRwdr+jP1tJgNJmuKBstxSVhV5nqGjKJA+eote74P+03mPN47WGJarFUkS8er1K776+ivAUwyHDIaDXms8IkmT8PfeuyVJNdaFgHh4cMDlxfmewBimYAWGeGtHZHloBXgnMH1FEaeBJIUQnF9cMD2YsvO337FSvZdEUUJejMnynCwf7HWpng7hgsOYl6ElsClrpApkR1pDolWwg0ySQAQzAh0ReqyjMc47TGfI8sBOF6p30gPSNKMsK4zpiXs6RknJYKgwFmwPz3svURoiQhUaxb0szniqsgLCcBzRhMAkpEKq0LYBQV212LIMbQUvcITPjYhCr10Jqs2qn94Y7qFpO5aLOd2m2ZPOnLNUZUflXZiPaDwzs0IIFd6PMySDHOMCkdGa/n60Ftmb6gglA1mrz8xjFWyEQxUoUSqMug5ZE8BuvoBEa7A2OAvGsaaqgnRmN/XRupBYBHvkAKeHzxchZJCQGtNRltt9lR9HMc56OtNS18GnPY6jvTJiB33vWyrOUvT7bqfGcM4Foqrf2SR7mqZBR6q3WA6DrZo69A3jOKZpQw/P+9CKkVJgrePh4YGiyPfEwziO9wOXTBc+XxTFvZzMIGX4t51HxXq9ZrVaMR6PWa/LINftVD+kq2E6ndD0A3l2v9q2DcTTPJBeu64jy7L96wd3x4Ak7aqny8tLkiRhtVpxdXW1T5w+cBtkf316tUEfsMIwr9+cJrmbbLlYrri7uw0oURTjgcFgzLZbkue6T6Iln336BadnxxgHsrdans1m+woZHG3bEemY7bbk69k3wQfDWEbjKZ+dXvDpp59zen7RO6M6lJQcH5/z9u0rbq7e8O7qmqv3b/C25WA0JhppDkeHZMWQg6MTmtbggIOjI86yjMvLJ5yfPybSCmNqsrzgRz/5KRJBWzd0JiS/5+fnrFYLJnKM1orlcsl0Ogmcmz4YCyHYbre9IiGgP+v1ivl8TlN3CCkoBgMeP37Mq1ev+Pbbb7m8vCSOIpbLOUrtjLo8xnTc3z3greb49CT0/Ddb2tZwc3vbt7M+IDvX19cs5nNubh+I4oThqOh9G2Kqast8NqetGx49eoTpPF1rybMBb968xRjL5eVlQAN+/GOyLOP29pam1/ufX1xgrOP+IRgTGSsQynN0dIyKIlSUMJkckhcZd3cP1LVhsw6jjjfbDY8fXTDIhnz37bcs5ktMZ0nSjKePn3IwPcAD6+2GN2/fcnp2RpZnnJyc7BGrL774gru7O9q25fBgyds3b4njmCLP8c4xGU/IihGLxZKyLJnP53vJ9snJCaZt2W7WrNcN8/mM+/sblosV1sJwmFMMhygV03ZrhNAcHBwFy3hreX99g5ChKFmtFgyHOWmiub26RiqPlEEZ53fJtAvtkr/+67/m9avX0E/e1Frz7bffkuc5w8GQohgTJxlZXpAWCV50WOvpug+EaOc8ST+74beaDERxFExoupaqquiMCQS93vFt5w+/J6JJQVmX3C/nrFYLynJLPhyQxDGHh1OKwQAhBZ98+gnFoEBFiqbc0nUtJ6cHLJcLsizh6PCQPMv20rKQdIQKTElFVQZyROhtB93y0yfPqJuOo6Mjjo+PWa1WPfM+Dgdf09E0JrQGsoxiMGQ4mhDpqNeAhwDjfFAYKK3RvaZTSBWMSawlTWKm04y6qvEitAMkUG23oefXGuIoDItB0lfVIQlZr+6xBibTCUWhiOKEYpjQGRcSDwFChEExQgU9epSEnqAi9MmD7bPCEzz/tYqIk5wk2el0a4SM0EmK9SF4lU1HYxwqTjgaTwPPQ3iUkCS9mcnxyRH3tze0XYOzFtPUWN/1la3D9cN0pJDYLkyKDM5+mo7Q0tgNCbLWgQz+/UoHopo1Jtg2K42GPWwnxC7piftDTfSyJoe0kMQxd3e3RElMrnOEEgj8XmJnXIe3lqa2uF66F6XBwMN7x2azwvt+AmfbBodCZ2l7f4ugTVH7YA/sA2PbD2Ipy3IvN4zjIA20JigGnAsDf0bJgDwvws/3gjTNgxy1r2DjJJiYBOQhcD2C8cyWqqr2rm27oGm63eAph+gNV8ptyd3dPUXWSxmbZj/iej5fBBIiYSBKqCJDJVi29W8og3boR5ZlTKfTMHeg962Iooi6rsKo1Z3vAqENlWXZPjnfuQ/6HySVu6mdznd7PsGHa6p7hCAgFFMlUFGoxpIkIckLqrImlRFKBHLcfB5mIjRNR5wmlFW1R09Wq9XeNySKYiaTKeW2YrNaITz88Z/8KQ7Bw2zO7c09eTFmMBxTlUtAYgxIqXn0+AmffPKM169fsFnOef39S7yHJ0+eo69uOTm7YLFc8JOf/ZSjy0uIYlAxZdkQaUXTbri5vQXn2a43TMYTmiZIgEejIZPJmNu7a05OjknimCxLuXp/FcYKz+dUVSBMVlWFUpq27cjzgp/+9GfEUcrbd+/whGub5zn/8l/+SxaLBXESUS22PDzc4fqEfDfSWPqIzSphs96w3pRMJlOqsuLN+7cMRwPqssQOu8Cz2GyCO6Hw1HWJEDAaDXj06Iw8jbm7uWXn/Hlzc8Pp6SnHxyd7gt5uPsvu/R8dn9Eaz9/+3d9ze3sb3P+Wa9I05/XLlxjXoSLNpqxxLhByg4R9weHhIXFywna7ZJAVfPL8OXXd8PbtOxaLFaJPttI44fXbN7x595a7hzAy+PDwkM+++JzRaMA333zH69evKYqCw8PD0NY1YWDZZDzpW2sukKyB09NTpJR7V08pJYmOKLcBtQ3zb1KePj3gxYs3gKLrLHXVIoWmyIeUZUWeDcgHBZuyZL3d0HY123LFwcEBn3/2CVjDw/09QkKi4kAuti4olLTGGcvtTUjapJR89dVXfP3114zHY45PTsnyIZNpcC9MsojD0ymXF5c8PDz0g9KCGqgst6Ht+9tNBkLGXgwGAbJG7O1tvRahKpUKLwK/wBpH1bU4KZBJTBFphtMJidbkeYJSkjTPAgtaSqq67HvhhjzPyLOEOIoQUoQpbL1eeGc8Y61DyAjXs7UD8zRM/NOR4Uc/+jHWWdabDV0XyHJRFFEMhqjYYPwmJCdZSpQkWOept1vquqbt2vC++vHAO7fCXTW1G5ozGo56Cb0MckE849EUvOwPY4knMD6V3knTAtR8fX0dfLUPpjSdJUoEddOSpDnHx6cslyvwgb2unAZvieIgyXK9UkJISZEH7kHXGbyISHpdu3WOKAWdpCSmw3nTJ2uSsiyZzR6CjtV5hsMxzljiKJAabW8VvN1uaOqKGk8ndk6OBhUFeWCeFSilArN744iShNFoRNfr0k1nsN72Rb5HehH6YNbQtB1JlOw/R++a3JtWJft+fJLE/TCoQNgUUiCVpO17eQELd3u5Ib0KoOtamq7D1VUwE1K9mRMhYCgf2jthrkRAjcrtFus+mDL5fm5AGD+r2fYHddM0vwGtO+/2cwUmk0lQU7hgplVVTSC4dW7fHnGdweDIsuDEmcRpmFSpBcbc7XkT1ph+mEloiSjZm1WJoJZomxZvw30dDgbEUcRmuyWO4mAChd9xFfshMEPK7bZ3CCNYPKvQBlBSsV6telh6AN4zHo1Jk4TtNiAqSZLsk7NdYrBrnSCCz4XojamOjg4JNtgOpap+gFXwoBCi69ErQuXZVNi2RSjNsDcyKoqCyfEpVbllvV4wHA3Rkebq/TuW6w2bsgrsa62Zz+fBgTTStE3XT0NNaeKGT5495/MvvsA66L78Eq0169WGxXxNVTecnJ6y2WyJ45TtdkExGHN6fkGsYgbZmOu3V/yb//XfM5kc8ObdFcWw4LMvPg8TKDuD0BaEZrNaYWzF4eExX37595yfnZNlOU7K/YCdtm1JsyK0JeOMJI6YTA6w1vLtt9/w/XffBTmnDNLb45NTJpNpH3w+4Sc/+ymvX7/m9evXKKVYr1fEcURe5Ly/fs/FZZhMePXNe9brNUJItAiGTfrlC7K84O///u9J05Qf/+LH3Nze9MHQMH+YsZgvMN5xc3PFejXn2fOnCCxxpDCd4fmz5yxXS5Ik4Wc/+xlxEqrr84tzsizj3bv3tKbjiy++oO0M76+C/n9T1RgvaJ2nKkvqrmMynTKejhiNx7x5d8WrV28RApqmC+ODD45YreccHR6jJXhrOT89DcORNiVdZ7m5uoK+TX16dMzd7R2jwYDJaBwQSQfLxRLTJ8mPHz3m6PgwtPKs+5BEty1pmjMZB3Os2WzG1dV7hsMhaZKgdESaJlxcnKMVZGlKnhd0XUjglQpjqd++e0+53YTx1s+eYm1QO4WBcIo8iZhMgjLj5fffs1rFKK1xaJwLZPauR4l0vwccO4lyqPjv7u54mM2J05zJ5IDT03MOj6YcHk14dP6IQT7k/dU7qrIMxYqSvRPrbzEZwAY9uIxTor6XVdc1VbMlSR1pliPwbDdrOmuRKmIwGnIRPQnZsvdIH/SpopcAeRnIQlKpnVUdWku0jHo3ui4M0nE9/CgFTgisC0HVOYkXwRM+TgtUkiOTlCJTRFHKcrnEtGV/EEuM9WH4RJwQpylCS2SswkgAJXDG09iOpmsZR2HIjQi29b2hUTDbkUCsIxSAC2NGmy6Q5tbbiqwYU7f3LNcbJoeHeClpjUXrhCRJubq6wuMpqy1tD+E643s5YkYcJVjjcF1vJ9wTBvEO33ms9OSDIpB1spwozRA6omnDWNA4zbDO87C4wVhHkkQIpdD/L9b+rEmSLD3TxJ5zju5qu5v5FmtGbpVVlYUC2MvMdJNNzIy0yHCbP8ML3vH/UCjC4R2bIpyR6QYa6AKKBdSSe0bG5ru77Wq6Hj28+NQsspoXhAgrIQkkMjMy3M1Vz/mW931eo6VKVC11uePdmzeIfdAQegK06fcSZtMptqqEMuhLJxD3Avr9lJube5rGMhiKD7esKtbrNbtMpjqeZ0SX0fEImrISvaVS6C42uigtuzyn7BTwfU8yIQSe4Yklpq7FTooIAeu6prQWP5SL3aFkcmPE6+wZjcJgVaelUC2gcU5R2wbVij0NB60r8bVUyxrX6QsClG2xTYZDgwpwrSLPOyxqYA4FwH4UXu3Ji1p1Gg8jhZoTPoXvByJasi1lIS+nH4Q0rQjDFEaYGMqAU9RNy3B0JPHbTtM2guTFOownKnmnWhqgKHPqOqRt9iAloSgqoKxylBbXgnOWjz/+sLOxtRilO9+6/36078BThsgLCbSPrwxNUbNp1x2vQSqKfXzzarWitVI8amPwfL9zdgigajjsdXklUkzturhcwTP7h1WETAwUcZJQlDJxfPP2Ncp4PH7yBGdS5qs5682KKPLFahlovCAkbA1F2TBOeociZLfbEfkBtm6Zz5es12ueP9d8+fW3jEfiQb++uma13HR5BIaq2NFLYobDY96+dbx+fUmaxjx59iEP9w9oE+LQLB7uOT6Z0B+OKaua1WLBw2qFHyeMjo4A8H0RVXtBzK5uCHqGuN8ns3I21E7TIJbkbV6zXGcEvke/3+Ps/DGz6UwSBauWXReqpZQhSfvc3d9xfn4uiYnbLZ4n04Zer0dWFMIFcS29gWG2WWOx3N/PaaqMMIwYTkZCCLUVw9GUs9MZTVOCc7ja8t233/Ds6VMslsnRANdYhr0UWzfcX90Q+wGT4ZAiy7i+uuL07IzjkxP6/R510/DD11/T6/fpT8a8vHgLDpbLFavNiqubK6IklEbLVrS16AQeP33Ob3/3e+rK0tSWzWorDhhVUe4y4iAApfjwA7Em1kXFB8+f8+zRI77+6mvurq9om5aTkxMuLy6ZDcdE2qctSkKlKTZbpqMR3373Lav1mqYqefrsMcNRnyQaEEYxt7fXhGHLw/0ti+WSxWJBfzSEEqqm4PHpOQrL8fGUuu4fcPjrmyuiUFFXkr9R1RVRHLPd7eilAx49fsbbNz9wNB4TeZrvv7+hrhvG/QFlnsuZ5PskoXA32rZls85oyhrXygplt8kF3W7260rbnReKstzx6pVkZoxHY+5uPyUJU2anY54+fiKgp9tbGisR8n/SYmCvot4fIMJ0d9i6ZrvNxNPZCddOzs4JoxgUmLoUwV3rSKOY2WRCbUsuLy9pmoZKg7aCoI1j8Vu3jaSL7eEk1goX3jrZ/zVW1OnKk5F7EEYEUYznBxgvwDMG3/hsNiLCCQLZnda2oW4sTjWHvbpzSFdNjen0CL1eD9+X8WpVlp0aPMIoSYzbZTm9ozFhHIsgzPcxtj1Y26y1NNaSFwX393cHTna/1+Ph4YG6rkVZfHdHWZUHMuB+V922MnUo84qiyAV53LkA8zzHOksYhYcAmuPjY9kTVRbnhJst6XI5nh9QNxZjIIkjAc8kKbQt2TbDaEHS7nY7bNPwcH8nYCf3HjB1dHTEoBPuZbuSoig5Pz/nxYsX3N3dMRgMWC4WPNzfdeFKtuNpt4BQIrXSBH5Eqy02cJ3OBKqyFDFk08jPW7WURX242Lz9uLxtxcHBXukvz6VCVlOKvRLfdb+njKPpxFJiZ9RdgSEi1/2oHgRoFUURtt1DmvaqfqGptbbtnAL+oSAQW5h8TntR4f5irrqcht2uy5dwIk6qG0sU90R8Z103au/Eiq4LLNISWLT//ptWQETGGHxfS055B7zaO1T2l618Dy2bzQbP8w6CKN/38QPJ+lgX4kAADmNfrc0hr30/5t/v9/cwrH0sclmK1XGPPZZPXAKbJI10ePh69yCcxWJxKA44/AwVu11G1YhTSBmDbR39wQANXF1cURU1xa5kvVgJN6K1zGZn9KZjcG33/AgmNwxCPO3x+PFTRqMjrG2YHZ9S1xX3D/ccz465urrmhx9+4OrykidPnvL46TM8zyPb5IxHUyaTI8qyYNDrEXgJg3TIs0fPeLi9xbWWpNejsZab62uCOGY86GOAsqoxgWIyGjEcDvn6m6/xtOBj5/dLtputNEQoPK0ZDoY0TdVBuiRgyjdDXr16eVj/WWtZrZa4jtPw8HDPZrMljmPOzs7o93tUdc1UG8azM9q25auvv+AffvsF9/e3JHHCyfSYJEkPAtDj2QnjyYS//dv/hPEMH774EN06/vIv/5JHjx7RG6Ts8h2B53N/d0dVVjx9/ISz01OaWjIhFhtZy3zw/DlKS2riw8MDq/UaZQxoRRzFzI5mBx1LVVX0ej0ePTqn7tDe88VSnqWiFnpk2VAWJU1TEwQ+z54/IY5jjo6OODk+5s0Pr/j666/59JNP+Is//3N2u4Kb2wfevH5FGIkKf7Ndc356wnL+wD/+7reUdU0QBHz44gMcjvv7O8bjKcezHq5VnWvA8OUXX7DZbukPBpweH7Pd7VitVwd4leh3HFVVdEUazGZThkMR5EIHDCtFV3E8m3F18ZYkSshWK9K4h4scs6NjNutMHGxdsNc+V2eX7Yj7faIg5NH5Ixb3c/LdhsZWhKGEEm03uUzG2y6SvrEdFfILxuMhn1Qf8ejROcdHxxRFxd39Hb73J04t/PFhtz8UiqLg4faObCfCGedgcjQ9fMDKGNxGDpbA85kMhjKCDVKSJKUsc5Tae99lBCzKKrqwGEcYGWxj5dIsmy5iVoRTXhDT6xCv2vPFJaD3uFmZTvhBJF2b1ox6aReNWmA8jzhKZM+vzB99b/tOKPADemFMMBOBnwMeOu71cDTC90Pa1hKGcafyFhBOXZRdjGzD/f09JycnGK25v7tjs9nwY1Lb/f091lqGwyFpmuKcew8fwmM8GqON4u7u9o/22Hme4/vyQ769vRUbmRWdQVnLvzcejwijhM12g1ItON2hAB1p3CP0O2BM22IYUVUlD/d3LJcLzmZTzHDIagWj0YhePyXbbYkiic6Mooher4fneSKGGg6pSil+2g4jbLuL1zddxkDdWUCdQbkWEA65UZqyKPH9jhRoZf/taUPT+cYFJtL92hZpZ/dTG94HCAniWSh0oFCYwwWnOtgS3T/544wN+Vx7cY+mkSRD27YoJ2rhsq4lKbBtca3t8gMUTWsPv/eeIinitIa6krjmtnWUhYzzG2tpWnEUKAx13RxCsVTHaqiKUkKJOlpha1v2BM69d367XZNGIXHg/1ExqbVmPB4f6HRaa/I8ZzAY4BnvcDDvv/d9MeRah+rolftkvTRNmUwmh5Cd/fc5Ho8P/9zvpgIOIU+fnJwQBAFFURzyNkCsiftcg8Fg0LktZLpSW0sQhTinxfbWWgFU+T5tZWkbx9HkmLquRKg2HKE9j7dv3vD1N1/haPn885/T1pbhaCRhW5UQE52DxXzOZjPn6vIdWhnmDwvqqsQYTX8w4vT07CBKXi2X7DYVq/k1vTTh7PQxvlFMx0f88PJb3rx5zQcvXmAXCxZZ1lnhIMsLjk9OmR0fc3Z+hv/ZZyitCMIQNxwR+SFlp3OoihJbN6S9HtbW5LtMMj+GQ9K0RxiJnbSxlroSENZ6veT6+oqLi4tu4vGCk5MTTk9PqR2UDZyenfFvHj2mP5rw//7Nr0mjiF/+7OeMRiO++OIrXr96zS7MMZ7HT3/6GYPhkO1mw9nshPFIch3W2QatNScnJ3L2KEUcRl3qpUCxAj9AacX1zQ1N3YDTJLGsXPOq5O27dyhg2B/ied6BHCm5ABvKumR2fMy7d5e8fv0a3xNr7HA4xIzFQSTTHhHGrpKYQb9/KLK//vpreknKZHLEbrdmNpsBiqra4XsKayvmd3PevXlNWdfMjmdkuw3PX3wgRW1nqwyDuNPIVHzy8ScslhKLjQKvw2ovl0tmR0cdx0NxdDSVdyLPUa6l3+9zd3d3KH4ViqPxmPvbO44mR1y+22F0yPnpY54+e85PPvsJ//6v/j2D/oCkl7JaLVFKJmTT6REGzfToGFs3GA+ePnvEer1AG8XJyZTFYsN6nbFab0hT0YYZIwF/282GVz+84t3bd8xOTih3JU3dEvvhn7YY2F9QBzxsd2Dku7yLXhQgURwnh1jYpguGSdOUuqopywpb13hBcGDDh0Ek4/9ul+xaEbMdujOtaRF0bW1l9BnGMVEcyxQgCDF+gDZCH2xbqHFYC14QkfS0KM6tZZdLVWe0IfBDPC/A6KAbO79XOO9tVdoIclZ3h2bg+7ITryUvQKYLpoP8yP9tmvIQpuR5Htvthi+//FJIWt0udK9Q36uy81yod2dnZ4e/75xj1BsRx5K0l2Ub8rwb73qaqhaRUBiGMmGparTxO/+67MXLbncvArEUpaQz9ozCeBKf2zS1fKZhSJLEKBzr1ZLlakUchR0St9tfV0KT7PcHTKdTjDGkaSrPQ1OTpBHeSvbCRbnrPmtfeN11fVBMay0jcOcsGCNBT62DDtDkVCvTkO5S1V2ugR8Ktlh+P9uFRMl0R2n1o2LuIFPobDUCHtHdZeucwHT23e6BoAdop/F0QG0rPK1pm5piZ2k7cWPjLHUt3X4YhaA0RVEdlP37TAqtNH6XXbDZSN7FPmDHOll7BL45iOCaxhL6Hlpp6rrA7IsBKxkS1okwrK5dBzwqWS4WDB4/Ojy3e2W4UorpVHC1+71olkle+r7o2V/W+52xc1Kw7Qsa4PBr4T3qea8X6HVJa55zBGEgltQuACzLMuq6Jsuyw9e1XzeUXez1vpt6mD8w6JwOxa5gdjRjs1xC02K0RxjG9HspH37wgt1ud9BPlLucOIx49vgJ682K7UrQtw4BcMVJiNKOsigIQ4/NqmazWnJ6csbRZEgURlxdvKHIS1bPXjAYDun3+kwmEzzjkW23WNuw3mzQbcPy4Y6//7tfcfbojHfv3nB3d0/rFOv1Vrgkzkl652bD/d0t09mUtNcj324p84LWSmpnsdtRFiWubbm7F2uabWo26xXb8Qjf9/jiyz90CXVhx40w/OpXvyJJErbbLU0j3IXr62tevnzF7PQxyWiMbVq+++47yqLiZ5/9nLPTE2ajIdZaJpMJvuezXK7ZbrdE64hel6Nw261xZ7OZQOT8PoCA4ZqGLMsY9HosFgvB0HueiB5XS7Q2LBdrjs9OBfi2XQtcy1ryPOfh4eEwmTLGSCddFURx3AV4iYapLEv6yZBPPvmEOJaiYS+Gu7q8IIkiAs/HdTkgnjbc39+y3Swpdhs+/uQTjHZs1ytWS6E89tKUj05POT47oWlbPN8jjENQioeHB5aLNWkvBhyqbTkajTG+UBfzPKcuSvxuKlbuSno9SUZcr9cMB33iIGCxWLBPnez3+0yPjljOFyg/IDA+y/mKumr4+c8/Z3o8QykfpQzD4YiqKSmKHUEg08YwCFncP7DLtxjlUZYFaXpKnPgslw8YT3N6ekyvX2CdYzye4FrYbMTy/+7iLTc31+zynOlsxocffcjp8Qmb7fZPXwwAXeCIsMbTNGUyGKGNWIKStEcYJVLRNhbbWjxftAPr1ZrJaCyXAEJMgpYoCtkLjaq6E0W1LW0jhYE0sqLwB91Z1DzZGaOwrcQ5ep4PWnbErtXdHtfH8wVQ4ul9KqIUKFEY43cCNs/zO6Hge2vUfgQaqPcjW4WgbetORFg1EppkO99bEEayi7at7OXr/XhnJ11nmvLkyRPKsuT29vagxq6qitVqhVKKXk9S7cIwZNQbi2grzw4HddM01I1ExvZ6PcqyPHiFjQnEdlU1BGGE2u3YZrtu7Pw+E8B1Qj455OkuV3nJ9y/ucrHg7WZNmib4/iN8X6A50+mU6XR2oL6JsjmmLBz9fo/T0xPevXvXTUz8DoABSKgADkdrG+q6IE1SedGKgsAPuiKwozoqRZEXZNstWik8YwDJU1BGOBEojcEdCoH9pdW2Iub0jOkcAnvin3TX7FdPXT7BwUaIIlCyFvCNkDNt21C3Vacj4MAVx7S4xhDGidjZusNuX/QYo9BI1oUxhjCQiYq1Fqckrroqm25CVnauArC15EnYDlVdFjktMlEQhkFDEMizXHcY5D3+GuiY7iFxHDOdTrm/vz9MvQLf5/bu9iC4qypRujd1Qxi0ByvjviCuqorNZnMImNl/VlEUMR6N2J2cdOJPh3WOwLwPRdpPGIBDjkkURazXa5xzrNeirO71+sSJrCcqDU1dkW8z7q9vGE8m+H7AcDRivVngGZ/xaIinDUXbyjrQk5XJerXCaMXD3S2j0agbq9/SOkfoe7S2oZfGNHXJcNCjrhv6vYTN+oE3b1p6aZ8k6TEfT3jz5i03t7fs8i2BgfPTGRdvvufLP/yOm/snvHr1Rs4bp4ijlLPTM6I05YfvvmU0GTMaj9EKBv0+48kE21je3NxAK2vVtrHi7nEt2W7LLtuiaTvAjmaXZfz93/89QeBLbHm1hxC1+L5MetbrNaPREVGY8PbNG575PpHv8fNPP2W1WvBXf/0fePvD97x4/ow07fH8+XNev3otxdVgwKuLV9w/PIBznM5OcK38/HvDHk3VcHNzw2qx5Ob6Bte2PHn8mJPjY4bDIVmes9lsQAnNNQhCLi4uyXY7psczRsMxvu+xWS25ubmhLEt+8pOfcHR0xMXlBdoTpPDDw/2P1s+G9WbdZTUI8v3R43PG4zFGKy4vLtAo4kiYLcPhkDSJ6KcR/+7f/Tvy3ZZ/9s/+Gb6nub66YDQ6QmvFeDzENjXL5Qo/DIj7PaLQ44MPPmAz3XXpqi2RFxzillebDda1DDrEt9hWHW0SUdc1b9++5T4MiQKfX/ziF4fid383xmHIb37zGy7fXjAYDPjogw8ZDSbgDPd3D7QWptMZ6+2SxfKBtm1Zr1d4xmcw6ItWrsqp64bLi2tmx2PGoyme57Ne78DB06ePqaqG5WKJtbJWvbm+xtM+RVGwy3b0en0mR1OePn76T7rj/8nFwN5u9XB/TxhFjEYjTk5OiIMI1wF8lNKH7r0oCoIwJI6Erz4cDADZKSpjmMZRdwgLfa1tLX6g8Yz4s+tCuoe6Q5q2rj0c+m0Ht/E8jzCKaJ2jaSytkoPf4Mt41Sm5l7WRjIMwpG00LeKOkP1vJDnUXdDKjzusbJuhwli6cSWO+TAIGY1GWJQE8jmZXmijD7AWbEPr2g7HWuJ5fqdKDw+Z5EmSHCYQeZ530wKJiA2CgH6/z2K5IE6iLoLZ7yyVLfk2PyQc+r5PVVXdBSiCI88YWiRTvrEtZSkELq2EHmm0iL60kuq/qkpsXWPMHqQTQq/HNttyd3fH7e01jx8/wg982lYzmx132NvZ4WXJthviKKIsS2azKa0VvYBycilmWQZOEvZanFjirCXvpjW+H3RW1S6rvK5YdXvm02Ox++xpdrZqcEpDXuIFIb1+/1BUiVpdCgTXOpQ4Gw+earoOV2YH/1mwTiv6Bqzr6F+l0BtxHaRK4E0gU4a6qvDDiKTbtded9qGxFpzoYLTxJAWxWyO1zqE9uaxxkG032LaLLW4tzjYUeUYYBGiFuDJaEQE1TU1dl0CCVhLBXBTibMjzomMW2MP3tA8uqqqqEwyaLgSpPYw195Olxu5jsM0BSFRVlVj9ug61aRrKspS1XxDw6NEjNtst1rVkux3al5AmT7//79oOd21bJyl03Th+3xHGcYRzraQgorrC0JA5y3x+29nVCpaLOZPxFIUjCCLWq7VkOuA4OT4mjiJW6zl1U2A8yHZiJ350ft5Bj1ryPOP29obj4xOh1qHIi4rbmyt8P6TXGzIcjgmDCGNgs1nhmpJvv/4dN5evcbamqEo2mx1Ge0yPpqRJxGq5oKhKZuenvPrhJYvfrJgeT/nFn/0SPwi4vLphNpvRS1KyzQajNHESUdmaly9fMhoOSKKQ1jnKXARqogQ3YvdrBXkdBH7n1ii5f7hns9lxcnzOYr3i9v6WfhKSpAlJEjNIEwKjWSwWzOcLrq9vmEyO6PUkEXYxX1B1z6tu4fWr13z51dcYT5GXxUEkncQxgR/w1VdfsVjMieOEIIq4vr7GKcXZmcfZ2SOSqmQGJP2eiChNh9Y9OemshjIBWy4W+JF01KLLyoiiWEBU2me32/Hw8MBg0Md20em7WtglUa/P5OgIrZSsi/opy8UDv/jF5yitef36FdPpEWmaHi7Z6+tLbCtx8qtlRtBFau+jzIWjsJMi1bZMJhOePn/Gze0tRVlQVhUP93dyzu22BIFHkiTc390y7PW4ubllOBgQhRG3t7e8e/Oao+GIjz78kMB4XF1c8Xd/93fc3d4xPDqiqgvu7+4ZTPqMxxPqpuT25kZAcq6mygvCICJNUjwlU+ntNieKQt6+e8XDwxJQfPzJRyjFwRadxCHFLmeXSzx6vit48+oNQRBycnLypy0GqlIunOFwRBxFXX56ih/GoCW8qG7kh2eUYjAcEIZyUSunJPymM+BpB22rOivhvnPTGKVwXnf2eg7jtxRVSWNrJCgGjNKoVgKKbFlSKk1tKjAG4/k4ozG6xbWKVjmcdp2gUMh9+EHnQDAC9+ksHKj3Y2atNHm1QzlYN1t832fQ7xN22QRe68n0gn23+aPEP2VQJsDzY6J4gHVdcp0fQuDTAHXbkvR6Ykl0TmJllaLfT4SJ7kFV5Xz5zSWXNxekcdzFsjqCwGM8GrHNskMy4h5a4hq5tNK0hzI+ZVXT76VymPh+J4B0GCDyQ3zfsNtuUJ5Ps5996AA/iAXw02F/m7ri+upaxvtWkyQ3zB8WLBcrzs7OKIqC64trim1FUzSY1tBLUvm5uZYojgQkZS27bYatG7Q2lLV02nmRi02wo07ZsqIuS5wV4dR+WkEht7qtJRwIFPWuIvRCfD9COY1rO0GgEpwuzqK1R9v9D7iucO0YDup9pLPDYl1Dq6BVjrp1Yg9tXQdPctgOIkNr0cqxUxnGM93kAppKxHV77oJtSlCQJKk8s1WFpw3KNni+RxqFFGUBroGypSoLqrKkKgW7a4zgmvNcFPk4aKpGuAVWcXe/5PT0BIoah+w699HLYSiMi33XMh6PAVnx7cf/e5tkU0v3HobhYfLX7/cP3IA9y2Gfg+D5Pl4YMI6nVHXFItsQKg8TBChP/mybTlDZVWNWezS1hAf1RyMhiwY+vjFkleR4ONuwWCxQyHQmW2+puwNuOjwi32757vIb3rx928FXYo6mE1oPJqMRs259tVgseXT2iJvrW6Io6HQjsuK5uX1HFIUkSQKtpm1KWqVoypL1fEFVNdwtrrm7vWF+f0td5gz7PRoLq/UG4xkRujYlOtA8efIU22laTk6nfPXtV7x8/ZLfffEHPOMTRwn/1X/5X3HbNHz37XdEYcgHHzzHRD6vfnjFYrFgMBgIbrksuXj7lunRhCRK8U3QFbFSVKdJgnKK7a5km+24ebiWldBux7//n/8nzh894pOPP6af9pmMJmy3W46OjsjznC+//JLXr9/w9OlTAmWo6oLRYEQc99A6YHZywtXlW24ubyT8KE1Io4jj6RHG91DaIysK5qs1zz74SJImjUfZVJS1rCRv7+9YLBYHt4g0Pj2xDPY0o/ER2nd8+PELNus1q9WKwXDIDz+8YrssQSlxWI0e8fT8nKoqqOqG0XDI9cUlZ2dnDAcDmWzVlqppmUxnpL2YpqnI6x3GKmxbURQlbavwvADbOO5v5tw9bKUoCAKePXtK4GsW8y1lXlFVJa/fveH00SnaaKIkwvM1QXDCdrvl4eGBt2/vKIqS3//ut/TTFNsofvlnv2S73hD7hi0N+WYlrogqJ4487u/WPDxcU9UZ22xLZRvKzGMwHjKbnNLklpvdFfmuwDOGYV/uzmx9wzrbUVYVTiOpq6ol8EMaKyvjJ4/Pebi7Jcu2KEqUA0852qZgcX/H397ekPb7/B//z/+nP10xkBU5CkUYRaSDgdiJ9nYx41G56o8EePvdo7O2Gw/v/3AHBfZ/Ltrb7yUdDmMUYRSgTR/PwGq17EQcXickqVCqpWkcThuCKCLpdQr1ukYrubhdK6IyrRVOKaIgwvgBZV1Td4AOpSU1r7WWpu3Y9K2jLCvQUFQleZ4zGY0Yj8eSLFUXOPVeWLnnyLvWyuXg+yRpitfBVFoaUE6CW7SI4MT/boh9qYyDQJCxEqy0IdvJ75vGMUYrolCy5E13WPtdhLBrZUfnB5KzAA7PKG7mD6w2WxrbMh5PCDyPwDdd3DOHKYCQGWWUbjp0bmBS6rrm9upSPr9QMr2d2wffjLm6uuL29hZjDHe399Banjx5wvX1FXm+oyh22NZSVAWDXh+tNf3Ow+4cxK1cohL45BFGgretust+PB4zGAwOOoAkTih1gW1avI7ZsF6vub+/J+hy0/ddq+dJ7rfdrwFUS6scaj8m6HIG9vvxg1++bWm70WXUdfz7bvvw121La0FrKUjb3B5G6/uplegVRKsgWRFSpIS9FL8DUdmmIa+q952T09RVLUAkJdTG2POoq4oizyWDoIt6dtajrmouL644Pp510w53eK/qWvDKe0DQfix/fHx8GGeWZXlY/wWdyChNU6FIdissY8wfgZbexxG3BEGM9gxBFDA7Pma9ln10HCQkSYrx3kdQy0qGbrzuUIQ0ZUng+bRNTeD5WF+mE3s7Yl3XzKZTer0B8/mC129e09QN2W6H0Zo0TcjzjOurkvNHp6CUxOc+PNDv94njhOvra3q9hKYRhHOSxAdSZVkWuEaxXm3YbnJ2u5LR6IjWOh4Wd/i+YdBPKTwpUqM4kvNBy3Rys93SXl7Q6w9Agx8GBEHEJ59+TF5U3NzeCTWyLPmb//gfMFpT5DmT4YjLC8PzTz7i888/52/+5m+4v7/vtEglke+xXK7YbLaMx2OmHSLd2g6TrQ3D0RC04d3FBQ/zB4zSh7WOVuoAz/F9n/V6zR/+8Ad++9vfEgQBQeAznc548cFzzs4fYa2jaiznjx/jabi5vmS9WrKYPzC/v+fu9oaj2RTnNJOpJCmu12uM73N7d8nV9ftzYK+Mj+OYx48fH7Ij9ph1h8CvoljE157vdWutkFHviJPjGYuHW4o8Jw5DPANB4Li5veXx48e01kpxk+1YLpdk2eZ9QJan2G4l2dVhOjeAj28awiDh8eMnZLVkazjXMhikfPzxR/i+YbPaEPoh6+2G+7s74lSenda2aCNansV8xfffvUYpxZPHz3jy6JwkSfjhh5c4W3F785btZkm+2cpq6M27TjAu6+XlasVwNOT87BHb3Y66aFivtgQmZNgfk8YNq9Wab77+Thrv/oDhYMD1zQ339w+EUUQYR2S7jG+//Ua0NC9e8PjxI37/u9/Kue9pFBov8IUimRfU9Z84qGhXWTlstQd+iA4kWY6iIkm6wB3jdSNr11n26sOBuz909wXB/gA+8PadCL3EQudEYanFj+3pPlqbLrCIThDYyt5XWSHRdS+JVpo9YiGOIkwSUVcl08mY7SZjNByRlxVeELAtCoquGJBmTw5yo4QMF8YxYSiBKlVRHC58o2XEXHfJb/v9aNM0KNelt/mSlb6PMLauAdPSVLXs8ZVCo0R8RkMUhWRZ3gnRIgaDIbd3c8pCxr+e5wnfu98nSWICY/C1YtQfkoRBZyUsUcZjl2W4LMPzNFEY0FjZSc2OjgjDgDLfYbSiqiQu9yDqa/cUSU1ZlfTSPg+ej9GauqoEMuQHh2nEftx2fHzMo9NTvvrDF3z//fcsFnPAcXZ2gud7FFUhCvhuRJrGieg8nKHyZRRmm5pdJoVQU0qGQJIkf0S6833TdapKCIhaHC1F01DlBU1VU3sGklS0G0osiYfQoQ4g5eBQkLKfiCjRhPAj8t8eZOR5HrW1+6v2ABpydYOq2o6e+N5lAxL+pJXuwk7awxRCIn8RIFMra5OiKEQ4i4z2Ua5DN7e0bUPgefSS9PD17OOhbZdPIeP2uOPIc3jf9uuuveujKHKiOOoob8WhYNir+rMsYzKZYDrwz17wud/974sDWU3VRF30stYCW1JKEYfxQSwYBMHhc4yiiNZBtt3iaUPdyDi830vxuiAv3/cPehnf9ynynKvrK9arr8nzEmN8PvzwI548eUzdWO7v7zFGMZmMRay72VBUNZPJRGx2X33FfD5nvV4ynYw4OT3i/sGwXi2BlrIqUSiiKJB8h7bE2rwTNksaaZr28APNerUkTnqkYcp6szlobYqi4LvvviOIQk5Oj0l7wsMfOEUYxhJF3pRk2y3ZZktTOeLYx+iWuqo4Pz/n/Pycb7/9lqqqGAwGOCti3bppeJjP0Z1Qt23BWhHCKa1J0oTxZERTN6L7iAJubq65uHzH0dGUfr/PDz/8IMLNQiZNH370gjTtURQ5u13G3f0tbQtKe1xdXRDFAT/96Wcs5nMuL96x2264u7sRjVMLw9GYs0ePSNId223G9e0NaMV4PD48O71ejyRJGI/HBz3TupsCXF9dYeYKa5tDKNPt7a24o+IQz1M8e/qYpqq4uLjANg1hHHP/8MDarA4i1LaxnV4qIoqluJ4czchzoXju8hLfDzk5PuPo6ATPiMWaXc7J8UxCxPo9su2aNIm6sLiM77/7hiTtYa3ly6++4vLimiTpHQqcZ08/IAgCppMRYWDYbrbsNhuePT3D1hs263tev/4eCQsz5MUOrQzfv/ye2jo++fRTguW6W9MaxoMxt7sbbA1N7TDKx9YCX3LNEtdphZwTcJj2ZOKS5zJBtE1DEoXd+9pIYqzROCy7fNutsf9p1/w/nUAYJh3OtsGttkSdT1m38oWlaUocJ4ShfLFNYw9q5v1B8r7Lav+oEPjxH1o4vDinDwe25/n0ev3Dr5f9bIO1tdDhwoi0N0B5Mq1QCsIwIowiPA2B7zGdThkNRzzcz8nzAj8MO/SjWMi051FWuawhOjub5ynKsmI4HKKhu9C2siOKInQnjPqxTUubznmgOHSUNi/wPB8v8ChVQdsWKK1pGgtKs15taFvZV+2nA/uch737oCwLFosFu92Ok+kRcRSyWq8Y9fscP3pM5Hl898MrgSIZD6cUbdNglEMHHmVVUFUFhQeep2WfrRTamIN3f1/QGC1UvKqsCMMY7VrCIKAqa/wON73vYuI4ZrPZMJtM+Lf/9t/yq7/7W8IwkLGVEsxt2k8pc+ks20p8tWEQkhcVrFvi0Ccv5TJvm+ZwIeyfjf3+1BgpGoMgoK5thwEW26hMgRy2tuw2W/JtJmlztRR+YRSgfXGWdFv/ruh8X5S23XPJjwoBYyRrQ25NOk1Dp7Z3Mk1SP+rI9za/tm3xAiOwIOfQBuq6YrMpcZbDC26tCMmM1uAMeW6xTsiXLY7WNaSjIwLjicCsgzFZpXBdMXFxccFnn/2EthWC5z6v4MeiyiAIyIuCyZFclNvttsP7lgeccJZlf6QJ2AfPaK0ZDoeHwsAYQ1vL2lA53SGS5TNpmuZQtMadYnz/HuDkmVzMH9isloDj8vISTwv4qugK7v2EZ/7wwLt3b7CNTLYkzMnrpjYJvV6P09NjHj06Z7F84KuvvyGKxc0Rx3HH/x9jbUNeldzN59RNi/YCbFvjByHKOhTy/e31EdOjKf1eyN3tHZvNAj/wiGKf2la05XuamzHy7i+XS4xvsM4ynVniNGUwHDOeHBH4HpvlPXHoM+wltI3t3mlJ1Hzz5g2ffvopt7e39Pv97nyzaE927ACL1YrtLiP0g26EHLMrcqxr8Yw4ZkRUWh9cPEWRcXd3w3q9Pli9kyQBHMvlA3Gcst1upKhFEYQR/WGfKI54/vwZYeBzdfUO5yz94ZBeOuiCzzS7bUZTW1bLNY1rcVqso/uzajQaHd7fJEkYdeyF9XpN2ksp6i2b7eYwybi6umIymfDixTN6SUgSHhH6Ed9+/Y2suKoSi+Ppo8fEccxyuWQ5XzCdTsXCbWuSJKQsSpmAhgGfnZxTFBXrVcY333yD74WMxhOmJyckPckkuL64YLNZcXZ+SlWUBH7AoJ9yfXPDdHZKGieiIXjyDK0Nx8fHTKdTsmxLU5V88fvfsloueHJ+RhyHPHp0Qra942qYUBQVi/kc29Q47QijhLqs2e62pGnC3ds3zGYz0jQlz3ZsV2s2mw2PHj0iCSOWqxWrlTgX6o67EMUx1glJV2OIo4DGNtzcLFE4wsAn9EOquqGsC8qqEPYOf2LOwMns9GBH2h/O1lrWywXLfI1zSirqfXBPJxYUUVfzozXAfm/7/qBS+7G1UZ2QT9T4Ssu4XtElpym55ByW49kRYKRj0xrQuBaUZ0hTsUwNBgP6aUxZ5KzWa3bbHfkup2kdpiOwBUFA3Ujynem6YGdb0Ia6sXhaxFaeNviBR7aVfZPfxb3+eOdsjOm6RIevA0xrBZvbZW1b5wRNrKUbrsuKtmloqpzdrsD3M3o96ahQiiRJsE1NVUiimWCE5+SbFUm383z3+hWT4YAo8Ak8j21esFnOCaOUqmlonRMRpVIdvGJA4EkBYLQW217X+e2LgajXI/J95nMRDHlKiardSg6BUor5fI7WmqdPn7LZbLi8vCTfbvE8j36/z3g8AlqabgXh+R6D/oBBmtLv9dlut5Jn4YTrj3NdjK8I2PYj9z0AStLQpKPNc8lMqOvOX68NgfFxRp67uq6pypJCQRh41E1NVRXESYTxO2JglzjZdpyAbjRwsFfsn2/g4GYwrcIpKSLatsXuY6wVBzHoQbmfxEJF7BwInic2wrqqqBpZg7StiGKFnijrnV2+k3dAKSyOIs9xlWXYRdj6nkfzo4wOKQYu+fjjjwTdXHMQD+6DWkBcBp2hg+l0eujiQABVxnhUpXRj5+fnh53vbrcjiqLD97bv9veR3Na1aCM2y+FwSNu0rHPhuA8GA6qqS4pshM3Q7wuk5+76mu16RRgGWNv8kSvG80SkZTzNYNBHKc352TlnZ49I0x7WKuGzxzFpKpf+5eVlt0euD13cfv3StpZst8WhGI3HyFSvwjY19a6ibVpOT84lSXCxwNqG49mUJAq4vL5gm+2Z/eA5h+8HBEFIU4vg0ngSdzscDHh0/ogwSUh7fXr9AbPpEfl6yZdffMHi4YG7xT11WRPHCberN6w3W/7yL/+SJ0+esNnIikNpaJ3XPVvd+eIceVES+hXGy9nutihPgeqyWqyjKHJ83+PoSISCMmHZT2sFj31x8ZYkSUjTlDCUDJA4SUjSHr1egm0qsl0hz6uW8K8wFFjVYDRiPDnCtoKcN55PlCZsdhlKq0Mxt1xKomGSJJyeiuWw1+sxHo95mD/w9vIVw6GIin1fJq/Pnz0j9gLe/PCa5WLJi+cf8ovPP2e72bLYrCkbsVJnWcb93Z3obpQgxcfjaae58On1E4bDAbtcwsDKsiBNEqIoPZynl+/e0utJdojvG6qiIE1ENHt/d0O+yzk/PeXzn/+Cu7s7jo6OGI1GB8fX0XiAay39OOSLP/yBosh48/oHhoOA9WrOcnlHUVTESZ8s2xFHKcPRhFW+Y764pyx3rFdrrq8vGA9H1GUlDVaVM5/fEYUh2/WCRjK5McYwmUwZDIcsV0s8zyfP5GsuipzQ82htzXg8opckvHnzFpTcNdp47Gegf7JiYDo+OnT6RgkISDnxe+87jbqqD6PjfR70/iDaj9JFvPUjQKIS/r7qtASyWmgP3U1TNzSlTALyvGA8HtPr9Xj85AlFXnJ1c8uuC2LxPNkdqY4a1dQ1y0XBfP5AWeRURSn55lr2VJXtxuKeh+3ob7LnNbLfK2S3aq3FKEVdW9I0YTGfY8KQ3kD8uHu4UL/fP6wNuiYSV9coowVr3L3YfuDJRawMWikMVnaklYjirBWwR5oklGWBpxVlnnfdlaPMc3brFcPhCI3iD7/7PaPRiCQWHGpdVayWa6JUciTaTocR+L7gLQnwfSOHhycdoKB1u5+bMYShrCrmD/doJ3bAMPAP3b7DsV6v+Pbbb5lMxizv59xeXdEf9JjNZqxWS1GjR6EkE3beTYnSFfphaxv6vRS1AzoscN3UNFYmSYHvH0A1x8fHFIWI3mbTGVXVcHt7h7WWMJIcAaU6AWJdE/giIIyjWIqDRtwpgZMKGyMcA6UB9V4PoJ0+TD72Uy3JSxc0tZJ/HWdblJVCRmnV/bzVYaIQ+D5au8Nhvl+DOdfFOOMwnTvGdsWyUkYKycDDOkfbiHBuuViwW2+paxmBizByv4OXjnCxWHTagfYw3g/84FCkNE1DvslJ4ljoiz9yDXiej+/5VKUgxsuiPKSB7nfP4vFvukOrZlfsiJNEeA6N7NEDPyBNexhnxLuOhEKVRUEUxfjGw9Oay4sLHu7vsU1FXZXYriCTosQcWA22sULZqxq00R2gRQEeFxcXogNyLb2+TCXJC6I4Odgb67pBaUV/MCBO4w7apMSeGQZUZYmuPfBlJXV2KoAolLxvvqc5PTnm5g6yIqNpreg44hjfD8i2OQ5IwgjjeZyenREEPuvVisura37y2U9prcMoj8fnT1gvNqyWolpvnaNQkPb7vHv3jpOTUz755BP+/u//nvV2jTb6cBYqpQ9IdHHfNCKM9gxhGHRrgoooCjs7YkgUhUynR8xmE66vb4R82kUSGyOx134QkPZSTk5PePT4CbZtuXj7hsXinqqUxi+KArFqJgNoZV3oBSG2smw2G+K64uTRGUdHR9i25euvv+bXv/41YRDy/IPnvHnzRt6H4H0Q1Ycffsh6s+Lq6urAVfF8n+16xeXlOy7eXfL9t98zGR7x85/9nOPTY65ur3l5eclmve6s57ZbJUaMJyMA8rygrHLSVIiL19c3XF3ekCQ9njx5Rq8njANPG3luraQE1lXJqsrZbLb4xtDvpYdI5k8+/oigm3RGoUSOp2nCerliMprw2U9+wjdff4FWwoJ5/OSct2+/Z73KODt7zHh0RJZVgOb49IjhYIinNd998y1VUaBUQ1XnxHGCwzKf38kU3Vn50zrJ5TAe2+2WtNfjk48/ASu/X1NXjPpCh10u5mxWS8aTEUVZEUWCR149LP5Jd/w/uRjYbFZiP7Lt4eCs6pq62tHaBtcY6nyLcQ29NMXTKUYp2u6QPgSVtBZrux2ur3Ddc64ALEIDROBB4HCtjOw1mjQWyqHuAlkcirTfwwsjamuls/d9WmvZ5TtWtUS22rqhruTAvZ/foZRhNJ4QRCGbTGwwzrXkpaVtWoLQQxyCjtFAQCDWlviRL3nRaUq221GXleRoG8PRaCw4147Gth8VHzpFz8fRjeY7jYQyGj+M0IwIoh6ulUu7sQhBrykpthlpGlM5R+wHpEFA4Gm26zVlXjJv5ixuFxxNjxiNx/go+lFMVVS0TY3uDvrlak1utniArxy0Gk9rqjKXYKOmRtESRyF1XbKucu5uLjFKuPrOWqKgR+TF3Fy+w+8mKteX76iyLbZpePzoEaPJGIcjjCKub2/o9Qfc3txI1kTVEgUSlxuFMYvtlroqScIQ7RyqtfhKEY+kq6m6irk3GjHuDag6foXSnmQUBCHlNqOfRvT7fbJsy3a7RgddqI8VXzdOLIb1rqTcFVS7Ci/wCMIQz5f11Z4joZUAlNqm6QoM5H91QlgAjUJ3yZk4cC00zsFhxeWwYSiHOJLo2To5vMpCwoeCzuonqwUhzmkluQZBEMriwdKtLsA6izaaXS6gKT/wodHdV+3YrHecnwed4r+i2FVSmCqJoU7jHlEYH3QunvHEmVAL5RAnmSCNU7StQjmNrVtyK1HirlUCkFI+zlMY3TDojWTi1baoRqEd9IKYZByxWizJ1huePXvK6ewYBSwfHgQKVhVMp2Pu7m5AtXi+TKc838P3JLypbR0nxyes1yuePj1mNpsdYEl1aSm2O5TW1B3rIooiBr0hoHBGUVrLYrtl0O+TFzWjYZ80TXCt5e7+Vj7TpgUtqXVtKw6jTz76iQTVXL6haSqOpkcc47PZyrSjrCoaa9HGogyEfkAch5S1JAxutxnv3l4QRjGutuRZRhqH9EdDpsczHhYPtNayWm9YLLdk5YLe5Q3/zX/736KN4fHzF3z71Vd4ujmsgbSWZ8wpRdM2eBh8z9BPemgDrm6pckEYR2lIFEtRUFc5VZUThLJD9jzJJtkXp3VV8/133/HVl1/x+PFjHJBla1bLJQ/zB/LdjtZaWhSj4QhrW6pKAFlVJSmmvcGAh4d7+oM+SRyzfHiAbrT/5vVLXNvwcHfLbHbCeDyh15csidOTY3ppwm6345tvv+Wv/+o/oGrRArRtzSZbs1guePnqe3RgBLIzGPHDy9ecHp8TBiFF0XB5+ZK2dTw8nPDkySPBVU8HpHFC5MV8+uGnLJcr3r15xez4BOcp/v43v+KnP/spu52cP8VNgS0sT548pa4tv/7Nb7ifz3n85Cm9pM9sOqOpKnzfI44ibqqCxWIp6Pm2xvPgzdvXDIYJm80czwvJywWbbcbs+IzHYUJrIYwjttmGsig4OzkhDKUJu7AXhJHgl4VZEqC1x3Q6ZrVeSSOhBJSUrZZs5g8Y7fHtN1+xXi9p24bz81M++OAFn3z6L0mShDdv3nB9fYNeLsSp9acsBtab9WE/vr/k9jzYtm1o6hLXOnxPY5TsPLXxDwJChQT9GGENvyfJIrtXrRRGib2sbdvOpy7WKhNKqpPfkc6KsqSuG/wgIIpi0IYA1dmqHFWn6G7q5oByFX4/rFYLdnnFu4t3PH72AcPhUGiCRqGdYmszAi/EtgVGC0Pc8zTZbq/QFqeA70sHEEVRR/fyDvvltgMR7VXZAEpp/O6HsvdzW2sJg4BGG/xWusw9+rXJS6hyVqs1ge9JvHBTd8WHjLmjKCYKQrLNlrubO+7u7kh6Cb72mB1NaBz0B0M224zA8wh9sXEJxCQ5IHEl5lI4/lprmhqMamUPWWl6aULT1CRJxPOnT5ivlqw2a6pNhdGKu9tbjNZUZcksOyaKY5TRnJ6e0dqWNOmhHMRhTJr0CIOIdfeZuLbFCwLiSLgDpavwtKj9m0Z+Lr7xeP3qFWHaYzQ5IklkBWJbh/F8st2OXb6TLru1HXBKYZTCY5/3YA8WzMIW+I2B1uF7kvbnkBGwEnWh2GXblrIsQCkC7XX2Qrq0Tll/oeT/t64VvYpW2FYEfoHnH4SZzjl5KZ2QFuu6RmjSsiLbCxn3LgOQy1UitN9TEhtboxuNF3gdvlvErMInf4HnBXimprHS8Tv2NMQAZRVKu8PUre2Ij0LkDAgDmRT4nggE9ztrr4NR0bkBRqMxdX2F3zll9iLIYld0jPkK3xiaquLy4oJ+ry/PR5FTVSX5LmM+vyfLtvT7fXBCTIyjlNvbW4bDIS9efMhkPCKKhNK52+XcXF9zdXWFRhP6EbVtaVHUtuXjTz7F05qyrFhtN+R1xXy5ZDgcMZvNuL+95erySkbevsE5i+8FtLrGVjV3d/f4noenPaFTOmGHrVZbjPEIgxh/HLJcLQ7PkTGGMArwA4/1dsPbt28Zjyco5Zg/PFAVJbttRpFnrNdr3l1dEveE9dEbjdnad/zmH/6Ro+kxaIkx/8lPfsrt1Q3zhxsR1iI/x7raaxVcB5xy7HYZcRzR7w9QeGy2a5qmRhHSS1Ma23B7L1OBvbB0HwVvDHi+InQGrVsuLl4dpoplWbLZbjFdXsVqtZL3CwkSk+dK0TrL/OFe2AO+h28MSRTJWD6OKJuSu7sbcb90KyatFd/94RumsxnjyZggSHjx/CNUq8lWt1xdvGM07jMeDzHG5+rqmpevXokg0PgoZwj8iCePnvLLP/szUJZHjx7RNCXbbMvt7Q1/+P0fmE5GzKbHfPjhx3z44gOGgwEtMD074ermCq3EoZbnOx49OudoMCOKUx49eca/+C/+S77+TuKCnz/9kO0m49s3b8i2G4aDHtPpEY8fnQtNscy5uXnHZrtls13x6NEJp2dP6PUmZFlBEEQkScJPP/s5x8cnrDdrvvji91xevmO5XDCfz6nqim225YMPPuD07AxrHXEUE0YB/YFwObIsIwx8NpsNtzfXxFHCLssYjUa8ePGc58+fglIslkuub27kztHyjpv/TJf3/3cx8J/Hlu7Ff37g09hKOnha1us1TdMw0gY/dIfYVen+1aEgcE4EUq7dlxT7UWq3z+3sO01jQc5GOWyNxnMi2SqKnMZKpxglqXiffRmBep5/WE9Mp1PW6zVB4FHVFUUlyvCX33/P8fEpZ2fnWNuglabIBZF5ezunbkqenj/F83yCQGxvSvkYLcXAnhOepulh5LrNZJwo+8y0E7vVh8N8b7v0fdFXeMYQDEUVn2UZSZrKZWMMfiSQkeVKFK/KeLKDR2Oblru7WyajMSA4zPuHe8qmZnI0ZTybMZkeo7UiDAMGgz6TyZimqQ+oU9c6WpoDFnTvM3fOHUBHQJcyl8oobJfR1DWz2QwHjAZD7m9vubu5ZT6fg1acnJ6SFzmb7ZbQF483XXzp69evJaa1E1gC79dPxhB1v39ZlkRRRBL3iKIYYzyyshLYlC9+Z0cn8GsFyKN1J4jsTAEGQ+AFoo/oduCtEry1ax22acSy5yJRxgO+UdSeZrPZUOTZwXrXemG315c/9phnPwjQHbzIti11I7v5qm2pi/ywNthrZDzjYVCyIkKGDvpg11NUlRU2h1IoJe+P55tOJ8AhHKksc3w/6kbFkdhg96uYQEA+ZWcpiuOYqgu/ads/xkJrrUl7KTgRYO5BVnv8+P6Z3Wt/5B2QKV8cx4d/fnx8zO3tbRfslcvKarejzHMB7WjxyC8W844EqiW8q9/HaCE0rtcbhsMxf/Znf34AIgmroeXy4pK7O4l3LouKfn/MdDji8ZOnvHz1iq+/+ZajyYQoCvGDgNeX71gtl9wEVzR50b2fjqIoiVUkmgHbYivLYDBgUc/pd/qUJJEYcMEml5ycjAiCieTZ24bFci7XsnMsFgspqDyflz98T/HFH6iqmroWCNWLFy84OT3FGMNoMqUoCtFWAP/T3/496+2GTbZFK81sOqWua05PTri7vRRSaiyxumFgaCp5buta0gZt27DZrMnzApQ5pHlWdcXt3R2ta7m7u6Ou68Nq1Bivm3a1KCUrtij2aduIcOezWq3xA49eP+1scRbjySXu+55oesIY12HAX7z4kNnxKUqpg4AwTRPqpmaVrVmv19zcXguArRNjGx1gVECRiVCY1uPs9Am/vXpFnmf0BwlRGCOZojWNq3mYLymyAq18nGtYb5Ykaczjx+cEgc92u6Gqqw5lvObrr7/m66+/5vuXL/nn/+xfMJlMef3mDd98/x1FvmPU7/P5z35GEPiAQxMwGU9J+32qumZ2eiy27zDFnTimkyG3N9cUxQ7alq+++pJf/vLP6PVS/s2/+Td8dvsxv/v9b1ksHvD8kF7f5/x8yOz4lMvLK9bbNfPFgtl0ynA45ORkxvX1FW/evOHly5d4HeI5ilIWiyX93gA/MLROmspsJ2jvk9MTHp09Ik16/PN//s9Aybma5yWXl1dosxdbG46PzwiCmLJbr/7JioH9AfLjYkBKRbmYneJgoyvKkvuHO5I0lV1hd/GpQ2HguoNLYENCXnUC/+G9rcs5wbB2dB+sa7HdCHovzHLd12abhtxaqtpSdlx0EUsdoX2f/nCEo2U0mYH2qRtLWZSsFnNsF4CShBHtYMhut6MX91AqIQii7gIP8DxJBQRN0winX3IBqkO3FcWRjBF/1BHuhS5t6w6irbqL7y07tG0QSgphvtuJ8LIsacucuq7YZhllVRP4PmVeMJlNicOQm+sr6qZEuZaq2qE7nGu23XSTGUOUpKT9fjd1kEu/1+tjOnW6c+6ANT6sL7Ti5uaa7XaL1iL0ca1EIVdFyfhowmKxkMyJuiJNUgYffsi3334r+eC1XBxPnz8n9APm9/csFwsZM7oaXRSMhgNGoyG7XSYTko5ylxc5YRgw7PWkUHSqU7TL57YHPO13jWVZCr3Sl8KpbYXnIB21ROb6nofxPGzT4Ezb7VwFYFUVJbtsR9oVbJ6Szmvvc9/jfT1Pxup7ZfxBG9K9C421OOWoO/Ff4HsYJSFDtrXiALAttpGL0GiF72nCQGx0ZVWxyyT8RFZJqhPGyrRjn2feNHX3fQqQ2/cNYeiTZTvyXNLvtJOJidKqC5fpRvCBT13aw/O6L5aDICDfCeWtaRoeHh5IkuSAxs5zyR/ZUzO32y15Idz5yWRC0zRcX1/T1LXwJfKM3S5n2REkwygkjhOqqjqIFafTI7SRwr3IS+7v55yenvKTn/yUwWDE1dUVb169xvc9RqMRjx8/YTgckWU7VssNm2xH48Bpw3R2LGN515LlO27m9zRNw2w6xVOGq4tLkjRlMBiAUsznS0mjVDB/eOD+7o75/QPnp6dEYSQY4dHkPfP93QV/8Rf/C4bDEWEUsMuzQ3DTXhxtW5lkuW6tU5Y59/c3BGFAEMY8efqUxiniXp/ecEBZVQRxRNDZMDfrNcVwyEOHCBZy5A4/0JRljULj+1KwCQ7DorsGTHDqMu3QRuG0ItvtKIr8MMHYF9RRGHcQL4PWrkNsy0QrjDym/kT2106Q0ZvN5rAW3gu9Pd/ge8Ly6PV7xLFk0/i+0PwmkzGta8G4A+DqYX7ParUiSXrMZqdEYcJsGmMrS1u3/OF3X/DF77+kLLeEUYQxcj8EQUCShpR1jKcVyoneKggMv/vdP3J1dUG/3+ft29ccTSciOEUcU01j+eKL3/PVV1/x8599zn/1r/41nx3/TFaxdU3Sl4lnVRYofNFXWUkkrOuaerdjtVhRVzVVLrbd1VKenbv7G371d3/LZDLB2prJ0Zj/1f/yf8188cD17RVRKMXwZpPRHw7YFQWL+znfffstURzideLYyWTCbrfj7u6OKIo5Pp7x/PlzojDBCwy7UmzDdV1zf3/PZDJhMhoTaI+ylAK6KCru7x/wvYhHj58iYWIT+v0eadqjqv7ExcCPO4n9hY0C1dnY6k5c5BnJS6/qCr8WwEXTQBiK+KzdW7uU/PZaa9EIWEkZdK24DQQV24n5AiMFxJ6/jkNrEfm5VjCyVVWJArtjvkRJT6yQRcn3L1+RJHEXDLTqVLYyodhuNiwe7nBtzXg042hyQhiEVGXBYNgniXs45CVvjBzorQXddTb7S31/MRhnsB3K9f+bo/Dej34oqlA0bUtbVWhjOpCTgVrjByFJOhDx5C4jL0pZqXQ8Bc/zKIsMo1qi2EMbAcbkucB+0IqTs3NsE+H54sjY7YoOXkIn5lQHh8g+LGmzWVMXO1zbopVjfneHw3UOAMvN3S1RmjA5OmIyGhGkPYq8YDKZkO0ysUkNh+S7HdPHE6qi4OH+QR7OKCaKIi4v35FtVl2S2fbgINiPpo0x5FVBkQvOeTY7obIrbKeKVkC/10NrzWq1YB8rqpQUpwdhn2fQnsFvnUTcKgnmsU4+n6bby66XSwACr5Pc79n3USQALQz73PF9d2yMEVGhUnjGil6FlsFwiG0qilwujLIsZK3leyIeqy1NXbNZrih8nzAKqauavAuwCcOIoMsJKMqCqqkPOhTdpS6GYYTxNK1rDuK+ly9/4Oc//1z0Nq1F0dn9OuJlFAa4JGa1Wh4O9/3kgo4LkmXZ+7VGd/H3+32qqjpc/M45gZ9k2eG52Ww2NE3D0WhI3jrub26wrWU8GkuK4W7H69s76rrs3sMldVOJ3c0Z/uIv/oLZ7AStDLssxzaOyeSoG5FKgXh29khYIxiGSlZyu7ygP1RdNHTEcrOk6OKVvU6wmMYJYRizWq3RWrFaL/F9j8l4xKhL5tus1myzjCiMWK1WbHfyrBdFJUFdtsXzDGdn5503X56D/fSs6Qo2bTRJEBxcUZ4xOKfZbHOU52Odw4Qx6+WG//1//9/z1//hr/jid7/n//4//A/0DzkVjqOjkbgAnKSwyuy0my55Hs5plAWlXMfVFK2S53k8enSOba1Y6rrMDMGXy8RDxN0Krb1DFynUTCW2Yy08gygKMGZwwFDLWslgbd3ZfDWLhUSyG8/D75wJYRjS6/dIBjHjyZi3b98RRSKSvrm9YrsRLPTTp0/58MWHNLbB05onj59R1e8j0JMkpj9IeVgsOX8sRcNuW3B/txCgzi7j5cs14/GI/qBHvy9gsz//8z/HNiW3tzc0jeXq6paXL79nm2357/63/weef/Ac7XkU+YZsI/wDrQKquEEbQ4vkuNw/3LNarthtMz7+6EOMCej1U3Atn3zyEa9eveL+vuHp06eiv2ks0+kxvX6f169fs9kI8yBJEna5rGI/++wzPN9wefmOd+/ecX5+zpMnT3j37h1xHDMcjimKEt8LscrSFtJg9Ad9zs/PyfOc+5tbjNJk2y2vX79lNJowm54ynhxR1Q1HkyOOT066Rsuh9Z/YWrjv1mUE73WHtgNPY3wP04gVTKyoCu00RbGjbTvBkqcJwwhl6FIADVoZlPZwztAqjW4ttoMKSVRsR4hTcvmiJXamW68KYMi1GKOxznV+Z4XGHDQHexHYYrEUa1DgMYyGTMZj6qJgvVpRlRVxErHdrFktNsRxwng0YTIZiSCrqQ8rDJyW/6YW5G3btocwmDRNZZLB++nJ/rOytjkAW/af5/6v8zw/VH8oML5PCBSrNXXT4PkBQdBglSiMF8slHhCGAb6vaKodEmpTEQU+dOPcxWJOfzBEe4Ywien1euR5xWq14cmTJzKCDQOaRgqafUZCFEXMpjO2mw1VWdDv91kthQAZhSGBChhNJoxGo87zqg5xt1ESU1aSkrdYLlHAk0ePyXc7bq5uiPygG/8nlPkWrX222y3L5bLj54uidL/G2GUCp9lsMnQQYoEwtPKcKcVkMmE2m+Jcy8uXL1GdHaptuwmS1rTdhEkpuR79IKQ/6kv31I3Smy5xz9N7+yuHZ91aS1lIsbsvWIwRzUYchswf5uS7nMEoEMpdHLPLGtIugCcK/G5apETD0rr3/vtOlyDFTXoYxWstPnbPajz04dcbs2c85N0uX7EPY7q6uuL5sw/pD1O2hQQC7YFJq9UKNRoSB97he9g7foq8IM8LnJPvOU1TuRC328MqZzqdcnl5yXq9pigKprPpoQHYZxj0ej3evHnNzdU7fN/n9PSEyWTC7e0tl5cXHE2Pmc2OukmL7nb3HFZBr1+/ZTFfEgRyYaVJjNaK58+f0+/3ub29oa5td4G03M/nRHFKvz/kN//4j0wmY5TXkqSpWCKDEJsLmKUoS5QyFMUOhbzDu7wg8iXgbDQa8XB/z/F0Rpbn1FWNNh6+F5DtMn71n/6O5x88ZzyRpL/BYCATMzqwVePw/fcsFWM0xohDYpt9zee//CWz02NW8znFzS1Hsymb9ZrPfvZTfvePv+Xu7o6Tz2bk24zlZomjwffls9VanDFet/LbB1YZDFEUULeOrChJ0pjZbMrZo3M22w2D4YA8E7vvfrq1BzxJUbmfckrKq9YeSr3njeynRp4nADWZbr2ndqIgz3dUdX1gUxRlTl3XJGnC42ePGU9GaG1YLpfc30OSJPSTPlVZ8nB7TbHbMD2acnI8oam2HTsmAFoJLKNlOp2KFqduqUsLTsSsQeCz2+X88MMPHJ/MGI2GzGYnTKdTwkAzmYx59+4C5+Ds9JT5fMH/89/9P/jggxf8F//lf0EQeNzf3rHbZWS7+nCRB1FEUcqa7Pz8nCgM6aVx9zMA3zM0Tc3Z2Un3vvQIAmFULJZLEt/no08+5fT8UUd3FZz/7YX8Xv1ByunpKaenJwcU9b/+1/8aiYPfcntzS9rrc3QyRXua+fyhm0bG9IcD0QA0lpPjYz766BOqymK0RxBEbDMpPsajI5K4x3a7/RFg7U9ZDPxIM6CVhI9oDH4QA0YU4c7hnJULyTa4thE/b90puHWExuvEVmLpc9h9zPz+NztMHvYecKUUEi3Sibyso3ENtm7FuqdlV2yUOBRQmqqSIKSmSxdsraWtxVdtm4aT42OeDEcslwvqpiVupXMuavmzthVaQRQFojq3ew86FHVHVotTlssF33/3PcPhgKPpEUkcy4i3s7o5o6krDtn0+7CgplOV99KEqm46LLLGNS2ek8umdY4gjgiTmO1yQewcpc1ZZRuSOGQ2m7Cat5RlTtNaaivdifE8tOdRlTu2G0de5jIxiVOSXsKuLEnjhDQasNvJbnzeWBRKkLh1Q1PbLnynYng0YqLHJHGMHwT0+n3CMKKpG9bLNfcPtxij8AKPuJewXq9lQtDrsdluOTs7Z7FY8PLVDwRhSL8/INtsyLItadojiiKybEtRFFR1xZvXb4jDCI2I1oq6JolCiqqmbFrpRPxIDgbjUdcSD73bZSI29TyGgzF1VVLtCplyaJlMaa1o2grPVwQocB7ahPT7fVwrliltFEkcEUURtm24u53j+7oDKonCOwoNceJx7E+4uqxxTcPp7JQkDim3C5IoRBtNVRY4p9jlO0zn0W+1A6PRyhNrndIEgWRBFEVJ3dSgWkKjadG4thZegfFoGkdVN5AXGD9kMBhgrehrltsFx2dHlNUOFASBIKSrMme9tKy6bna/8rNWBJd+5OMbn4Hps15tKHa5fO7llvXqgfnDLZv1FlBUVcPV1RVBGPLixQeCiW1bvv32O7764vc8fSxWs/F4TJZlXN/cECcx5+dn9Hpp54PvYYys0tbrLd988y13d/eHBEejNUGXcX91dcmbNxW9Xo/j4xkoja80zf0tm2zN1e0N1jUYz2CdkESN8qiblto6atuiylLyCPo9TFXieZper4etKsqipG4lTdJpGI5G+KFMLfv9Pl99/TXGaC6u3vHty4zxZEQYpexKAZ+1WtYVQSBkvdaVeL4iigOGwwH9/gzfeERBQpXfcHo0o8wrjO9xenbOk6fPefvyFc+fZDx79pTeMmWbbdntCtIkxOyTUzWkg4S6lmlQ4PvUtqUoKwIvoJf26SU9bOO4eHtB27SEUUeJ7Apb7QmZ07YOVze4jgOhdAd8w0k+BzL9SnuJdLaZiAuzbIdzwvbYEyk942GUCF+3VYVWmo21LOcrTk9PmR5JeBBK/t3p0QSAu7s7RsMhT589pm4a6mrH7e3NYfKGE6qkrEL0IfrZtTVOORpbgGooq5zlcsGvf/1rfvrTnwoNl4Y8z5nfz5nP55Td1359P+fXv/k1337/NZ989DHD4aCraxxv37zid7//PaPxmH6/z6NHj9DHMwLfsMt35B25dbve8OFHLyR90lq2my3Xb6+Zz+d4vsdwKMRc1TrauptaO3j89Ak319eMx2OGwz6eZyiKktVqw8XlHb1ej80m48nTD6ibhsXDkrSfEPkxjW3opzKhmx7NMAjXxzlxKxV5hQMeTaYinEdsq/3hEN/7E08G9F4n0HndoaOveYYwTDDKw7UNygknH8QW1TaWoi3Et9y2KMRf75TC8wy+1qIFaGyH22wOe7j/XKOglBDMpNJpZQLQ7U5tY/ECXzzatlMl4jo08vs8BL/bx192eMyzszPCIMLXiiBMePx0cIB/lHVJFHhdddV2B5isBLxu5BclKWPAaCX7JKPpJyl+GBwmJVUto0ZaiahVnkdTC2mvDUO0J4o3pyRe11nwlEH5AZESpbrSiihJusAnD99X5NmW5Xol6wWgbhqskxx42Zs74jgEoyQgpqlYLivKsmaqjYjnZkd4xiMKI0mYVIowCLFFyWw6Y7645+Eho6xy+oMeYTLGGI/dLsdaR6/X5/gsRXmKJI149+4deafAT5OEV69fMxmPGU/GfPzxx0R+yPPnz8E5vvnqa8oyx1pZDWw2G4qyRHuGKAgFLOIcyniE/R7bosQhL5mvNNB0FEeEgxCGFEWBb2QF0jYtWFkjoUQApZTkXoiYrsFoCctJkhTf9wCPNEk6K6B041EUk/fiQy5GGMpB6HlQ1jnjoaCui12BUY5iu8ZXjjiQvIUM2xWqYit0FrwkPHRfxkj3FQZitTIK6lofqJ1UjtbWgi9umg7AZXAYttmOsm4Oupw3717z7NkTQl9WdNoo4fDnO3bbDc45jo6OuqJWvg+lFC2iWI4jyQXwjGQiBL48a9l2Ix2p7bDdClqv4fWr15SFJFUu5nNOTk9Iez3miwXzTlfyr/7VvyLPc7777nuqqupCzpLDmP3u7oG7u1ucE5Ld0dGI5WJJY2uybMtutyXLdqRpSn/QJ037DDrk8C7PWa7WOBQPi7mIgbdbQNPYFmdM5+KR4ssqh/I0rYJ1tqHuyJiVlYCqXVGQpho/Em/5OBwzGAkZ0NQGE+guidHQOoNTDj/U6Lqm7aioWrtuv99SFDmtnTM7PuW7b77HBD6uadnuNoRJQBL3+O/+N/87/m//l/8r1ze3fPzxh/SPBtzd3vLu3VvmizWe7sb3nqZxNUEQYXyvswQ7lJbCRaMl0yTLuuwWOaf2GSTGkxQ4ORrVoeESFsU+CryjaGo5P21rcR0DBBcQx3TntDQOCiW+/25tFkddNkESQ2sp8pw07XfFqjzvZVVijOCUoySmtg3b7YYw9On3U7JsR1XVrFZr6sqSxJInE3iw2ay7d8+wzdZdRLgSxoIf8rd/85/44eUPfPrJC7JMHBFlXZMXkiWDdpR1ya/+7le8/P57dpstH3/8MU+ef0DTNCRxxJNH5+JywXFzfU1eFjKtdi2TyYh02GO1XoNtWa1WXF1d43mGH179wFdffkkQGObzJVVZY4y4dD7//Becnp1wenLK/cM9F5fXHB0dsct2BEHIN9+8JE1TyaFoYTKZSjFixBFwfX1F20Aa9ximQwETbTPevHmLVpIQ2bYO43mH9FeUOOyOptM/bTHwY9LegSbIe/CJDhRto6UY0FYEf52WoKxKVsslY62xXoQ2Gu15UomqvVPBHhTLezviHlpk2/cI0P3f2+NNDWLtUt33T5dMcBg0dMWL6bC7PxbDrFYrjDHMpjMGg3EXBmKJopDBoM/NzQ3bzUpQrEbWFGiJLvY8XxIAtcbX4vXOsy7QBVF9yxhYBGfbbUbUjZL2uoGoy+YWkaF8b00lOGDfGKqyOYxubUep830PhcM3fZqqYrFYYnDgLEEQUtctPx6zGK0JwgCnDU1V0jphC6xXC/qpjMq9DkCTJAmXl5cEfkDcfa04SR5brRddbneDZwKM0QwGw4NXvtfrc3V1hWcCFBYdGnzfI8saXr16JcTASlwIr1+/ZrPeUNYVu1x2hNbJodPr94iShLZj+8tlqSm6w4fOhqq7Q2gwHOFcw3K1BjoGgPEO0ysvDGmqGucskR+RJhHL1aK7iIIuwEYO0ziWy7htZSSnlGOzXbPn7Mve+P3z1zQNfuhze3t70F60bUscRSglor1emmJt3an/PaIwFJuaLyNOpSCKIu7uxGoXBIFkWoRhp3QGNlLsNK0jCGO0kbwJlIfTYs8s8wJPaTb1ih++f8knH38Mqn1PS+wKrv24eNmtffaphFVVUZQFrW3l97Xi6JDEZt2tBTVFkRGFMdrIsyhI3VdcX18i+NmIxWJBFEX84he/YDwec3t7y69//WvKsjpkGPzwww8sFvJz2KOPj4+PO6b9iH6/x3K+QCndTa5yQCZgi+WKJ8bj/OyMvCjo94dcXF6xWa+ZTmeHddxerBtFEWWZU1Vihd0ryKuqPPAsFAqjNRcXF8RxTK8nkCJ4n+wICAyoqqnqmrTXx6mWKPbJs4zdZkvrwBgfpVqZBu127GiEkJgO+fjpJ1Rt0/1MWrT2OD4+4S//6/+av/73/zObbEdLTeNaRpMJdV2AbakrmZgJVTLo1p8iINwTYcMoIgx9lksRbu4FsHut1/7zkOyUAN8XMR7K4RANhGubDmglcd513bDdZvjmfXqlc6pbOUihsUfE71cK1gqKvqxE89IfjpkczfizX/4ZN9fXLB7E4bBaLqnqmiKXhsD3DGEQdO+jrDYG/RG9forWHKbLk+m4I3VaVssNDw8LEciGAWm/x5t3b6mbkk8+/USmREFIvd3hUNR1i++HXXKqFFRff/0Nv/nt7+j3+5ycnPDs2TM2m40IALOM3kNfCuU4ZD4a8PjxORfbjDCQiPDz80es12tevPiIzz//Bb/++1/x9OkHZNuMqmrYbLb8wz/+hupXls8++4yPP/4YhcfFu2sWiwXOtZyenh6Q369fv6aua+IkZjIZ4/s+vd7gfXOsNQ/zOYu52AirsuHFC0/EiIUENe0pnG0rBcv0+eP/n3f8P7kY2JPMfvxnYAzaD2R/rg0YD1rpqKwSy5fs0aAsJRe6l/SwTnbjyklnv12vO+b6+z37H4UbdcXHXqQHYlNsbYvyxHZl24aqklGaZ/Z2EYmt3b/UQvcTpb/v+4dEvMVygVKG4VCYAqAZDgcEgYSlFEUh+2xjQClhCah2PyDB8z2pcqOQxWJBUeQkqainXfcS9no9NOqwp90LDJ1rZR+nJNkrMD5tC70w6j5Hh1MtzvqMx2NUaymLLThL5Pvc4diul9jGkvZSbAtlVR7Ggrs8x/gG30BR1Kw2O0ajCZXv4w8HRGFIFEU0TcPx8TGTyYTVaoWvFL1eynA0YrdbE0U+w9GAs7PH9HsDbm9v2W4z+r0B1jp8P+LR+RPmiwfoaJJ5kTGZSLphvsv581/+km+/+prdNmO5XBJGEbs8o64tptXC3rZWLtfWgXUobXDG0CiF8gKiMBF3QKe0b5umS5eT4k0h9j3P7/ajRon9takOe/LhcERViTAxTc3heWhbR1WJWj9NU8Dh+yFhGLBabf4olGrPsV+ulyRJwsPdkqa2pElEEss7MZ0edUreVmxZRorRzVYiTv3AxzaW+eIe29Y01pEGCYF6n+RprSUKPGwUdJkT8l4p6IROYjXcZTuKPMcYj/u7Oz588YJdvsXzTJcTAUmnYagqccKI80P0MHVV8e7tOwI/YDI64sXz59zfP/D6zQ8o5br3T7ztWitG/TFxHKGNfK2z6YzRaEQvTdisV4f3+O3bt/zDP/wDvV6Pzz//hYxu53Mmkwme57FYLDqBXsPDwz1aH3N9fX2gUVZlSVGWhFFA3qnjwzDmzatXpP0hT54+4emTp2w3EsqyXq8PKOI0FQ2GYInlYk6SiLqRMyEIArTnKPICpRVJKjHTbduy2WxIUwFkFUVxcF+4rlAMggS0h/YUYex1tEePxjU0TUXo+YDBNRqFxw+vfuBoesr5k8eYQMK9atsQBiFRkPDo6TP+4l/8CxosUZygAkN/2CdN4kOCoNusD/wS35dYb98LRExtDHEScnV1yXz+QF2Xh+fn4BJSqisGffwolnNcy1SzdV3BaC3Obw+/T9u2YvNrHRbbTave3wmCkLaHv/Z9v9O9SMO13W7YbDPQiqknYlqjFNr3ePf2zcHt4PsBii52nLqbagjfIgxD4kQKuiAKKPIM6yyqagijEIdjejxDK4+6EbrkNtvyV3/9H3HAcDDAti1RKHCy4WDIcDDAKN1pBsRllec5f/jDH7i6uuL8/Jx/+S//JR9+9DFpL2W5XHJ7ew3OcXlxeZjwXV5c8fjJY3ppnyAIWS6XaOXR2paf/eznWGu5ubkBFE2tyfOC3/7295yfn+N5HqPRhF5PLv3JZMLDwwO9Xg+lRAN0e3PD6empWGOtrEcvr67YZluM9ugNRGC+2WUykUVzfX3N69evyfOc7XbL8fEx//K/+Td/umJARFPvufH77tZKX4pScqmhNc55QjRzClxniao1ZZlzd3PNeDJF1RWtbYhSQV5aa4nCCGvbH1kLu8RDpQ57pB+zDmAf+CKCHQcYTzpJ17aCpO1+Tdu2NFbQnnEsL0Jd1wfB1mVxwd39DU8eP+H45EQOSeR7apz8EPagFsHPSpCS61S+nvFIU4GmzB/m9AcD/CAQYaNSBL5/oDfuC529krtuaoIoZjDoU5cNu/WWphu3KU+zzbcUZYG2ltjzCcOY7WZJWZTdZRWxyDKMaUQsVRSHSnk8HqE1ONsQehpXl7x++T2D4Rhfa8q8PHjLe72eCMHSHm1dc3NzTbbdsst39PuJVP2N5e7ujiAIAc1oNJHPRCmKIu/23SV5nokVrfs+37x+LQyBRnbyURSRbbfEScLp2Sk3t9cSCNSNG3e7LZ4y+L6I3ZznYYAyLwi8gLqpSNMeu2yH0aI7MUrjumnN7Gh6iEldLZZyeHaEwMFgcJhupWmPo6NJJ/S0vH71mtbZLkVyQy/tcXJywmg45v7hvrOsyrPZ7/eZTCfY2rJabsGpgxD07PSUuhYm/GDQp6oL6rpkl+UMh1KELpfLQ9jTPg1TUg3bQ3GS5zs8DXHoYUxAbR1WQZEXrLKSFnkuje5Q2ljm8zl3d3ekvRiQkW4QeIe1Q1XJ/n0+nx8Kwfv5QydkFJ94EIT0en3+/Jd/wWw25f7+lrqpDhO8xWpFYy1N93Oo6hLjabTR4irJMt69e8f19XWnEehLt1iWtG3LbDbrRrGw2a5kZeOFgKVuKvLCYmu5VASzGxAE78WcVVkShhV1VbOcL0iSBGUMZbdP32cdyJkVSmJjFNEf9CkKsewWRUGZyWRMBQHb9UZQ0T9qPlarFXs8tbAqwPdDnINemnJ6fkaUSDe+eJh36No1QsVb4qzm+ZNzTnTIu8sbfv/73/H42VOsaiW0pyhR+KA9nr54wfcvv2EwGVPXBc5Kpz46mpD0Yhb392iliKKEtpUwON8LBL9rxCWTZVn38w7+6JyW6aRocpK0h9NipQt9nyCU9UFZligjREpjZALQNA27XS7C4SAgSTysdR1FM+qsyjHD4bDTuwiXQxJbxV1zd3fP199kvHn7Ds8YTqcTrq+uOTs9PZzncqa7joMg6w3XOubzOWEcMAyHlJVc2lGS0OLI8yUOOD455qc/+xlv37zj9ds3JEnCyfCcoizZbDZkeU4YBLRK4XkCaQuDiqePn/Lk/ImIPPMtD/MHacgCSYH9m7/5G/r9AZ98+qlMJ/MCrxbh6/3dnKqyHUWw4ezsTO6UsuHs7DGbzYq7u3uWyzm///3v+PnPP2fQn3J1JSLcLJNI581mw3R6xAcfPOezzz5jOp1yfy82zF22I4pi7u4eaBrLPvgpz0tQwpNQWlGUJeuNrER2mUSdz2Yzvv76a1arlfBf/gl//JOLgT1b+r1aVmAnntex7ztrl8EIvB0NGNqmROHQqsUoR7bZEHgh6UD8j8rIuJdDLLE9AGgOlj39nsCmlFD6jDEEQSBRsSgw8u/tpwrGaKGzdfvQpiMxGT/8o1XBPvtdRqWK719+R1mVnJ2dIcCekNGP+O6i7tVIqmBH0NMKz0hUsu953N/fMz6aiIe4+7V1XWO6sff+BZCRW8su35F1L2ka90jDBN352cVXLhW+rWsCrWnqmral86WL3aeuajbNRjQSrWgldlnGZrOll0aEvtguj6djoihmPJkSBt4hXGT/IpdlyXa7xe8+512eH6r95XLJ9dU9223WKZI9Pv1UOqXRcEIYJoRhQlHm3fMiKvbhcEgSxaKYns44PT3l66+/5vrmRvb3/nPCMKSxzaFLn0zGRH5EUdaopqE1ckDMpid8+slP+Nv/9CuaqkGhOmW+hP/sJy73nY01jALm8zm+bzDAfH7PYDDgow8/lotovSHf5YciVNwpMrEKAlnpXF5ecXp2wllwxsPDA6vViqZLV7StxWjTZawXLJdLWltx/uiYYivJccZoprMJSgXYRvQuV1dXBEHAo0dn3N3dE/geTSMH4h66JcFDJc7TeCZAmwC/hSwTbUJdVmDoYDJiHZUiQmAzxyc/7dTZoTAoOi1OURQyMjfmEDJV1hVNbbF1xs3NLZOhuEXErgXHJ6fc399wfX2FNnB2dkJjW5IkPryb2+2Gy4sLJqNRJ+bcddG7bWevlOJ7f5bEccyTJ48pyiOur68kOTDaayka/Dg+nAN7J1Nd13imIcsKYYtkO6rGMhqNqe7vcW2FbdvDOQAylby9vZXJjkEmGlpJ+lynwcjzHNs0NHXN8fExaRoBjouLi8OUYx/d7PsBVWkZ9IdMxhP80NDr9UmSHkfFhB9++J4ffniF70eYUKyXvX5MFMdUlYzuq7JEaYMxPnEkhEh0y2hyxPR4xtXVhZyFzmK0T38o3Wy2XlPXlu1WWA7Pn704BHltt9suTyDsniNpmPY/67IsJXRnPEH5IUqbzuUV0LYiYMZa9nPUMAzlMs0yjNaMhkOSJGG7lffFdGhjgN1udzgjBS29/5lpWmdZ3C/wgw2B70MtFMokSTrapVxunhG6qzF+V+y07HY5rXP0hwNqW1HXhsbWeE2N8ozwbeKEXZ5zNJvxNM95+/YtYZLw/IMP2O12XF5e0lgrqY+9Af1eX/DoTcPJ02ecnz+ibEqquuTu7u6wItjHfH/37Xe8+OADjNYsF0uybEtZ1fh+xHK5ZD5fcHFxwfHxMaPRiLpqiOOU1WrBP/7jP2Dbht/9/h8pC/l+wjCUBmM8YDob0+tJwuc333zD06dP+f777ymKgqaxPH36DGvFKQXw5MkTojggHSZk2x13D/dsNzv2+RVNJZ//cDgkCIJOlLj50xYDBwHfjzrzOI5x2qeqZZ8JdPtqh9Ldg2BlnGtMgFZCrdpu1zjVkiQprsuoD30f6ySdy7UtqjvcPM+TaUN3ebbOof0A1xUMqgN/OGSXogDlNL4X4hmJeKybFivWBBnxt5JHj5OR8p5aJ779ku+/f0kUxdJBakPbdTTStXUQodahjBEbmhM7WhjFpL0eV5eXjMZjTs9O8ZTC1xrtC3Nd3h0R5ASB32EmI7J8x2a1otwVBMZDtRBGvgiUPE9GR7ahKgqauqapG1mfoDB+wGR2gu7+uze3N2yzjLp2rBYPqHaADQMG/SGhb6D1qHY571Zv0EHIVQt5XnJydsb5+Sln52ccTyYYo7m+viTPK3Fl2Ia6tiRxKgeJ75MXOX4QMJ/f0dQNzkonbgxiCxpP6fdHZJsNb16/5vUPr6jrmtvbG6oqJ4xCVuslslpoOzSwEsxsK7jZMIpQnqG1ivVyyS7bMBr0uLy4oj8Y0NqaIt9SdZdNFEW0Tc0227JeiaC1Va5zMaQsF0v+6q/+irpLuNtfGl6XCFgUOaPxSEBUSUS2K7i5vqOXpoexbC+VXXNVlygUYRCh04h5KQluf/3X/xFcw3gyZjTsg5MJVxBEFLuS49kpp2dnbDcbxiMpHFeLFTiZHoVpLOI+XPeSW4IIPCVhMb7xSEOf0rYYLAZF4MnOXpw0Jb1e2imcA1mj1SKQ2h/gQYc3Lauym26JyLWpa37/+z8wm82I41Qiu2PpgObzRZfTXhGFMVEYUVcVu3wn3Xrgs1mvwYkC3BhoGkGWbzYZ8/n8AEKJIrG7pr2YpqlZLpdcXl6y2Wz46KOPOD055fLikqurK46OjjrsrCWKpfhZruYorXj9+h1BGPP8xQs26zVRFJH2e9R1dWBOeMZQFgVNU1HGEVEcyorM82jqGmMUvX5CHEYcTY7wA8N8Pme1WnF0dES/30eIkxFN41islpjA5/jsmDgd4GopjJRqub27J4oSPC/A1oJqjuKSQT+lspbFakGYhpimZj5fUBQleVHQYjmaHQkLwAsIvICmkq97vVxjFASej/ECohjKyrJar+n1eoCcm8IM8X+UFivFuhRH7x0AynTeLCeBUM45tJKzVnWfmUPhByGjIMQ2NU3TyvLVQV1blGpQiG26qvTBZup5Hkr71JWlsVa0Up5HXZX0hwPCKOwyLcDXhiBMaF2L352J8P6eSZIIraAqyu7rD2isw/NCkriPswbbOuKkR68/wAtCiqpCGUVRlzitSAd9WYE4hTIe46MBgS9Tzm1Z8OzZE7zAY7VaknYx0vOHB5bLJV7XvF1fX1A3Ypl88vQJr1+94eHujtV6Q7YVO992kxGFQSeALHjx4gM+fPExl1dvaeqG6XR8sGOHYfh+2t5ZKV/98D1ffvkH4TFcX7Pd5nz+s1/QG/QP9Mv1esNoMmJ8NGSzEReOQvHJx5+IkDy0JEkqoLA45Isv/9Dhzf/ExcBeQLIHrgSBj1MeZVlRVdKBOJGhYoyI2rSnoZUHD2MIlaGqChmPKfn7nmc71nWNsw20Lc5q2kaU96p7MJ1SOCsXrzMeTZc/r4w5OBxsY3HaQymJqtUonLY0tajOlZKKVjt5cNGiO1AKnNYEUUy2yfjdb7/gF59/TtpPub6+pixLjo+PDxGuru1y7JVAbhorcbZhKBTGm+trjmczvCAU0Vun+O+qgcNnGQSB5MjvRNW8a7dsNhvhs3/4nLaj17VWrJq2I5zFUUDgTyjLHOcs50+esny4YTIasN4syXMhMBol6u+qqCn9BmsrlvMVoETESUsc9XGhZrVco7Smqmv+8de/Jt/t6PcHlGXBai1irtlsyieffMpoNOIf/uEfSHsJvX7CzcUltpaDocgy2alHPkmUEocJ29WGJE4othm2qRkO+gSFTB/Wy8VB9OVpA9qnbCy7MkcrTS8W1LNyjt12w6/+9m8EFRp5ZJuF7LRtg6ecsOI7jcR40PsROU0d/NbPn48PIremadhsNofnu2pqnIK8KPnu+5c8e/aM8WiCsw1p0idNUm5vbgn9gF4vZbORSdZyOWezWTMcDqU7xxJ4Rih4nqauWhQtSZTQNprJ5Ig0GVDkFaNRglKaNEoO6v48z8myDM8YWu2z3G5ROgCcZDNUNb2kwSsrUGJN9LQjDn3yUlZwdS3oYeN5aLxOUAZxHMrOPPSxbU0cBZS7EuUkmbPMC4zxefXqNWXREMcxT5895uHhhm22IklCptOY1XKN1jCZTAgDH9+TaVyrZSVYV+VhtSAhN/ZAupS46hWe/wjbSte6XotSfJ/zfnlxxcXFJbvdjtVqjbWt6HyMQvuQ9mOKYiedWlmznM8Z9FLCSAoUP45kcmMMSZyQ73Yo58i2WxSOOAkxSrQQvifZGotdxnLxQFkJf2LUTTk2m41YEVuorMUEHm8v3jIcD/lAf0CvnzIcDthuN/zi81+ilebtu7fcXt8CDmsr8kqCw3a7Dbd3orPIsjV+ICLfTVYAibiOqhajFc4qoiAiDiLpVBvxrVsU/dEIPwgwvkddirXSNTKV9f1QNFuB1wlaBfO+F8623VnUWktlq05cqFA6QCloEYJglCYYbbi/vaUqN2yzgjCMiDsLo21rlJWLXWuJBTee6gowcU3RthyNRoB49L0gYDoY0TSSYlsUFQbw1N4Pvwe0tXgerJYLNps1J6dnxEmKUk7EsVajnU8QBQRBRBBFxL0eZ48eY23Nei15OoNBn81mCw6KusUELa1uWW/XvHz3mq9efcu//Bf/gkfn5zLxK0p6vT6npwVxGGCUuCo2WUZRlSxXS8I4pN/v8eqHd3z6yWfEccJg2KOsCybTGb5nOJpM+OTjj7FNw+XFO7Z53jVUNY8ePUIpJZTH9Yrvv/uKOI55/uwR2+2W0+MjXv1wIYFIxuOjjz8h7Ci1TjnKIieNe4yHY3a7Hd9++y0PDw94nqzp8rzg/v6Oqi7+aBXzJykGJKSHDg2ru/Gfww89YZ93L49ASiSeVRsPzzlapTrLYUNrLZ4fghIQTLbPS9eaIEwxnqHIxcpRVSXa03g6Et7PXnXv+9jW0ZT1AWBEJ3JyrSj+ZQQv1GOzh8V0kJf9xbMf2e8nHs45PC27/yIv+e0//hYM4vHs97m/v+fx48fYptMotO+1DfuCaTgccnR0xHw+PxDa9uNNbYxoD+BHKlzxrO9Z7sNBH1zLarVg/tBnMOijlRPxV12zKgrKQrC1+ynDbpdRd6IloYTJ/vvx48ecnz9il2Vk24z5/EGiV3cSNx2nKaPelOn0COcMVWMpqpzLi7dcv3snaNZBD200g8GAn/zkU158+AnOOb788svOVnPFRx9+yKg/JO4POvyoXGRNIyrq4VB2dqI2NjSNJooConjMdrslyzKiKKLX61EUJSbYq5RlKhBFMo5Tbk9uFAHdXuQk1DR92I/6vk+aCo1xPyLdg3ZEfOUfnlnP8+j3+0JgA8IkJqrrA43v3bt3GGM47cBG1jaMx+MD/Kdt9zkKIUoNGI/HMgr3PbLt5vB7P9zf0e8nGKWpa9nt7tcyWmuGwyGNFSfNPs9i71wgkI4v3+UoY8Q/3zTEcYLnB+RFISNewPd8rIMsy8A5ev2+6F72Qi39niQqDoqYk5MTLt9eUpYVQehjPMP84YGyrHh0/pSiKHj58nvW6wW9fsJk8og4TlDKkOeZ2MI63U8QhGjVduCnlqqsqJuKprHkeXPATgMHj3pd15ydnbNerxmPx3ieL7ap12+wjWU2m5FlGVdXV5Rlied7AnyKEzCGNI1pmlamNJW860EYSEZEVVG6Es/3GY5HXQHUoBAeyq7IaZuGZq//MRpbSye1dyQUhWCe5WcTYp38bE5OTgC4uLjgs88+oyxzLt9dHTq/NE7x/YA4jHFIl2v8kHWWsV2vmI4nTIYjfD8gSVIAoq552GUZdVUyPZKCvyqKzr0SHiid+6+vbhpZicURfvs+VRYlmOTWQRgEjJMU43kkSULdtJRViee9n4xprWRX7xzWNtSuxfOkOAg75sduV9A0maxlunwO02mJWiuCcZw+aBokByViNBphjGGxWLCra6rNBs/ziSIhFratTLf2Wu/9ubyHvVkrcLckLYjitCOw+jRG9CO3t7dYpCEbj0edxkPSaAUbLWAup3yxtUcBfTMkKzJuHu75f/2P/yNPHj3is5/8hKPxGD/wubm+Ijw+xg88/DCSXJNM4wcF/YFhMj7m5PQp/x/W/rPHkiRL0wQfEVF+uTE3p+HBsjIrp0h39WzvYHuwO9/67zb6B+xgUUCjd6crp6oyK0lQp8YvV64qsh+OqJpHL7AVDaQVAlHp4e52TYnIkXPe93mfPn3J+fkFTVOy32958+4Nh+ORY55zdnpC17XUbctXv/gFkvMxuDwUKEOaJMynArHa7/dYa1mtTjkeG+rG8vzlSybTqYzLPSr5T3/6E8vlksViAcDbt2+9i0DGY+/evRtFtMNY7l/7+h/KJhgsSCCqXZRi5jGt8/kca+0463BWQA7aeOSl+PrQ2viLIS9f07bCxY4T6kaoaiYY4B2Ktm0wQYQyEsSB9TQ5LV5rWTQHROfjOCOKYnprqdp6FKWhFG3/mDj2KY5Va6mclVf8Gx3ISbTMyY8lu/WG5WrFYjoTdnYQYALz+OIhmOAkScbC4ccffxzDXLQxxFqj8RZNH2YURxFBoLm5uUFr+OLz11hr+f6H74nCgCSOKPKjhOHgSJOIrpCuiQSQKGbzGWVZ4KyiLEU4dXp6TtN0coKczqirhiiSVLc4Djx0JWU5F9BOGEoi5GSSsDpd8OziCddXV1RVQdM0zBdT7u/vub178BbGdswuOBwO9E3HJE3FrmQMq9WKLBNBaNt2CIkyYjJJqaqjL6LsqFdYr9d+81P0XcdiueJwOLBcLnFOWO1YyD3zYRBzJknsKV+Pc900TUcHyFCIDbqH2WxGVVXs92IZHAqHuq7l2e4fKYOD6+MPf/gDP34XsJjP+Zu/+SuSJKFta6qq4vz8nN1uy3q9ZjqdslgsJCs+TUniyMORDLPZlK5taFQ7KtxXqxVxHLPb7SQYCEudV+M4qixFPd+UJYExrE5PUVpzyEvPvhAni260dM+slfluGNJ2LUefalY1osi3fU8YSYbDwJ7vugZtNGcX5/z45g2uB1flRJnoei6fXvDw8EBR5KP3++5ujVLyrE8mKU1Tj4eAMIj8KVMEeNaJk2I2m5FljjwvZDRR18znc+kmVo7jIed4KOg70a4cDkeyNBlb2PP5nO1264FQhqIoSdOS2WzBy5fP2e8P9H1L10nOg1yDeiz0m16U8bPFFGNSijL3YmAPNfPapNCIgl0raZdXlZyshsI+Ts04KizLku+//544jnn69JKHe8HXZpOM4+HIerNGocjLgsunTymrmjw/CGEzDDlsd8xmM5IoQllHXZS0dU2TpRR5ju17siRFO8fZcsV2v6P2o604jlkuBVm8321RyFjWeGvfZDJhsVhyzHOBmZkA40eVVd1Q1c2o1h+stcN9GwTBWktE75j94ZyHgxUiSnOK1XIpmOvIed1CTpqmzJMZQWh891PEqPI+tfRlAcrzZtxjeFuAQm7Hp+uy6LMGYeR2u+UiTr0YthfM8lSKrpubGy6fPvXZCgVaG8JQOrXL5QrpTkfUbcPheKBpKnQUkugM1/V8+PCBrut4+ew5WinKsuK//cM/0DQ1J6cnBGFIlMTkZcnVx2twmtl0xVezGWEc8813f+J+fYdVYJXir/72b9lt1/zLb3/H9fUVeS2jm7PT0/HdnGQZYWBIJxMWJyec+tTaP/7xj3y4uubFy9c4DTqUjkoYR7ijkBwH8ufZ2RnGGF6+fIHW4ppJUxEPD7/vz1oMDAvrI4pYFuYkmRJ6awt4T3zfy0weIQJK+0j7bkGEtUc/z+vpraOsKkxgCLRmv999cmrvsNZInkHnPLXQEAYhOgiwStIJH79kAXKIoKVuG3RgRieAdpaAcFTX/oQxP9i5nJJCxkrSXhxFZElKccyFmHc8StyutXJKGf5ufzJNkoT5fM58Pme/33/iW54SGIPR0la+ublhuVyyWi35+HArn77vubu9YTqdMp9OCSIDVjYDZ3uMUVRVITPb1qKUE9GdEwV4V9VjZ0D4D3LC0tp4+5Fwx7NMsJlFAWHwnGmW0vZi1Tzsd9zdfiQOY+7v7wHpQBwORxEaOTUmNS6XS/FgJwnnZ2cUxxxjJOJ0sZizWM7Y7/b01lIWOU1TkWYJ8TGi61v6Tq7/8MDudjuZ9mjRDQjDfu9VzHKqrsqCMAx8V6VFa/F1z2aiVh8K18FiBowi0UdglHhynXNjINHFxQU3Nzc0vlgcOgxDB0fZnvv7e/7+7/+e+XzGZ69fslgsqKrqJ2LXQYU+dLI2mw1pErFcLDgedgzwq8PhwHa75eXLlwwo6OV8irNW0v+ahs1mQxRFTNKMSnn6Gozuj91uP6JgRTsibIseaHvLmx/fsPq3K/mZjaFn6EiJta5pau/GcVxcXnAsjlx9vCYMxb7X247e9nz55RfsdjvqWjbG05Nzj+/wOiEeR19d14rFGDcWWs5JENduv6Msy1FIWJYl33zzDWEY+Y5Kz83N7fg2D6PH4Z4NvAfUI/Nkt9twdnZBkga0vfKku4HiPyTtaYIsE8yuk+K0tT04oZcaFXptgQbnOOz22E7ixYe1LwgCrD8MtZ5EGoYhHz9KuuB/+//8N1qfypcf8jGrIYxCqrZiu98CArCSYk9R5wW/+PIrwSdXJcpzLLq24/LJJX/8/b+w3WyIgoCrj1d0tsN6e+DKU/IEh5txc3PF0Yv4kiSh8Kp+E4SkaTY+y8aPVCdBTJZmftV0j53K3o2ESrFkOwEpOScC5siyXm8oy4r5bOnD6QQUV1U1RSGhYlXV0Octu90Oay1vfnwrbqUkprYdWTb183k7ineFzfCpu2DgpUgR0vYW20jHThvDfL7g1fOX6DAQ/HQm78Vhf0ChmWQStFVXQtM0JiCIUhKXEAWGuqmp6piubWnLkvKYc3d7S1OUXD55wm634+7+nuPhyJ++/Q6nYLlaEcWxOGnanqubDS9efkHbO6bLFY3tefriGbvtBhMn/PD2HToMePLsGVfXN8znc45FidaBjHIctE1HVZXS3eh7j7nWBEnMdDFnuphh4ohjUfCnf/pHAqW48HTPwc65Xq/Z7w/C4dhsqKpq7MINXc9/7etnFwPDwx/H8TgykHz1nibPxxs3zmhN4E//oLScyjs/vwxDwez2Fr9RS7KbcZbFYj4qnqUtK5xsEwwnGkZqVqgCXCihIF3XI8mAjBjjOIpQgQEvMhxohKP9ZWyXDrHJUgyglPzbV6ppnBBoQxonNHXD+v4BZcSXOp/Px4AMrRX4NnKe57x79477+3u+/vprZtMpykGVF1xdX7Pbbbm7uSHLUkBOrEVZcHtzxZOLJ0xnU7qmZ1+KDSowiq7pwGcxaK3oe6HpiV2rg14saUmS0XcPgCaJU/JjMaq9m6bGOtlIj8dKPOEYyrIlm82I44DNpmB9v/Yn55i+l5c6ikJmswXGGG5ubnjx4oU83MejP6mlY85C0wgg5ZhLF0A5JzS8qh0FRIPNbXCPyIMravCBiz+0udbrNUYJAGa1XNL1mmMus/7MJ+INwKphk8r9czmOgIJgLGSGZzXxSZvH43HsIA2bzhDQEscxqu8xWjpVd3d3HI57Li+fcHn5hKapefbsGev1mtvbW169eoWzPW0jDIe+a8aW/GF/oG3FmXFxcSEc9yyT3AfPMRjCgZbLpYxHeodzMi9uu47IJ4EmSSLxx6oiSSYYXxSUdUOWphLX7SFYA2eeXux61najCHi73VJUBV//xdeSY7Dd4RBBrDaSAPfkyTlJkvDmzRvm8wVVVfh1QNL6etuhtZL2rZExVedP9X3fs9/tqCuxFQ7XXCk5xWy317x58xaALJuObWuFHcFL40nVryHOMcbiSizwnMlMQpGath1BZcqvO07Lzyoz20Zojn2LtlLsdrYn3x+w/lno64YszcbuUJIkY2ESegto3/c8efKEvpcQHtdZHu4fRMl9EnF/fy/tcyxt13B+fiH4dCTPII1T7m/uuLgUV9JsMmXlE//6rqV8+Yq6qtjlOW3XYqKAwEd8D12SKIo45gfKqiQIQ7TREvPuC9QoSgX0hFwv7R0kymkC47sMUez33JYolFC4OAhkFOosXadkJBMLxEqK95a6rvj48aNYWpVQP2XNlCyCwb2itRFA0G5PfVtzt33g8ukznj2zLBYyFrLW0jedCMD9XjIUL3KwUWRhRFFW5GXJfL7g/PQcay1lnlNWJe+vPhKEIcvlCmcfXRRKaY/sLbC2xARyAImDgCyOaOqKAsVhu2O72XB3c8NmvSFNErJM7J8JKWVdY8KQ1ckJJ6dnzOZLrj7ecbte8/lXX3MRX3D5/CkPmzXbw5Hr3/wGZQJmyxVGa16//hKl5KDa9z29cxx2B7QSMftmt+PDB3GRnJycMJ3PiScpcZaxWK3ocORVie4t65sbqqri22+/5eFBwqLENfBYTA1dl5/79bOLge1ux0ppgjDwgToeYBEG4nvksb0zsLCVr9CNMUiH38nsSQXY3NKWLWhBE7d9j61KmkYEKiA+V2dFODeZTnGIPa73WfTWSXjGQOlTeiAjKoz2CN66kXmgc5I97wFJ2oi6e3AgyMneR9I6YewrwHYtXdcSRSEP63sOh51cuCjyQg3haX/55ZfMZ0sW0yl3txKMkec5281mtM5oragOFWVZkCapV19HhFHCcjEnDAM2D2u++/Ybvv7FLwTXHAacn57QtQ2H/RbbddRdx+npKbv9lq5rRxtaX1vaqhFlu9Y0dUNVNcRRSJxJOzdJBTksBYVAQB4e7rFWrkUYh0zSlLvbO/qu59mzpzw87FCelPf689f0/uU/PT2lKOSkfvvxhqIomM9nJEnM9dUV19dXnJ6deA6EtAxlIanRWrCmQ4twmOV3nVTcTV2RxBFnpyu5d4GmLKT1t9lucNaJP1xrwigCJ8Wq7fuxWMW5RxeK/2fwWweBaEPqpqGpa//7eix4HUAjYyBf8MaB8V0lwRfP5lOatuX9hw/MplOKQhaotm0pilJaf2mGMQG2l7lr11mmszmzeSCnQ+uoKyk2wkA4403TUdct5+dS8R+PBUWVM50t2O334naoD2MB1TYNcRgyn05w/tR/OB4QjJw4KXrnfHy4iHCbVt4p63oOayE3FnnOcrHk5cuX7HeHQY9LkqZc396QJimBCbi8vBStUCgx4GIfC1A+Vreua8IgHDfvQIm6WvQRcl+Gk/ZQtE2nU+qmYQhy6n1bOtDivhmQuCYw49hg6GiApSxzUJamtVxd3dO0LU+ePmW+XAmm3FMyhz8TRRFF3tLWLYFyRGFIHMXYrKdtGuZa47oenHRh8uORzXbLyxcv+NUvf4kOQ/74xz/y/v0H/uqv/pqu7dhuNtRFxcN6TdPUrFYrgRY1FU3bAo66qui7nsjGtE2HNY43uy1v373l2YvnPLm8ZD6bU1YF+7Lk1cuXFEXOcb/nmB8lrTU0nk2R+c7InmN+YDabjB2qMIwIg2AMkpJraKnqaqSITrIZJgiIfIFT1zVBFOLDPMeugHUO5zQmiDBIZ+Ts7Iy6rqmrhmN+5OL8nGySjcVJHMc0XUOcJqSJxLx3vnuwvrnGaEMUyLsq+HMJ8lI2FNKmz5LRBhkn+MOkWIgtTy4uefb8BW3dYDsRuGaTCU3b8u79e+7v7jk9PRfkdS/dR62ksJANPiEIA3946KlNQF2VWCxlVVDlJfvdns9fv+YXv/gF88WCvCjoneP1559LWFAYitNidc7Hjzf89ve/I0kilqslKMdkOuHJk3NCYyiLI/PZjMNuT54XKBOwWW/oesv79+9xfccXX3zOYrEky6bc3N5wd39PECWgFNudjIfqtmGxmFPnBbaumUwnaKW4uvqA4PJTf+3wndNP35M/YzFwLEqy6QxnNEGSSCa2r9D/+/m72FSkbalxAv9xltb2FOVRWllphDYy67ZWFq7BGyuYTiUaAeVo64Kujr1wRHmYUCAbY9+JKt4obOdo+xZlQjndB4a+a8eW7+hXjn4aLWytBJsM/x1Eg2C0RllD29Wkk5Smr3DWs/CthC8p5TgcDoShQb36jNPVkouzU9I4IjQi5EjCkNk0o7U9aSexnq7vSc9PhfmNFUFfnDCbTnl4eCA/HJlMUsrDHtW34ijoelzf4axls5E5s9ZQlCVt0xFqmbkrxTjX//jxI0+eXHiBm0ZrsSleXr5gPp+x3e24uRGIUJnvOe4tk8mEMNBsNvc0b8qxpX48Hr1lbQrAfr+jaRpOT0/48ssv+c0//IaqbmjbjulsQd87lAo4HLZEQUCSxGzXay/ci2iaYtzUhpaWeMAb6rqiOG6pS+Ho204CUMIgEmGgJ6yFocQIA6Qe77zb7cYi1Hp/+qcdAwf0OHae1R8EAfgWtx1iLVA0XQ/G0CnQOOI4JDEJaRwTpxHOQ4ymkxnb7Yam6T2RzXJ/d49Cio/ZbCawriglCkLKY8F8uhw/T5nXvjCxOBUwW5xQt5btfosyEUGqsA6mixVlXuCsMAb6vkNbSxwY0jik6Vv6tkH1DWVdke8z4iggyTIeNjvJALEdTovotq0bPt7ecH52DmVLWTSEOmaazdnvD2h6DkVOMknprSi8A8+3H94p54bFNqDv8XHi7Vh4TacT2saCCyiKzdjRGJwOQRCA0bTWJ4MaQXsrw6hv6Puepq1JUhHPud5KUFpvsTiZ7ZclXdPjlCZJJ8I36XuMMqANeXlkv90K//38DGUVgQ6g64iCgF51dEEnB4UsY5ZN+fjhI8e8EMvwbI4OQuqmZBopbq7e81//y9/z4d0bLi6e8PLFS7QBrR1X1x+om4rVaklgDMtoDjj2DxtxUgSSWLmuHgjjmO12z//5T7/hf/73/1defvYZL148I4hCHh4emM9mTE2ASRLu7+7oG4lVvr25F+trOmGSTYjDUNYrPxLqug4dBphIxitJLO1wpSUkTasAbSQormk7VBiCMSOO2HqOiryfMVEY4WxL7ySd8ex0RV1XbPd7TKIIs4B5OKPrO6qmJJnGhGEqMe9NS17kxErxV+d/S3nISZKYSZJK5kYv30erAKVlvd3stkymU7IgAeso8iNxkvL1X/wKo0OwhuXKiys9NfT5s+ecnpzw3Xc/sNsdOF2ejp3stm3RSgTrtUf9xkmMVQbbO9JpxudffMZiPuWwO1AcCw7HAzfXN3zxxVeAIkwkI8EpTRynaO2Yr5YS/NQ75qsVzvZ0Tc6zpxfkxwNKWc7PzjgejtzebcjzI03TiK0ezfMXn/GP/+3/YD5d8Oz5C8q6oup6toecpm158/Ytv/71r2Vtc462bmmbljCKUMoRhYavv37N999/S9u1WAdDdLnWCms9c+fPWQyYQNpHIkxZjBCLunrMnx9OZGEYEkaRCASr0i96bhTT7Xa78c9EUcwQ5epsi3N42hJoL85zVnmSmMyNu673CGRpSTrfpdCBwdWAMljUqGOQC/OooB6+BkDGUMwMwrFPmQrWh8DM5zOUHrLsHVqFI9hkOp1SFAUfPn7gsNtyeX4uGoFAoD63txJZGiaSh+2cRTvJWx9OySBF1NnZ2aj+XJ2sKA4HmrphtVqw2278S6NHUdzZ+SmHw47j8SDFjXajl3jwsQ6z92FDFOFYJ61UhRfp4UNOSqq64uzslCiOqKpqDHSazWZYK8CcAXDy7NkzPn78yHq95cXLl9ze3uLanq5tOTs7IwgUanXKbrsWe6eD9XrNxcUFn332meRz399TFMXYFjSe+1AUBW/fClFsNp0J0yDEz6HdeP8GrcCwAQ0bfOdPHsOvDZHRSkvYjFIyYx5cCYPuo2kaQVw76/HTlqqoOZnNmC4WLOdzvv3+G1oruQZJsh3/3OXlJXd3e5wV1vpsNh03vul0SmclYldEcgcCr+4+5jl2oMApRRhFXD59KvdNlZIS5/UyZV4QR5HghwPtxzMFVkFdC4xnOCHIKCAjrRqiOCJOVjw83HF/fz8W31VdEcQBTd2wXK64uHhC44FOdd0ymUwlh6CqYIiwVVY6GqHoHRxS1OnQjBx7aVEqrxa3HlhzHN/LgcYZ6vBxNPBJkT78HYM+YPhv+JPep5apQa/QtD1t27Pfi8ByPl8RRBFxktC0sr60jVyfNEmh6yRVEukYNE1NUeRM0yknJ2fsfDv+5OSE1qc19n3HfD7j17/+S25ubvnd7/6Z1XKB0YEX0EohPZkkkuzZW5bLJV3bjT9/lmV0rkBrRZol2Pue/X7L9XVImsZjARnF8dhl1WbNcrkYx2u73U66KnUtaYDnZ2it2Ww2HI4FcRqTBCF97wgC5THKAtKyvRrFz0EYEno4kvWjthAn98UXymiLc5ogjFmsVsxnM7E1K8Vmu2Wz3XJyciLrTSKExr4XUZ71/AOQd/f5s2dyoHKONEnFwdFIsJKl53DM0SagrGo63wGcLZZ8/fXXOKvI84IwiMmLEq19x6iXQ5pTkGYpu91xBAEN66B0jZ3XTxhJ/lQS5pOdnIHtSJOMYplTlRVNLVHs//iP/8h8vuDy+XNOTs9IopjIhDg8U0X7NNPDgSSR8dD5+RlhGPoiteX+7t6PLQJOTmYsFgvu7u44Ozvj+fMXvH3zFpTiWBSEScR//I//kbKq+NFjhV+/fs16vaapa+qmIdSaLE1wTtDNSZLQHlqCwOCcZjJJ/YjXjmPxf+3rZxcDQzVfFAVD5nkcx/QdtO1PUwa7riMIRana1pXQqVw/CoGGtvAwR3XO0dQ1tpP2dRAYHP1ILdNK09YNylnCKEFrrw1A1NRt30seuxJqVtvJ8W7AADsn0cG26+i8wMsYg1YCItLaYLwQcZhdD/9d+Vzytm1I4gQ1JIhprzhWjxTDqqro24Zo3NBEzPP06VO+/e5bJrMJn79+zbOnl9SleOiHEJa2bcVdEEskrWxwspCqWL4XiE1yPlsIECMw/oEtpABQshCJ3sJn2bctkyyj61qKwo1FTp7n7PY7TBAQRwnTqTygaToRVO1+Myq+B7JYGIZcX1/z29/+lqqqODk5oa7rEaQRBAF/9Vd/xe/+8Z8pi0IS9gLNZ5+9Yj6b8S+//WeaqmQ2nfPwsCYMw9GCKGjgyTh/HTbJQVBjfDCNMSLaGk6mwCgOHBwvwzMGjyFXj/YpSUtD65EyNmwmMpMWh4sxsvEqreldTxgoyYcvSzYPDzR1QzLNQOmxMLHW8uHDB88H0MwmE9Iko+87mW2XNYvFkvVuze3tHU3TiKMgzbi6uaWqC9quI8sy8rJAGc3V1RXX17c8f/aM87NzJrOpt/caJlMpLNtetA1oPc5JsyimLEseHh5YrIQmGEQhSrkR+tP3nVgw6wYiWbzltG6Yz+c83K+Zz+bEUUIUhtRVhbWdjO9cT983DJ5wE3jNjNcINI2EEg3rwRBiM3TowjAU51EvcatRFPmQKPsTsfLgONGj7sfirIwSPu1EiqhZugpdW4MKWD884Cwk2YRoMmE6nflnTTpnzkpXIQgfsyukEJGC4fVnr/kYfuTrr3+BMYbf/e5f+OOf/sTZ2QmTyYQXL15wenrC/f09Dw934B67mm3beariimwy5cOHD2MXchBPRqEk6s0XU168fOZBR/dEUcSvfvUr5nNJUB10U03TcDxKZ/Xi4mK8ttY5dBASRDFGG8I44fLZDKWVdwM42rb34mIpxCQrw0jMedtQ7ES4HY9MAuUDwfCFWYd1oE1IGATYTk6nZ12HW6vxs0VR5NcMTde68b0sSzkUVmXJPko4Pz9nsVpK95dhzGsIwpj5wvLNt98ShCGr0xPmiyUnpxe8fXclVsUo4rjfEoYBaZaMa1qSJMQqZbFaEYUp19fXI5u/bVuqpuZkdeLb/jlt1xFE0qmKAkt+2BOEMelEVPhVWXI0R46HgqZr6fqe+XzOJJuS5wUmCEmyEBPJOsExp2lqlsvFyAlp65o3P/zI+n7N4Sj0wV//+tdjNsjNzQ3n5xc8e/qM+4cH4jhhOpvzxedfgbfn7/cH1ust0+mcyWRKmmQoZ1ksZlTFkaLIOTk7911QgYPlx5yq2qD1IyXyz1YMDA/fAN0ZkMCS5qXGVszgkW7bbvSIF30n6FSkaBhCXj5tFaZpRlUIoSzNEpIkQuuAKIzBWZqmou9bEuXQYYhzUDfdY3UbiSK46zqMMnRNOwJ+jN8IJEUAjNJgZZQRBuI77XuJCR4ezDE1sRv0BAuUHmAYoJCW/LAYgV9Mu57buzts/whYAQgCg9IwnU2wnUR2ZqnkEZyenoxhKINlSQqvnL5pcLanKOB4EFvSUOmWZUVvO1885MIy/6QoGzo1RVkyyRIGhDPILPT9+/fykpQ1h8OR+XxBFMk87dmzp6w3a25ubsZAJ4CbmxuSJOHi4oKnT5+OXuumqXn3bsOPP/xAvj/S+02tKHKur2+YTTO+/PJrvvnjH2jqmrZpR9QrMArnhtPfUHyKOLQjz4/c3t7y+edfkmXZyPSXOWI/ulyGzsJQGHx6wiyKAkAKOn8dJhOZtR6PR28vbIm8SCjJUo55Tl4WxGHG5ZNLaFvubq4FtrSci2CvKNl78t0w9giDgBfPnvLx49W4md3dPXA85ux3exFCnqzI84Jvv/1OHiUlhUvbdVTbLe/evQOgamTB3+32IzI3SzOyJBZbmr9mjecUpGkKRrpzZVlQ1xVxOmE+m7PdSb67PGNyDbXSXixZsV6vybIJ1kJV1jinMEEoi9R0ikacGiL6FqSvc47pTIh7EvYkfIeiEFvqgHW11o7xxcNzaIwhr8pxQe+6bhTq+bDdn+g9xJYrtD14tP51XUegDUZpbxfUONszmWScP3nCvhDLZtM0hEEkuSFdS1v2BEbT961PVJR3Y5rMybIp/8v/8n/j2bNntG3LanXK//6//z/ZbfeEYcyTJ2c8e/aUi4szNpstbS2dqqqqfXHRoo0a/eNDASuFi5xoz89PxOYXKqq6wDrHev3AZrPhyZMn47owPO9KKWaekjcUzWmaoYNQyJO9BB11veCFlTPEsWhUBjvtpyNkmdvrMc1yoMTa4b3xnRh5DqRL0LQNOEcYhMwXC1rbjYjrAeIVRzFBkIyJlMPfnyYJSRCNBwBjDHXTYH0H4HgsWG+2TOdz/upv/pqz83Oauud4OJJlM7q+Ba2YzmYMUeT4Q9/AXNBajRbjh4cHqqqSNbKq0D5pcTabYUFi78OQvq1Bl0Rx4jH3jaCgq4B0MiGKYslkMRF/+Ze/5nR1Sl4WRLHo0Hocs2kGKqVtxaZcVxWNg9evX/P61Wfc3q159+49+/2e09NT/s2/+TfMZjMebu744+//QN/1/PJXv+Lk9NSHhL3h+vYWpfAhehJVfDwcWS4WzGYZN21DEMXUTc1kOuOwFzG3WPwtAm76edv8zy4G5vP5+DIOL2ZVVeDMeKoaLCnDpjZYEIMgQBOP88Xxm3sRURBIypPWIrrq2pZDm9P3LcZoQuU8COUOpUQhq703VlnnT/Gatmsl9CdKPTtf+Y3BYpEADp/PKZ/DQd91IjiMIgF0+Nbl4JuOtCEIJOzEBPgNqsE5w2BgGtqVxhhPwtMEfgY+qLiVgY6O3//L7zgeDmSxVLhPnjxhPl9wcnLCu3fvxhAZUZKvfYElUbg4y/XHj3StnOiUAtHWiLgm0iFJmozXfcxyqCqmE4F7DPdqqJZ1YHBOkR9z5vMlRVHy4cMHnjy7HP8O61nvg6Xp4uKCr7/+ms1mw7t371gul3z22WuKouT29g6jFPPpjDAIKJzjhx9+IDCGJxfnnJ2d8836Aa2Nv79mfF6GTsbQVRmes2GRadstb9++lQ1ssPypR7rg4M8fuA9DITDcg9NT0R8opSjqyguwduMIYRgVDVjVs/NzFssl3//4A9b2vHnzhqVn7ZtQRFfGK6GHPzd8b0CiVYNHjkGSpBRFSdN2JGlGHKc4J8VfEAQEUYgx8ndowPiNI0kTnIJDfqQuSrq2Zb8/MElizk9PRQyrNbN0JkmKu704V9qG7XYnRap99OsvFguWywV5ceTh4W4MPAnDiLKsRDfhOzX7/YHNZsflkzMhFdY1dV0yxOcLAgABAABJREFUnYotLElS8lxOJ4OifBghDgWZ1toTKZMxBXAs4n14UpbJ87ndbsfr57wAarjHw9qhlPIbiB07CUmS4Poe21spBJSibxtur694/vwl06l8duck6EdrYX6kc7EwzxfD5hJQlQVdLbHcq9XJ2MV68eIlX331C/7lX35HVVY8PDxwcrKk69sxQ0TWHxkXSHFZM50uvN6mYWBbaCMI2vXmXk6ZYUjTFARhTNs2fPPNN2OBPJ1OCcOQzz77jLdv34xq9AEqo4zBKc0hL+SwhqLtLHEsEb0D6Kbr+vE5x7sxTBiSZRlODaIzO5xx6K2lb1r//gTEUYpyjt12I9TAScZkMhUConeu3N6KNVQ6VPFofRucaPPZjDSIqOqarpcOSeC5GGVbE6cp/+7/8u85PTsjSgQ7fX19z2wecn5+RlWX5Lm04+u6etQ1BAG7nWiYHCJKHd7jtm25uhJ0+dBh0kYLvM53w5x1OIwwbZC1PyEBZWhbS3ksKA5Hfvj+e+qy4n/9X/8fzKcz2l4OIF1TcDjuhW7r38fT01NePH9OoA277Y7Lpy8knVFJgbhYLNhsNvzpj3/ieCw45kf++Z9+h4l8xHjf+awGwz/9029F73J2Bmg6azkcc+aLBcfjjt1+K4ddL9qXA4hDKL8/7+tnFwNVXY8bA0ibSXlf7nAaq+vaW8wSojjCOutTthK6Vo02sgGCEPoHcTj9aRMwmwvFTuGofTeAThK8Drs9aTrFmIAwimQW6KCsa1oPnlFaC4vZKfHMBpKcdTwcCT3ZblA713VNP3i3QzmpaPW4wVtrcarDBBkKbz80Bu0CsI92xLZtR9a0tZa2E2tTGEVy4NOaIBSmPMgsPDKy0F9dX5PnBefn5wCSKdB1LOZzptMZru95/vwpZycr3r19g/KbY1mUUvy0NZNJxmK+pK2akfw1xIrGcczFkwvOziQec8CS3t3fifJVa477nMlk4hP3OuqqBud4/vw533//PQ8PD1hrOTk95cXLFyiluX948PY/wZtutzsfVoJX4A1K8Rm275lkgtzVSgKO2rZiyFAfuknDNVT+oU6T1L+sls7nX9zf349dmyFWNo7jsfh0zhH7hLWuk9PRsEmPHYKypG5lYf4USCSblFxfd3C0nVixuq7Fdg1WwUNVMZtmRGHE/ngkimLSVFLkJCpY+c/Ss6v3OCu+9iRNmEwmlGXl1feaw1Fww0maoRRY1WNx0h5Uhul85kc6Mj4ItCFKE3HF+ITGoixRPqQrm005HA/0vSVLYyKtZIGsGxmL5DlRHLDf7VgsFzR1PT6zh+LIoixo246iLPn++x/47NVrVifn3N7e8fHjR1bLGaEBpR3H457jsWA2k0W3LCWcyuiAyWxCEASUpZz44yQZx3XDKbcsy3F8MKwFwwig++Rw0bbuJyLlAQzWNPU4mhpnwgIf9ZJCRe8UVVny/Xff8uWv/honeWh0XU8cBxgTEkchSRwSRSFKi+Lf2Z58v+P3f/iDqL2diCKds4RByKtXn3E87tjvN2y3D2jjC29naNuGzfE4FrUyVo3GwmfQPBijSbOUm7tb3n94z1/+5f/E/nBAa3lnu7YTrc7lpZwym4amrnw7+Z62bYVpkBckTjGdZwQmpGs6qrr27hHtx4AxTdt4a6Uf15qA0MSEkUQh90482Vo/6m7Ge+JbCXXTomzv3S+ie5hME289Ft2MgJCkS2y8mBEk72KSZUQefBTHMYENcHXNbrf3iaUhJgh59fIl7VBIGomWbypx6Wgjz0JRlvRdO+ovhvV6eJY0euwO9LZ/5BBEPt7e64NCY+itjNoCE6ACDa4F5TCBQpmQZnPgeDyKANPAjz/8QNN0PHn6lCeXlzx/+YwkizgWB64+fqBuxC6cZbJOBNpwzHOuryQEaej8VlUlRV+vmE1n/OIXf8HF0yd8+/33UuxVNdPZTATbStgkx2OO9c6QrmvIkpBsMuPyqUHjOO73/PjDG5p2N2rk/uxugsqffqy/QTpOwAQE1uB62TybumO3lVQtpw1xGoO3YunQUPvFuhtOT0q4/mEYQtuilbR8lL9B9JIIh3LYtkFZR5PntHFEGIfMPStcaWhaecmatsFZTZlX5GUhCOAoIJ1NabuWrrckSpjcdVUL2SmOaepayGNeaDJsSK1tKWpp1elQUKEWK1Ai17M97ri7veXk9IST1Qm9VrRaTggoJKVLCzrzxclzoXnpwHukaxbLFbPZnLa3LFYnoM148i2Oe0Cx2+2ZTiYsFiuauiEvcpxSZGJroG0aVK8IdSIiSx0xnW4oCkllu7m9JZpEECrKqkZrxcnJKWVRodHUPrlNaUWWxtiu5frDR548veTrL77k5v6Om9tbbu9uObu84PziCfkxR5mAsqjBaZpKQmomSUIYBFycn2E7i7MQEPD27Ru0Uqw39yxXp8znS66u38rJD/EB520hxVyvoEfYCcAkm/uCtJTWW1NTlYWgTP3sN8/z8QX/FLShfKeg95bD09NTEeCEAy9D07b92DaPAsAKs2L7cC3RuEr4EVYpdJyIRauQjT9Mp2hlSJOU/Jgz6Dymsynb7YayLFlvN4RFyGq5JJtN6HBiYXQW0ER+k9BKwFDG6FF8J0EmE9IkpaxER9I2jWyitsf4Z3632XJz/0DXtlJcmIqzi3NOVivm8yl13bDfPDCfLdDWsXtYs91thHRnHXmZ88O7H0mTlNvbe+7u7vn6l3/BMd+xWE6oq4LbuyuW8ylKOWzvqOuKMDS+ra98GJMhMCFhELHvj/TOkdc1cVWReo2Hs+K1D4yRsR2KOAxR1nF+dkbrNxOFJk0kTlkw1kLU1FpLGxyF6jssjrbvmE5SoshQlTV13WGcWBUf7m758quGeZpytI5Ih2IfDmLOLpbM5zOwPbPphOurj3xzc8cxLyVN0luIrbU8uXiC7RvSKuR4tH6jC9BGLM4WySA5HI+EYUgUx8IzAKJEiKjKFy3KBMTxjEAfeHL2nChICagoDyXLqfxs3//pj2zu73j29ClxklB3ljiIWM1XvH3/jjCKcUphkej2uhAEdRYn9DiJPQ8mxMbQt4rGOnrrsEpAXakv0K2zOCut9t52PxnJwJDm2Qn4zDoCFHE2IYhD6R70vQd/LWiajji2KBTaCd44ikL531rGs5KvIgV9FkTkVUPbd9jWSs6ddSRhBGgCFTI/n1M1NXVTU7eNdF/SFKOg75qxoziMD4uiwBlHlEYEccBETYjTmKqq2GwfUNoyj5YYrUjiFHAcuh090pGTPSAAY7Ad1EXOMd/LGEorbGDZFRvK9xXXD1eUbc7Z2Rnz6ZzsdToWYVVd0VvH//sffsNv//mfqeuWp0+fst5sUEjHNAwCDkVOlKaowLDd7ejahovzcxyK3SFnMplxfnHOP/32t9w9bNjud2RpzPNnz4gjQxQZtHLc3dwQJC3Ls1MBUDnprkUDR+LPVQw4p2lqSQecxLEnCcoptG8H4ZYAH4ZKPYxibN9R1Q1a+WrMPxDDCaqqaoE6eOBK14mgSANVVYOzREaq/flsBs7xcH/PbLEgjAJin0sQhgZtQuF0W0XosZNt2+K0IggD6rYZY157pSRoyfgMefs4thja+0EQEOpgrDilYgajDcpIVTnMyt6+ectsOsOEBh0YAu93c55E17QtdVWNgsOBkBcEhr7v6Hs88jSk8g6Mvu3GU+3D/QNlWUgy4ND+r2sCf1JarU4o81K4CzjSNOF43JGmCdkko2lbbq5vOTsTxXFvreQ2BIaLiwvKouDjhw+SHRFIm0qsnbK5hmHIdivRvVEsM8DT0zOm2ZTdZsfZ6ZlUs4eKwMTE0YRey3z7xfPnzGcz3r79gbJKOB6PJIkUVp0H8DyCmzTOmbHSH56JruvQSrFarbzz4UhTSyek7zqBrgSSkzF0n4wfHVT+unddJ5TBppUF2i/0Q8crjiNWy2w8MQ/PQlVVBAo6a1FJ4lPhHoWKu91uFH8OOo0oDDk7O2O73Y4nrHqMv37EZiulvXAqQGlHFEk7NfFCriRJiIOY+XRO14safbPZ0PvAmiH5UmsBQTm/mC0XC6IwYrlcooGubXj79h0vnr8UZ4IRnrxcl3aMCZ/OZqBEzPX06VPub+8py4LDfkcSG3BIUJA2Y+rhcJ/quqbIC26ub3zXxoGCumlom4Yu/ClAaKDiTSZTnBe3KQQ8Bfh7YGjbR6hZlmU4LK1/t4d8ia7raNqGth2IdqIZsBaaMufDu7d8/uVXBEZT5Edaazk9O5W1J45ROO7v7rm9uaUqK8oy5+ZGEhSnkwknp6coelbLJWdnZ1jvFlHajQ6p3lpmsxkg3T+Jjz2itWKxXHJzcyNiQN9aVsowm81ZrUSIG0UxXdf7kVwyckrOTk/Jsoz5bEbf9SOQar0VRHrT1JRlweXpOa3nY6ggwMTy3B2OR3EKeAeN9qLptu1GsqNSwtcY7s+wxgypntZ1dG2D7i10LW3TULUVve2JwlCosMZwdno+or1VLwTO4/HIDz/8gNaaf/tv/i1npxdeqAjWu5nmiwWnyxO2mw2//ad/5NWr15ycnNE3LS4Mxb3QRjgHy8WCzW6Hcz1hoMcO3zDODIOQqpRR1OFw8HqPAUjVsN/viWP/vnp9i3Q/O2az6SdjSjd2F4frhFYsF0uGPJzDYc9vfvMPzGYzXr16xdnZGYv5FBNIN2A2jNe1oaqPMsKYTWmbxhMfe5I0wYSG3WHPjz/+wMePHzk/P+fi/JLWOj++hvPzC05Pz9gedvStRGk/8iCsH6f2nF88IU0z6rJiff9A8+cmEGoC2dS1Jo0ncgKjJzDi131cUIc8cjMu4GEY0bU1YRhLPkHwaAMb2rnyINqf2P/EztPgbE2gMmaTCX3Xo7uG42HLyekpk8kEbTRt18sipoxUp0lMHMX0ThZ5lNi1tAPX9QQmYD5f0LmeztqRk/1pS8U5N6ppq7JE+1GIs5aJt0QOgshPhWpohe0RS44fo3R953kEMj9bLBZorcf5qTxsjrZtxuJDawmwaZpKNpJhpm2kzYZ12K5Ha0NZSIvX+QAj7SEwzjlOTk6YrxacnZ6Pm0xRFMRRSlnKxtfUjZyOm5Y4lk2kLCvKuuJ+/UDohUDHw8G3CSP2uz04JB+gs1xcPGO/OXI8HNjvc9b3D9i+48nFKfP5TGx2XcN2u5GYWz9KGFrMWZaN4qYoij5RtwfEcTQqvp3zWRJ6yHmw4yz0U+Fg30kg0Kf3VAh2hjiKvfiV0ckBlt5b8k5OTsbuTVmWtF2H8SOhT7sPTdtzzAu22+0oiJLRQ0A2yZhOpyNwSUR1JUqZ8TkPvDhvMpkQJwFRGIpieTIhDENOT89YTGZMPGTmcDhy/3Av97tvx6KwKHKvlhfVeOMX5PPzc/JP2taCOrWy+frO3DAeqaqOm5trdrvjONsESQJN05Q0Cb2oT359cATs9wIuSpIEo824GQRxRJKmMh9uW8JMiH5FUYzuFJQijiJevXpFnue8efNmVPW3bTtqDgY9hwiY+9ERNHRu4jimqgr6rsH2DhAtjM8t5c2b70mzlLoRL3YQRmjl2G/u+K5tePf2Dc7KPdbKUVcFkYFZFlGVR979uOG4e+DrX/ySk9XJOOI0gRo33EFzNOS0pGnq27qP/H2xL8q7Nmg0PvvsM6y1Ixr76uqKKIpHPct8PpcciGw6buBfffkV3/zwnX+qFVVZEScJi+mMqmloXT8KtIdiehCXpmmK0Y9L/1B413VNmsXj9f40ZM05L9r0dNdh86mbhsY0hGFEkkgxk6YZ89mCphyEbB0vXrzgcDjwsH6gt46nz56hMXRWFPrTLOWw3/H9d9/ycL/mmz99w+XlM5bLFdlsytNnz0izTNj8PnzuU+Jo13VjVsrwnLRty/n5OYfDYSSPJmlK27ZsNmuJew4lW+f585dkWUzXtRw9f0SF0DYt8+WCoiopy4ooSUiyjKoUzVEUif36+vqappFRTHEseP7iBVobjsecv/u7f8dkMuXj1TVffvkl2/WGq48fub66YppN+J///b/nd7/7Hf/4z//Ibrdnu93y4uUrvvjyK9bbHUmcUlW1RFtHEWdPnjDzLJh3b3+k62qapuT84onQN7uO6WTm17FTbq6uf9Ye/7OLgWk6IYtFkR6HkViIlIauH0Uc8Kisr+uaripp6posSaSFF8be+iNzWmnlaoyRORI8esSdEx61FAshaRgQBwGNqjBhTH7cs93c07Y1WTYnzjLyoqYpa/KiwjrHbD4bk+CEYhcIuAc+USuL4OLTzXz4eZqmIU0iAl8l9l2D8kSrppEuw/AgjrYhK2lh2gvKuq6T6GXnKMqKJJHrFMfxuEn0ffdJaxi6XuyUUZQQBIaqlL83iUKc1iJ87HtWqxVVUaBQknPfHlA4P0Pzm1VTcTicEsYxaZb6+WtAkmScnZ2JBerjFTjH69ev2e/2aKUoqpKmbYjCkOlkilOyaVzfXPPhwwfmszlamXFTDE0tVe2Hjyjg7Zu3vhho+HGakmYJ6/UDFxfnGKOZziYo1Y0pmPu9KOxFLJWMp81BaPap8HRY/Pve6zoYgqYedQPD5jEUWsNmJWFa4uUXt4ME54jd7RGok+f5+ExnWYayvffvd+Nnk8VQtC5DF2EQYTkcpVfJf/HFF7x9+9Z/7kTEp0rhcKLS1iGz6ZyLJ6fM53NevHjOcrlCa8V0OiMNEy+IleIxz4eTXj9Gomqt+eGHHyTXoJaCa384cDwcqIqSyXTKyXJF03b0Vk7RTdtgvY3WWYtz3eiqMNpwfX0tkeE4tJZrq51Ph/S3YziVj/ZK9Xj6VGqgjw6xxnJCGbondS1kzjwv6bofOD094/Xrzzk5OfUJhdVPruuwMckp1v7knQUwOqDzVjqlPExMg3OWw35L31Z8/tlnhHFKby273Z4PH9+zWa/RCmzfEhqDVsI4mU9SXBpTJTXr9ZrjdsM3f/gDp+cXTCYpbduQTRLZVPymcDgcSJJkPIkDvoujRhfFZDLxivY5bduNLPnh2mgjBYNzEv6z3++5urri9Wef+zWtpytLnj17xg8//EDrHQz3d3ecn55S5AXT5YK+qcZrM1g7hyJTkgUfs1kGK2/TVmPhONxTwRgLOl73Fq2dtPKThOl8ThIloyhus9lxcXHB5eVTXCcn8tp32o7HIw/rNW3f8+0P34Lv9tq+5bDbsHnYctgfsH1HWVb84fcb+t4SpxknZ2c8ffaMr37xNedPLphNJuwOHW0ngWdDB1W6jglJlI7XeihEdrudaHKQA8j11TVnZxcyhjIBRocEiQj21usHH/7miNOE2XxOlMQkcUKapUynMw6748hz6XsRGL998xacIptMeP3lFzx/8YLJdMaz5y+4vX8gjCPOn1wQe3FkYAzb/Yb9ccfqZMWzZ89p2o4ojOl7x2Iuya7b3QFlNHHSY50iNCHTkyXz+YL9foNWEE2nHPIj2+1WxuwO5osl9udJBv5HUgslBMfalv7QEEfxWIVpP//59MKYMGASJ9R1SRwETDJRw0ss2rCQhD8BFQWBHv/8cCLQxhAFKUYprxPIyPMDUWQwytG1FW0TYkxIWRQ0dS2K7FbESapTdB4eI2p/O1a9dVOz3WyYLhejqHBQ4oMPdemEz6mQfG4XWPHMetXmwM4ffLQSM+mEfOb7YBbnOfLmcbPwL/psNsNh6fpmFBgtl3N2253Mc+Po8dTqK/S2bambmjiMCANp0S3ncy7Oz7i7u2W32wqy10lg1M3tLeeXz7AWwjAeQ4aGF2cymVDmuRcEdkxmc8I4Ik5ijnlOUZX0vtiRbPkdURiTH3M2a2lZHw9HLs4vODld8P7dOx4eBE+M63GuprcZSRJxdfWRJIkwRrHdbkdb2HA6EVV+5P3aejxRiYujRSlHFCWEoZ+p65C6Lkdb6PBMjvwAGEWKWeZV5Dpn522MQ8KiwH6gaQRg9OnpfxAiDYmJgzthNptxyEsPydJj4ZEkCb2Vk9lyueT+/n4UObZtR9/r8fNMpxMmkylPn17y4uUzZrMpl5eXLBbz8RoYE4722CCJibKUpCzpvFpdAUmSslquuLu7Y7/fs9tuefPmDUEUcro64enz5xzznG+++x7XWd99UwwhNRL6JPHKwzhvu92SRinW9hjtk0t7RW8VRgdYyyhSHdgCw1fTtsSBGfNIBvfRMLIZWCNVVTOZztludhyPBU3dMJvPpEgy4uT51HYoIzzGXxtOvtZaYYlYyeyIY0MQ+KJE9ygV8P79G3or1jsTBNRNSxxFzKYz+rah7wQZHhqNDgz4zTIOYugch+OBuqw8JCtBa8Ux33N+fj4mx3UejzudTrm+viaKY9quG62FQ9fj/fv3vHr1WvgPvgU9drCUYZT0I230oih4//49v/zlr1CI0DiZpHz11Vf88ZtvKauSzXaLso4gDGWziUSl33Ud0+l0vIYAYSBdsaHYGtakAd/7qSsnjmOs67D943h3WEPjJGUxX4yJlhcXT8iyjJubW7I4HG3Jm82Gu7s7uZ+R4frumizNiMIlRsH2YT2CxxI/JrO9jKHbXoSdVVmSH45EYcR8tWQ+m1EUioeHBwDOzs44HA6ezKrH53LI/SiKgsCP4ZwTauButxs7THWd0vcd2ni6pXVip7RwdnE+FrlJklAcCpq4/YkTpqoq7u7umWZCX729uWMymdH3jmyS8Ytf/gV39/ckUUySprx49ZKH+3sWyzkXTy7YrHdk0wmfP3lGXbXc3t4zmc5o246yquj6nszHl2Mtzoq4uq4FVe9cTxjH9Bas0mhgf8y9oPZf//rZxUBdFePp1xiD8iQnh/tJMTC0AfvekhjDYr6g9YQvsRgaNH4OTI/xIwZn3Sgq+fQBVcjcvcPR9w4VCVqz6RW2b2kax3q9o6osTy5f8NVXX1E1LWUtLd71dkPk2/jCPA/Ek+v1Dk3bykYfBPSfdBEcDuUQVnprxypaRHaZT0xyo7Zg+MyRUlgrjHOlhG41XDfXW4wJ2G4fWCzm0mY0RkSGitF6lGUZSZpQ5wKQSdNUoEHHI7YX+mLXido4jWIaXWO7jjiOmE6nfPj4gbquPCAp9Ilz9ZhyNhQ9fd+zXq8lTnkykXFDXogC2lujQIo2jeP07IzpYsbDwwNGB8ymMw7HA6vFkjiKWG/umGUTnr94wg8/fMPZ+YpAaw77PZvN5ifeaynY2nFsMsx/JbYXsmwy+s1lc/agFO1dIL1F8tIHhbZs+gKperQlOhgXtTwX10TowShlWY4UN/keohoXloQaC0jpErUj6lX7RV8WT0/6++Q5EODOgrqpubu7G7tdSZJgTEgUJb4Im8ps8OKCZ8+ecnq2YrGYs1wuRw2N1hq8+2BY2FQUoaOY6niQ59NzyMMw5vLyKU8vn1KXJa9evuJYiCfZ9T3Pnz3j6EmZ5b4ci+6+H5Tk2l/DjrJ4YDqZYdPe2/AsWjmsVvShwhiL4vF0+enXaCXzP4Mk8bVoY8buylAQ9L2MCNM081yClrvbe/aHPWdnjxvlsB4MNjJjAqytvCXs0w6BGjczsaM2gCKJMh7u71BKMV+sxGNuArEpD3M1bQiMJk1iIiVR2mVRYnuYpFO0Cqj7jtgLfJVytE3D7e2t5AFEoacs5gzplEZrukdQ4niCffny5QhlKopiJITWVc18uSLyY6zhoDE8E7e3t6xOT8gm0jI/v7gALUCkDx8+oB3M5jOurq5YnZ2OeffD8z9oLB7dGY+ETuVHqUrhnwlZlwedA7Yfc1yySUZRS4TzZr0lTVMuLi44OztjvV5zc32NG3NTZP1dLJZ8/Ytf0Kqe84tzbN+TRjF9I0X+NJuIlslJl8dZR9v1vtMLx8ORd2/fYp3zccIhQRCO/AjnGJ0YgyX1U+2RjImGglXgcUNk9lAEAxKYpJSnNTp/UAvHk7wEhrV+3WpIkoyqqpjNZtzdSffz/OKC3knGSdK2knViAjbrNWVREAUhURiRFwXvP7zn4eGeoqho2p7N5sDJSgSJWmvOz89FYOmcOMj6nrKq2G7XRJEUjmJbzun6nsl0wm4jbJYo9ECkn/H18zUDWkJahqQ3me861g8bEb0YQ1XXAifSCtt34rmPQkwiWgHrxO88pBkGoZIwmN5K+mEj9o7AxGjtxw7WgdZcXlwwnyTs13eUdU4aJ0zjGWXdEKiQIt/w3fffcn13x8npBWEoL9LJYonza5UdkKZKY3Fkswkn56d+w+hoW0VgFH0np43h1OSUhCwNhXsQChnNdoJR7hqx+URJjEKRhgmRiWQBVN53CqCFTRDFKXUlCn7b1WAhTRPiMKC3HVhHVZQoJNK57zpQkE5mlEVBrORF/ezVK7CW2+sbtrsNSZpy+ewZ8WRClE3pUIRRzJcvn3N5cYY2hiDQ1F1NvatxDklEa1oCE7A8e8LL1zN+/OEH9vsdQZRyv7lGa4PtW64/fuSkOuXi8pLtdovRhqapufrwgc8/e808ldjmqip8tram71rCKgAiirwBg3AaOhmlDJu2CMkmvo1fIPHVmaddTknTmL7vxuq8KAqc69hu77DdYG8NBELiHKE2oBH7ooOmrTkW0hE4v7gg1AGbbYlTlrwUVrpRmjBNZAPRBoeis1LARXFCaKXb1FTiasA6nDb0vQM0GEmfq9qO3TEH1z+KBoHIObFmJYrlasrZ2RnPvD1ptVqxnJ+wWq6I0wQzRO9GEUHoC7hWuORd01Arg+lb6kJESUKIEyGR1oowiVidrogzOQH2XYvtWy7OTrl/EJBJ34o4KjQBNpRiShnfnbOCMc3SBNs2BH5W3PUWiyHRIV3Xjj7uJEk8VU02X6XlnUrSmKa2dHUl7914+vWt/cD4UV+C0o7eNh7ZOxRXAy9DewaDJXKGOEjowp7aicg4SYXdfuj2/s/00hmzonQ3gSEMY1yvaKuW6WyK0uC6hkkSUxYdPQ6UA2UJ05SQkNZ1VLaW7t4sIbCwXJ0wmYiGQ9ID9zR1hTYNtle4HvoOjBa8sdLivljMJU56s14TGsXD3Q3GCLxsOV8QGDlIRV70WpaVjNGAOBJV/na/wYSGOMuwKMqy5tXLzwiDmN/85jcUbcvEBFiluXtYjwmYh2Mu11BHRFFGNpmSJDFlJRY9AZwqulbWyMg/D1IcQyCzE4lU7zravJQMCadGdkUcC5jn6uqKqiwJUEwmUxbzE1arlQdcGbI44fWrGXVVcXX1geNhj458zLrXechjokmMIZ3P6XorhEfruLu9x1rH8mRFEGqKY+WjvAO61qHQtH2DUwmdbdCB9s4qKZrn8+WYOjmEPW3WW+paqKqSj2IEb+x6qLXw/p3GWkXXgtIBzlQCuQoAZUmSiNOTBbf3HzjmW2bzJWk6YfuwwQQhURrRlR3HnTifLp895eziktl0ys31mratmEwSqqqhaVuaviUONFEaY/rAFw0PtF0rAuEooCga1ut7cM7zaOS5UGgcGnTwmEr55yoGkiSh7TrKYy5BJA7CQJCWsQlAKYrNhv1+z9nZGceHe2xvWT/c07U1aZKwWsz8LGqo8I2fKRqqqqZppO0hrWKJnlSBwpiAxXJJGgsG8+7ulvyYM5kssL2iLGrCMCLOJljg7u5GPMRxzHQ6HUUztrcYbTBBQGctvevpBlKg8o05f9obPuPALjA8woWcc4I71gqD2Iqmi7m0b5TyHt6Avh3Ii3JCMEYsjUmSoXyLUzYSr0loJQo2OhWxXnnMhXUeyLw1y1KiOGK/vSdNF6RJItV1lpImMUoHIuhpZY6tdEAYxSwWS6qyJIxjkkxaYQMwZ7lacnV1QxgG9M6x2e5I06kIu+KIKI74h3/4bzikBf5we0/X9fz6b/56BIe4vuebP31LWRSEUchqtWAymXB/fycOChzzxRxcj9EZ2gNOlAnI81zU+l6Zn+e5Lz4VVVX607EaCWZDa//y8pLr62tpFbd2PCEPAqvpdELTNbRdIyMkP59O08yT+XKyTGxAQRhIkRvHODqfMqewaG/d0hzLir5piCOJ7XUomq5DG48s9vHYom/Q1HWDs+1PhG9ZljGbiRjs8vIJFxcXPHlywenpivl8wXwyHz+fjnynzY/PHDKnxTiUsVjT0xk9wm5MYAh8x2eYN6PklD50ALquZzYT7cB6vSaJY8pahElB7MbTqdKKrpZnxOJwfSc2JRydbXG1oshLulZazsMIZLlc0rYdVdWI2j4MSZKI/Hig7RrSZIIBevCfOcAgc/L1+sG/rxOCwIzx00OOwdBCl5OeIwgi0sRKeEsnRLwBsTwQKIfuAwgnpe8U+/2BtrUCEktjnFXMplOWizkfPr7HGOUjpRvSNKF3PU3bjN2MbDL3P3PikeqGoqiYTCacnpyOI62+77y75ZyqkfyNjx/ekySJj5p1dJ0lyyb0nWPn4VBnZ+csFwuuqwqQoKcoClk/PEhwkzbc3t6QZFNevHwly77SrFYrvvjyS95/+EDTdYRIpkGaZcLez4QQGQSR+OmVJgwjP0YQJkAYhijX0g9Jf1oRRUNEupM1Uhl0IMFQfdMw9dAhYwy3t7e8e/eOvu85PTnlbLka19/Oj0qKsqCoK0wg8cjWdSO0SELbxKIKHhNvDIFTOCSTpu+kO7bfCaZ5Op+SphmzmYydDvs7Ya/MMjzWE2fxgtiOk5PVTyLDh3e2qdsRtx9FEUmSjuvQIGYeug9RJDClqhb+ydAlVsqxXM1Yr1vuH265u7sjS6esTs7I0gnT2YTnvnPX9R1hHDFbLFjMZrRNx8ePcvDK85LY77cmCDjmx9HVBBBHkdwzI12lru8oioInFxeCow6Exrtaid6g7do/bzEwiO6sc2zXa5QWip3S5hGoYS7Z7/fkZcFuvxdGuzZY2zKfTunamsV8zmQ2I/Tz4HE+5dw4U+/7gREulrbFJBWRiFIobbjfbNk83JPNVkxncxarU/Yf3rO9uWYym4ODbKLp2xqjJ2hlUa4X3oGSaE9jDLbr/SzWjYrb4TPpTz7f8L+HdrMsNEg0qu8iFEXB7nhgMplInr1X7j4KcVo5wUyke3DYbiW8pOt81gF0Xgyz2Wz8RhBQlSUoSQxEOZbLJXEiiOaiLEijmMViQWgM7z9eoc0wX+9GoeP+cCA0BhPFWCvdHBNIm9UEIavVCtvJ95ikE4IwIEFOyE3T8sUXX9LbVmZzPWhteP/+A5dP5UTrmo6H23u0VjRNRZ4LqGc+n9NnKWV+ZLGY0zW1nEJw4wlxIPdJS/cx7W7AsA5Am2F8kmUZq9VqxF+3bQtWVL/CtBduftPUWGe94ExCtpIkIS9ysV7h6Dvh6x8PIpgKtEQ4B0EoOFYrdllnHShNEAqlcjrJSOKI/W5H3fbjHHZ4D4wxxFFIWRx8u1IW3iiS3In5fM7Z2Rmr1Wq0iaVpNmpGTCBFQBiGmOAxHngYG5ggQPmFaSD4DRvypy31Yew0tD+7tsXgWC4WJFEs2GHn6KwES9neQ396gR9Z7btpDtq2wXUdnRtSDzWBDnxyofPAKTWe5GWR7dhudxyPRxFiRdaDrdqxQMm8132wKOZ5PrpLhs8+jLSGjT3wXSBrZcMVAmDnRcfpeKKVeyEAKJzFMTxLmjyPUBqiKGG725Jl8uf2eylc8sP+cbOwlt6r7ZvOst5sWa/Xozvg5OSEh4d76rpkPp9TVZUPjyo5HPcoLQRXwCNlF35zPFBVErqjfIF8d3dHZyWPA6U4OzuV4lRBWzfigogM1o9A8mNOHCUoo3n58iVhFPHh4we00cI28Ir3MIwoioq2bcags5ubG8qqwBhNkkSjyNDa9icOGOdkFKy1QJ2Uk1GtCwxt3+KUHBbjOJaWdtuSeVHlY4HsR5MehiaBOgKJsbYnDDO0DryeTPt/HnUUA3/DpCFKDXbsfpz3D+F3i8WCJEk4Fnuqqh4Pl31v6XtHVVZ0rYw9jgfhsMRxzMnpCVEYje/M8E71tmc4rDmvkZFTo0YpsZbarsMEBlxAGEzRSlxk+90RY2A+m/Dk4pLZYikOn4sLLA4TyiE6yzKeXD7hhx/eUFU10+l8RK3f3d3RdYKcf/nyJUopH0qnsFbGFZczocVGUUhVFFRFzsrvLU1dj+PeP1sxoAOBC/XO4ZRiOp9jwoCm72mbjqZrySYZs+WCpmk4OTlhuVh4xb34KeNQEgb3Bwm0iOIYZy2NzzIIw5CqqT2VTE42Xd9R1wXbLSgW7A57iqomTFLu1jswwmWOk5TeOZq6IgojqjInCAKOe01VhiLU8ylmWiu0cjij6J1ELA8PAfCTE4lSn8Qcm0f74fCQO0/kipOErsh/oiJu25Y8zymKguVigTHih+29d3Wz2fjKP2EA7LRtx8ePH0XkN5+iVDJajIzRhKGhj0KiMJQF3Asfq6pmuViy3e9FYOhzEWazGVk6wVpHWUjATRg+wpUeNhuSOPW/R16AKIx8q140Fj/++AN1Ix5qZRVPLp/Q4Xh4eCAKArqqYbVa+hdXvPJJErPdbahKOOw6bm9uUYhocjrJmM1m5EU52pcGT/DjKXYQi2n/81Uj/98YM6JHZbYnVLGiyAmDgCiO6P2LorWmKAuBrdCRFyW77Y4okILFOVguZXOsq5pnL55x/7CmrGqUCXD47xFIZLaznaeiLYnrGkxPEDqvdegZiF9PLs7BWcqyIE0zLi+fcXZ2xvn5GdPphNVqxWq1YrFYeO1IMJI8B1Hu8LOPiltfPGGttNGNkSREv6D9986WT/3ig9XSOksUhsym09HnvN3tyA8Ham8t7VqZSzqlMKF34DgRBTZdjfPo4jiNx7Ao55xfdCQeWdxB0rEY1PVDdskgnh1stSNIqBu6OtMx1yBN01GYOAQdRXHsNzTh9ceJ+OmH79F6D/bwvYzpRZDYa/CWu0HcGYQxRVlyc39Pnh/p+harFJPZDIVH9DopXsuqQumArrMj5GYg9QG8ffuG+Xzm8zrEJqyNpiprsixjsVhwf38/2u2GTobtLWEYkyTC7jBRAEqIlpvtmrquRCfTdZyeXpB4C6ptheNRVzVxJoFv8/kc64E22XRCGksAVFEI+bKpW+pIkOfjGuYs6/WR9XrNbDInSdIRAT4UYUqB8UVp7zNh8N2nAT29Wq14/vw5+/2e/W4/FvHDvTXGEMURgZJxllKCgm662m/2gRQHvdjMQY/6LWMGPYjIXrXHzWutR/Tw/f294LKbmrZrCMOQ6TTzrhRJ99vtDhSFCLfTNENr44muFTa2o0g6DB/Fj0JAHXQ1si9oZSS51kgcuowOIA5DbBeQJiF9G9PUJe/f/kgUBMRJOhb0q+WSnXdQyXMdsVgsOBw+0jQ1l5df0zQNP/744+iiaTxsTN55iX5+enlJGElnJQwD+sWcvS+M2rpmmmZcf/j45y0G6k42mNZ2ZLMJ08UM6xxJmjKdzcbUqiwIWK5WLOZzukbgFEb0XUSBxoQRR4/SdYgK2nhRkPObkTYC0mnbFgvkxVE8xL2cHF99/rkIbWYn6EDYAco+nsRxlu12Q2ACpmlC3TY0VUGaTFBWFrgkS+XGWjtaf4bqdSgGBvDEp3bDT+MwrbMiBIkiOf1oxcX5+XiqHeaoomrtUFYhSNOAKAhRwMPDg1dMy3WOohDnBDAClskkRSnHzc0tHz68l9OQVoS+ZT4gXVfzBcoEhHHMd999h7USCvPZZ59JV6YWAVddtZgoHBXww88yaEGUUhht2Gw2/O53v6VtxWf/4uUzaZu2Fusck+kElNDwttsNSRiS+nl7nluyLCHLUoyG0Fxyd3NN20gr8HA4EFYVeLvcYI07OTkZF3TrZ9aD+G649lVV8e7dO5xzHnx0yslqibOO77//fswLH5Td1jnKsqZuW5quR/vTbO9PL4OocgArtU3P6ckZHz5e+UIQObEoIZY3TUvfH+j7jigIaDs5+Q8pnp+easUhs2C5XPL8+XNevXrFJMswgRRpq9WK+Xw+ntyMisZCYHBHDF9u0FYoJSREbUZHw9Cx+rQQ+JRV8emvub7Hdj2rxRKQUZcINwsKJAK56yUVsPanwigKUUYzzVJ617Hd72ialtxKK/9ToacUyhbnrB+BWX+Cjkdr5PDcDfd4EP0Nn3noAIjo9PF9K8vSx1nPieOY41GKwyAUANLxmLNeb3BOHAVKGS/kU6LPUQacQZgKwtFfnJyRpimb3VZEu7VYaONQFv2iLCiqUhwbacpytRottZ+6p5bLOZNJ4qmSjrIsyPOj5HmcrABGkRnAbrfzVMWevq8Erx4EHI97DvmRIAzI84Ku24zXNwwMq/mCqmnYPKw5HgvOL57w7NkLgiSiy3Om0ymXTy/Ji4LNdjN2ieRw0DGfL4gjEXaGUUCSxj5XQEvnLZ2CQ9Yz50Y7bts2I5siCAyhScBZur7l6OmfA35ZgFIBTdv5mOvHiGoRCPaiJQqF3iiHl97nKAji3tpH/oF1AlET94qsT9ZZiiLHPTCKo4euxOFwYLZ4gjHByB6IY0Gbz2dmFLg+HkKO9P1jcaeUGg8bi8Xyk9+rcU4E4iYIibA4a7DW0DU1OEWaxRS5BdcSR4amqtluHvjnfzry4w9vRbzuLcNN1zJfLPj6l78YnV1/+Ze/YmD0yPdfEIbSvb29vfWFvSWKQiaTFGM0h+OOqqr48OGBY3Eg0AasY5ZmnCyWvHj6/Gft8T+7GDh6itV2ux1bfW3bjq2TYTMdFvAkisnSFDOZjje/b2U+GWfTcQYyWI2MNuhQ+lDDQ9D70YDW8lL3vQgPoyQFbWittD5BEUYhfSeI1LoqSGIhYu33G+4fHkjimMvL58RhjDZQVyVh5NvBPkBpmPcND8RwKvvv54+DoEkrRRTKgnz0ntShmhwsgAMVTyxgzlfTgkKR1u7cB9X4n9HjQKu6wihL29b+ZVJcXV2R5weeXV7StS2nJyd+s5LKO/Wtul//+te0bTtWytHJKQZN56QLc3aypPZpckLhEuhQ27QUx8ITtqQAqmv5fX/84x9ZLhecn17Q1A3z1ZLFcsnmYY21lt1uR9s2dF1Db3uatsTRM53OeHb5jDSO2G23aOW4+vhB5oj2Mfp3KLYGeNBPbFB+MRmU+sPvi+OYuq7Jj0JuHLQQwwm0LCvyshQBWRgyn0+YzuZjHHbiF6rJZELXdTys1+y2O8JwSM0TJkMYRsxnE6qqxKapR1FbdBCQBFJYDR2Opmm8ormnbQSocnpyxiSbkaVT5vM5cRKwXK6Yzaajo8QYQ/gJCObTERXK+TZnzxCZPI4LlBvtdZ8qw4fOwBjl7MVSWHkGZ9MpYSSFrFbSPt/t9yKe7UX7UlYlVVUTRrE4DJoai5yUu76nqYWiNkBxnF/E+15YBkZrojgk9M/RYEcDxk207+3IKhju9/BzbLdbBvDW8D7t93vSOPXEQu03rHgsJB47eY8F0KAzUB6PK7/Hcn9/j9WGbDrh+Yvn7PYbTBFQFEfaXsYRYRRh/LoQhiHn5+ecnpzx/v171us1A09BKcVkmv0khvnkVERzi+VqhFcNDoHLy0t2u+1ordZa5tNt19K0Ndb1gB3HXrPZbByb5ceczW7HyekZ64cHHu7vOXsqGhSUIkkT/u7f/lv+z3/6R968eUOe52TZRApO/eiEiaKQKA79CRtAHBQDeW+45p8+j0FoCHWIsz1YS+BEvzPEkQ8n3el0Ckn2/6P7GBpdYShBTUKLxHcqYHDyyOHIr71a+XGfZgAeGRNI0eoL4eHwFUUReZ5zd/cwPpNaGUyg6DvLfL5gMpl+kqSJPxDo8fsNBNCqqjgeBeYVBCFBMEDNLFoFGNODEZR6GAZEoTwLbV2hgNk0k05M3TDJUuIoZn84cnd/T9lIYfi3f/d3NLXAyCaTCavVCUppkjjl49UVRVEwm814+fIlT58+RSnlUy3XHA4HHI7DYTvm7KAUm+2GtmqYvHxF33VM0vTPWwzYtqerW+IgQjvF5n7NdDpFeZhI7FtyOCcxxFFCk01Ik9ifEiSSd5eXRGEsKF4tlZMxhiDR9G3/SB1TWk7xgZHZaBjT9VZmwVZedgInZLq2QTlDEk2YzecoLFVZykylrZnPJ/R9R9NU7A9HTuIzolgU22Vd+xzox+jb2hc0wyI6LLRDhW6HzQCfrRDLAlfkchqYzqYyA7QddBaUvDyBNhIggszdA2/7UIC1/qXTUvw4h1SRzuGs4umTS9q6Zr1+IN8fiYyAapI49MVAy8erj0RRwmw249mzZyOwZLlYyouOo2wbPlxdEwU+Ia91GB0ShTGhFlbDbrPBhAGvXn2GtT1v374hzw9eoSqsiCQKaauKs5MVh7NTdpt7qir3s21FGGa+WHREQcTLl6+YTqfc3FyDMRyLYlywh81hQNQC46I6zMBlU9MoJfZCYyKshTyvqMtaIket8/HYYscyoeYkXXJ+ccFstmC3Pwh+dpIR+4W1rsX+d3l5yXw+5827N/TWok1A4G1Wg5pfK5mtOueoy5LjofSnf0NRlP7ZlUVVeRaBUoo4TSRjPU1Ip1Om0wmzuQhAwyAagUJOKawTC6zqtOwQvkvgXy16Z+msw3px1LDIfloAKBQjaUSL9sH24n6xVkZb9BZtHZEyTOOEk/mC7XpDEsS0raXtLa7tURaquqVrO/K8oPGtb+ESK/KypKprTs9O5d1A9D+DBVa1Mut1RkNgsFrhejt+PNFUhGMROBQEw2hh6AoAYxdpf9yTZin7w56yLGh+lAKx92TRx+JRro3tnaj8XefZA9KJ69qe8ngkUPD8+XPy5YLbu1segDiKR+EbzrA/HMA5prM5y9USE2iqKifPj2gViCZIheNBYgiisdYymc5GIefwrLdty3w29+ONyncMNUkcMZnIvHi72xCEAZNphlYWazv++M0faJqWbDJDefhMXVbcXl1JoZGIGn2+WPI3f/Nv+HE6Y7PZCC5YKZRynhHjMetWoZzG9RptNE3bjeMN6dY6X+RL7HLbtRitCIwW8JSD3vbk23wkeYqjB3TiC7NeLNyiP7B0TtaIIAyxVpGlc4IgpLciXlUocYBpRRB53HBvMVrhmhalesKgJwgjrO2pqlLsziagbQVudDgeiOKIs9Mzmc0juo0gNDRdTde3o3YMH+E9dHWiJGbiJjjlKHLhqlxcnJNlE8CilIxDcSLsdVbjnEDIqqJFqZDpZI61ijSZUFdCiU2nGSfnZzx5dsnheKRre467Azd3N4RRyP645fe//y1t07JcLGmaDpQW2FzXcTJfysSw69FKuncouHx6QVEW3Nzcst3sqIuG5WRF11mur2+JfQH+r339/AjjqSBRgXG23jXScg4DUWNHfiFPncN21pOnWqztOR4PGKMkRraHxtPmslTaNwqFcoookEU6HX/dobWl9/Nf632vbdejnCaMI7EvapnrNnWJUaIg1UpCS6y1JEkitLOiYNI0zJZLMBKKZIIAxXDSD311LJtUmqZjy2ioPAGqPBcudxSNHvC+lzAPrcVRMLR6+74nyzJoH+NYh0yDKAx9VRzLzDuKyDL52fP8yHG/w9mO+XzGYr7g7Q8/8NvrW549e84v/+IvSNMUhyUvDjRNTdc95rwfDiJoFO2FhUBjlRJimmcKhFEiHRHPaA+mU9I45ZDnTCZTtBaYR5LEHA47ur6n7QqaSvLhFY7VyZK6PJDEor3YbDajk8P2PXd39xijhPQXBDy5vOR4OFAW5YiyHcRzQ/s/iqIxLXE4nVirx1MGyCwvjmPCOCIMJZktjmOs7VBKxldxKhqDw2FHoA3TTFTGA9NiKEJubm5Is4zVaiEalt6ig9Cr1mOs7SmrklaJFe/09IyyKOl7+Wxt242n36Zp6eKe83PBP09nM1anJyzPTpjO5mTJhDjNCKOYQPuxgC8sHbKpC4bbYoyIk1TvwDIibweGwvAufuoVV4DxATRt/Tj7FTGUHTejrpWRUxIGzCdTVosFx7yg63pcXWHbjvu7B6JQMtsba7G+YAmN9jjjjrbrpPuWJKzOTsB1dLXkyheNzMeNNkx0gOtEpyAiTkY2yaCP+PRE+mmU9TB2kWfE0tuOMAo55tYnCvosEP9/gwgZhAFhVOAtcEsOhwOH/Z4gMNzeXGE0vHrxnC9ev2Y+nZKEETc3d+S9gMScVdhOru/heERpR5bEJGnMfvdAoB1JEtG7R8cRSPFyzHPaDx+8g+SSsixpPJc+jRO00UyyjOPxSOVn7PhEysV8Tts2lIVQIauqYTo7YTqbEYYRu90Wa+EXv/gFk+mU8iDd2+l8QVk6ojDiV7/8Fd999x23t7fM53N/EBHGQlGXPhwrQhhLPZ1tx6TPYRRqnQRraaVQRj5b10uokLO+o6MYO629r/SaVsRrAwRs6AAqI/dERrBG/k6nCULjO7WiRVDKjVyDYeQnpjloSrETBnHCfr9DKcPJySnT6Uzwzlhub2+omwqlk8d1xB/0nAOtxFUh3TV5Zqq65Hg8AHLaXyzm5MeCzWbNbrclSRLfSQgpy84/mxrbK+qqxfWdv57Ku0UUbeMk4TTLef3F5yRJSt9bHh42XF9f8+OPPzBbzOialru7a+5ubkUP0jtQAd8slrz/8Q1/81d/y7Onz5gmKdnkqdhztWjTdoc9bWNxvcF2jiSOKI8H6r6jrR5j1f//ff0PcAb0T17MKJKwmqZrMd4WNojskiQB64E9fUcUBR42wzinAdjv9547/zhPHE44A7FLELEejuI6dBDhVE+oLTqQ1mzXNmhkjhRFEXVVEUahT1WLmc0X7A87QidwkYeHB2kNvnxJmB/Z7fdgZSEyShOH0SgcGlwUAwRmhHN4UM4g2gl9WEcURnRNS9kWo7Blmk2IwwgX9qPQbJh3DwJDOU2HWCs8fWMMTS0FCEibczKZ8OWXX/LtN9/x448/8p/+03/il7/6C37xi69J0wxjDuP8erFYjCKhoigJIu+jjyKxfy5WoxLcOYc2snBlcSIVfhSTRCH7/Q56J3CQOGWzXot9sWn46quvaJqG1WpFU5VYrysJw5iHh3vyvCTQhr/+9V8TRQEfPrz3dK9eVPquGIu+T+l96/UaYIwWBomdHSBPw4l+2Dw00vZerV6QTTK6TmbgbdehfLZ4GIb0nQjvsmwKqDG3IEkSoQxmGdvjFrGuib6ltyKMG9T2VoNVliLP+eyV5Msbn6g3OCNACsAwSojSjDhOieKELBMbVJLEhKEwH3xfaPTdO/cYGNP37fjZlVIwjNv63udeSMaA8x2W3m+0SilJa2waWt9+77vBc6+xfYdtW5y1kpiYZTRdx/npGXlZUpQlTSe/r2prak9+7G2HUk40Li4gDkICLfG3bd9BU3M8HlHBo95hWIR71aNdSRiIYnxIahx+z6e6hkFXEASBt+ExJnkOGpI8z8dCbhgrGP1YLA50wse/v+PhoeD+/lqAYkqJc6KTLID//J//M7/61a94+fIlJycnVHXLZrMZ3/U4jsnznN///vdMJymvXr3gsN/78VpDkmXYT4qB4TkN/EGpKAqur685HA6jm2AQBp+cnDCZTMbWde/E+ji4t5yVzmVVl5ycGkKvZxAtW8/Dwx1NV7HZ7Xn+6jPOL56gAoHjBEpxeXnJ+/fv+fjxI+v1ml/+8lcYLQ6QsizH5180VO2ohxggWsZIiqzyLDslcXiyUauAmGg85AwCPImRfxS+DvRPOeX7Tk4vATwyLugxCHdiKBo+fSaGSGStoe17+qbFEKFMx3KxoKpbdtstfScY3nSSeA3GcezuhmFINplyOIjGITDx2MkzRvmRYOjHC/LsiOh8RlkKGKptG47Hg8dGS6cw9kFkWkkEsnQiFNQNMYqptfRYbm6v6F3PV19+zXy+oO8bTKAwnaIpK/LjEawjS1Ma3eDqhqquuL76wMPtLX/6wx94/fIVf/U3f8OXv/ia6WxG3bZo54hMwtnJEybTOYvFjMN+zzHfcygLCm/X/te+fnYxcHNzw3K5HGePSZIwn89p+p+Klj49bfVd7y0+MU+ePKFuKtIkxYWOIfDl07SpTxGYwNie77qGMArou25sr4dhRNWIyCIMzNgaLvODJ9AJBnW+OpHQit4SRomgVE3A/d09h8OBbDKRGaLFz/WVPHQo2r6jd258eT8lI1p/2mo8VOb8/Nx75YfWvqPzDonj4UCptOTR+6ASCa15nC/K+AO6Trz7k8lECp2mJk0kwrXvGqazGWdnp6RpysePHymKI7vdhufPnzGfr5hO5jRNw2w24/Xr19zd3ckcz7PTOx8wUtUVWZyijJAGFXB6ckLXtGw3G8q8YrGcsd1s2G131HXhrVjiAnny5Ik4NJzjcDiIIhxHlk3QykjOepKy3+74L//lv4xil+lsItY9+5g5MCwSA8BmGMUMheKwWcijocYF9lP1flEUxIkUaJNJJqmNeU6cJuR5wXKZ+mIgpPE2xNVqxWazGVHS+/1+FB9apahLiSDtPXMC53C9omsldMr2lsNhPwYmSVLf4CTpuLm7Y1bPef3FFyxWKybTGdl0QhwMxE6f4ImcaPH/7u2A1pXCu2ubsYi23gFgrUUj4TG273G9HaFEyllsLylztpNMBXluhWshBWDv8+Y1cRTi/Mnuw9VHgS45h3MdTVsTxpL2Vtcl4DCBYRolBB5FXDeiE6GDvCxIJ7Jxf2ptNNqM7/Vw4tf+v42ETr95DK6CNE29El6KxqEL0vf96NoZDh+fzraHTXg4gVvbj9dy+Psf8cWi81iv1/zX//pfub295csvvxy96EVRjNqUruuom3JM4RvU9NI9EPbE8FwPa8V8PkebYPz1MAzHQ1DvP9f9/f1PippPaZBRGBJkkobZtD15cSAwFWkqGgJHz8eP75gd54RxQmAUu+2GKBEmiUM6e3/5l3/JDz9IIt7bt2+5uHg6jmCGNUx5db7xh6zhWmqtca3QBHE+ZtipMbhIea1Lby15ITHbJowIvOVwuDejpfK4Hd9dCV8L/cy7x2B+ci0G7ZDQaBWd7SU0DgiVEt1A2xKGCVmcUBclHw4Hkql0YuI4HkPE5ADXeWurJYnlvU2SjMlE5upNGxKGAXUtYz/lH1vrIuZqNj5//bGlbSuqSuzzOIc2gY9FDrwjx0fda4cOwASK77//hnfv3vDs6XO++OIrzk5PMDvN4bCH3kq3PMskbdEHfhXHgvxQ8PH9W24+vuf3v/8dz1++5le/+hW//NVfMpnNiU1KYCxRFBBpgZ8F4QtWJ6vxcPWvff3sYgAYhUvr9VoKgabhWBTUTT16IZMkkZZWXWH7x0CXpmlAiXJf+5AMme/GI5ACHjsQURSN9jgTBmPrKfF+6jTLMGFHGIS0be0RoN24sXYe2LDb7wnCiDBKyCYzjmVJ07USOdp1xFHEyckpk2xKFAYyrtDKn15Cyr6lrKqxWv7vTzEDfyAMQ168eEHkxX5GG+Jhc3DCEg/8+GPwJ8uDmFD7E0EUBQRhOEbVRpFsblVZCESnlpdA8LmKvu9Yr+/54x//xGIxZzpdjFXwEJd6PB4BRRQnEJhxrte2LeuiJM2EhNc1LWVR+Hx7SXr89ptv2WwexvvU9x2TyZT5ckGe5+R5Pt6/JE6IQxHxFGGOMeHo/a3rygshA/LiyHK5oG1EGDkktQ0L+/AMDC1h7WfvUnj1Hk7VjCeXQRlcVxUPDw/MZjPi+Kfee2O0KIa7nrOzC/q+l0LQM8WHTkyWZdh9R9v1dL0dxbFxGNNZmUcHWoq6uqokOtiYT7o6dhQkJklKFKe8+uwVT5+9YDZfkk1mxElMoHpB4CIJk14r5SmXdhyFOSd2JmyP671a31pavzGFRlPX1WgRHDYl29vHv1s5/4/1GpoGcB6+pYjDgDhNyGZTHjYb+q4hNJreijUwjuR5dlrRIi3Jvq3J2w6F6GWUluRI6xy2LFFGjfP9MAzHLmJkYtq6EXCM7w6MC9EnQKnhng73Z8BpDyO6QWg2dOkGINVwDYZfA3y3Ub7HsLmJeE06ZnRik5zNZlRVxXfffcfV1RUvX73m6dOnfj1p/PORcswPNG2NQpgMQRB4nPij26hpGrIs4z/8h//Adrdjs9mOz9zQaQARP2stIV3WStrhZDolDCPPyGioqoaH9UZCd2YzkiSkqhp2+wehCYYJZZkTxYKG/91v/5lkMuVXv/6fSH2i45CS+vLly1EErnXI6cnZaEnt+x7XycZl/Jr2E/EfvtsVGJnd96IN6Xx2C/6/J6l8T9t1BFpga4P2YhAY9nYIHpN/xtGKHw8N7/2n338gwg6HAdv1dG2HMoKNxwk/4WR5SpylrA/rscOUZYIL3u321E3DZDIV5wLCHxjGZkNxJGuOiAj7rvXFg0GpGAmU00wmCc5Zdtsd+bHwIw+N0gFah1jXUTcNh8Oeuq5IM8mmadqK/f7I+w9vORz3UoikE56cncvPqjV5WXD/cM9+s5aRW2joI0Nt5T0/7nf86Q+/5e2P3/P7f/kXvvjya/7il3/JxcU52+MO23R0VQ3Wcn56xsly9bP2959dDJyeno4boWBHa7bbLU0n+fbDRRyq++NRAiUkcKUlz4+0XYPtLMqp0Rs9KJ6HONTBKjdsBqKAVlR1TZLEZJOZQH5KSRIzRjPJUtnArfxdyihM1+FQTOZzjA5pmo6mk0RBlPI6AQhNQHnMwWM1gzAYZ6zWOXoPPvq0EKiqSlpavnoOgoDZbCZtT2sJlHDOj7u9qIDDiM40XF1dEYYhn3/++U/ah6E/AcdxRFXlo71OKcViOkHHcj2MVlTF0VsARcRVNyWbzZq///u/59/87b/j5OSMKIrG00YQBMznM7QxtF6Il2UZ0ySlykuqph1Fk2EY0tQ1VVmRxRnPnz7j/OyUpqnYbjdEYcD5+TmLkxUfPnygqiqmU1HIN2UlWQ7WUnh+wHw+J01ilrO5HxscsHXP8bjHGMM0m3B+fv6TU/lw/4Hx8w8bbZIkBEH4E09/0zQoHK7v0Z7I1bYLEZba3udkyIihaRpub2+Yzxejxauu6xEQMzx3oAhDwRHnZSWLvjEihLNuJE0OC6Axj9apxwXF8PTpU/7iL37J6y++4Oz8nDCKCAONwS9gn/ADZC3sP2mfWoYIaqWNp7LhWfEihm2d4+jHab2VzoBzDqMUOghQztLibYkd0FuUd84YJac8EcJCkqVsd1vmsylpEoMXNE49PKYocmzboK149gGKqqJ/eBiLuTAMsR6QNVyPodsThREqUHR9J7HbSglx09/HoVX96UY+jATGkJlxtPB43Ub9hHMerWweuyifjgr6YSsxBEHIxfk51jr2+yNt25Fl2QgMquuajx8/YozhyZMnY6fCWumKWddjO7E9Gy1rQNt1dNaOHb/FYsHNzY2glQMpKETV/+g4cP0ju2Q4WKRJwnyxpOt7Doedt+hVXuPRcMz37HcHrFU8uXhO17c0Tc3bNz/SdD0np+e8eP2aqiowoWE2Fevqzc0NWZbx4sUL3r17T5EXROFhjMseMhDy8kBe1GO7HBi7OM7J+7KYz9CRiI2VVnIdjCFSahx7xlEIgWZ7OIzunvH+6UDGVM6No2QA7d0bn97T4f8fGS9+ZNLWtei8rKPrHX3bUx5LYhOJHkWp0dnwyJvQLJdL8rzAmICT1Qn7/cF3Nmus7XCILVZEgmBth/VdyKF7qZQSR1pdjroxjUYrwc2vtxs2mwf6vsXRE0Yh6SQmiAImR1lrHL3HCCsuTi74/OUrpos5Fpg04vRp64K2LqiUJQwNyolzSQHOdeSHDX/4lyPff/st//yP/8Tf/d2/4/nTCzrbMotT6k5z3O25vrn5WXv8zy4GZrPZOH9J02Ss9HSgeXLxYjyF7nd7uq5juVyO9CNjNK9ff05VVzRVjbKMgREWicZsfKtRB2akoqEEdhRisA7KsibLmrGF1vgORFNVTLMU7R/MdDKRuXQY0jYdXedRtXnjFxiDxnHMD7TGECcJ6J62LYjjRAIq0P4h1x5f3Pu5tfdBO3mR7WBLjAKKvCAOJc0t0FpUnE7CTMJP5leDkEhanDG4jqoqaTvBIi8WC7EhRRF40VfTNgRGY8IQ01siYNJP6BcndHXHYX9gs17z+tVrNus15ycndH3PyWLB6dk555eXvPv4gfuHB64/fuT89Ax8ZX9zc810MuHy4gl933E85jw5vcBoRdPW/OEPv6ezPWmSYgIzWr5WqxXWOvK84PrjFVVRsljMiZOEi/Mzyqrk/Zu39L3kMARBCCqgbRp5NjxoZ5jJzmaz8ZSUJMnjuGlgALQSQCotVjtijI/HIwrHNJiwyDIcis1W5ntt1xJF8WipC0MpjgYPvTHiWKkqx3pzT5pkcrJWir/667/h++9/8Kz8gCRNuLm+9id5r50JQ7kvvuVprRMa4iLg5GTF+cUFk+mMOMnEwmUsxvMmnLWeIy77m7P+Y+K1A5185tY19Fo9jhNcj+07+rqhygthU1iHwhGIyAWlIy9M9CElvlALQ5+QN3QheqE1ulI6HKvFkjD4iEPT4wg00pnwITVGCxpWJAvWv7d+NNJ3WIk0wiCKcqUUvS8aTuYSXz4s6AoZTRgT+BAWsSMOs+e+6yAwY4dssFkNBcGwDsiGIQK3IW1O+WtotCFIQ5q6lbApJdkjILP0NN1ydXUlM2IdMJlNSbKUuu64f1iTphnT2UzmxHlB2/WCnmaAk2mqpqZtO/9rwiMIo5hDnqN0QN9bjscjeZ7Tto383GFIFGeCA3ZWnCRagQmIkpTT2ZSmbVhvHgBxlQzkxrZpRIcSGbrOYjt5F6JYePttXXN/c89qceI39Zizs1N2ux2L5ZyiPKGuWpS2ODq6vsFWvWhZYuPHBsq7WPz4yn9GpyRtdDGfI64Zg/nEMh0YQxInhIGhLgvKqsb6WHdJOYx9MSsBcVEYYhJxCHVWxi6Du6brWqqywvgOgWRmyPOjjQgQrS+gtZL/ffdwTVEfMXHIanXCYbcjiCKMCSjyEq1DfvXLXxHHMUVeCu7dBHRtwyEXfogVBy8KaPrea4hC36WQIqMpSpqmEn2PEkEySlJJozBkkmX0fYt1PWFoMIEhiizTLKFIQhlL17I3XV995P+12/P02VPmywVoxbPnz4i++IKH+xk30TXb9VY6anWD7aU7iAFLT9sUvH3zPdcfP/DZq+d89dUXvP78NdMk5u72I21x+PMWAwI5yPwDGY4n+zAyNHVJ31nqUi5OUZS4zDKdTkaxV9t24IROFobSDnFAUVfjgm+tJfGV4WD7GCAsaWr8iUEu/HK5Io4irq+v6NuWw35PliZMlwsm6ZSyrqWKUkJAjKIQ5UJpsTaND+dwVG2JpaXrchSa1eqUvmuIwhilDUrJooZ1GK3oOudPrpbWe+oFxSw5CkOLdlA+fIrYHcRyQ0EhmomGIIg4Oz8Zf9/QVl3f3XM87nH4UyIGFRg6D4SJg5AsTDhdnFDmFb/5P/6B42ZHWVUsFwtev34NwH63pWpqKYS04njYE0UBi8USWsdut0UpuF8/oEJD07e0tmeX59zcXHO7fqBpKqIsYb1d+xa2/GxpMuHDhw84FGGScMhzppm0BWfTCacnK+pCOAB5LpV9lk4wU4EqhWE4Ko4HNO/Dw8OI0BxGFPv9XtK3eisnCH+K7PseEwpeuKpriqqiurmVdDaPBZ5PpQVsfOeqKo7oUEKNwkg2y5OzJesHSXC0zpEf9vzjb37D06fPKI45XV1zrGuSICCMBKWqlXAIHAqtwFoIjZF8dKU47HYoZAZrkWhcg8wRh7n/o8hK4ZwBjHQCbAeuFxfBaBuUDRzXo1yH61tCrTz3wHlctwKktWpMgDA6nBdqDd0Lofe13o7Z95YuL+iazneyQuqBt4GMGsLQYK0EGA1tYeXHElprrHL03gmBU+Ae/eJKISfd3Z7JZEKPMEayLKNuW1TbPr7bVoK/rLU0OMIoHFvGVVWSJLFPk1OjXW8QgqZpiuaRUyJppDJyGDoqzpPvrq4+UhQ509nEd4F6mq4ljcTuFnrK4YerKy6Ge6UFQVtWLVFo0FqsnihFGMm1Phwa5vMFTdcyDQUmpaz0JLIkFW5ALy6OIDRiswsMJohQQUjRNBzyXISrjWDt0skEynLUd8RhTJJMMEpGS9b148w/iRNcD8Wx4O2bt5w9PfPYeLBOMOoXF2fkeQE4wsh48ZzGBJrITEiSCXVdUlaFuFuVeF3iJAKkg1ANiFtfhA6aKqUUaZIIYCyMmUzmdF1DFEmKpcNSFhVYJMBNi93RWkvp9Qap98VbL4gNjEbjSOMIF0kHWRs1agmcs/TI4bFsaor7A3EYMU0SlvMZtS/UJllGXdXc3d6NiZEKR2AUWhkmLqbrzE9+liiKRvrfEHRkTMB0OgeXCX+jEPJm1/X0rkcbxXQ2xXkok7Ui+B3cPJM0oms6AhfTOEsXWQ6HHcc/7fxoEP63/+3/zmdffinQoSBmu976wswJrFlpjOnR9OCg7aCuc7797o9c33zg5u4jJydL9vuNiMB/xtfPLgaKMidNEtJMBF0PDw/sD1svKuzYbiUtLEnEuhUEZpyN9X3P7e3tONeLTEgQhaNILIxlJlwXxXgTPh05DCrt4d+DgGg6nfL6s9fkxwPHw544EExt70TAMvyZAefYDSIjGMVFbdv6E0+Is6JdmM3mTCcTsePhW6tAGMmChVIoLGXpqK2f0zqkFdpZgk8U5aMIzBdPQ7tpaBeuVkvatvJhII8I2el0ShAGXoCk2O02fgYbMF8sqExIU5SkaUZV1yyXS7799pbf/OY3TCYTrnxL7uuvv+bZixd01rHebNj7WXnbtFRFSRKnnJ15Cttmw8XFBV3f8d133/Hu/TuUEp78fC4pb0WRe/CP49tvv+Xs7ILWL+ZN09DWDW/v71g/3ONszzSbkGWS8HZ2djYKsebzGbvdht1uN7bnHx4eRqjQcN/iOB5HJpNsQp4XPDw8MJ9LBPR2u5XCCpk3brdbwlDw02mS4HyrfRBu1nVNUZaEfUjriYaDzVPIf4YoCmCS0fcdP/74o3+O5DQax5FPePNfCmm1aznZj4K5wHB6ejoyCoZjv+1bnPc0D61QYCyUlT+RYQxOi8DQOvkew8I3jg/8iGrkCwzf21+7oc0+OHWkxajG4nJoz1orWQRGa9IkZTqdUjYtbV2N71/sn333yY89fBnv2BiihD/9Gj6Xc46mbVCFPPuDQnzYyOe+COlQdI2cfhxyUhyAToBX45uf/NzDOxWFIVo9ZogMY6RhrPSpKO3/y9qf9ViWZXee2G8PZ7yTzT6FZ0RGJnNiJskqkjU0WV1dgCQIeuiG9DUEfRFBj3pW60loCRCg7kdJ6FY1UCW1isUhWWRmZIwe4ZO523DtTmfce+th7X3MgsUuRkG8gGeGu7mb3XvO2Xuv9V//wXvRaTvnmC9khtyPHmUMSlusRNFNe03ae9KYre8OGKtjsXXvWlqWleRuBMkRyfOCd2/eRqQvokhKiH2yB43M6loQhNFJ6mOQuG4h33X0g1zXcRTpskQ+z+PhJNp4bcTwZr2+IcR0v9GPDAxYYzg7P+dodcTN7Q0hBOE/pEj0XO7RbrcjkIyhgljJR6Z/QkLTc5XUPA9f6T0mrkgWOSF1XU6kyntXy3xCdpqmEdMp55nP7x1BU8aK0XKO9H3PZrMREnPkEaV7mu55URS0TTONes7OLzg5P6coK7yHq+sbfv3rX1NEEvTTp0+nEY14htjpc3QR2U5nRRphyVjFsNvecXuznhADSYU0EIZpndLDOMY15sUUKytyCGLwJKPBkRAEJUjr+s///JesN+JZIxJe4b9oJSZkZAEd0Tm8whLQTpD2zeaWP/+zP+XR4wuePHn0959NMAwi11ouF9T1jJRFLgQbIX0sFksWi1VMomsmOUcihGitCS6GxxjJl943Ddoa0ffHBT5VhvEmpYWWbhIIoUQcwBR1VVHmGRrEUz9Wqql7SGEbeZbhUHgfO7tGNrIQJLRG5kwN+/2O9fqGx48fU2Zz8J7cCMvUaC0JaPGzWyOdVwiB3nv6yBAWj/9sYuvmcf643+8nNcbJyQld38bDRAhst7e3WGu5vb0ViUnfoY1itTri3bu3lDEmWRuNNRavRHnQ9j11VYpXeddwODh+/eu/Zrfb8Ad/+I84PX/EfDaj7SRmuIne736Ujurs7Izvfe97vHr1ihcvXtAfeu7u1lgrnt95LumJ83lNUaSwEMcXn39BWZY8efyY4+UKazT7+Yxx6GmbA33XTcQggJOTE4ZhYLeTEJ80z0te9Mnz/ejoKGbD7yarzq7rxN71+HiSZokDYYcQ5tQkQRMCWkXwAtGmACGZXQra5PoB5QN1WVFkQlgdU0yyUXRdj3MBYwogSBGgfLynAn+mTIGkmS7zUgikRkfP8I5x6Ahjjg8Kxh4dkjQwRCIhJIV8eimt0UpMnhQIOS9u/GIc5KfDP62Ve2WGFpmTVlgDeVaymK/iRt3Tdk1EBjQiW5WuN89zFjGO9m6349C2gKbIS4nwLWvoWobIwSD+r49owb1z3P1rKgaiusbHRDxjzORqClFyXJYYlHgvWM3ohIyXEi1T4l9VddNnLstyiqN9WBClbi5ZlO8jMfZ+rBDYbra0bSMBMNpggsMjOfdhlDGEJDEKSlIUBXmRi7/BFrp2j1EpajmpY2SuruKhuNvtefPmjeyXoxDw0jUK3uOGMUqYR4qyQoXA3d0d3sse0bR7Ds0+HqCWPqKsu92Wup4RQvRfmBz0Ru42a8bgqWY127sN7/P31JHY50bHYX+gqivKsmQ2n0clVR+/7qf7hgI3ppm9nlLz0iw+yTndOEw8q4f78367Zb/fU1XSQM7ntYyFlJ74QZO0WWuyaISU7msq5pIDKzDd7zRCBKZ7nxqqFITURlIxxnB6dk6eFzx+/IjT09OJVNlGcvjDl/cSmJW4L2lPSS6Z+/2erlVSxLiRLMvFNCmOqLyXwLRDs0f7UTg8EZGaL1ZkuaXRDX23JSiP0gGJHJFAO+c8r1+/5u3lJXVVMYs5IoOTMZq1JibmKkIQGbEexVhr9ImR7Li5uWaxELL5d3l952Kgqgq2257N5o5xHDg+XgEr8rzEaEOeiTNgkqkIS/Ue9gaZk227DlyyUHWouHDTRp0Waqr4xc/72xa/qapsm57Dfs9l37FazDlaLqRb0pLnnYyCFosFV1dXjPGBzzJL30vQy6ijIyCpsu3Z7Xfcba7RJnDx6Bnz2YKssIB4uwvpSoMK9NHcRGkNWR7hSEc39PdmKkaITSowkXLS+GAcBsrSTp8teXl3XUcXoUHficRLDk4/sWBBxjfaaI4JnD+6YHt3Oz2w1zdX3G3W1LM5P/6xKBq+fPEVLniOT46Z1TNevZau5YsvvuDzzz8nhMBHH37E97/3MTc3V3z++Wfc3t5IkXTYUdcFx8eP2O327PcC+yakYVZWnB6f0B72HFpxpez7njoWdxM03HXc3d1OHUHq+pbL5bSYU2WeusLD4cCh6WnbTiB/c98djm6E8G156uXlJfvdlmXMHkie6Toesrm1VCenUvWPI2VVcnV1xe1ui/iPB8wkATSgAlpHmE7LMxQSaSgSi0DhCok3zYuM9d0t4zhIN0gAJ3nwboIhmTY0UHjl42ZETBCUZy29EkwYHvAKHjr0MX0n6VJSJ5uew2EY6PqGgOdwEI5ACMJTUAh3ZzGf8/jxY65u1xL7DFibUVYVfXR3u93c4d04jS9QCuUDKobJJKQA7jdq/UAu1zTNdEg/jIc1WlPYDLTIBbuhm8xq0v6RDLuMub/XDxHIpGTw3k8BOum9pD3koWwuhBgy5QOjl2tQVjXVvGaMiaRJZpiY8KMTlI8wyjgHcTXUmvgcyOH25s0bshgklmU5Pu4VJh5su37P0PdoY8WG3DnKQvarx48fMY4D795fMp/PefTokfCcdluurq4ntEzkherBGEDexzD0XF6+pZ7PsMbwg+9/zNOnT3n25CmvXr/i1ZvX5LGLbpqOsqwoihLnmQi3PhYBIO/ZezcdwmmP925ku7mbmra0BoFJgQEPc2PChFil4iHdj92+icVeNRF867qOXjPhXtmQiofoQ/JwDwFomxarNN3gaPue0Xt2+wOz2QJQbOLPSGoVGaMkFUE+IbcPSfMP15gULAPWRlJl20/Pp/cBbaThaNoWYrIhQWGsYrZYUdUlRq+l2bUWPw5UY0bfjRwOrTgPIjykpEqzEZnaHw6YIiczFsUozpSjw/UON4JyoEYdE1ldJEYX/4GT/f71nYsBY5S4bDlHCGJeIqY1itl8TlXN2G6337KVTbKnhwVBWZSMg9zApjmQlQV5lKcR/24qKIwxke09Tg9ZgpkTbFfXNTs34rxk2bdtQ1ZU2BiMcnd3F6V4MkNVQTy5u67FWEtBIezeYcQYmf2dlkcMQ89mc0vfOR4/foK2EidblDVGKbqhx3txEdvt9ygllZ3WmqCijbFzVEWOMhoVQz5S8l6qepNUpaoKrq6u2O/30SDHsZjV3O62jG7g6Eiq2Cyz0XLVQlB0UZon8OmSw+4OrTOGIYv3SfHVV1/iHfzFL39JlufkVUFzOPD9j3/AL37xC7TWfP3119R1PQUrXb1/z/rulufPn/PRRx9yfn5KwLPfi0b68vIdb99e8v6dOM89Pr+g2x/44rPPCFHjPo4CyydpT9M0vHz5kqIoWC4XfPjhR7x+/WpiinvvOTs74/ZWxgfp/qdXMnhKBMv9fs9qtWRWP8IYNXV/9/C4hCIlmWpd1+LIGMSBbRxHhggjX19fi845EpMkRU04IzouaDk8EywrpCeCOA7KJuFomwOZzUAdU88qIewROQJDh+9bQoiyLa3xcRNVWoMWm20XojzQedQ0t08ogJduIHZuCTF4WERL7rykwOV5SZ5nE3rlnJ3kfl0npMyEDhhjmFcVz58/pxsd2dcv2O/Fpa4oShbzJV0/EIKKsc6p83+4U8im+XA8oJRCB/l7Pq7vhyY1YzSomeDeeIDCt4OWEjM85TSkAjoVbOPoRDIZvQfSBp6Id+nAShu6RESnlMkLvDKs13dTgSFOcffe98MwkOUZ/dBz2G9QlAx9S/BDPNxitoYHE1HBtFctl0uaw57Mag47cRTs2xgPbBQ2JpG6YYB4jfq+Y7lYEBCC4H63pY97amal23bOR/a8OFQKjy0QEOv2rM9Y39zyy7/4C3bbLR//4GN+8uOfcP7oEYfmwH7fcHN9GwOJwOhA1/VoDXku8dkhhFgM66kIT8UlhMkwaUomzHO0UmSxCE/PezLq0XH8Iq6K7fTkpAbnvuCLBnYEhr6bvvbwuUi//1aGglYMvTQTrmnY7Q/U2x0XF49R2rDZbHj37p24g87nohp5fME4DlNBk4qCJAlNow95n47tdkPwyXOnwNocEJMo58RvIYWYWSs5OToofHD0vsfkNpIpPX07oqIFfe5yjMkJQaGDKINOjk84Ojnm0DZcvnvH+5srTFagGdBhAG1xYWQIQzx7YgYE94XXd3l952JAtLn6W5Vv0nx2keF4dXXNanUs3apWjKNUaw+7E6MNgxE42FhL27SUZUVVlOx320mnKzdqIbP1/QEfF5c1QvwCqOsZZSFV093tDVVRgTL0w0hWlFRVzTgOXF/LOCHPcrEqBvKijCRFi1aGV1+/kMN9VnJ+doL3I4vFHD8q3l1eYvOc1ZGw54uipMgzVCTTvL+8xBjD8ckxSiF+BdHX3kdtqNFiSDSfz2NAUE7ftTTNgcdPztFa8/jxY/I8Z7vd8uLFC95fXU1ddarwxrEXy2ZrGHohu11fX/Pq9St8GIRcEivyZMT08ptveP9Oxh71bMbZxTlohdYq+h5kfPT971PkOdc3N4Cij9Dfy5cvWS7mdO2Buq7IC8vFxQVPnz7j9PSMv/jzv+TT3/yGa6WZVbMYIS3z1siT59A0HHUdH/tAcCO+7VgpBdsN+XYnXezoUHdb8qaj7JoYPiTwfFXVkZFssOMoUjmlCLMZ2gcq5ylMxl4ZiIe51YZMGblOnRxgdVBk3YD3HQs0w9DHg1zxfiv20jpyWRJDWOEmEpyKIVoKIJL5nPMMQ3IrC6jOUTrHoyLj+2dnLL/8Crs7QJbh+o6h3UNc5Fgrc9mILCkjh6AKAR0CaozFgArINFBGBMqNMA74weGHkTCMKO8iqcigtQWbg7VQFHiTCaLkRjI/UA0dYb9Db7c07UF038ZS1TOyosBtNvx0cJzYgsvmjsJvmbnA6EaODy2rQyvvA5HzCcchomMhoHUsvLWKM04pBowXi2Q3OuiGKFcLsVv3WLcjtxm1C6hDi/MjB6VY1/W0D6VxUUIL0rhuOmxikWGtnQKo7uV7LhLn5NCwmUTzXr67pBsGnjx9zscff8w3r16x2++Z1bNJ5tgP/T3C8OBnGF3SNo7DoaEooxIpSpjLQjZ1ClFGeOc59D3ruw1FXlBXNcM4UtYVPiiqqiSzGbv9fhoZjc4Jb6DvWcyXmOWKzWYbP4fYni+WSw6Hhn7oWa6O2e8P7A8NZVXJoRA87y49tzc3vHr5kv/kj/6Ik7PTaO8rxW7TdBRlRu4961evIrl2TjK6UooYR8+E+MqIIPI1ilxCnaJKRA7JSvJXEFvj5iAjUpes/YPsVXmW8lU8VVVTlNKs+Pgz0iH9sENP6E/y+Ji8EiKRdDQDNs9Z320Yh4G+6/Bu5NH5Bb/7u7+Lc45Xr15xdXXFzfUNIThWR0vGcaRt2mgIFSJyLXsOCAHVRJIwQZ4n5zw2E+SkOcTUTzdSlhUKGN0wPX82y9G6jiOwEdX2EItiVJh8HAhqyhdJ3Imjo2MuHj/hv/uX/y+0tWTG4nsAj80kUTE4H1VvMvLJ85zlavmdzvjvXAy4UdF3jraV2VBZjDjXUVUzikJH2cyAcwNdd+8k5pwTBnGE/NM8vZoJXBwINDECcxjGOCoQyVuSsIXRU9hoOOIkRCYZWxxGkal4NGNQKCPM4cvL98xms0j4mQFCPsqyTA7AosIOMhsqyhmPHj3m5vqaze0d65tbfHAs5nNOzySbPHiHUYp2v2ds+8kjIYyDxCR3HYUVtr8Lgp6oANZogrH0/UjTCblwNqvx48BqMWM+k0CWm5sbfvazn3E4HBjHkVk9YxyGKMmzjGNHVYvmW9jW0PY9n33+ObfXVwJ1lxkBORAyI1WsUZZMF3GhFVxcnLKPbPqmKXnz9hIXZ3RnZ2cMo6Ntd+JLMI7iedC2XI491mowgT//iz9nPl/w7NkH/N7v/S7fe/6c3/zqN9xE+LIoRHmSlTVYy7Df8b959Zbnfc+QYPG37ybS2eTu9wCKEwkdsbJeT19TqAcd6YNfsfBIm6gc2Co2qvfzbUKIEPt9B0tAPNijRO1v6v//ttc9kS52msFHeF7es/7yG7I/+Yv7SO4YzRqmX/7bkzyVUjKnd8/f+PKDH/7g+8Tr9LeNCiB17XIo2+jzUgNL7zl392REEJ6CUornyPpLJj4umofJXDrNNlOwS7rW/Ps//1tfSF1clEg+vP7f+pz3f18H0CHwv/9Pfp+76B2Q+AbOjShlI9GsjyiDY78Xg7OTk5MJflYxUadtHTa7j+xOSAVKR3Ltnn/8j/4Jv/97/5DL91dsNltCfNbKqmIYetwwoIMnM5regdKG2XyJzYRQt223E7JJXctBl9mJcOd9wHnJCpnVJTYXNEFbi46clCwrKKItuO8HjC5YLua4qMgq8hm7Xcd2c6C6mNM1A/vtgfl8weOLC16+ehUbEXHJ63sd7Y0Nl2/f8f/4v/8/+dFPf8qTZ88oioqAoe0dg5d44cVqLhkK4zDdl0RuVAhKjPdkZUHQim6Q5yT5sYgE0OPcPXIUUJg8x/XddMgZm1GU1dThF4VBK0WzP8TGz8i4LI52HqJf0+gwjv9MJFCmkVM61KU5yyis5d2bN7HRzDg7PWc5X1BkBV3Xs75ZMw4jVUQxxUxLslyUuAgQXJhGPC5y37a7PW3MaVmtVjJO7u/D12QFWCH9EeXuBLStULYnmAZsJomlqif4ntAPsh9Yi9HiHNu1PcfHJWU554//+D/lxcsvhUwaTcAOux2OFjsGMq/RbsSHnvXmBvT9qPE/9PqPkBYWtK3I066vryf5W4J0sizj8ePH05xukgVGODwtwAQ1JWJf8vhP8H+e58xmsymKNhH/xsh8BhhGqdBkc5AHLkUHp5/5UK74kHGaZlVaa7E1zsUY6TjaUWaZpWkPDEOHtdm3LGZBrIn7bohED4e1Rgh1IjMls0acvB5wHmRkENCmYPQ9mTFoPIN3KH1v6JSg78SQd4OQU/Lc0vc2PuxieKOjWmOxXGCNVJH90ExeBg/Z5GcfnKOQGdbQC7HKdS1lJWYjQ7zum81GFp41kwWuzSxlltEcRGvugmMYBw4Hw5dffoHWGedn5/zxH/8xm7sNbdMwm9cYo/jTP/23fPjBR+TAydcv+T8+uuCTszOM0nRDj84E3uyHHufkeoqDnrh+jc5zenLG8+cfikOlHyVk6YEvQV7kzMpKUghVihgFa8XXQCmme384NOKGOI7cbbexszAMgxjF7PZ7+jEG+sQCIR1mPh1mQWxX04Gc56XoyLc7jDVk1nJ8csIPPv4B/+Sf/lN+/w//gOXRESYTLf04jLimZf3+krvrd3g3AJq8FIRGZfLLIcFdJih0lPbJWRwiObNh7DoxH4rx01F2AMGAyiJnoCC3OWVZUc8qTC4pcoem4c2bN7y7vGQfzWPKxZxiXtN7x8uXL3l/+Y7d9sDlu/eUVcWz7z3HZpa2a/niq694d/UeE7MmULFgiEWNsRaT2RhCI/cgtzm5tRilcP0IPjCLEc7OOYJMv0nZAUf9wP/63/wSEyVkqfNLG2367yRNTWPENBIScy45OPpeuutEWBWSc4vNMhbzOcMg0b1ffPYZCsXjp8+xNuP9+/cTzyfPC3rvRRpobZyhC1ckoROpS+06yWk4PT0lBDHVSu6jJycn4pqoY8aGSVHJhjGqLFLYV+J9DMPA9dUVZVIkoSjKIu4vEtY0uFH+XVmAFkKdinC/jaOEgGe9vuXP/+zPMEZzdn6BVopZXTE6RzdIgJyYh8lBO5vN4shjiFJATV4Usai+P6wFJRboP88Lgksk8PtzxGgR8Ic4tkpdr/CnoqtlZPWnMZLSTHt7KoLTmZKuTyoW5PyRc8F5R/LT2O93jKNjs9+xvltzfn7Bj3/0Uy4uHsVx55br62tW48hiuYToqtl2PcFJx14WQpaXbAIZ8T558mQ64xJ5t0E4BA/5PKLgUYSQRnQtSklIXlGUsegBhcY7zzg4IgDOoWnQ6zVdP/Ls+XMuLi44PTvhk09+Td82mKqiKiv2uwO77Q4XAoyKUmu6YeDy3bu/32Jgu91yc3Pzreo8Wd2mud98PheGfNdNSME9w1lNsF0TpR/pZqdqb/LjH5PkKfp5G0sfSYbaGIj67kwlssu9bj/BhSn5Lj0wAEdHR9PDlD5DWryzxYLTszMhxnQlfddyu75l2XXMZjO0lkq0bXuKvMRmWoo9JeEalZXqG8XkqT4MwySBzJWVgiCIJt5qg3NgrPAaqkqkPokgqWAqaMZRzCxC8AQkxMR7Tz2fcf7oEV9/uaftm4lslnz702gmsxknJ2f82z/7U1xwPHn6lMHL3OtRXHxpdpeIQb1TnJ+fM5/XDG3Lxdkpb96+pu2byRGwbTusERlkXhZUfcXPfvYztIHXr1+y2W5ZLBecPHok9zUveN/LweW9x4URmxlmswpb5szqShzMtluclkMkOz1mGxwHFTg6OWK+WlLPZtRVTV0LCWheVZRZHud8UrUbI8WezQQiPxwkaKRpGpq2p78VF83NZiPoEtBnGS0wjGmjuvfLDypqouXhA0T3PsSwGq01VZ5RZBk/+vhj/uh/8T/nn/3zf87q+BhlYhwxgBI3wdPuY26++ZI3L1+w223ptGI0BpPnmLIQ4hGagBbGcZGBViKVHHuwFlXk6KFAtZ2ksfkQ/QsUSknks7cZqijIqgrmc2wltrX5oUHvG/r1hn0jtt8qyxm1pg2erigYyhLvNdmJY9e23I4jx8sF2WLOuTEMsxoQ29ym3UfzJ0FNDOJpkdZ+CIEGTxY8JqRuUQi4i7qaYN803w8hYOP3SiSxh4V9sgh+ODtODUjbtqzX60mRgpLiMHWT6SAJQa5XO46RU6F49eoVr1+94flHH/Pbv/jFpFx5mLeS1haIqsSY+8+Z9ru0rw39wPX1NW/fvuXZs2fTwToMA16pGHDkxa8AOSglx+Ge4Hh0dBTjcZuJT1CWJXVdR86BBiPkssPLl6BVTOsUxdduv2UcXSQJxrHjbstf/tmf86Of/ITjk1OOT04lxG19TZts4OP1TA2eG0cZYamcYI2oAtw9lyPdm1QYoFWE+B+gcenzxQM+7ZPAlKGRiqokyVYBtL7PSdjtdtNZodS/zyuR/AdRZIhTq5+KGaUN3aGh3Tds7+6oipIyFztqT6CP8uTV0UqWq1KMfsR34gAZvDROdS0jmBRVnd7XODpWy5OJBP4wTEvpjHEUtCbLCrIspyxrvLGiDkLjzUBmczk/uwGUx/UjV1fXoG5o+o6irDg6XnGyOuXF3VdkVhOUoZrNxaK6kPNV3FIlnfTvtRj49NNPRZcaD+jtdsvJyQmnp2eTjr/rOt68efMtDWiq2LIsY7lcTsUAJOYtUzTwBK/B5C53OBwY4kEfFOTWEBTSdfj7nIAELz7s/vf7/cRXSEVB2kTSAzcMA5vNBtflzOZi27te31KUJR41dcvWZmhtadsON3rOL06iDnicvrfE2QZ0JL7c3d1xdXUljNhcnBUXteR9+6Hn6mpHofKJwFdVFUdHR/K++oHgFNvNhjGXjSd1IyHEqtc7TGZ5/uH3ePfmLXd3Nw/Y6Uw6/fOLc6yRn/PJJ59QVhWPnjzG5iWzuqaI73VScDQt65sbIFBXJUNA8rdHiY0dHmzM8/mMFy9e8O7tO3KbcXVzzcXFGW174Pj0hD/9sz/j9ckp/8u2o57NOFqtuLtZ4/xInVcyeogz+XEc2R8ODD5wdnbOfDaj2e2ZlSWPL845Pjni+PiI1XIl3UNmqauaMs8ps3zaQBIr2OZC3AlBLKQvHj1GKcWhaXn95pLXr1/z6NEjttst79+/5+b2ljHI/UvPSSo2Q1B4J8hMeubatps2/aqquLi4wBjDD37wAz788Hu4safbb+MYRLzolbbYvCbXntV8QbNYMbQdLiQJnrCDxeY1DlZNivTVovQPQhASQ65oauPF3c9NB9sgnAcFXZcMVDK0TQeoRmHwXtE2PTY32H7AKBiCx42esqyYz1eUVcWr16+5ub1BacXJ2SnL5ZJuEHllUeZcX7+filljLSHKe3W4Z4F7H1BB3p8OMi5IyFnqghPpTkKB+iibIN6Dew+StNbThh1CQCs9FaqJVFuWpdin9+235s1aS5jL4CS5UObvObkVsuU333zD4Bwff/wxSbY2DANj34EXFZRkfHTfkjWmBid1vF3fTWiAic9VKtZnsxlZkQuR1Y0o77F5jtV5HDnJYZjQiYuLC4o85/Xr19P66/uePjHyo3IJrSVFUivyeFiOrmcY7tU0Rml2mzVffPop3//Ys1ossXk2SXZXqxUP5XTDMHB3e8NsVjOfC4dDuEk1aMXhIFyMuq4j+XFg6EaExCYKjEQ8T6+HxVNVVTQcpjRKkIN2GAZsZiaFSvo3AJvNHU3TTO81NaXDMNC0B6qqpOt6QpBApdH1KGdpfGB7d8e7t5eM/cjZ6TlFXtCPPWVUMfgQKKuK+bJgHByHw46+bUCrWIQK0pKC+YwxVFXFdrubnDK991PhmcVwvTTiMkaI4MZIzkIAyqpmv93R9h39MOIGR56bSeWhY2H24qsXGPV9Hl88pe96rm+v0DqTUfEso6gqdvs9OppQJYfQv+v1H2VHnGC3BB0l/WWqUNMNTD7X6SJ1XUdZlhOLOMFQxM1gt9tNmuAkVUv+/FVV4VNln1lG5yK5aqSagkseeFf/DZLJQ8g8MYzTXEkpNRlvnJ2ciK0rcJZlaKN48uwZ65trFCKv6rqB/f7AoWk4HAqE1HXvj344yPzs/NGjyes8IST7Q4vSOYU1DD2MUafeNi1jcFOBlDoHmfMa5vMlAYHavBcjDlIBpGUuW9YVv/N7v8PLr7/m0998MnE1EjJzODScnMy4uLhgvV7zm9/8hrvtlt/+xe9IZRv1+84JT2O33dHtm1j9qvg9DrJ5Ny0uSpiszaIp0J7ROcqq4vMvvuCXf/kXlHXBhx9+j74fuHt3hXeey3fvuYkSdRWde5TSdH0rc0ii4+BsQT1bsFwuWM1mHC0XlHnO44vzyUtdK0WW51F6VJBnJTazQs6zlrIosbkVExmlqOMzYhKkZyS10DnH119/LbD08TEXjx8zOsdnn33G3d0dd3d3HA4NQ+/Q+j7YpG07tFbMZnPyXIihSQL2i1/8gpMjcV4cDgfCKASyPkKYPijmRca8ytABynyGV5GJHOQa+ziptEoChXwu2mkTbYmtsUKidApyURwM8YDzfmCMqh+BaWOnnVmC0vKcB8iyUpw2ldjautGjc/FXWC5XFFkRD+qSoODLF19xfXODzWXDSQqFIi+mcVrTyPxUi3Ca8UGxHrysGKvEUz7av9N13beyIaYZcl7IzLfIvyVdS+v5YahNKtDS3DodJJvNRgq4hx4OKvFLQAVB6aSQENvaYXQMiO3u1dXVtO9lWYZViv1uw2a7Zj6fMZ/P2W63E5qRpMFN00yHKeqe+JieE+89RVXK6Ch4lJZ8CROJZlqZ6Tqs12uZ4beiLrDWTmZZAMcnJ4w+0PWd7EhGT9cMpNhZLiWjoO9avAvkWqKk37Udm/UdQ9fz5NkzhqHn3Ttx8Dw+Pp724i+++IIq7mnOCX9qHAaKsqTp2umapnGncx6tUqS3jlJcIcEG56cxQSrW0nmQCvCkDJJCUvwTEtKZxibGWEnDjYTSezTYc3K6IrM5V1fXOOd59+69+HU44XL50dHsD9zGYjOvcnb7PcTRyHK1ZMwygjZ0bYd3nrquqasSsVPuphF1aiqzLGM+m9F19/v5Q46QdzJGKMuKo3it2q4nZIFdu5X44vl8WgODEmK+KS2ZNmiT0TvH+nbNS/2K1fGK+XyJthm7ZidRxW5kcI4+vp9qNptUEH/X6zsXA8vl8luH7kPOQCoQkh739vZ2qo5Sl9733SQnmbTb8SUpb+W0mNKiTsXE6OXDGWsFZsrE/lcMH+wEZ6VZmvOOvMjF19patDE0zQG3ue8kUjeR9KRlJmmHAZkJrzcb9vsdOlZ1w+jo2j7+Ox8/446qrimKHO88TdsQiLGgnfgMXFxckOc5+32L0jYSvpLkIwMFu+1+kuQMkfxSFmUspHqKIiPLbUz/G3j09IL9fidmSNbS7ncsj474SV3x8uXX3EV5lNREUvDM6jlnp2f4H3q+fvkN11dX/Mm/+Tccnb3g+PiYJ0+ecnp6ynw24/bmhtOzM87PziA4Xm+38b6MaKfI84oQoChKrq+vsFnOZrthGEfmiwV5kXFo9vgQ+ON/9s94tDrh6H/3v+X07FT4HqOjKDJ2uz0pd8EY6VzzsuTsZCUwow+cn51xvFpyvFxS19XEGTDGRgOSCm0L8WpPz2PsNPKiQBkbF6VsFia6FS4XS7qu4+rqCqU1v/O7v8fZxTkn5+fc3Nxye3vD5du3/Kt/9a/5zSefoI0oEqyNY6VYBMjmbzk6OhLSZJbx61//isWs4uz4CKM0ZZahfaBAcWhbxmFkvXW0hRWvDWNQQeOGjnYY6JyTXAxlcNpic03AEoLFa43R6UBTMctAPOKVFgc9bUSW6L0nOMi0sPiFwGsoykI6E5tTVXOqeo5joKpr6uUC1WYs5gtev3zJXXMnjPA4DnPjwM3NNeePHlPkuYxKhoFZPWMxX3BzdS1+AWUhh/I40kcDMGszQdgQB08VzRICklUSiFLJyPXZxa+lFMN0OKai4OHIMo0RjBWeC0oGFsJp6iKnJ657iGmTMuoTQqPY4/5NIuabN2+4OD9nNpvTtg1922L0vaNlagjS3pbWsRh5nUrzsDvE4j0qLhSUdS3oSTzUjDUCG1clY+8FGYz7YVmWk9QuWZon8zJBHXP6tqUfBpSNKhoV/R6Qbj0Vaz5KY90g5kXOw/puw83NLSdnpzz+6Huslkvu1usH83uB/R89umDsewiRWOqFC6HtvedHQnuHYcCo5Ivh4vMTu3p/7zOQiijv/cQXS4hIGh+IBbeQGJ0SpnwIkpGTioPk82FtdC/sO7ab7ZSQuFqtaA4Nza6XAmwcGPoOu1jgxoG6WjH4kavr66jqkgwF1yXybohj4gP73Y6+7yhigFzTtBFdkvG1d98OSUojbBDpZ2bkXlSlzPo7DQu1YLO+Y7ffYZRGG8nMKbJCQsicI4wOjGEcA7/+5Nd89P2P+OGPf0vIp9bQdz0eyHKRtnZDz/X6hqPV0Xc64/8jCIT51Kk/JOsJeUUegKQ1zbKMi4vzeGMTAcVHa9ADzkmnmSruVAEmk4SHL+c9fTToSXOpZPKjlaLteopCyDchBHwQRy8fQGcZSmtsnkPsysqinHSXw9DjXWC32TFmOdvdHSGMDGPLYb9hv99Sz5dYq9lutlhtCGFEKc+h2dG0MIwNFxcXLJY1TbsTmeXowFjGfiDPMnKboeda2LhBSC392OGCR6M4WR1zcnQc/fRl5rXdbFGYaCoE49gzDB3Gatq+QRm5J2EcGTvL7c0twQ8Mo1SGWluMtoCh7wPbvViqzmYzMmtx48h+c0fTHKhzQ7df4Bdz2t0eI6gaSinevr1kGAaWiyXD0EMf0EaMb9pO0r8uL98w9GOcUTqOj4+xxnK33vLZ8CXzn8wwxvL8ex/xnzx9RtceePnN1+zuDLe3t2RZJfcpK6nKGat6gTUwrwqWdcWinFFlNbnOMVg0ljyryPIaY3IKW2J1JkZQ1qAzi6krbFaT6zJu/oqizNFWDsaimkEIzI/OePzBR5KLcHoKdc2jcWS/2XD77h3X1xt0MLz45mv2hwbvBSV79OiUfmjIspzlciUhTnFWGvDcXN9QaUuRZzjV4sc+okFBVqvV7P2I1yPGeulGfcB5jyJgAKtFHSihLDAMDmeYoFaNFAfOWhwOFyxKZSjVoULD4Doya9FFRdCaLohMbdSKstTYuuTi+TNmJyu89WQRGVPX14IAZJazR2dstht6JyzspmlpD3t26zuszfG9o3EHvB8pdMbj80ccDi2MAZNJ8qF3I8EYPEgmhNIwOJSXjkk8neTv9k6CgNzg2ffS8W22O0Ip6zY4LzPc4Ke9IhUHSilU9IfwIdA2QhatqorgkmLCUFWyl7VNg8pEaeHGIHkiKgXmeJQf6A8D7X7PvKopswLX93R9Q8qJSOiEdL5CGG67A0oT7Y4HcYK0Fhdgvdvht1vyoqQsCqy2KBUog5Hv0QW6tmMYh4lw+JBk7YKnG3q6MXKoMks/9BA8Co/2oLzG9z1W5TJ2sMkyWZNlUdXSDTJK0hY8bPY7NocDOs/5xe/8gmU94+r6iqFr2Ww3OO9YHZ9wt75laDtG7xjaVoo1FE0jYV5yL3KslewO58QUzLmRbid7UKHvR3qpEEjoqvDQmO6rkHbv/Te0kedEaUUYooTO+TiuhXFMoxpNntfkOZHQKAXfMIjZXTe0tGPLoTtQzmpm8xlnjy84PjkR+/TgJZbby5kxnz9it9vx+vVrkThnhRSQ2rJarbi9XUd+gJoKnTQeEA+HGAgWG2odUd2izAlIdk7THFjfrSX5NjYx2hiUubdnzrVBVwqvCu52V9zdneBD4LA/YILChYDJLdW84nCzp9t3DOrvmTOQDtDk9S2kOk2KW31I8km/T3O25PR0b0whnvsT/Kzu52PA5EAlEO2BqiyFnRzRhL7vKYsCneeEICxhFRAvbGsZR3HPKnLRvo7DiFaKoq6/ZYWZHsZ3797x+Fy0/m0rso6qkkSxu80d89mc2XwmWexuJM8teTEHwsQbOBxkdnWWV/jgphllYXMxFVEarQq22+00nz86OpIHIxZSzaGZCJh5nhM8tF3H/mbLbFZLNVxWjKMjyyzn5xeMXU9fzthvtqJ1LgpOjk/oOpEfdl3MrzcCDyslfgvBGlEFtC1fffkFRkuksB8cRZ7x9OlT6rpivb5mfX1F37f0XYdWir7rxCUrKJbLFY8uzgke7u62NIeGPsKhuc3o2o5Pfv0J/6LrWS4W/PSnP6YocpbLOS+++ILHj59gjGETzYEya8mNYbmoWa1mrBaLiByJlanNLFlekBUVWV5S1jVFJh0CRkuXbST4xWQFxlSYzFAUOUVdEJSkBaYGsFocM/QDWmm0rUBn5EVOflJSqIw//IN/RKYsi+WCy8t3XF3dslou+cXPf07b7TjsD6wWq+kwyvOcuqrJtGYcxintLzgJFtITX0UyDpRR8p60MKnRA4wR4itFSorNCEpg8sTWF3c4S1FWFGU5KSkO+wO3NzccdjvsoZnmsUorjLE8++A5VVkJUtAI1Nz1LaPrQTF1nOv1HZ3znJ+d0Xcdi9mMw3ZHr4QtfntzzfnFBfNZzTj2lOUCpSSc7JuvX8pn8feGScELXO1djs7EWyF42cyV1uL/EcR9MRCm+whwODTcru+oq1rsWDPRuyuVWNwmdtES7a21AUbR6LfCB0jjA62NdOLx4Gq7htH56XsE7xA//oFx7FAYLi8vyXNxqnOun6JrbbQWFuWL5IakMaTEkTeoiJKAJOAFpSnzAh/CJJHuux7lA2VecOgOwgHI7ve7+XxOnufc3t5y+e7d5I+fQryatkUbUSZMxkohMCjBLfq4B6drILwmhcktzoGP6qwss+w2G968fMWz5x9wcnzM29dvePP2DVlZ4UbHcr7kpr9ivz1AEFXGoTlwcnJCVd0TssUiephUYOn/JanTT/sv3Kt9nL8f3z78mkrSWa1k/SSZawgTGlPX9TSWSWjBZrOZRqXWWnm2vRzYPibFbncbyqrk9evX/OinP+G3fuuHvH9/JQVX39MPvXB9Ikfn+PiY7XYr/gGj+OUcHR0xny/i3r6ZEGeRS6YRmovraxeJhuJ9kNCDtm05Pj5BBUXXtpRFiR8cbSsj3HEYUUY+lw8eYxSHw54XL77i/FzQZ+8CHh9dODuOj4/px/7vnzOQAmMSkzURfyQZTU8wWZrRe+/YbGQOKAfmwPHxMU+fPuXuTljdiVyRjIYezgITwpDnOSqSnlL1mP4/ixVT13Vs7jacnZ5S5jkuRP1wgPbQRIczYhgNE1wmc2exAg1RBYAKjGOH95rV0SnVXGb0h90erTSz2QLnRoZR/PCd82y3exYLyWwoq5qm7WiaNhIXc5S6N+LI85zTU4kTTdK/JDFKiMskZwyaLM+Yz2u22w3OSfeW5Zbrm2vqvKRvOhazOavjE7q2QUUzHWM0TbNnHB390NE0B+7uOt69uyTgMFZyxSsUXdPy2W8+ocxyFoslu33Dp5/+hufPP6Aocs7OT3l3+VZMivKC2/XtZHpSlRV9J2S1jz/6kDZC721zYFZJEde2B4ahlwLm7JQvv/ySvu/5+W//nN1ux+XlW2ZVibWa+WzGrMxYzGsW8znVbEZVz6jrBTbLyMuCLC8oypq8KKjqGWVRApFwpxReAV7cA1WekVcleVWgrJaOD/H01gqCA2VHhran7z0myMIP40iuDT/5rR+zfn/NbFYI9KplQzg5WuF8xTa7oy7qiQSbGO5uHOiGnoJowOUDWmdkRUlWlRibYXMZdw1uRJmRkGvKGbHTzCnLAm0MjnSIGbI8i3bcJrpiigGVUmI40jQNs9WJpAkqLdLQsopomiIrc1Q0/hnHka5t2e23dM1BJLc2w4XA8uiIvCxZLVbs7jZ88OQD2n1LGD06yHs2RjOfz2iaAz6M1HVJ27RoBH43WqOCIVgr3T6KcRjpZR4wdUAJnpeDDLQ2ZDZnXuTSzeYFRVmhowVxluVUdUWys5XNX4h/AhVn0Y1UXOu2293kNuec2ErLWHPObDYjmRHJrDtELkRUkijFMHR8883XDEM/QdNj72niOtM6eR9I95bIdOv1Wkx0cuELEAsRSAx4D0rGXcvZnLoSeV+VZ1TRaClZtaeRQVVVk0qqqkR9s9/tGKL50kPjnbIqQQvk/DDbRSmFUYI4DZHd3rc9bbNj7CUO/vzsDJtnDG0nBcDdhndvL5nNKozWlJXYDF/fXHNxcTGFkcF9R5+gfq2hKkv6QRqJwQmknhrMcRzpY6P18DXJSaPPhcRxi/QZYOh7TDykE3E0FSPJvTCNm0GM2zYbUW5pZXBuiMZjwln58ssvedY/Q5t7O/RxHIhl+rekniF4MiWIzd3dXRwTzjjsD2htp7yMvhdPmvR8lkUdOQ/SpDVNQ9t2XL59F8eYOUaP3K03U9T3PqLomY7IOPIe5vMlEpTlOTo64pA17Ns99XyGvtPcbdbkWcGh/Tba/v93MQD37PTkA9A0DcUD2D2RKbIs4/xcVAa3t7fTv0/hDzbajaZ5X2JkPtSPpu9TRcjmfgHd64yF3S4LwxuZiRY2k+6178ltBkqjbXK4GhidRFGG4LG2QGs4PTtBZ4asLBm8IyjQBJQbqeeSfnfY7fnm669xqGgJnJFsXHf7LV3XyYPnARTj2OP9yOFAlBzqiXi53W4n8lrXtrgoh0p+2dfX19L1ReZ+0+yiFDPCQZ2myCRitShLbJbx9u1b7jZbmkYKspOTE8bRsd9vGceBrpNkRJsZUPFhznIyG6jLkqbteP3qJT/5yU/RKjAMHb/59NeMQ0+RiURptVziQieuiB6aRshHi/mSZx98QF3XvP/sklklxd84SIqh9XLfXr38hsNf/zWfff6pdAPDyHIx5+L8nLevXtK1DbPTIxZVxbyumNWVFALzJfVsRZ4XZHmOthl5UWLzgryak+VF7CoF2tNA8AawuBAYnCNDHBflzkLQalK1GzJGJQVBu+/o2wNd06CDZ15X/ORHP2T41YHTk2PqquboaMWsruh6T5jPsBgya8gzO81OtRLnMcmILzFxg8pysd82WVQ6AFkGNoCaoofNFPAli98QiF2RzQjKoLMck8X0u0F01MmtcX4kz2ZaM8kYSfzrRYOtc3m+TG5x6p6yn5clJsso6xllUdDstxRFyXq9ZrvdC8zetLy7uaJpWrF3hnjIWlbLFavVhs32DhPlcdrk4sqGuCumQ0MrhcNjMFMnaIzBGktVzZjFrq6oKkyWg5IQo9EF7u629P0YR4eGxWJFkRd4T2Ttj7TN/V7irJecehXonEiPjZYgqL4XJCTP05q+VwiFIExv5wYOhz2LxZzDwTGbz9ls1jg3ipRTq1i0b6diQFQILYQod64F2QoxSjr4gLZC+ry7u+MQ1U95Vtx3slEdleDmq6urGGTkJ+TAWElSTIde4lak9UBsrB7ytJSGfuzYN3sOh4ZxGCUAaX1Huz/QNS0///nvYNA8Orng0cUTqrlEG4utd83V7TVPnj2jjuNegM1mM0ntJDo+j8VSTMt0Doudiub0jMqoQxQhCbFNn0UCyqQ4VkrS/nwsbMr6vjhK1zwVPYvFYlJc7HY7VqtVJM8GxlGQqsNhxziuCMGz3+15+fKleLjkeSRdirIrqRzyPOf4+Bhxlh0nSWHykXj85Anj4Ka/f3d3N6FzWotzoR41BHH1HYaR5WLJ9773Iev1mr7tpPiNiHu699Za2q6TlRwNzrwP3N7e0rYdWZZzcnyGyTMObUNRVuSdoH6z2fw7ne/fuRh49OgRh8NhSopLiYLpZimlJs/9cRy5vLwkmXvM5/OpSjscGna7/bTRpcyCzWYzMVWTGdHDNKmHkqK0aQzjiEYqtqooOez33N7ecnR8PKEL5gFslrKlyzKSabzctOVyibGavu84tM3kmOiDkq43EvE+ePYBX33xJV3XxTQoIcKhNPvdnvfvrjGZ4eLRBVVVkkyR+r6jbQe0ttPnPjo6om1bbm5uJkKh2Pw+nQoCH307tTbM57Opch77ETeOXHbvY5LbHpuLNt2Nkp6X5xmL5Zx+aHB+oO87PvjgKUrDmzevuLm55uzsZIL7h97x7v173r9/x2KxZHQDl5dvuHz7hvPTU37y4x9HVCWwiElnHzx7Tl3PODo6YblYcHl5yTgObLcbuq7j+OgYoy3KCQnoqy++4M9vb5jPZ6xWR+wwPH50wemzJyjXs9usmZU5dZkzq0pmtYSnlFXNYnlEWc3ioSDdYlAGm1XYvJSu0DnBmQGrLVpluN7RDAeR8ViByxNFTMxtgBDIlKZpG7rDLbvNmsvLt+AdZVEwm9UYFVgtF8zqGbNZjRt7+k5yBsQ5VOBlbcTmNi8qOdCWc4qiZnAejyLLC2wmEj+UsIvFTz8HZUkBQ2kcMIwjvRPpGb0jNB1FXrA0GbkRo5amaSb2utEGmxl8GETKFzfb1FEYL5wDY8zEsBdzKTkcy1JRljWnpykiWfLm9/sDP/n5b3N5ecmLFy94+fIVl5fvuL3Zoo34YGSZpSgqzs9O2e83sdi3oMFoz+CEE5EQMbkH92oceS+KMe4B/Sj8mkPTsiFmuGvNOAxTNLVzgnJ88/U3KG04PjrmKD53i0gSFVQR2rabAnNSEyPun2tAcXJywmIxx3nH5dvLOGJjGilJB3mIM/x+mgVDYBh62raN5NLZdFgLQtE+2O8KlDZyHZwn2dsKKU5krfP5Ahfu/VdSQqvo5zcTGpKUW/Oq5DwqhTabzTSmdd5jIpnyb3btQ4gzeK3IMxlp4Xs0lr5t+PqrL3l0/ph6NufR2WNMYdG5wbPC4xlcT14UNA9M0pJkW5rFlsMhoZ0wjkNUi1iUU5NrZlKDZFmGG+5Htw/9YLJMXGXHccRm2eQWmgiHCZFJhNKkTEiFYILi5axqKIoqqjTu2B92UuStjhnaht1+R5bnzJcLZtGMKpkvJcRBfFkaQgicnZ3x6NEjLi9FqmxtxqyeS7H5sPhSEY3UMVVUW6pqjtaG6+srQlAcrY7Z6R1uGFjMlgTl2e7FRE4ryOO4SRMYWkGpxlH4Euv1mjwvqWYzcu8J5NS1pANn8Yz9u17fuRhIhkMJDlWIfamYr9wb1iTZy36/myJpH37t+Ph4CoXJs3xakEmHmwxwhLz2lrOzM3REDtLDn7wLTJwFzeoagsh3rt9fSRJgcZ/UlOZQmbEEK1W60QLvVVWJNirGiAZslmNsRl5UOLelG3qapqcqhbH+g49/yGa74frqHcPYT3wIX0mCoA+OzWbDxcXFNNoQCLGh70YyKxX6q1evJilKEUcpbdvy7/7dv+NwOIjUbwz0Q4cxWuRtg3Q6MotVuNBxt97gEeOfqqqZzRbsD+JdvljMuL29xhqBpZwfWc4XPH/+AScnR+Ky52RD3Wy2lEXO1y9ecHJyQhk5HcfHxxACb9++RhFwo3gpHB+d8PyDD7i4eAwobm6uaJq9ODAWOd5JlLIKmiEm9k5e8Jdvubq+JitqDvstj06P0cGxmFXMy4KqyCkiHF6WpYwC6hlFOcNmBdpm2EzS2rI8R2eitQ9qnAKhjDEYZQh+ZBwc7b4hzzJsKbavyXXY9wNq9Bx2d7z6+ks2N6/Z7TYSZKXgNoaRbA4NWinqqiR4T9sOKOSeGyNIRVYUE2GrrmfM6jn1bIbSEjylSIxAjTaZqEuUkdmxtoBI25DaVQ5rbSAorMkEecgsZVlQlhntoWG32wCSGW+tdPtKSwJfCOLoOLoI2WNwAYLTeC0Mb+c8eHH5NA8yRJKe2uQyF195z5MPv8dPR9kcu0PP559+zn/73/63/PKXf852u+HoaMVybuPBIsbDwhmQ7yde+Eo8QuLmGiJRQOb1gkgFH+i7gQq5UcnCFyXSMDfEKFdj8S4w4GTU4DzX17ccDm0cJwZxwotOkqnJCAFub28nNHIcRpRW4sj4XkLDkrueHC5SNDbtgTdvXrNYLDg5Pebk5Jhx7Hn//j19ZK/f+51Is+HGEYyaIs3Fy2NkdB6rTfy7TkKpgMN+P3Wm6Wtp9Jr2vj4SK1OhsF6vRRYH05pp25a8KDg5O2WM45SHagwftfdFmVHklswamv2esRMJc9+2fPnF5/zoRz8T4rSH25tbtvsNq5Mj8ipnsVygge36biKEi8T6MHlHJI8GHxxaJ28MFZ0B71GiqqwY7YCP1y3JVqXA1dOeNwwDY/AEAibLRCmivn2dUjGQQqxS0Xh+fs5sVk3Q/GKxwGhxbV0cDthcpLQ23qfb21vqup6KCR95LyJ77ydr4vSazWYMMYQv+dscHR09kHlbjMkiQpDh/BgRpyaOsKUoyq2VtaPljB0jAuGdyMnHvmc/7Lm5kWe9LCsWiyVDP3C3vSQvcqpZTWYzRifxyt/l9Z2LgaEVqVxVldMFz6zMcLXW3N7ecnV1xWq14uLiAk8gKEUWD+VuGHD7vTixxTmbzXOxfo1Z6DpIRnNdVBwOe5SXCqheZASlGCOZxBDdA3UmEaFBvKlNrjk7P6XvOoK7NyLyXmbaxhiKrEKFXshwxjCv5rgQGAeRoJR5IezViCpYnRG857DvGPNAnpWcP5LK7+3bV6zXd1xcXFCWhXSGZOz3LS9fvp5gJiG4VBwOrTDvBwmdKIryW5yLBGu9f/8+zm9l0/QE6sWcvmsxVg45Nwop8vZ2ze3NDeura05XS9kIOvHszvMs2uU6hn6k70bEiySnro8Y+h6nBqpKJF/OOe7u7nj79g0XNuOD5x9ye3PF7m7D1dUNs6qmKMToJ89L9vsDxgiJa3O35tXLF2zu7gSqV4rBj3TdQGFE+6uNuHkpY2Qz8iO311cc7q55/uwRi2qJzYzIBPNSMuazDJ0X6KJGl0uUyYVcFXkkJjNoG1O+zEAYJUBHay22nEHYzUpp3OixDjmoFOBGmu0dzXbD65df8+KLzwljj4+b5zAIgcg7j9EiS5TgnUhw0xqNx2pFmVvyzFJkRZSDzTH5HK8LPAEfCXHBGJyxYCzGFKAzghaCYJDhlFQpsWMs8owqK8gKS5HHcRwijdrcXdN2rRzkWSmkKi3hVSqInbFSOnbj6dAZI2ciTPwbUGQmp8grsjKHPJMLJCxAIKBR8p6ygryaEU41p0+f8qNf/Jy/+uUv+dN/8yf86b/5E9brPdc3G5zXEqmqDJnNpNPVjmAtIZK/jJLoY9H7I/4V0eluGEcGJWZbKojCwgdhxGM0yhqRjSrwSpHlkhbonacfxJM+4OJIMIAxBBfQPdRFOY3otBVCXd+NeD+CdjTNfoqPnc0WVIta3P32DSGzuLEgs4a+6+jaluACwUmU7XK5om9HObCcRyuL1ZbgAs2hoQgJHVEYk+OVSClTw2KMoRhGigfQe7pXTbOnKC2BPDo1jiK59hliESy+G9Wspu06Fqslm90dh30zuQ+GIIFAonsHpcQ3X2c5ZCMah3Lgw8Dbt69wzrNcLHj8wQe4wTGr5hwvjwkq0PYd+coyKyuur68nxOfeOVVyY8ZRCJoKjRsD2mRCGB2EwxW8o8gseZ54DS4WUi4epMLd0NHREAQlwgeatpNnRN3b/gYEkQ0q0I894+AEQfISGWyzHD04sqzg8RMhbB+aA0V8/96NMiKsSmazevIvCTD5lGyGns12T993U9LrxaPHotLab9E6kBeSg2N08oVInDoYRs/NzdUkURzHQYrgoefQNJR5Id4hnSAweIUKmt1mJ9bi8xNsVrM68lRlyfFqyW///BesN3u+/OpLLt++5fWbN4x+5Oj4+O+3GBiHkXEYybOcZmxo25bV0QoXc+RPT08BeP/+fQzeMCxXK05OTiZoaxiGqYIFGKK/f9f3BONBy0Wfz+cMfU9mxUo2xMLDGju5FBZFQZmVKJRYgmrFMPQTa1VrxQcffMD19TVd19L3bXSNUpHM56cMdWMsJn+APqgcpaWaz/Q9mcQYK37Vux1FUfL48VPG8etIVinY77eEEFguV+z3uxhXXCAhGgIRHZ8ckeclx0cnkpgXwI09+3hdjDGTbXLbj9zd3bJaLclMRogymvlqQbPb48aR09MT6rLk5vqa1XIlBdTQs9muZcZuM3GTq0qKskJ2eC1EnmEEPKEXD4fZfE4I0PVCijk5PQixZxQTFGOMOP6VJfv9nkePHt0rMi7fcntzPY1kUJr5fE7f3TIOXWx1A0Pfo4hdYN8xGKiLevKtyIuCejYnLyqKck5RrSiqI/JyhSnmZEUhs26rY6cRCyYvtqzejBCEN6BQaCPs8zzPMErje6kG/Nizvrlie3fDbrPmxYvP6TuxWs5sjiPQ7PuIhlVYJd2/tgptFKT8eD9iVfRIyHOKvJBDNS9RRuRkQUWVQzzAlM5RsQhQOsMrK1C0ksrfGo3RVmSpmUEZQEsXtd9tRZngHW27x3lhjateRZWEl5jqsiCnQCk9eVv0bSd65TgekJAemd3bLJPCfXL7VAQlRUVU4hOUJjkFeUDrjNOLc/74P/vP+P3f/wN+9Ud/xX//L/87/vt/+d/FHBNN8HIAoGQ8ofMMnWf0XYdBY3zAhZHRhxitECQhTsnv5brINp/WdZ6XU4c7zZZB1qzNySKa0TQHmmbP4MZJjWEMrBZzlsWcOwWb3Y7glewNURbmw4AfHYPz3N7e0BRt9LQo46EjITo6ssX7XtZv8GIG9ezpM66ursQSNqRxiyUoMZRSRizC5WPdd8BpX0tkuIe/D8HTNAdmc7HBTVHack2ke/bec7e5o+07FqsV8/mC3c7zdnPJMIwUuYw1JGTKRQ6NEDZtXlKj6fZ7hhg5XJQZu/0df/nv/pzrzQ2LoyOWqxV3t2uWR0sKmxOCI7PCJdtut5Nz7MOXUj6adcnh3gaBuFWQZ4/otjg4cSpMqIc2MREz7nshIkv6IaoUUuiUKCT86OIBW6DceD920xL7rmO8cd8PrO827A+tdP9KRURCRVOhHjcKSTak96PupZA2y6ZMmVT4tK0QDt+/fxdD9gxnp+c8f/5hVLCMoqgJ9/cvjZW01hKVHBV5680dYfQcdgeOjo44Pj5mNptxcfFIOGKXV9zerikyQX3bpuHXv/prghJr46qsmNU1ASjz8jud8d+5GEjzl5Q8KASXAzZmfGdZxsnJCSkEKP2bpD5I0M1+v6dpGh4/fjx9r9lsJp1HjMNM0PrR0ZHAfzCxZB9alh76A9ZayZYOjrISxzIVmFie6aFJs6OHgSXWapwTi90qOv8lTkRahGWWT8VA+txKSdeZ5yV5UXK7vmM+nwm0hWzIRZFHpmrPdrtlNpsTgo8cCRUXTQYBbFFwcnIiMq8oy3v9+jXd4MjzIh7aPJhx3lBG8lhyajw7O6fOcvwwss5uOeybmM3uqWc19WxGXuQoo9lFGd/J6cnUlQjbWAoFtOFms5NRhjWxCxEtcwoRegidPX36lI++/xGXl29kUT9Qgzx+8ph2s5VFHQLBix2tdBAChdV1xXy2kGjookTbHFtULFbnHJ9/wGL1iKo+giIjL8UERceUMqM1Omq+xwGCUQQfwKVkQPmZXTvQtbIAx65lc/WOL774nP1+Q3AjCsdiNievhLzFRngPeVEwn83JrKA4WW5QkYXdtA1u7CVdz5ZkeYXOMzBinU10KDMmJxgbCwELKkdpiw8aHYTQiQrYLFDkliJuWnlmJE/eQz+OXF9f8eqbb1gthNwXvLi5Da6nb4W3s1gsWGUZJs/iGG86MySJ0ij8xM4GVLJXzSGTwK3INxTHNpiKh/s/JSIY8TNqzWy15B/+4z/kB7/1A3784x/xf/k//1d8+dUXEIlOOjpM2iyjns/ps4y+aUl5GmmMCEwSZSHjir+/i+6EZVmyWCwmCLrv+4mwlxkrboJGDHfm2QIU3Kxvp9HgOI7sd3v86MQVdRzo2hGlDM4PAp3nBS66Nva9eM8Pw0hV1YTg2W63vHr1itVqxenpKVpr4R1Fxv7V1dVEhJ72TylXplhnFccwBKaDP+2bPo4nxDCpjRA/kYynpz02IbRpPzRKZGehbXEhcHe3Zl5XHC2XnJycopXEzWdWclKMNRNnKx3ArhO79eReCI6vXnzG5y++4J/983/BRx99j+ubG3xwHB0dxxpfRcJzEWFyUZkl9n3a19LnVCG5j34bZk/yvbTHT4ZE0c8h/ZvJ5rcN2Ii8yl4uiEIKuKtrw3w+RyuNi/wrYzVZl8Vsg2wqUJx35LqYCjDvPW/fvqXre+bzBQFRcxR5QVXWVNWMQyMjhpQ0G0JscOLPv7vb0DYdzgWePROC9Wq1ZBg6+kHUaOm80Vqz2WzEa8Za5vM5VmeY6OJYFMVkBV/PF/zWj36IUp6ry0vW61v65sBmu+Xk9ILjoyPeXl6yWi45Oz/n5OTk7zjd5fUfrSbI85y7uzthp8aDou1Er/z06dOoxXUYaxm9m8gXSTKX5llJ4rJYLGiahuV8AaPAy03TTIRE5z29k8PloV+29+LS9f79e7RWZLkBiui6JqlyNzc3089MC9UHR15korvPsngjh2nxJvlkUkyMiRGt7xMRkzRxdAO7Q8t2e8d8tUSbB/ne0RZzHAd2EUkYRxe/t4phPWLlao084IvFguvra25ubrA2ox+J8x5xKzTWyPfoWpw2LOZznj17xu31DevbWw6bPcZkWJtzfv6ItmviJlJyfHrKOA7oEANEgqftZWOo6hn7w56ykpyCvKy4ePqc33z6KUPXUWYZVTUneEkFA+FnJEJpURR8/fU306aUyDyHwwGtNMFF0gA+boRQ5OKaVZXFpIHOMumqbV5Rz445PXvO8fkzimqJyStUDlluBWmJKZFag4ndhTUK1xvR94cguls3CDvc9fTdga490B32rC/fsn73BhA5Ul7kLOYzsqqi7cTt7ez8nKOjI+RAk9l3WVbkZUE/Dtj9gbHvcG4gr2qyBO0aI8YoSgsp0GSgLUpnWFtgTBFHDErkZjZDa8hyzazKMFpFT3VESaDksCQwEbVcnEdLmMmDgJzRRZjVy6w1HkUohc4shZ6RIqNTZDHxfdxvzSlmmOm+pT8NcUNWIdz/fSXM+KDg6PiY/+n/5H+GNZb/6r/6P/HixVdyAOUxojcekNZmeDvSd823iMJprclzJIdg27Y05r5LfpgGV5Yls5mkb26320keaDHYTFPUJefFBZu7LV3bghJHzu1mQ1EU1PMZZTFDKYMPI873tO3ufl4dER2jDU3TkPT4h8NhcplLCZrHx8e8efPmW/P51ASNg8iXbZ6BFn+WMYyTLe/E/o9S6fRnad9Nnzf9nTQLn34WBh2jgcX3wNH1HXfX1xwdHTOrZiwWR5TLiv1+F5EBPZH+Jj1+ZhkHWTMoIUfO5gVffPU1//X/7f/KF198yj/6x/9UpOa9BI0ZrZAgJLEGv76+oWkOlGXBw+TY9IxqxNefh8XSA9Jg+v00JvH3+0q6BolXtZysqiPB1CgxoTMGY2T0aTIz8QqUC5HI2NP3EvuutSjOHhYaqeBa395xOAiqfHZ2RmaFE6S0/N39fh8tr10kGGqRmJclR0eOcZQ98/Xr18znsxieVcr+Hjl1XddNfJaUkGmtpcwr8qyk7Vq2+wO1r9FacbfZUHQNVVVyenaCunaMQ481itvrG26ub8SVEGmMHhLv/0Ov71wMpBvTNM1ksOLjDGYW/Y9T+p5zjqzIyYtiKgbSQ50OylQlJr+Bv/qrv6LZSBrVfD7n+PhYNoVYtaWfnR6SsiypFzVlUX4rOczHGWSyKH6YGuW9OD1576W7LsvozuUmNnZCEKYkrUhMkdhJMQPK81zGBX3PbL6krCqyaCWcxXhdgYEKtFbs9ztevnwpzPiyRCnDh9/7iP3+wDjeV7JJLyq5Do5Dexur154sK/Be/PEXyyWZ1vTDwLt372j2BwllMTkoeP78e3jv+PrrF9zeXrPZbrl4+oh6NmMYR7IizqPGkffvrhmGnucfPEcxcre5Yxw981XJhx9+yMuvv2a73crGGmHro6MjIXZqzcnJCZeXl7x69ZIhFlTJbCMpNtQ4iOti1xGKbII9vRdnwCqqULIspyhnLJYnXDx6ztnFM+rlMbosIulMzDaMEPHRiqkoUCi8NmJQ4jROB/woqV1h7HFDQ7Nb0+y3vHvzipdffYn3nsdPn4jrn1aYLBNlAAFlJKZVR02zDlqKlbLC5jlZUVOU8+iB308Q5OhGgoagRd8tJikZyhTYvJz875XROJ+MVIhwvbTl3gX2uwNmOUdHuFzWi4q8koSEDNN6zDKZ8wcf0Q8nYTpa66lYCAEwFm0erGsm4GDq9h/2a+7QiAlKGTkcKVc1Xfg4aiDyeYIX859/9p/+c4ah57/8L/8PvHz1DdrIzHN00iDYaLgjtsDuW4dA2m9CZHkmH5JkyQtMhjsp1+TDDz/EWBOJiWLw4oMnIBtrXddopcizjO7QUFf1NOu1tsCN0Lb33bzzchgIKU6K9+QwlzxBjo6OWK/XU0xxem/CrRLJ23q9nvYgbTTGWvaHg8S+q3xyrkx8ARWZ/3+rKY9zlCafiiJBLIaJDxIIE8fIjcINKfKCcXS8ef2GK3vD2dkZeVHgRif20vFnWWtZLhYM1tK3HYeDSFVTMVMWht1+z2effoLznn/wD36fup7jtUZbjTHyKIjyaY5STKZzk1ogHpgq3KsalNjBkDwdQiKTxkJn+jcT/8tPB+fJ6QmrRNAbhUgo6is17T3jOGBNFs8gg7FyRmRZxmIhaKhzDs994Za6c5G8d2y2OxaLBYeDFK6zWaCsiqnBTftdMq0SpYEXQnedx9GD7PO73Y5DI4F/79+/n9CAlAVhjGG/30uh0HvmM1EDVJVA/pvoGlsWFms02sBsVnO37hiGniJf4H3g8vISG9H663fvv9MZ/x8VVJTIIYkhCmCLjCzOeyFqRuOhsF6vp2qw7/upqm2aZjqsUwTkarXig0dPpkMkWY0O48jN+obROVaRg1BVEsOZLrDWYjHrhoEsMzLXVfdGIKnS9sFhrRYHwTyb5n9yyMqlSIXKQ+eqvxmEAdD2PSZCnuPYM7pAiHKfzUYY3saIh7Y8MNLZpPyG/X6P1pYiNyKBi9clVb3L5YrVUmwutYHr6xvy3LJcLgDPYbeVjS1WldZaCLKY2k5CkGREUzF48X1v4mY6oSvOM1+Kc9Z6K+/Z5mJhqrSmLAvOzk45lAW3N9eCcMRks91ux3w+5+XLl7H6D5PLZEJYvJd7kkcZUWalqw/B4xzkmaWsSupZLWE3WUFVzTg9e8LZxVPmy2OyqkSXBpMj0bcE6TDNQ4Ib8DcOssROJ3Io2t2W9dV73r7+htcvXzD2LYvlQmD/oDC5wSuPG+7dKVPnJCRPgzUZRVmSFSVaW/rRobqerKgwRmaNXomWPXnQx6xWtLFokxEQ8qTVhjzTYpCEHFzD6LC9dOXeS3HgvVhyp7RPYwyZkQjgwljqsp4OfGsMeVFIrkWWNsBvw7Fp000jgvtC4MG1S/8dwDc9r37zBcPQs1qtqOsZxhq81lSzGSY6QiqlMEFsqr2HPMv54z/+Z3z++ef8N//Nf03THjCFQMah68jyjDorxC4ZJQEy6l4+rLUmDyPi2TFO4zaZzbYTBJ32mq+++iqyQoN4aWgxM8qtuWd3ZxlN204/q65rOTyd8ELA4YMhy7VkhAzJNz/Ew72k61ratomGQtL4bDYbjo6O4oFxiGz1doJ7097nCXRty+3NjTxT2b3JWiokEjLyECqf7HoJk2NrQlPEVMejiN0qTIfaJGe0lqIoybKC4+MTQvD0rp+eiXQwH5oG3/dCKDRCwBzGfjIx865n7Fv2mw2//tWv6HvHhx9/TF7mU0HqvY9mOJqb2/eTX0Ya1QqnSKK4vRvAORL9wUc5ZRoz3ucWMO3FqUlLVveiPLuXnlsjSoV03cZxZDQjWYw4FyTD4FyYmP+HwwEXC5kU6pOemTzPyfISa/NoqucZR08/lJRVwfHxMaenp9NIZ7vdMA5d5KkJwTP4+6jjrusoq4Llcs5Pf/ozbm9v+Prrr3nz5g3b7Y7Hjx9NxlVaad5fXQP3rrwu2mrf3t4QgsdqqMqCo6MVBEV7kPd4dnIqgVVZLgTI7/D6zsWAyXL6ccAkeNEY0UsbqbRSWENR5MhsJqPIihjzOTAwoIJiVtWTU1pVlRilOVquMFrT7nZ0rUR7Bp9N+eiz6LTlxgETDSyc9xLhG2eRPnoBhCCboqS3pc1JbrzWiq7tGY1huVjJTY8HmYnuZg8JISEEbFVT1TPatsGFQD+OMRwmEYOyCTL3oxMjI8XEaB0HT0BP7Pv1+g6tDVVZsVqtKIqSqqpx40jXr1ksF8zmCz7++Ae8evmazd2a4B2Dc+y2G+FvKYF5R+VxrmN7OAjTP8vww4g3ErnplUOZwHIhxkn36YqyYQUfODk+ZT6fT/rkBLkpP3I0n6HcyKzIcUPHmzdvMZFktdvt2e8PE6w1DgMhwpfGag6HPbNZDbYg96CViZyLAiGjGXRWMp8vIxNfOu+6XjFfrShmFbbKMKWVQsBOdkHC5v/WuFHuuxxgSqRqrgff48ee7rDh9voN37z4nDfffI1zEv5UVRVlUUWCn0UFi3Cqepml2wybydeDUnhlMTYXIqbW+KEnRLhThQDeEbSNfAGLd1E/PgQCjsAgXbkOeDMyK2vwggx47+j2vZABNZR1iTJaEKKDuMwF75lVFWUhUK5TPXku7OiirCjruWy8WgiOaU59D8cKMXC6dPfsvFgc/PvrPislBvaXf/KnXL29ZD6f8/0ffMzq9IT5asnq5Ij5akk9m00mSiFu3ovFgj/6oz/im2++5pPf/IpDu8FF1U7fDxQLQ2ZMlGhFhVBmBfUxmhJ5Fm2msTYl8IlzW+LvPPS0N8aQZxmEgA5gqlzAi9HjeocJhtwU9CNsdwf2h1YeJ6158sEHlHUNfqRvZX/D9cJqdz29T3K+QFEWlFVJXuS8efMareUASoddymrZbrfkhahfLEjx1UJdVVHdM6BoIt8gBS8JuTB9tnToSzCSBBuVZSF+GaGLEx4l2SzAGJ9JrYU4OvrAGEB7aSCaF1/y5OkTymhSlMyiUmqlTJQMzoPr+lhYCCJUzwuabs9+v2V45ejbkTwrOD49RRvDydlJ/LxCAO2HFufGuFdHr3/now01oMVMK8TnUI2BPvLIUrOYRspFXkYeQklZ1iwWS2xmObQH3r9/T11X1LN6slzvh7gucRg1kllh5I+DRI/vdneMzstY0mZYBIlS8ZfzImHNMlEqODew3XYTn+z4+JjMnvPBsw/Icwldu7tbY5SiH4RQqtjT9R1t19B3PQHhqHVdS13V1Cdz5vMlg/PkZcXmbkPT9IwjDF3g5HjG6VHJ7foWGzlSy9WC1fIJtzdXfPPyK+7utigEKR6GkcGJQ2c7NAyHga5v/gbn53/89Z2LgawQ29Ou63CJ0R/1/23bRu2r+HX74GRzVjFJyXuMMhz2BzJrOTk+ZhfDN4au42i1whrN3ft3Mg+LpiiZjX4CBDKr0QQ2mzWj6ymrSpjOKhpT6Gw6xE0mUhsQtnlZalLmeJal+Vu0N45ypKzQ08JL5MXdbieOUVriZaW660ja44k/4DVVVaO1kQNhWsRh4gmICYWQD/M859AcGN3Io0ePubm5QWvNoWkYnaOuK+42Gwie+ayaquLb2xt22y0oP5H4xETFsjscGHOB8Z329GMHBtp9w+JICFeJa3F2dsbXX4u96pdffjmhLakAyrIc3Cie5V6QjflcrFuHXqyWU/dibazexxBjVzXBKxZz4VD0bccwJOhPqu+qKugGR13MWNYzytxgjcjDZosF9bwmKzMJkUmFgCLC3X/Lw5kISSCJeMETxo6xbxjaPZv1Nd98/SXfvPiC7nBgNquZ1XPKQtQos/kS5wIis5LCBeVQ2hBUJPgFBcjvtY2BPkqkjSoEGL0UAgHEU8UQMOIToI0UD5HxbDOLNgM2U4yNl9z5XKNdEZUbirKUkU8/9Lx6+ZJh6Png2VMWs5os0zS7Pa0fKaqCvKzJijJ6+Wtifxi5ASIr1HLCymd78PrbLufDr+mq5Ac/+THXb9/z8ouv+PyTT3n/9h3/+f/qvyAMA19++hnlrObs0QVHpydkeY41SU/v+fDDD/kH/+AfsNnc8ptPbxiHgbwU8uN+t6eqK7IHEHme5zFZD/Lo5qkT2qIkAe/htpXQv3Ec5TMGwIvT3dCLD8hyvsTPFZeXlwx+jEE6AgOrEDh0HevNHSfWUJgMPyr8GIOgvPgwKANGS+qkscJMH1OYUGZ5/+4dbdNycXEx6ezfv38vapbYeY/DgBtHcivEMKOLiEgo9tF9MKEdKXhtYq9bK7whI+6hfhRN/Xg4gNE4P0zXIUtEUBQuQNP3+Ng5d27gm9evqOvZZPImPgpS/IpZkXTjzkWuiBJW/3wx5/Z2Q9+3KCz73Zb3b94yKysur67Y73f84Ec/lL9vreTCNPd5NfcPlZoKURfEphpjwAnrP0Ttr8iRMwpjGaK5ltYWNwZ2e4HBg/KgNDYrYgjRPf8ihIBWUuR0hxZnxcGz64YY7rOja3vyvMZYQTVU8sNQGudl/EB8lrNMRmzOD9ze3NAeOjZr8dfQWq5vZsUq3LswuRAqJcTytm3Z7cSp8JuXkttwenrK8fEJj5885e2rN3z15Qvc4Hl08RgF9F3Lh8+fg9ZiQV8v2e12lGXB8dEJZZbho8RUiv1A2zcT0Xwcx6lh+7te/1HZBOkwSe5XyflMTIOOyfPYXYcRggSGPJz5iJWkY+h6xhjRmWUWN4xoLE+fPos50WrKMgghxmQaIwrSSBwMQBgD1tiJQCSsdycWxc5/S4GQmKZwLwdKUF6ytkwQfoJzQORKWZ7sk0UOqZQSSWJkNCfC42SXrEIsDkAp8ey+vb1FweSElbgUb968Js8Kjo6OWS7n3N7e0jR7ttsNQ9chWWoK5wOPHl/EEU07MajT9wshsFnf0BA4PT1haMWHOzNmYlzf3t4SQuAP/uAP4mzqwHYjEshESPLes9tuCV7iUV00XFmsjjjpe67fvWO/31OW5f2cLMioJVkuex8Yx4CO1reygYscS4xTMkpleLRaMMssVVaQW4nqNbkisxpr0i+iG1+sbsPfcnyFCJY4UN7HJMeG7rBle7fm6t1bbq/fy/wwbrhZnqGiUiUvcobB4wIT5Cgz3HvijdJ62oxVJNtZm4ETyBOjMBkEo8WpUWWgM1SWoYxF5xkmLyJhVLo5a4gMZE+RG7LSst+3SGSsJkRVzKHZo7WiiGoKP47keUZZHWHyTIoNBfgxzvI9hJHQdzI7FhKNFDE2Rwk8EX/9j78CgFZUR0t+9g9/l77rOL+4YHe34frqmt//J3/IedfxxYsv+fyzz3hyeMrRyQmz+SKuR2kafu/3fo/N9paub7hd39J2Hf04iL9IlJUleDaEMM1h89CjlNjtPhx1PJzVJnlhmhFLES5rVY1SwNenM6zOefny5TRSS123j3vE4SDKpOPlkRA2rUEHHZ0lRYlR1zVoRRszWB6um67vWH/zTXSCy6eRYgj3M//EDUrFvXS75lt8g67r0FaTjdm0n6XPOBlC4emHjiKrZK8ah1gD+Xu43FoUGu/1NIYQS1wpNsReestyuaSu6/vvH43fqqricDjc790oNIp5PWMcetywZRxGvlBRvqUUf/anL3DB8eH3PyKoMI1RpLt3U8Fn47p6SByNshS0sXgkS0a8Q4yMFoHci900BFzvpWFSfhrF5Hk+rfEURlcm8ybv0F6DcxPHJ8szhl5CmpwXzkUR0whTbPIwDDKCdo5xlAJwGEYUmlkl0tXNRqysj46OyfKMru+jI6e8H62lMZ7PxEdmGAeu3l/x9u0bvv/R9zk+PZ74bPNZzb/7i1/StR0ffu97dPEsOjs/Z7vZMjrHrK64fP+O8/Mz2rLk+up9lO97srya7vXR0RHJqfG7vL5zMZDcBx9aP+73+ymwKD2EyTtAKz09SImUs1wuCd7THBrZpKJxxN16TV3XGAKbzR11XU+Oh8mVsCxLPIHRO4Ejg8gWdXEvY0wV4dAPFHk+EXemh9AaDof9xBZNccy73Z59dFGUMBM3PayHw4621VE9oSNRUaGzjL4fJoUFcH8t8FELDd7LvDOLKEqaZaVY0izLaDupGFPCWeJf5Jllfbvm9lZUEXVdsdttJyjyYRrkbrfjzZu3PLo4Y327Zj5fcHZ2husHmsOBzz77DOcc5+fnXF5eTi5cP/nJT7i9vZ3ILdZa7NmZuFndrXEuUJYFeSEVr6Dil9PnmDasIFWpdABeZoxBuqAszvXzIhc7VhSL2Yzjo5XI+bKCvKyo5zNspsmswWr9gLEeB9Hqbz+8ggdG8P1Iu9+z29xy2N3SNnu2t1esb67o24NYesZ5v0fqClsIoS+ogI+ZFYnMlWVy4KBEOpVl0c0rFiRKGZSWmXLEm1FRLmlshrIiIUQbVGZR1hC0wljJqxB0QTIeZrOZ/DtzL7GL1CpBMmaVxJ16UahohVjNasCP+MTahsjaHwXmHSVmRWmDcqIvF0tnCyqiF/Gl/hacICjQRcb5hx/wj8uSH/7oh7x//ZaXX3/Nv/vlX/LxD3/AT378Ez759Dd89dVXnO72UeM+i/4acHJywi9+8btsNhu++PILNrstoxu5Wd8SogbzoRtdUkwkLkSeZXFEFN9nnPcnhvlDcpk0LMIdUFr4PtvdFkM2rbmJ5R/EAGgMjrEb6G6uyaxlXtWoAF3Xor2YRXkC273E62ZZNnEVjo6Ooly6ZYgyxLIsxcUzOvIND7TzqUtOM+xhuG+wUvZLXubT9UjNTOqsxdkv47A/MDpho3t1T8J7yBzXkVFvjJ/m3xIo5B+gmn5yDxyGgSGGOyWVRDJDck6Cr+RwNfS9w40d280tn37yK55+8AGrxZyb62uqekZWZKxWM46PTwAthDabyzOBn3gPD+WXWht5NmOCpCFm0LgeZTTVrMY72Gx2jN5JtHbfTa64qdBJzWTaowBMLJjTs+O9FGadkXRXN46M3uEeHJ4hBHQQoyNBwJsJESXc29uP8Sxr2x7nPN0gc/s8y5nVM7q+xWjFbrelORzo25a79S3r9ZrPfvMbzi7O+PgHP8BgaJuOqiz45Fe/Yrte8+jRI5xzrG9vaboOd+l59sEzQHNzs2ZWFpydntPu91xfXWLzuJfEz5kK3+/y+s7FwHa7/dZDW1XVJBNcr9dTkE5e5JRFTgiaYXDTRZ2CNZSi9+KpnhiyXddxe3PDsyePpyqvrms2mw15nouk0BjeX0u0pMxzzbR42gdzpjy5GkKsFMdphmfMvSxxHEeOjo5kUUfzh6QPTtIdITOK8YTWKmYr7ONGZ2ma9ltdstaa3eaO1fII5wc2mzsk/Sz5E0gnM5vNJm2qXFNoWjUVW1rDmzdv0EBd1ZydiZZ5v9/Rtk0MNaqnBZVmlaenJ5FpK45XZ6dn3FxdcWgODFEqs9ls+Oabb5jP56xWqylKer1eT9cFYIimK6P37JuWbhjQSnF2eooi8Pbt28j7EE6IRpPZZOxh42GnUcGT5RalJRb6+Qff4+zsgtm8ZrGYY4LEtpisijC9COuDdxB88rh5gGfH4nJivglJsN+37NZbNus1Q7fDu5Zuv+Pm/SWHzR0uEoKyIkfsbR3KGMqqEsXAKBVF6tLk2ZIcBJ9gdrSMlWI6norQuzIhFggeHYKQG+NISKC7aOxjZYxgjJBLiTyXcUje/AmRiCjUIAS61dGC+Uw07kM/IMWWIYSR4BTBOca+k3lxlBTKoRAEYhftH8EPhFEc2jAZypTx8yn0v18H3F92pdCF5ejZI1YXZzz58ENccPzlX/wFf/qnf8rv/f4/5Mc//xk3f/InfPbpp5xeXPDs2TPqWgpireF73/seef4v6IeBV29ec3J6wna3p2kPWOV49+7dtPaS7W76+UppCO5+D+F+PCDKG9lL8ixDlxGR9B41Std3+fYSnP5W4UD6XMaIT0SEebu+Z1bWETKWlEjdG/peyLfWmMnvQymB95PSaOiHaU0ul0ueP38ucO3tLW3XTkXmvT/9Pcs+oSMJ6eu7flqL6ZlMjVXfR6e8MMZO2+DUPTLwUIporSHLqolMOEQ4+aFXQWqsAFS43+MT0qmU5AX6QQoRa3N0ED5F8B3rdcN8UfOHP/2nYDK00szrOTYmNK5WK4ZhYLvdEoKJTpphKkamRi6ARx4YE3+2rLoYf52UYpmh2wrqdHFxPjVHD5VjD/MhpvjqyP0Rm957o6emaVFRBWZiIZoKRe9H4a1EtC6tUa01mRF/EPkMCjfGqPisRJdqOjtmsxnX1+/JjKZYLlguZgQ/cnK04nZ9y5t3l/x//vW/4vGjJzx/+pznHzzj019/wosvv2Loej76/vcp64rFcinqpCCoZAiB/b6B4PjpT3/OL37xu7S9ENiTzHYcx4lw/3e9vjtnIEZDXlxciH1jPMxSVGTbyg8uinyS6xwO7bcytrfbrczz+h6r5fAYh4E8yzg9ORG/9ags0FpPMjuRGAqMn7l8IuuUWcnDijstlmS3mqSFybXw3nFLT1Xkfr+fIj7TYQxSgfd9J10WmnHsaZo9eW7Z7TYYI12u9366HiJLFFJlPVuw3+8mO9R+GPBxo3uovZ3gSi/Jc7Lol5ydnpBFq2LvPVUllp/WmimHIHU6VVURQuB4tRCHOu/JjGI2OyUzBptZbJ7z5ZdfTptKXde8fvWa9e1mgjTTxuCco6orgpJNt2tbUSjEoq4oCs7Pz7m7u5s2P1Qgy6rJAKQocpwfGPoW72VTe/r0Kb//n/8X/PbPf4eji3OCgd16w5effMqbb17RtiPtQeRIfddi+wqdScTzdPrHQiDxMpxzqDGwub1jfX1Dd9hD6BnaLbdX72j2G4wOaBUErtdarIytwIQmE9a5ckHg8xDEGMYo+ojciDudGMQ459GjjyRajdIBFQzKCMypEKldUCqSPQW1Vz5gFYzeQyTGjS5AEAfMcRQUoO068sKglKbvJW0y3d+ua8it2B5rJSoD50fcOOCGDjf0SA6fBS0ohg5E1CAQnMN7mVurrMAW0vVOB+TfKLyiYhAhfAJWobSlPjvi+z/8Abfvr/jLv/4rfv2rX/Hk2VN+/KMf8Zd//VdcX19HufEZSonUSxvFxcVjfvGL3+Xy3XvGwXN+dkE1K9ncvp/g+/V6/QD2T6x44QQ9PBzlYAmTIVgIQbo/iIicv/8ghMnkJ33v6aUlwElHPxHnPYMbhXsSUy6LZDUe0UXCvUmSdJmeoe/Z7w/TOmoacbN88vQJi6srXrx4MR1YdV1jjKXv3DSXfyitHMZhKh6AqIvP4qFzH/Tkg6CNac4NTFwImZerqeh4KMlMBM7UnCSET2tNlWWk1EbZZyp2ux3doaFvO5qDWBunhn4+rwXxDSPbzR0/+K2fUM2XjF5Y91lm0UpTV3P2u0b89eNNerj/CeERxlHGCkdHR3G/FkWWOMhavI8kb+/I8oybmxtms9mkdkt7ftrnpgJM3StrtFZYpKEdB1GS9N6B1swXi9j1j1JMeqYMApFDh2kc1Y2NNAaFIcvKSToelMiAlYa2PYg7ZSBGoMvztpjNGIeB46MVm+0dV+8uUR5+8bOfs9tsWa9vyKwlOM9queRusyGEQDUTBUzwss8cLVeMQ8fd3UbOSe8mFctD9Oy7vL5zMeCCwGq361tZbVrRjwMaFQ+4EdF4GkbnaA4b+k5gdKMkiUxgPw1GwlaKQg7G84tzmsMBgme5XE7ugYf9Pl5IJfbGR6soLpeHabPZYJTIqYw20chH9NeKb5OLsizDhyA53HHmPzrHGDkGVVlRlQXjME5kQQXRMlNmlLvtju12S2Yzbu+2PH78mOQOleaAKco2LwqyvBD71xBAGbwKDM6TeUknC3HDU4qpu1mtVlPhVZUzjo+POTs7493lW9ZrOXzHUew9i9KwrGoOzUEOESseBNvNRtoxbRgJzI9WPHv2jNliTtO0nJ1IeMmTJ8/oh4E8L2KUp1SRxsp9MsbGxTKnKCva5sAQPMZmBITpDrIx3lxdk2d5NBqRGSxKNqSiFhTj6OSYJx8849lHH5MvFmAUJxfPePbRD3nxySf88t/+WwgK3w2MWctYNJjMYLKSqXUNgAM/elyUBvVNy3azpjnsCGPP0GzZb27Yre/wo8ePAaNFVmSzjLKqKGfi1a6MwcXDzlgtygEnUkJtxCBIZGpGwnZQMXtBS5aGjm5/iSkdHCrIgS9Fi6yVYXCUISDEPnBekv48WngAAZySTUgY3sT41AytPIfDThIUq5h46OVnjcOAi4Wmjyl4oNE6RN9/MR4RSNbh/ICnR5sRPwSy3GNy+YyJjpFSOsN0weOlj/pwtKZaLrh49pSjyze8uXzLX//qr/md3/1dnjx+ws3dms3dHYvFDOcdxujJA+DJ06f86Cc/4fPPP6O/HXg2e4ZH03YDo/PkRSkseOfEIyKI54PNLEEl4lmI5L2SYbA4J5udsYqAE3c9rfBOIo+tEWKrUz4OXqRK84Bzo3xfBX507MYdu92Wuij56MPv4YNntw3TXPlwaPDRg8C5kc3dHQqYLwTRTFHm+/2eFy++xtqc1dEJ503P5u6O65sbUKIAcX7Eh2HqNLXV94VYPMgeeroQSYGydyWUQwx/Uh6I1Rqj5PsYbaR4TE1FCHRNsgsWI7O018joN8a3K4ON16SezwgKCfwaO/q+xTWDRA2jODQ5RTXDGMPbN29QOufZ8w+p6prRj+i6wlhLIFDPZzTNgUPTTo2Z8HJCRG09Co01Od4p4Z2pjCJXkwfHZrNlVs9wznNzdZ+HkFDWhDJUdcViMacqCin2pbTF44QsrIV0WM01FZpDN7A7HLjbbqnrGdpYrDIoRMrrvVgl6xBi0qunawfWt1vybINS77m+uhFEbF6LGVuZx8KrE6vqzEYjsEA9q3j79jVXV+/Z3N3y9vVL7opr/uR/mPHl519yc/UOhWURrflndUXb98LnAqpakML37XtZX1rHBinGb8fiLy8lPO27vL67tFBLp7Je3wl0Frv9Mi+YzWZxYd534HmWMbQ9TTRQyPOco+Njirygj973WZ4zesdXL75k6AcMTPrdoigmd6eqXrCcL5jPl3hG1ndrlBZXr94J7FXG0J+qyvHjKISQB11A0gur5D3QS6Z5Wc8gMkuBb1XJ87qeZlHyWUuKEyHNFeX919K8XbrmTEIvuoKuH3Fe4aOLHFbjovOfUrJhGWXIMzNll6eRx+3tmo+efURwcLQ8QsXDxY0jRVFNpEfvw+SANXTyfWezObe311x9846yKlkuZzgFZ48fMfZO8rk3e4LznF2cy8+McsnRDeSF6LHbbkCrDG0ztM4oypzdnWG/26FNxny54vhoBT7wTf4179+9k4ApBeBlFLBcsj10OB/o3YDXkmuOA2HSgSkVH/zw++K8t9/L5tR1DF1LUZfJCD/ukpGQ6J3c5160z+PYEnxL3wpPoN/vRA2BMIOLosTmhrwU5KrKa2xWgNaMcdZulMYphdIZ2uQYO0MZiZyVYbwwFRM3whGwSsKygg6MSoizKjgpWILGe2FMawWOAFoyJ2qb0w/CMM7zQqyJtcJmecwtL9ARHXCjoGtFXmAiUz8QwIMfA36ULiyMYr+sggc1TMe4d+JWmEi0ISiC7qB3qLxHVzWqXpDcj8K3oHQ5PhVa/nMUdr3Oc0KmKeY1ed/y8tUrfvDDHzKrhV3/9u1bbm5vQEnugbFitNQ5xw9+67dwwfH555+x3W559/6WoppzaHtJjvMjHu6JcbIBobwQaVGBECTxzZiovIB44DnhmiiLDkpQmQg9a2sI4xDRGciMRGE778BJwJOLSoS7tuGbr71krkS00Y8SKVvXJTYzBCf23N55fNdJUNCsZrvZsdsdcO6SgOHj3/oRT599D9RrNruDFH4+ZkZoMBHtSwRDHXkjiZvV972gVZlG25hgWebQge56kQR6OVANGhu7YO88BoGzE1qx2W+kqy4KqlnN0dHRZNOuIhnQhUDbtfTDgG2k+Bn8yBAGPE5Qrpist9ve0Xaei0cKlKVpPmWz2fKzn/2c0Tm2my2r42MIUFQlgxvpxwGF8K8G1cf9ImCMqL3yrELi6ERFEoJGKxsJn0LsM0pzfHzEYjGb9u2EEhWF5FP0Q4/VMgIzWiSsyuq4fhSj93hgvb5ldOLUGpxExIv6S4Lq5D30MiaIpOjOD1gDZS6Og1mW07UNn332CcujY+GeeTeNO5wPaCsOprvthn3b0rQtv/rVr/jii0/Z7XfMqxn/+l/99zT7lqrIpIExmu32jj/8R/+I0Y28vnzLL3/5S7SRRMSqqsQvQ4k0Mji5f/3Q4YKn+Zujsf/A67s7EDrDvCzR9T1hL3l2a91FlmUfIUGocnH4ShDndrulbRqaQzN5EqRwCa0N/bDn/Zu3Eg96csJyuWS73eK85+TkjMVySdu1uCCsVOfHSMBSE7R4cnIi0GGUwykt0i2dWUbnMYqJAZ+kecMwMPS9HC4Rjk9fT5yFRCoUOEy+lrl7d7ME4YnhDtOsLREBhyExp8P0c5SS7rKei2FNsjcdhoHz83OePH5CXYtuNqEumTWEYOThNpahF0OQqixZxsTD7eYOH/w0JyviPHOzuWO1OpJ5dWEwJ4ZPfv1rbta3PHv2jKdPnwDw7t1bdrs9Ni8x1tJ3I6rryDItM2rCxO52o4vcj5HVasnN9TVN05Dnsvl0XYfa7aiyHK0UFxcXzOYV3o2MQ4stZvjI4M+qig9+6wfsbm65vbqhGQZyvERLjy7O34VI6EchzAU30jUNQ9viY4e8Wd9ye32NH3p8cIyuJ6iRqsqxuaWoSqpqRlUtyasqHvQC+UvIbzoAVYxr1ijthaQVhO1so6LAJaxUyQxPGYPz0t17/IT8eCBoR98Pkc8xUFc5wWuaw4B3GhUMeWXIsoK721sOumG5mNN3LrL4T0QHr2PH7qM75ihe8G5MUlCPMy7OK4e4SfjolDhGiFMKpCQ5UtZC35GXJUw8h8lNP7o6ekIkaWo0i+W9L//FxQXX19f0fc98uaSazbi7u2O/P1BUJUprhlHSKpMUeb3esN3uWS5XqCBhKlbLHLwoa/quRWlLPCckaTJoCaCKoUNp1g73jqMP3eoSGVRrjeMeMk4mQFprTGYpc5kVD1PkeqBvDpMfR0oTFamxcJjauF77tpP3qDW76LuhlDQIZcnEU6jrmsViwWw2j06tBuf0BOunrla+lZ4M2SZXQu/i3mLRxlJXM8zSkGeFKJVUGml9m1Bd1RV1HCkul0tBMbUixVXJfdpP1ynN37tIkBQFQ05wA8GPNP4wPdchjtQYe65v3vP28pJxDHz4/R/w/PkHLBZL9oeG1692jD5QLxfiHJvn9F0rxOUxcs3yKAdXYpymtcR1OyeoVroOVVWxiZD5YrEgz2W03DRiBJXu0egGhq6nryrmszlFlpFZi1VWCgEn6qFxdBR5QRaEx5GQZR2vRzGbEbzHWj01OdaP4FpyAyfHJzx58owsy3n9+jXXN2u2+x3DeE9sTDks8tw5+qEn+DBZ++/2e2b1jLqc4UfP6uiIzFjKcsbTp89w48Bnn/2GD54/pyrF4+D9uytub27ILh4Jh0AbxliklXmGNoZD2zB6Pz0Tf2/FwH4r0pvFYjEdNH07cHN7xWI5x1qRgNjMUhRRchUf7qTbTwtQpGeis8yNKAVmdcX58Qmz2WwaFTjnePL4MV3v2O/3ZEXGbrfl0Bw4OT1m7ALByeF8dXXFfD7n6OiIru9oumaal1lrGcK9r3Ui7iQmrXcOTaAsy8h7kLCaNJO+ubn5lr1olmVoYydZpZAnhZxTFPnEBk9uhkopukESErU1eCcwkxtHdvsdfSdqgK7rprnoB0+f4d2IIqPvWta3N1ijaRpZ9EVucWOPNZrMappmL+ZFztH1sjiWyxV5kfHy5QuZ4dqMsW8lgnO9QSk9aY13ux1Nc2Cz2ci10xnL5SlKi4THWIUxgbHtGVox39BGczgcuLm5po/wqMg37wlOh8OB2ZEURd988w37T37NYr4kqIz5yQlHZ6dC0DMGU5UsL86pj48YepHvSFSpjx2pHHIJFu+bhv32DsYR13f0nTiAHZq9EPnUiFcOk0E1r6QQqGvmsyVZNpOEPmNlfumVtKDKoJQc4OM44FGyMRlF34tKwFpLXpQRZr73prBZzugDenS4cWAcRV2iMztlpGutOBw6yqJk6DXeZTSNoFvWlPjRs9s1MhUJYpE7n82YzRaS8OZEreFiBoGL0KAwwZtImlJ0iihpSqSzmOgZyUcpsjqZaRkt3BIdszAmxUQ8jMfeMTQdfvAyGjRwFI2H9vs9WVnQDj0zZDS0WCzo3L3GfHROZvPaktkipn4+5snjp8zKGfvdjubQsNtvWM6XtDaHQxcJANKVE8BomUE/nAc/dIx86GSYFE3j6Aj6fj9Ka3sYR8aDoyxKSiXjzrqqsNZy9W6I/gby58ly/eb2OvKJZP4/joIMEH/WMAwUeSXj0nFku9nyzddfMwwDs5l40282m0hADBNRLxG0hU9gJvJbes9ZlmHzDGWNzN2V7GtGW0lQNGqyUk+f0ZgMhfqW/71SSmyq48is6zr2+70YvYVA1/VxHyumPTjJ9Y6OjrDacNjtISKt1oDSgWHoBJ1Cc3Pznv/h//v/5ic/+glPn32A14pDK2Fa1ljmy1lEqjyHfROD7sSsDpg+W3p2qrJC6/tchkSYlmvIdC7VdU3XdcJf6zs26zVhdGTaEkbHaDShjSocpSmrmuChHTtCMBBVDmgpfrMsRytDNw5yvmmD1tB2ojjQwDD0vHjxJc+ff8hHH33I+fk517drbm9vRZrpHD7LRYlmw0RyXC6XfPKrDbfrNUZb4SYYG/d1T9v27PedKJiyjKubK/aHHdV8hjWao9VKgo8WCw77PXdR0mqMIjQNq+MjlssVh7aZ+CJ/b8WAsQK7SLpTBgqyIpvgGa0Vzgk64FxGYYup0nyoB04mPFI1WfIilxmcMpOvv9g6bqeNNqXHlabEWsusrqUaPBy4ub5ltVrR9z13d3fTAhqcHOpDgoqNzNHS4ZxUDC6a/BwiISn5kW+328lroGmaKZAnBR+N4d4yuYze+qJ9Jh6ITJ85hIANFhd8zElXEByDd9HLvZiKpdevX/P+/XveXb7jeL7iFz//OfiRZr/DZoZZVXJoW/pOVAMBcSUripw3r9/gg6frWr766ivGsefjj79PUZQkBnEiTSY9dGJGg/w7QRMKmn7k8v07irwSnbXWUhAUEhOaZRmKwOnpMV3XyuKJLo7DIG5tws0Q688AfPPNC/7qX/1Lur7jRz/6bTbbLVVVktf1/4+1P3u6JDnT+8Cfu8ceZ/3WXCqrCoUqVANNSeyV1AxHFC80srmamX90bEQz6W7GNGOSSKqbzUY3gK4dVVm5fftZY3f3uXg94kuQRmuMGQ4skShULufEiXB//X2f5/eEvUej4kQ8wLmaToR+kHtM2V422bZDoWirI8ftlsRolHNSEDQNzlmUOAKJgn4jzXPyQmiSRTlHqQSrRCCGkjGA8xYVUsIiY5Bv0eEZ8F4Wp7quyUsJtonSIOzCS9GijJDC8Gg0Q2yxVgAsj2Iwg3MDd3c78mxBmmbUzZ79fkukxd7onEUbFRZhw8nJKcZEYhMcN//fweUK3W0UqSrl8C7gXINTxllhvprIMGYbSBsa8mJgnubQB06BDh0BadSGcYSlazpcLyjlKIsm7/3t7S1Pnz3DI1z3VGuKoqBoG+p2tB7r8AMWiyX/7C//OR5LU9fcXt0Ietk6vvvuCF5GInE5l/a8dahYihSNDiKufhK5jWvQZFWzFqMNPmwgWCtalPecItPzPwy0VU3ftFOEcRxFFHku/nbvp0ySxWJBb3u6tg7kUifcCaxYIHUSTvXiaACxG//444847/nFL34RkuuWvH37ltmsmHgJeZ5PI833VfZjoSPPaoyOInBC0auO0mV13hMnspmOa9j4GR8eHuS7CJ2JUNthQ9dtzDmAsZvwiIMGcZEpBUmkcVaueRRHuF6cGnFssF5EqdI5S6ibI69e/YD2nrIoyGeL4CzQVIcaPwykacTJyQnz+Uy6EX0b6JKEZ1di1j2WtnMUuaRVjge2zWYzrcejgDSKIrIsY7GYU+13HA9HkjiW7hmgvEFHGj9YdKRDpLc4libBqdJhjdb0XU/fb8MhB7FYJxFtV3GsKxJj6OhwFn75y7+lKEouLi7wzvPkUoT2h8NBxhtDj4mMfIcKjgdJjv35H/2cm5trrq+vaZqWLBWthLWOrpM45Cjk6Wy2D5ycn5GnBVlSUB8rdpvte/tWShQb0jynOh4ZrBVcePh+/7HX759NsCqpm5rONfSVVJlpknJ6dhIqtoHdbi8VVKRRsRCcJnhP2BjjOOHs7EyohX0zbUTOWlw/MJvNZI54fR0ikcWWt3l4oOlaynnOfDGnqo8UF+JRN8aItiBYKJRW9O0wpbIZMwaYPMJFxsqyKAryPCOLY4qimOhh71sWR13APkT/DsNAlAhqeSwsRl2EiCjH0CQ33axxHGO8Z+hb4jjCWS9qz75n6LuJ6f/hhx/y448/st/tePPqR7R3fPzxR9RNRVUdmM9LDlVLkqQSz6k1XVPTtQ3gubu95e7+NowvBCx0cnJC34uFMooiZuWMrunY3D9M3RFre+7u7siyBBMbun6gaQeUjhj6gcFqlmmJdY4szcBajof9FB4jEBVJ9GoaESgNtseH9ixhDnl9/Y5f//rvSUzChx98wvdffMVPfvFHpHn5O7MtpcAHIZRHsNHaDnR1zdD1kjnQNgxth/MO7R1902B7iVrWkSFKEpIsppzNKWZzinJOnGYkaY42EYP3DIjdSNKbZS6tlIir8jShDwugOFTU9IC7AopI7IcyvtHTZ5WTqSGOYlxqcGoUv4Hznvk84+2bB5JYxkA+EyJl19e4YcBEPhR6lssnZ2R5gh1kcfB2oO/aoIew04bRte3Uvq3rA217nBaJcZQ14n6jOKKpw0nOi4irPRwxXpNmGVGahZMu0jlBIzKNkCfPGPzlODk95eb2ltOzU9nIghtlVDRbL12BKI5BRTRtTVnmkgAXRwx9Rx5l/OY3/0BZlJydnjEMfUBTy0Yxny1YFkLIlHuxpesFnJONSZHhpbWePATvq+U9j7a79+13Nmw6o8DZW6H7OS8F9nw+n4rAkRfSdUpazlp0A0Mv2oYyG6E+Q+heSAfSh5P/9fU1cSzxuUVRsNlsePLkyXuuiTDrf6+1O44c+74nSlPiKBGGStdTHY8oZciyAucDDOe9bonW0eQIGDfRuq7JgiZrDOEaRdZStMX0IZ8jSZLQ+exIY+mMKg+2F03HCGgTDoNCqQgndRdN5Xjz+hWz2ZxPf/Zz0mJGZGKiOMW7XgS1WRE6kwN1U9M0FVVVE+mYzeYBawdm8zwAkI68e/duygwQCJ50e8dn4DEUKSLPcrI4De6jwBDRBqNGZ0UI2lOSYzM4EfaKTjienClREpEXKbNZSdvW1M2etqtROPpeopqjOKHtWn7zm1/xP//PNzjnOT8/58WLDzlZr5nN55ISGY8dK7i7v2ez2fDnf/5n3N7e8lf/+19x9faKZ08+YD6bc311QxrSdXVkpu4yCATOaE2WppyfnQUcc8Hlk0tQnmoMsFIQk/5HcKf//Ov3LgYO9R5tZKYzWItlYPAa20ngTRzHrJYLtDbc3d2yU3uePX023WzjiXw8RRdFTupihr6n61u6rufi7ALnYbVao7WZtADaRJgo4uzslCxLedg+EOmY2CRcXlyQpimvX73i7375t3z00ceYJKLru5BMNRexU6i0rR0Y+n4S69hhoG1aYqOnwmWct63XawmeGHrKWTktCN47TooinLIeH1opiiRFLBpRykbsR9Y7rHccbU/X9USRpNZFcYK1UkyhBO5xefmELEmYRQl3dzdsHm4DJU9CRNpmYLFccn5+TlGWzMqC7W6LNgnL5UJyCZxlvw95AwpevPiAtm6oqzYwt4XcqLXi7OwMcOz3O9brE5x37I63NG1HkjrysqBt6ynnPE1jzk7XxJGhqSuxARpDG04pMu+UGzeOInwQc7ZNy/W7a5z1eAtGJZy05/S/svzks8+YL0PutnOyeUqfVgJn0gTf9bTHStqd3tNUFVV9IHIeg6Kp68APUFIhpwlxiGTOixl5OcdECdrEMvcPC4V1j3ZAo7XM1XuLo4OgM4kDmWycpY7hQSaSMY2ErIjAbRiky2BlR0IpGYPgoWsHsjwTOJcbQEVkWcJu33NzsyPPEozWKOVZLOciiLWOrm3p2hY7BOdA34tyfug5HKRQNMqjEGvaBNyKgq9dK0lh1Ia264UX7/3Eq+jbhn0/0KUZ5XwhNi4PKoolelkbsjSVcUo4SVnnOT07Z3l9TRQneK1k8x9sSH3MmEE4ocQ4F6BkI+52cGgVcX5+yc8+E2b7xx99xO3tDff39/j+Gq01T548wcwXOOc4HPYcjjseNkIarOtm8j2kSYKJQjbBdMIzWOuCE+KxSNBhLRq0iPTG8cIozlRa4sLbtiNNExTBool096IsAy8bYhKCvaI4Cd2wIfydYk8UPK3l9uaGk9NTzoLW4je/2XA8Vsxms/C+Rj3E6EBiGnXIBijr2G67Q4ciJ8tSPDE3txI0FscpSgnPJc1yZnPROTWjBiCRtnMUC4FT8bvhUNYJdnh0Vc3mM+5uJaQsDwekIYx/UELTi5RITJ13BCI7vbMc9p4vv/gNx6rm408+Y7k6pShnkvMxWFzkGXqxJfddh7UDZVkSmRTnPXVV0fcd11c77u/vJiYD3vPkyVOurt7J8wghdEqFSGg5HKA0Rk39LZy17A8CW8vzgtX6BBVFOGsZvMdbFxDqNcqLhbrrW3JinBONW2Q0aRzh+p63b98K6rjtuLq65vr6Bjs4Zvmc68Hy5tVrrLM8e/aMzz//GaeXpxRJwf3dLZuHB54/f8FsVjKfrVgs1vwP/4//J23X83Q2Z7Vcc3Fxxus3r+j6Hm0Mt3d3k8107DiPdkPrLHVTc/n0ElNF/O0vfwlac/nkSVjf/4DFQB7sc6N4bAjxuW0ls+lRpb84EarcdrMVxnaYV1xdXU0V6/tkwLGV1fcDRiccfnyD85Znz5+yPj9DK4h0TNu85OV33/P06RP6RuAqySIoPYeBj1+84B/+4R/Y3t/zsz/6GXEiPGvvHPVhj7OOclZKFdzLzF70IBaj4qmVMm7qExlLeeHgeBtUyy64B8TiMRY5eV4Eq6SMJ0bvrHQhNHVb4+xAkmZSVPTS1k0TWWy7biCJRIC2Xp8wL0oO5R1pyNvO85xNIDUqDDe3N3z//W/RseEnP/lYAEnDQJGlpFHEzc0DWZaRJSlt1dLsa5TWEi+ay+jFhBNx1zWM0JuqqqWY7kE7aVX3g6Fveu6rB9JE48pcTqV2kIx47UmylN1+j0dNLUs7SKTn7iBuh+bQsIsPVLua/bZi17Q8v3zGk7MnXP34mj/5y7/g9MkTlPO4YOPTWqMG0L1n6DzdoaduapRy9G0H1tENA0ap4LuXaNbROZDlmYwisgwdCxJYK4PWEUHWTaTl1Ou1qPOVFyttV/fSOtYJcSwIY5Mk9HbAa7Ep9bYXDLKS00ZvPU03bvSPECGjZeH1PVijiKMkbCSxIJ9JULoToWuAISUhflYNA952IVFRitjBSpBK3/bUVU/TdPR9g2BbHIMF2/W0XbD04oniBqckjlejKLKMKJLNo/EtcSQ7pt/vZYbrAeQ0l2Y5eV7IZxwsbXXAD5AmGR+++JDIaDo70A8D1op6Py0yVrM5SZ6RFTlxFNN3Mjtum4auETZCPwJvlCbPSxaLgTQtmJ+eEf2P/xOLxYpD6MLFcYKOItp2wA0wtBYXuiW+95QLOZCYSA4QMmboRHci6ByRRIQNQiKkxeVDmKePVUPb95iul9OZEifPsT6KayDwBXyYWXsv9xDeEyURff14sNBho+malmp/IIsTZkXJcrkO68Qjkrjve7FUBoGfV5CEdrhWEpHdtXUo5ETfcNjvKbIZbdfT90gXFCS9NRGKYGd7UdRHEU6JhTaOUhlr+gGvRMflBtFojDbTLM+ZLxc0xyNdZ3FOMViPxUEsa7uzPcaIsFErh2Yck1qaes/L337FfvPARx/+hJ988lN8lHCoDigj6+qxPnI87IkT0dbEkXRPzi5WALx9/ZqyKBj6gapuuLu7lS5GCIhKs3TaIG3QpsiQT6BFCsnokA1ddGRVdQwI4RUYjTdKAp2wDEiqaj94rDI8bPY0dcvZyYqq7jhs97x985a3b6/Y7w8kSSxj28iwnC95dv6MwQ7UbUXdt7y9esX28MAHz59yenaGHSznp5c8efKUWTnjx9dvOFUJf/oX/zXff/sNWZbx9Oklp6fneGW4urrCeU9RLqRTbhIiHWGd49gc0YlhVpa0Q8vf/vrvcM6xO+zo+p7DcU/XNX/YYuD9U/OI053NZsRKLCCjKO94OEzCE+9FlFeWZWj5y6x5hPSMN/TTp08Z+oG723vm8xn3D3f8+7/6K+bzObNZyeX5BWkSc3d75LfffUs5m1HXgjRerdaYVKw5H3300dRSG1spaM16tRYNwmDD4ixCJuB3iITvv8aqK0vzKXFP4EURxkBV19NnlHmfDeAUM82rRbjVSgZCLGE3RsvP1vpgE4tIEknj8m4gjSXgwzvH6dkFs/mC4+EIeC7SnCRN2G0PXD55ziHYhL777nvWq6WgPz1TyNKIcTZGCpznT59OBdl2u6VpW/Iin2hdo2DycDiGGXMoGgLMI45jNNJqrKsjfduERdbxcL9lDDSJYoPG0/owu49jtFKi33CefSXq4n6wvPr6t5yfnvPZZ5/jvefP/vIvOX3yRMRySlLMul3NcKjp90e223v6rkNpKWJ22y3eDszKAhtoYXFsSJKUOEnCzxlxkhBHsYRYYUTMFpoPzjkG76QNrkUABxprmUJL5M8RfUDkE+IgFHUhNEbsYJI3IHHGUkQaLXjlcUzmrKj58yzleNgFGpslTxOyZM7Qt8yKknk5F4FS22HwobP1+B31fY/tLdYOaGNI0mB38+PIoGPoHW3X4J3wO4h1YBEASuEcdG0vfHYl2QuRiYmievKgmzgnBUySkMSCcVaIGt0h12e1WjP0La6u6IeB3nlMHJE4cZ7MFwvxO2uDyzJcPlBXFQe1owotani09Y7P476q8MDpk0vUes1+f0Dt96RFSRRlXL17S1NXOKfo+gZVt1j2gfshwWE6dJdGHc/4Gp0IDvGc/8eK68GKPVnCu1LQ0hGduExhji8Eu1qGJ9oEcaILXQYdutR+oiWOmStPnzyhbhu+++47uq4jzyVnoGka0WwE8eK47ryPah4FoZvNZtK3iFFJNjxh/Mc456eZNTDpGAZr8W034bbl0BJPa9a4To/XaTabkUYx+812WifErfmIDNehqzYRC7WM23xImqyqPT/++D1VVfH0w4+EqeAUXskodec8Dzc34ghLPVTw/Q/fs1gsWC6WKKVoVMNsMccr+QxJlEzrkrzvMArSOkC2fXAdiT1WK4kMH8e/wzCw38v9kiYJkRHgVKRNGKF4dHj2AB42W26u3vHwcMdut2exXDFfCBzpeKxIjgeW8xXnF+fc3t3Ru57L9ZK2F5Hm4bDneDzinOfs7IK26fjoo4/56Sc/5e72jv/2X6749vlzXr/8gcE6qqah7jriLOX84oI/Cumyu4cd1eHIxcU5m92GY3PERJrTszPWp6f8h//wH0TPE77Dm5ub32uP//01A0F8Ms6XxpZ/YkTdOwYZAdN8EuB4PIZZdBY2JnEWiL86mdoeRhvmpShC16sl52EkcPXuLbvNBucsWSozrDLLeHpxgYkSytlsmhkuFotJSNg0Nc+ePQt+UaELdl3Hbrcjy7JJeTuqVkcq4Tjfl4dHo3XKbDanLEvevHlDXbcBjMH0gI/ztrETsFwuSZJ4ym44HA6cnJ6SphlJkmKtY78/kI4hQ8hGoZWhKOcsFiuapEJbx2yx4oMPc+5ub3nz5g2us1w8e45C0bWSFnmyPmW7fWBzv5lENrPZjKurq8AgGLgPp4jRV3xycgL39+JpDwtC27bBLZIQxw03Dw/UXSvCnDhhMZ8TGc/+IECpxWKBt5b7+1tAqJD1scIoSOI4KH01HX76O4YhtAKjiN3dHUdl2D9s2T1sxNrVNPzJn/8FT37ykRRKUUSUFxx2Ffe31+x3W1Diqe66hv1+A84Sx9D3DSbSoa2fYLQRQaDSaCUUQflng0JPREXrFTYIzMYFUytFnqRoY8gLmW1mRSat0cAwB4JvH+kyeFHyJ7GIgJQW4lhkBOBiB8fgLM5b0sTQ1I6mPqCUR2mH9pblbM5yMRdQVwgaclqiaUcF+6hRsX0I31KKOElRKhbGR9JSqQhlGnTUk2VZmNHHdEM/6QuUG1GqSJejH8A3Io6MApwpJ4wLDCaRnAWTGObrJVFiuL+9wbYSUhUZQxfAX36QJDVVVZTzmSi433Mt5Hk+bSBSQGm2222A+QQrmZdn6ud//E84Pn3GIXT46qrh3et3pPkXXL17i7eW+XLN/d1NyAkQZPeYtBlHESZ+DPQaX2OWw6jAnwri6fuVgifOUtFqhGK2qTuMljCfPCvBa+pGiIMyu34UEMNjPst4SLq5uZlw4PP5nMNBGCejmyhJY0bhwyhgNEGsOWokJNJYuC4PDw/Ux+p3PtsYWzveM6NwcrQ5jocCEXz36ADWGdexcQ1vQmYL1gX+S8x+D13fSjteSUroNA4ZxY8wFdveK/b7rfx9Q0+5mPH8wxegFe3QYb3jybOnlPsCozUnJydUVSUgo3fvpPOa5bR9R6RjFqvltHaP311VyTVcLldivUaK5wHptrlB3Fv9YFks5yRxwsPDfUiNnJMkmcCJgo7QhOsh63IUODst766uGfqOOM3p+hD5bmLKeSw8nPkcqyDJM5x2mCgiUaMjJEKE3E5G4A6+/fZbrq5upn3jo49/Qtu1vL2+RiUJaZ7htWK+WDCfzXi4f+D+7o6rd1cUZcGf/umf8u3339IPPWenp9zc3OCtk3UzEmKj/0NrBna73XTDjv7Xs9OzsOiO7fDfTREDOaWON+P4oL/PH+86WeRwHoPGxnHgUUtK1k8/+YQsEY/oZrudRB8emcOOYqX37ThxFHGz33Nzc8Pl5SUjyWu9XjOfz6nrerppx9P9yAUY3/uIUU6SjGFwdN2Wuu4AFTzlwjXv+34KLZHfb6nro2yM9ZFXr16J+CeOWaxO8R7Kco4xoo4eBpkhO2uZlQVpErE/HsmC+M1Zh0kzPv35L/jg409omoY0lTSwh7tbmuqIPj2nOlQ0vfiFb29vJ7FNkiQoJL719vaWJEn4/PPPefHihYgbeymexuuw2Wwoi5Isy5jP5hxvxTqZxVKIed/z8HBPmsTsdj2H/Z62qSjyEoUOi0dMEhUsFnO6riUJQseR1DhSKduuRyeKfmh5d/WW9t913N/c8sWvf8O/+u//z/zxn/0paZajjSbPMjYPt+x3W8oyx0eGm+t33Fy9oZzlzBeZpGXyvldbTrpaS16C0dI2VkH5r5wP61zA9SodrHVqSq4rylKEoloHS1aMUUzXy464T4Vggb2cJIwRvUgUhdMpSOQ24DDyzGjRVlgnwK00TpjnBQaFsg7tJPZ51LuMp5nxnmubNrx3cQ3YMMvVeCKTkmSGJJVCvpjJ7NgrcQdIJocNLXO5DnKy9Gg9xk1rIiMipqGL6buWJIjkMIYymhPFhvp44P72ltglxIOlq0TEOQwDJhQuJo4hitCxEPKiKIIkhdIRh0hbY8y0gR+PR5qA3v36m29ZLldcXD7hWFXMForT80tefPwRf/M3f83rH18SG8PJ6Zrb67fc3d1N61We52SpdCXGk/YY1Y0ST/vjFvoIJ5OvSmG9dBBuH+7Z3D+wWq6wdghR5kLpPB6FR+CdDzZjjXNIvgag9TCtj3Ecc3d3x+npKZfPnvLixQu++uor9vv9JEgW+5+aDlejdW4MDjocDoEN8oz7+3uOhyOtbrCuwzlP11vyspSRgHvEOo8/j59fBT3LYAf8IPHQZSnP/na7ZbPZTChb1w3BLy+e+cNhF/zyVn6v82DGoCRp3w+2I0LGJs462rYmjiOu3r1CGzi9vCBOU4a+I0oTPnvyGSaILUe74xgKFcUxRVnKPRVGzDJWeYw2juNYLLfeUaQyXrVDEHOamCIvqOpjgNnJZ8vylKo+hK6mWAdHMqVSGu9kVNh1DV988SWHw4H1+oQkybm7exBCYSwE3CkZ0ijyIDg87Hf0Q0eR58wXJU3T0rb9lD1hTMTd7T273Y6yLPjwow/58ONP+OH1K15dXUk8cmR4/fo1s7LEDQPn5+fg4e5OdBSffPIJP776kd1WOgZJFKFUPAUujV39f+z1excDY6Wd5/mE3aybmjxJp4p0PLGMN/3YRRhP5qNWYGzNj6FEVVWFk1hGdRAcqNLQ1iLkaI4isJNT0YDWhjTL6KoWz4hDtiGkJybNMoqi4Ne/Fk76aNs5Ozub6IZjlXw4HCjLUqJiq2rqcDy29pqpmCiCpdE5R1OLP1ZEePq96GPP4bhHIfO943HPmBcuLIJhclUIPMmSFRmRMcTGiBjKy+TXK8PTD56TF4UoYtGkRUk/OIokZTZfMDQN9WFPfaj44YdvsdZOnYGRaqgVrNdr6rrmcDhMNsn5fC4bfSYZD3mei6Wy69A6DYtpwXwxRwV74JhNYLRivVyA9xwPO/phIIlTPCIU7JuGuk45HPYs8kza7UHBu9lsSKIIoxQOR49F4bl9uKX/ouX1jy9p+5btfsuf/flfUuqUd9//lnevX2FiTZJous7y/fffcjweKYoLhqGh75uprSo21RxjIuIokUJAmceHXkkSnSBeHR5JJlTavNcK1pgoJk4ldthbB8aB0dN9rLwi0pIeaMfi0g3hpCRYYdHdis3SjMh8b8jTUQVdozXM85IkMkHTEnDCgwXzeP+MrXTpOO05HA/YsIkLxS1mXhYsVnOyPJtOeApCoqhnsI6ht9gAVxm91dqMI67HvALlHREQg2gX2o6qGlBxRJKnZIsZSZbSVBW1tQH9G1wHYR3wCLNBEU7L2qC82ByzNMOMCmljpmhvpRR6f5DP7RXb+y0fPv8Q5dREJnwye8K/mP0L/vZv/ppvvvmavMz5+OOPWa/XvHr1anJ+KKWYF+X0zI+F1XiCff9gMAwBZqY1XnniNCVOE65vbhmGgbXWYB1t24eCyUx26fe7ACNAZ/T5j+vieOB4+/YtUchxOTk54eXLlxOkzHmHcmrSVY3aqpHDr7Xm9evX02d7H8NrnaUoxb7ogrVsHAP+x8XQ2A1N05S2lZjhzWbDbrdDKcVyuZw+T5oVIcRIcTiAZ4Y/7KmPHX4aXVmyLCdNZa133uGxRLEizjMiI7hyrT0vf/gt1/fXXD5/QbFY4ALdNksTdrtdaKfLmm3fGx+N2TUjAdFZ0a28390c+h4GS5olDL2lbVqclZ+VduR5xmxWBmhexzBohn4jDqKyAK1x9rH4fvfut1RVS912LJYrdBTTWwcYdJTIwUILe2QEkcVxzCydk6QxdzdCLRS3m6JtRfS43W7xXpElJVkqALTZYoH1jv/iv/qnfP3dN1Rtg/ZyUNDBsjsvDevVml/+3d/x13/91/zxf/nHPH/+nB++/567m1vJM1ivGC3FPvoDpxYC08zr4uKCpmm4urqi1SIg7MOmnwaq2futqXHDGUUywzBwPB65v7+fgB7jg7APyXzlrMDZQdT8fUOaptze3vLwcM/lkyecn53jHDivuH/YCxc/z3ABAblerzk7P2O/30uoCSJiLMuSJ0+esFqtKAK/OkuzySO92+1+B96hlMEE/zzIKatpGpxyYVMXAEbX9SH7epAZHlA3NaDo+26auxujpi4GeJQVdfYQCHJ91+HdwGKxwAG3d3dcGAnVieKEqq6J41REXMeKk9US1/dkacZisaBujpPXdoT/aKWwduDkZD3ZI3e7HXd3d3glqWJN3fBw/4AOnOs0TdB1Td91aCDNUiKlqSsZG+z3O4auhXANxvsjy1JwwswfOzC73Q68kPnK1ZrNZiMbaRyFbVhOJcZ7jtWBvmn44bvvWJ+eMtQ95/MVP3zzLVV14ORsRV0duL654vWrH1mtlkSRpqoOgW8gM/8+SeWE55k2Ni+xaELX04QZr5YEMyQ1zYe5sJehKG3XkfQpSRysWOHPcuHzaMQTbN6bk47WNu9FcDVuuDK/DhhaL61IIcqJPTGLY8b6ZOjFu923Ha1tsSGHYDzdiZhXWscozXy+YD6bs1guKfKCJIoFcmUEvmOtZbu5p+tq7GClhe98WLBFgS0ea4EuKSUWvV4ZiFO0c6jBMtia3/z9r1F5ysWzJ6KMD4tOFMVi3dIixBu7dOOpXJTeYQY7qry1FtGYtRRhwx4FkiqTUcJqvuBucHzz1TdcXl6S5gk+aDtOTtf88//Df43S8OWvf41BWsVdP3BzfcVms5GNGJiVotrXRoOVHraRanBiMmhjZDM2WrIovKftOtIs4cnqknkxo2260PL3HKsalCYvSoZe0LqyycqJMY4k6nwsQLx3dF3L9fUVx6bm7OwsRM5q9vsDeZGjgTgIBt9PFWyaZrLWDf3A68PriTI6iitd4JYMfU/bdaxPT4mjiDaIuUdtxOO4l/c0S47NYTNlF4yMgTwvgjZmoOvaSQTedT3DIAJOEU/20+dGMWVi1HWFLgzz+Zz5bM56tebYNByahrdvX/OTWUme5JjITM6zcY2czeZ0fU/V3GOto5jNGELrOzKCufbB3eL8IyZ5pIiW5YwkMhwPexnLIgdK78WJEccRu92e1nWkaYaOBU+uQ8HfBCvz5cU5+2NNG0SMcZSyWCzDyEvs6ZGJBMuhBmHJBN5JmqVBh/QIhmpboTze3d1wfhbz4sULzi7OuXz+lKatSbIUnca8ffsGP1jKLKdMM4auxw2W9XrNL37xc/7Nv/vfePn6JR9+9CFPLp/w9MkTGQF6QTA3Xf+HtxZ+/PFHbDZCVtpsHojjmDzPpCq2PZ3tKfKcOHDC20NPPwwsVmv6IXg4EZ9nbAx5mlIfj2wOB6E0lZKw1NmWuq8ZtoIsrqoDZZ6BciRpRJKKg2CwXRABKpSyWNeSGI21PWmeEuczPlQvQsZ0SAfzGo1nu9kw9C2nJyesV0uMklN3ZAzz2Wxq6Q3W0nQ9vbPTiWXEiyZRCH9QGmc0UaIZPKhBvnQhZ82xc0Xf3/PwsMF5JkHlSB+LglBluwtpg3GMMRFtP1C3B9qmQRnFen1KHKXkuUELPYnGeb7++mvubq85Hg40bcv9/T0uvN9h6EJHIwsLlWO1FiqhEK8Mbdtx3FdhfqpJIkGzFvMZm8MOjcMNPemslHSwWcZ+v5VExyCuWS6XpIlYRru2YbfbSmiM8ujeoEJMtLNi4UqD4McYQ2yiqYvSdjWD1iTzFVV1ZP+w42//+m+YzwrSJCaN4VDtOOx2XF29I4kVaSyz9aY+MEaGDnhsn2GHMDYIm7xV0o5XXqNjsQnFIdGs7wearqMNG64xhgiN7Tu6tiGLjWgAoggiRDcSJ2inaHcd1o0FgpzSFYL6d84i9Z5Y4eTYaVBhpiltTIhMjFLSIcH1kwJah2JJeUdkJK7WqIRIjzbdiKIsKYocO1gWyyV5UcqC+N6MXKGZzxZo33OME46J6Fm6tqXre/rB0fdtCKCBJIrxSYIaBrqmpq4qinLGfL7idLnkr/7dv0VVP2OZZWSzGSdn5+yu7jkOe2IltCjjHZGHCIXxwvrAKVyAGgl3ARQx2niiWOG8oig9Jkqo9nJCLecF7aLg5vYOp+Hjn3wsHAbnGLwiz+d8+NFP+eqr7/jxxx+ZlyV979BRim16jlWN45bWDhRFThIn9N5KZyjYS7WR4SRaTvVeSUJhVuQ0fcvls6cUWY7rexaLksHCdlehjCHJBMDVVeLvNjrMsr2nLHOUGrDOY20QtAUYcFUduLmBFy8+5unzD/nut9+gbUeR5lKMMFpSPUZpdF4yDJa+E4hTmuShI2oBzazMOFmv5dmKItLIQD9gUaTB1TSOREdI2ugi0kqT53NMFIcxSM1g+1DYuRAvDHGWk5BzrBuOjcQKu0HWAQX0XU+jjlJopbHM4gO62yOX2BvFxeUly77HK80iyyRTwUtXJI1T8qzEAbv9Ee812Ih5UUgR5izKaSzi8ClSTdPU9EE1HydiL0UbrLd0Q4dJFLNlTlO1IWDtsUCfzeYc6gMm1RzqnWzqlhBYpMjKnN1+z7t3N8xnK8pszvn5OUmeMdoutInxTjpisY5AgOTCn1jO6NqWph3IUuno9n2P0oL0v324ou5qru9vcHgWiwVlNuezn3zOz376Ob/97lt+/fd/T2I0i9lMDhyxY7GecayObPc7drsDh91RXG8hHMo5B3038ST+YMVAVQl3uygK7u7uWC6XfPzxx9yE9pkK1i4dWlYSa9xOoIvj4UCkNPP5bAL6jK3rphFKWe9k4VktFrRNRds0bDYbjnvF+cV5oGjNUAqh5cUpSZqRhOCkrhOhjTYy15/NZqSpDW1UphmvdCZqjoeXtM05T54+Jc3KaT42fp4hCASjcPJdrVZTdGrXdLKQR4+QD2MMRZaRJomk9gFJkpLnBd3Qs9tueXgQYmJZSiJh13WkacpysZjGK6KOdTSNo6kbaduhWa1OhNQYTi3L5Zz97p6h73BuIEkFlywiIBHVSIswFvzzICOWspxxPDxy1Me41XGmXxYFxsjJbhwnJEnC4XDg4nQtcaJK4ayl71pMmG/Oytnk+VZa0zRHWRwHmcnHgaQ1Cq1EnCMjGC9fEN57DtWBWbfiiy++wDtYrRa8+PAD5nnM9t0dfdsI2yCOGKwN82GH0gKosgMksbQLhbPeoqMYowzOeqyB3ETkWYaOEpJQ7ffW0gfm/IikNsbQdx1VrYmGnlwrIh2jjRJBolYU8xI7PIZMib1JYe2jVRUeITjGxFO7HwgWMWmN4ySvXSlClLJGO4P1Tk7WoxhTaXprqZomWKwgSsX2GA89cSQobO8k9Kk+VtTVAU0nFDRtwnppUIPFYSV2tm9xgxVf9mBJwqaUZQVnZ+d8vliwPllR7fe8e/mST3/xOUmRQVGgvOb29grlfShaQmysD3o4o1Ghu+LC5uAUaOfDiTIOIzkZK6aHFVprTk9OSJ5cUs7mXN/c8vKHH8jznMvLS8pSnEVnZxf8i3/xf+Lvfvm3/ObXv6HIJDVUmxof8kAOux1GyVhH+aAXcLJo894YZmqhe8iimO3mgSLP8Naiw/eVpCUmPnI4HMTNEUUkSiLFp/VjGETN7+QkKidzNT0fOowNb27v+ODFC3aHLQ/bO3IkWdUOAwpFGsdyanaeJFETce/9U/7Y0h5BZE3TEMcxSZqJT91pVNgTRjfKKEAcSaRxHAlYp25ERBjFoKRoleI3nn6fiWLSNKM6hs+LiG6HoadTSjgTecbJyQlt01FV4vyRey/isD9i4oT5ckVb1aQZxFr4Akyn6Ii27UO3I6Pr5Hkpi5kIK/tuGm0NATg0rtWT+8aJhbtrG/Ism+49cc7IBcmLkstnT7nf3HPzwy1FWUzaIucUNzc3HPZHmqbn/PwJWZrycHfH6uyExXyJHP5EaKqUOCxQjr6X2HGtI+LE07eeOGDMdRQTa0Ej9x0TCr6qKj799DPOzi7I0xTnHS+ePefld7/lyy9+QxrHXFyccnX1BmtdSMsU2+hut8cYxccffwRI6N/7Ist/7PV7FwMvX76cbC3jLO7du3cSKRna/IvFYloMj8eKm5sb9tsdzjpO1muGtuP+/p6mlfCP09NT9vs9dS385GJeYlZLImO4OD/D24Hvf0hQOOZzucnfvHkzBaz0x1rAEUEhO6I9rfc4D2maE8eeppFgCBfEQXEqbazddsOvfvMP3N7d8/FPfspisZhcDuMDNpvNgr1MtAl5notI6P3FXRuSNCHysVTHQWA5tgajSOJhtTHEWnM8Htnv91RVxXK5lFnkej2JKkf7Y5FENIeaWV5wslyB93RtRT30ODuwubvn7u6Gp08v0Vpx9fb1hBcWJoKMB7I8I89SvFc456kr2fyTJAX040k4/N7NdouuanDiue+altubG5I44bjbcHd7IyehpkYj7e6ykBbsiATdbjc4K/eCCkIqO4RFK0CfRvvUeF+N8+3IRNRNQ9veUuQz0ibh+uaaQ6JpmyPeSkywMYq6raVLYSAKhVkcObRK8Gh65/GI1SryohcwTuagUciYAEU82KkoeX+mDOOYQYFXDN0ASshkKsRpR5GZfoAUzt6Jcl4W6X5avJXSDARHQN8xm83I0hStx1kzGCVAGGMNLomJyXBhYZP7LhAA8aRBZFUUxfSsKhVIelZw13VVsdvuqOsDfXugaRqqqpqIbSaKJsx2VVVs7u7pWikKDLCYz3h6+YSffPYpaZpwc31FVx8Z2jm2bfHhhJfMcsrlnLraBw1MhPKSLjp40ebH3hOZCG10GMeMz5EGDGmqUUp4HcliQaOFw56dnjGfdXzw/AMOVcXV1Tu+/PJLPvroI+bzOcvlEngRTtwVtzdXYm/MUiKlOFkuZM1oe2p/lEJPGQY9JQPTDb2IP7UmVoYiyYjQqMFRJqmsIcB2t0VR0Q1ycKBzGBRlnjOfz6cUUaUU/dABdrrHx46YMcGZEUTIAD/72Wf89d9sgiBONhVR9ifTmG1EF4+HqJFQOBYf42hOhLwK6x7wQFEWpHkup8agQXi0RbvJgihpqgaUD/ZnLap8a9HKT+t10zSTGHgIQkzCKGgYBiIV0bYtN1fXQTMlz/XxcMD2Fm1i8rKUFL/Dgb6XtQGtiQMcy6MpyhnGRBglGp2qqiZLpfWOtutYLudhzCzPh/eP+qau69hu9iLm2x1kn1ouZaQV1ui27bi5u6XtWkAx9EKgvb97kDGmErdLnmdsHu5om5ZPP/8ckJC85WJFHIl4sa4P4D1ZnuC9kyREEryztHogTlLhV8QxfvBo5zBarq+3A7/97ltub274o89/zrMPnnN5ecksS/mn/+V/xfnpCX/3d7/k62++kVyaSKzTZTkPrryEKBIdnIwi2unw9QctBkZP5ngD7HY7Hh4e+MlPPplUrvv9HoDD4SBzMies/bIoOD874/Tykqqu+OYbsVLsdrsJlTqfz6m7Bo+nro5EekESRfz0pz/lsN8CntPTU7z33NzcCFc7SamqavqwSimOxyN5WYJXogwN1qm+H8jyPHiOHVEck8Qxs3LGbrfhV7/61QTx+Oyzz5jP50RRTDsMtAGK8r5Qh7C5a63p7UBTN8RpEhbgx5kX4eaM45g4FCvjF7Xb7dhsNpyfn2OMkXZ7KpkOWimK2RLzVPP6zRvevHzFcrnkww9fkOcpDw9Hbm/f0bct64+ey+kBy8vz86BhaMQ+iGe33RFHhtlsQZ6nlMWMYbDB+thO4Kc8z3ny5AlFUfCw3QkFznmq4xGjRLxSH3csFguGvmNom+B9l4fOaMMwdFMqXJIm0g2oG5QSAZvRGsJMcnxY27alKAq01mS55Ci0bYvRkCSW3g5sdztq45H8OcBbTHhINRAZTRQLF3nHvo4AAQAASURBVN4nBqUqvNaYNGXeC2Wys544TslSue29c1iskNO0lmItSWTTDffJ+P05JzqCIQhAvfNEsUEZA0ba3iacaEWMeiSOw7ip6yfcLUiAkB0E1b1erTAmqAy0Du3NcOozDtwYrywiPnk/gWOBIk4fRWt6BOZ4z9D1HHZixasOh0Aw7CQMKLBAhl6EjmmaEqcCe1kvlizykqHrSZOEs9Wa9XLFbDGnbRv+7b/7d/zt3/4H9rcbyizj9tVrbq6uOXQtn/7sc4oix1tHkeU8ffGC3W4XIsUdXrlpPGC8nOgYfelK9BbjZYjjiKgoaJWizAt0nqO1WKXKvODy8pLT09NJa5SmKcvVkrv7gmcffMBuvw2bWIYbenaHvRSKScx2v+NkjCZ+DzKm5NKTxgllXlDmOU1TkcaJbEaulxC0pkWblKoW3nxnRewcrcQlMYqsrbWo3k8i5ziOp46hWNICLj3Mpp+cXHJxcS4Hr6C3cKFoFOdJP7EMRuv2KJaVGGI5bI3OKe/h/mErhyArnajhIALjsWgfC4PJxaAEoHY8HtjvD5ydndK2DXe3t3RdP9mCx187n80Z2ibgfD2WUAR1PV3fc+SARMuXJCamb1qMiihnklJZHyv5TCaWNboo0MrgvcQMP2ZkMB2UkiQRPkCehTTOXhT048MBoMTWvn14YL+vKPKU1XJJ2zfsj0fOzs4wkXQodRyHLpK8z9ERMp8v6HrRkWkjEcH90HH9w2/RxnB6cYFzMuI7P7tAJVoKXQ3ODez2FcZoRt5EFClBgDt5gx4VNE6eSCuII7I4Zrd54Orta9I4ZpbmZHnCYb9nsZjzF3/xF3z99RccD7vwUSVCOU0zEQtip4NdWZbsdrup2PzHXr8/jvhwCOpLSc1brVahI5BMN8eobh2GIZDyNMfDkf3+wO3NDX3bkaXJ1B7//vvvAUFJeiT4KIpjhn7geKyocFKRpTF5kXN1dUWe55NH936zZxVGDWOr3nvPbndgtljJTY7kf2fzAo8nDTaxvm0Zopg8Lzg9O2NWChv/5cuX/PDDD8xmMxaLBUle0AfRzniaGhcfZx2DE1b/crlku9tS9z2LgBc9HA4TFKNqapogpBS9xWNUclVVk6tCTjiyueRobN+zyEt++fVXvDWatjowm88oioy+azBG0dZHXG84PT3h4uKCLBNut3MD19fX1HWFwqN19Dv2yXF04L2fFLpj+lfddNSHI+fn52R5Rtu0tFU1CZVubq7xUwx0x93dHSfr9YRhlpadCNeiJEErTRxF9MPw+MC+9xqJbov5goftVoiAKsIpoQG2fSfQKD8E3KwnDkCfyARLkAPw4TtqUToiSisOxwNoQ5TkuAy0ijge5XSYZLkIvcKYZAy+GYu2UQQrwj/xCA/9IK30LEWnI7VOPlOSJsz8DGsH9tvt1DJWSjFMn3v0szvyNBZ+q9bIGqGmjglaof1o1VLTtRo7CGNn4v2X9x7bD9zf3vHy++8Zup4kTsS54S14jXdK6JBeobwW8FDTULsdcRSxXCx5evmU1XKJGhz1ds93//AFv/rVL/n1r3+FcwOzYs67N2/4zd/+Hd5oumFgZhIuzs/RSjOfzckXC/peCjmiGN9brO/w/YAP11arx8UeZIYrrDAlnQWlyLOMqJyhdUPTdgzhtDPyCMZNYrG8QBvN9c01y9WKjRcipR2MsB4IwJ1IY5V0Cm0YVanwTBd5wWI+l2LeO/bHI7HRHOuKpqpp+oZhcECPVzFY+XZcgP6MuPVJ3W97lI6m7ttYLOpACRxV8ErL8/FHf/RzhmHg9evX5GlKeiLapHGBH0IRaYyZOqE6FJEjHyCO45CCKIK6sTVuIkkqHLteoyV6XA9kjGGQA7qhbXu22z1xHLFYrib4zrj+ee9l9BScNdJ2f7RwSsBTKqFdXUflj+Al3aIsSknmdAIDSqKYJE4pipJyNqcfHJvtDmMilstVWL8eXVtxHLM/HMTKp/XUEcALSbHvWuqqwg7CARl6KIsFGQVNU+Ocku/FDwyDYz5fTNft/v5hWqOXqyV5mfHu7RVV1TL0Qjwchp76WNG2fdDBxcxnC2ZlyXb/QN/LOE66NAKeS7OEosgxcUSaFfgGrNJ416K0R0WGPE9x1vLuzRu0U5wuV3RNzG6zZbN74OLygp988gm311chZ0e6A1EUh26r53g8TnsK8J8A9f5zr9+7GFit1mHBtjSNePCXy6UsjkMz2TqyLAtuA2m5/OSTT8A5jDaijO7aCXyz3+958+aN5KDPZhJwlMThC1ZBTauxzrHdbCfffBm83yuvWcznk3XmcDgym8+YpUXIQtcMgyWOFWma0w0SFZvnJWksrH+jFEWegXckacrp6SkvX77EGMP5+bnksKvHHPRxwdXaoFC0fU/dNJhITpXjSQeYxg1xHINW1AHgMW42k1c9/JrxAU3TlCLLiQd4d3NHXmScn53x8HDHt998zeAGTtZL6urIfrvl3euXfPbZpywC3vSTTz7h7ds3XF9fCeMgilD496yEkmImxES5BfI8nzy9VVXR1A0q3Ei2HyR9LM/54cffstuJ3iOLI/COm+srqkPF4XCkLPMQ+HTEOhFbRqOTPZxgbbCIji2ssaOTJIlU87sdRTEXipiSHIDeDvSuAzfgncUoRZrEaJMR6zGLnen6itq543g8ED88YK2nmPvgJY5BS1cn7nryvCRKUuJQTRutJ2XyOE9V2mAHoS3iHVZZCQvSoDACLEHa3nEcCx60qri/u8faIehXQiFmJb4bxlmnEkeFlvREhXAOlFKTQOlxz1ejUPo/KQTkEsu9OgsK9aqqsPEwRa6ilNAw3/v1Ck/iPVksz9ZiNsMozY/ffsc3v/6SH1++5P7hlv1+i/cD2ihs3/Nwf8eXv/k169UJSmuOtw/MPv4JJydrdtst8+0uzNnVxJS3vXDgXbi2KoqwOnQJcChlgqZPNjCAyESYRLDJUSQdNhM/ttiPRwllQsMHLz7gn/2zf86zp0/45X/4D3z/29/KaSkWId7heMTWNVUvc3ejNDoUHZHRZHECzlMPDU3bgFLoOKJ3FpPEZJHCWU/TDFj/mOapUZOV9+LiYrqXh2EgCgE1TdOErpmMK3WkgNCVAO4fHpjNC1588AGbhwcOuz3PnjwVt0/VTEXl+L2NxcDoMhkLAQijKh+6UNbSDAO9s2R5Nq1LjzbIYAF1DqdUKCJiLs4v8N6SpCld2wR3gNy3s9mMh4eHiXSa5zld2012yFG4Ks+QbFZ4aOoaPBTFjKKc45TD9iM7QIvQ2imKcsbZ2bkUSSHQauwCAJydnVE0NXlRkKUJtu8Zg7fatqOpj8RJQppIJ7RrWkG+F5F0jhW0XcsudLuTJJ46mlOBETQQLjhdvNfcVw8o5ajrSgqlSNDS9fHI3c2djJ+PO4zRLOarcOjTFHlJlEY4FFGckOW5BOqF+xArmR5u0BSZwOlmZcnm/oHlahEcAfLdfPTRxzx7csnXX39D3w8kScpqtQYU6/WCLMt49+4dP/zwA9fX13/4zkCRrST3O82mdqRSMLiOrm8Y+pbBQdP2bPeHIPLQeOeYr2eTyGy72dBZy2w+59nz5yRxEtY0T1sf8IPYCFFG4A99j3LiUy6KmSy4TuaCfddRV4Y8L6nrVoRZVlFXLUn8CBUBEXRE5tEGJou/pOwlcUTdVJIdP19wefGEm+trzk8vODk/wyIKqMEOeC0Pn3WhfRcZylmJ947lfE6tDW6w1F0rc8EgRDRG7DvvYz4JIpXBWXaHg7S14xi0ZrCWoWq4frhFP0gbqcxLNpt7murAFsfJeiW53bsN3//wPT/7LOXTTz6hqo7CQT9UaDTL1QlFWU60syiWlpjznjg206jmeKz4/vsfyLICZQzz1VKsgsrT1EeGriaLIk6Xl8xnM2ZlLjS7rqcrhOrV9y3b3S6c1mUBdn2ApxhDEsW0g2WwclIZ55ajFkVcGBlpGokK21Z0nRDOXBBweS8ndLRH9Z44EgsoY0vReWDA9jVNNbDxlq5vafuOPvjdo1jTd4rBWZq+I04L4iQhUiqQIUXkE0WxjIOUfCfO+klYZK3C9NJalr/eo7QAY9IkZX1ygkeSJI/7A64XAIobhinsZXu/4fzyiXAColHV/t4+H/5HEH1L0fA7v4CpCJn+OVKUJ3N+/l/9MT989wOH7QGcD/55T1rMKLU8m13T4u1AxkDfHHl59Ybddku1P3B3e8v93T3H6sgQNB4AApuW182NpLQtFksebm+w3jE7PeGXf/XvOe6OvHjxIaqTBNHBuOmZHFkjcVC5GyOn48gryX5HXB+Ez2YiQx4ZojQmtQOmNiRJNCF8u04EutXhwJPLS87Wa56ePeF/+p/+R66u3oHRWDsQ6wGfQNMITjyJDHEQOpooEouptew2G4wxlHkp79d5nPXEJkNrhWF4xN+GYKDRRmutJQ/6gePxILHvSR4odhbnBqzwnvCuh0x0CkVSogbNLJvz9PwZX959wW5z4OLsCcehYhhcaDkLJVFrxWBbHJ7e9sSJILmts2KMICRwJsFJoCX+eRgE9GSmYjcmTRPqpqG3sjFrbciTVETSTmEw9H7AObi/vxOXjBswSpPlc9bL02lEERlDnCrK2XLSyYx/psfTDx339zdgZG1q2pZDVaGTmNVyRZzGWOcEEZyITdJ5Tdtb8nJG1TY0XUOcxMwL6ej2Xq6/wzFfrFktV8HSp9luNmy3Ow5NzSpP0SpCKKaK9cmp3GHOcjxKAmtZzhiZEdp4FI5ZmQv97/6OOIpJVqfUh1oIrHc3dE0lLjGtOT9d03UDg+2oG0WSpHSBgijgvQG0IskSVMg4cV0XBJ4xWnu69sg333/Jty8jzs7PWa9POD07RylD3zuWJ2f89DPDb3/7W/aHAxZYzOfcPzxwfnGO9U4yG5J4ElX+Y6/fuxgoyzE33BLHOqS7OeqqxiMz+NRLLG/bthwPR+xgubu75ePkI+bzkqEdphNR3bYSh5sJcGezeaAfKijELlMUOW2gNGWxtJmbJghXtA/ktYHdZsPxUNG2AzpO0DrG2h43OKLA+a/bltu7W5aLpWQDQLDfyNytHyweaU+macazp894/eMraX2fiU/XekeW5Tjv2IbUvCIv0Frm223bCjM//CeO4hDdmqAjN4FM/uMgJGMMOo4mzKxHkvG0UrRNjdMIxKeu8XbADn0QErZThKsxa25ubzE64rNPf0bf98znc87Oznj16hWHQ0UUp9LSMjGbzVbGOscjq9UC72SeP1jJsy9nc5wSxn8cGQxwffWWNGg7loslfdfy3bff4cImEYV2rXMBeZrneC8tzbHdq2A6ORhjWK/XLFfLSUyptQQpDd6FVuaAdT1NG8YO4boSCijX9aANiVaY8aRktJwqA1aWvpWZfW9lZn6oaKuKRbNmuTxBxwleRcRZR5blwiVHiSAuk3apNmFGq6D34npwzjFYeV/eeZmHGzXpSUxkKOcz0jRhtVzy48sfOe4PIX5ZBENd17FYbDg9u8DhZLNXY3LeKFwcXz78PQrvHZr3WPpqbLS/XyF4yvmMpx8857vqW4Z+CMlwEnbUVDVd09BUNX1b02xu2Nzdst/t5BlznqEf6MKJjPB+vPcMTpHZgSQJttjtlmGwfPPN13zw049RecbuYcMsKfDOCcY3juh7EcGN8/QRne155O4nSULsA63wPc2GUpoojkiMfPfWB5V76IREUUtVyYhou9kyy3M+/PBD/tW//Ff8D//6X1O3DUbHxLEIuypXSWy40SjnxRY9jSiPNJWInN1gQzfHAxovOUfCTggMC+UFWzVqTI7HI7PZTMShQZRZVWLflfAuhVMSEKSUo2lqbq6vUCh++slPmc2fkSUpTVXz3XffiUA5SYR/oEfB76PgVGQiEhE+agm8F4JHbCKSNMF5BUaQ3JLmqEOxMLp5xphuWVt9CHKLTYTtB3EwhHtTDjQR1hms0jB4jImENxPE02mSEunftTKO35WMRztub69J85zl6kTEj1XFcrliVhZEaY71euqiSBpqxHKVkeUSFHU8HGjrdlpPkiSbhMmREYW/9QNxmjFbQDEr6buOH1+/4vz8jPV6KTwVrbC9UA6PxyPGBqukVmjjUDqhH6T7myQJs9mcKI7IihwA6wYetg8c66Pwa2aiqzhWFV07MFgr42klnBmPDgdc+T6jKKEbJGBJHOuyvisNgxvY7rZ89PHHgGgOtts9OhKh5fr0lPvNhv3NDW/eviHSirt7cfutT044VtWk5fvHXr93MaAUIWNd1hyBlIiF0Fq5AYxS9F0ncaDoqTp+9eqVeN2HXuAkJqKpxqChJVWIpY1iocRZ66iqJqjrIxITBaHaMLWZR6GOtR7nVKD5SYsnMpGMKQLMZ7GYi/0qCPmkfXycWm2i5HaoINrREZRlycPDA7c3t1xcXuKd41gdiRNpAdeHI7bvaYeBm5sblsslcRZNinzJ+dZEygQwhp9ik8fRwKgsBpnBJUkytbgfY2ofQ1PiKBKLk8upm+ME+RkVxvvDnpcvf2C1WqE0zBcznj17yna35/T0dIJG9QEIZIxAdY5BxGMHx9PnzyiLGS9fvaLvW/I0mXzmycmKtml4sI79bovCsV6tqKoD+/0DxnR4b0UvoqDvBYM5m8+IjJnGOybMNvuhf0TrhowEEfRE00x0nEd678lmC7RWEyHNmAjaBukhSaRumkgmgVEiIlDW0w8NWBjanmZ3pDns2W4feFjcoUxCnBWcnF2g16eoKMFrDQEVrI2EligjglMT6WnmaiKJCDaRDpAakCNtUPwDcSrchhcffsi7t2+5fvuOthb9SNu2XN9c8+zFB6GNLSKsyYIWgp+8E2eAc4/cAB9OWlqP7ILHUsDLLwBguVry7INn/Pjja+pDS3vc0TYtu81Gnru2lWJge0NzPAY2A2A0x32F7R/RtY+t0yEolhtGZ0Pb1rx9+5b/z//r/81yfSKEzSQmW865Pu7ougYbuj8j0nx8/sVu56XVG8ZkLkmIxphxK8mNykgHwYzjHGMmVfu4EY8001gLyfHZs2f8N//Nf8MXX33F3f0dWSa0PWMMd04STe0wYIdBcjXCVfzgxQu6vpuEd03XEocu0VikPW68YwCVney547MGTPfxaAmEYH0Lo5GmqYKIV5wEz56JO+hP/uRPAESEXZYUZSkeemSDNBMrALIsn9aX8f2Na0zX9xgdIw0MwaijoO5ajk1NkjRTd1CojMHya4KlVQkEqXnP0mgijbGKuuunNRVkRCb0w/GeleJZGRHXSTHgMHFE3fTcXF+TZyXnF5fgJKIaLeJppwyD8zS1pKouFgvAUZYzjFGScZO2goIeZHyIVqFjQ7BHxzJXTzPquuL1q1e8fPkjHjg9O5WurZcUR48milM8OiTuauJY0w8daaa5uHyKBNVFbDZ7POMhQVEEim0X8idWqxVeiSW3aTtMOBB13YCEZkmhNAyOWCkZVWmI44ROGaKmI0FT7fZUVc0333zD8+cfUB0bTKyJEsMYznR2dh4cajuqgwi/i6Lg4uKCkVb5+7x+72Jgf9hPAhVro2lx8E4Qw+Kzrrm/f2C9XlHkZSBV2TAL73HOkiaJWI26XjZerTk/P6cocu7urzEmCnMpEaq1bUvverbbTVBmQtuJ9Wa323J//4BSEev1Gfuq5v5+w+ef/4wsT+m6lq7vMJ0iihR11RAZuXHft/95Dx6xxOA9kTYsFgu++OILaRvNSsrZjDxNJfPeGDql2R8kNfD89IzFYiFiuqGj61v2hx1ZnlGUOQ5px49CHaXU9OCmWUY39Ixo0NEuZK0lNhofut5pnpMYw9C3IicLp7Tj8UiWpRKOFMe8fv0jr9/8yMXFBZeXl5ycrHh42HJ7t2G/3zMLUKUxq3uz22JMxND3LBZL7h8e2Gwlyev0dE2eJRx3e7bmXvjiQ8uIWC3yjHJWUtfHoF6Vh/T27oaua0MBGXOyPsFEBuclRU0r8VB3zWMRMHqflVL4ANQBJgX+YrHggxcfEBnDr371K7quYz5PRQzqLHXXh/BeRRqDj5RkXDiP8wPOVvRtJxagvqNqKva7LTpKidKcw37P0HcB7pSgo5imk7SxNM9Zn5wwm88wcSDUEdIvjZkWWZGjC+FQXuK3NkoxXy6kUxXHfP/1t9R1LSKfVz3PPnjO6fkZxo/gEsJmLp0H10ugjPdM4kulNUmcQBS/px/w07zAhxOj1pqLZ0+Yr1e0Tc3t69e8/OEldVOTOCtFjHLk0QnR+anY5/qBh4cHKbq0CqlvPG5k3lNVMqdP0wwzk2LdOcurH36ga3qePH9OmmXEZYFXimOYYfdBkyJBSxI9nRcpxmh654S8p5UE3PTBxRO6PDq0V40RG+So5xhfVSWb6pdffcVxt6dtGhazOS8+/JD//r//v7Db7firv/53PDzcyVqC4rrvqI5HaeGGIiIv8iD4Ff5JUZaozgi10hgi/QhxGUdcD7stadhQ3x95vS+sHv9Zvr9AIvVjQJKslV999QXv3r1ivV7z4Ycf8k/+yT+hKApevnzJ4bCnDNkNSgdqJI+iPrF0H9FaM5/Pg65JlOyDHUKmS8AxhxQh7xE3VNsI9THSFEXOCChCKZQxqCAIrOtaOq/eEkXinoh1PBU+6/WaLMu4f7hncMM03gKPCyp7YYII/bOpK16/esnQ96xO1jhvORwOZOWe5fqMJMvDPL/n/v5ebMyzgixLhOqaxKR4dN/T7jvpXoSREwgLxBhDYgx5XhB9HnF+cUmWp0RRgnNWOnpeU5QxJmppNxv6wZKkGcooIqXoeklqbPuew2aDdyoEYEUBa66YLeZhnBacFvOFJMkqzbGqafsmrHWiFdA6Iok12luSOJHRKgpXCuRp2O7Ic7Fevnz5I13XYwdPOSsZrCXLUppWtHlajZomJm5PHMd89NFHv+8W//+PtVAezL7vZFNPRYGNFyudc47dfi+CKCUPmkAtVFCBdtMmFEcxGrHUVFVN27RBxT4LFb948dumpe+Fcf369WvOzk5JknhK13v27BlV1XA81qCgyHN2+yNN2/Ds2VNub2/ZHQ9c3VxTzErKYh4y5JOpLT95ZcfAIidfxJMnT7i5ueHd27dkWcblkyckaUqap6AlZGa9XE6nxLZpsFFE00mS4PF4wHlJyDJxxOA8u91u6gBkWRaigCviLJ2KgbH1H8cxrZM2UlbkVIcdykuHYQgV+rjoeG+ZB+vXei3xxYIdjrHW8ebtG05OLoLTYjehoeM4Jkoj6roVEIYRiI7zkj8wRnvGK8lMeLi/5bA/ovUehSeONHe3d1PaWtd1ZFmCG9vnXoBPm+1W7IdtS1zmNHUjwKL3bJvjRuOcYHHHDkld1yRJwvX1Nbe3t5OKfLVccXZxQVVXDG1Day22lYSywTrSBCINUdggbd/iXU0SxUKvtDmpHYgyh/OOjR1wdmC33bFcrYjilN5J5a2NYbPbUMzK33GDJHFCmiVCo1RIZ0AF93xYeJV0l9EYitmM58+fs7974OrdO9pW9BX3d/csVyuBw2iNns1woWByzsHgQovf/s4p3aZ5yF8wYR4bFl6lUJoQGycnpDIuKBcFJydrPvjJx7x59Vpm7McjV29eY/f36GCxXM0XHHYSFrO5u0MFAeBYmDnnqOuatm1I0wylBK6lvcU52G+3fPDxR5xdXoAXm+HVWxEyDdZSHY9S5LctaRwTRwswchrr2wblSox30hnzkvmAtfjWY7wni8TSaUK3aewiTZ0279kkCa9e/sgwDHz15Vd8/MlnfPrZp+R5zt/+7d/w8scfyLIc27cSg+zF8nUSZs2EUY1DCm7rLA7Hopixni8mt9Hp6SnGGP7qb/49d/f3UxdrdDis12t2ux37/X5yXdnAf9CJCv55F06LguUeAW/v3r3j8vKSTz/9lNlsxs3tDbvw50SRRunRhinP+Xh/jF3P9WpNWc7phoGqahi8EyAO4DQy+9bpZKsVf74L10JGUi50ApI0YbFYiLi4acJ9HslJOtyTY6dks9lwPBwxiXTTUJAmsl8IX8KKE0tbcKJdebi7Y7/fsjqcEiUZcZqD1iw5eXwOtShmhMMA8zRDKRn3xkNC3Ta4rsM7sM7jtQg79aiXsI7FcsX5+QXrk6VYJu/vJDXSC3xKQEhLdBRP2RrWWVAtm+1Biloci+VaIscHcct0IZpahedjPp+zXJ+QJhmHqpKRotGiPRt66lpJR8gxgYEkellBBH/081/QD1KUp2nG3d09x0NFnpcUs5Kz8zPSNAkdGUXT1MxmM66vXrHf72mahvv7e9nk/9DQoSgSle+YOjhWQEPXTi0ynGcxm6NRdGGDGFMGh5AQNwwDdrBCsFOSYFbXdVDRJ2Ipcw5nIY5Tzs8vqA+yib5584bVakGcRFxfX3N+fsa/+Bf/R25vH9jtjmwPR1arNS9/+IEiTynKgjxP8cxQRnM87DFagkFGop6o7R/nbNYx+cPPzs4kD+HujqIoiOKYtTmRDTtKwrqrePfuHV999aUIBLOYJ88uSRLBAEfRI0RotVpNgSXWWrbbLev1erIijeQ7Y4x44K3YdY51FYKNNEPvyPIc7wbxYkfSzr66uiLPJGZYKdjtNlxdveWw33OsWq6v72V8oBRVVVEUBdvdDh2N7WYpiLI8B6/CxnuJ7TuGrhNKYRTjnNi5jocDd7c3rBZzslTGG5vNRtpzkQL7mJJ2f38v8cR9j7XJtGCPC+YIqprALDB1TsaIaYk+npGkKX3X0fUdL1/9KCOHyISHXtEHVrkHjFYBpzxg+x6cJ41jEttjrLTrYueIUkfsFXV1ZPBINylOUCbCmBhlDHXfwJ1swkmSsFqtmM/nrNcrltGCKIl4v1HvfTAChIYBWqEcpHnGTz75hFevX3N1fU3V1Nzc3vD8xQfCNwhtf1EaSyudweEGO1mExhmpH8APwXYWR+F7DDtEpFGT7QCcEtuVijTFcs6ni89x1jL0A7uHe66//oIff/ge2/ekWcZiPufp06c83N6FAu2Rzue8ZBr0w4BzFaJyFsiXxHAvaIeem/tbnNGcLFe81q/YHDcTr6SqKtbrNYfDnqKQuXbXtUIm9A6FJ+o6FJ6u7TBtFyJmLV5BHsRa2khS3Oj26fteWrTO8aN7yZvXr7m5u+fmfovSmouLc549e871zTVGK05O1qLNsZY6jCuV1sS5ZrFaksQJ2khxWhYl69mczz7+hOfPP+D582fM53O+/PJL/r//y/8ibXH/CAByzvHu3bvfYQ9MYw+loO/CBhraOOEE3fc9+/2eOI559+7ddIB48uQJznseHh5YLGbEQRg4DANtK0RUpdQU1357d4f3WrqauWJ7OEB4zn63AAjdCSejX4Jt1eGJjZAIJ5fKbMbDg4xbRuRyHbRcYyHQ9z1plrIoc5LsMakUrYgS0fx0TWida00RckSaumbzcI+JE9Jijn71mmFwpHkpWqayYLB90JQVUrBp8E4cH8Vshj+KVs12Upha61Ha09Ud3jnSJCLVmu3+wGG/wxPyOUZAGoq+t1JAAF0vQs04zuj7gdl8znq9JI4ymlq0YGMktA2q0H1o7S+WjsEOMrqOE+EuBIfJmHgZjKkiIPZeEMaD5e27K8qyYDabUVX1dO27TrQ/URTz6ac/+x3n1P39LWenC+7v76cD2rjW/0GLgTJPwQutqXU9fVtzPGwl2ESPNiBP0zWhhRSJEAUC1EWsOwYBUngFXmlUJBuanDwMcZwwDALXkNbxQJqWfPyTT/n2m684VjXzqKQoMr744jfSVjcxx+pAXVWgDGmeU7cdWVFOF9wOVmxJgb1tjA6MBEM/dHgfNoc0xSuLjhTL1YLz83Ne/vAD282Gosg5vTjlgw9fYGKFRoujIDFUTUVvezKfs9+J/7U61iwWFmX8pKhNx2CjpuG437OYz0MohnzeOPivFQrtPfvtlqZuKYucuhE08dlqgUIHoaZgd9umw3Y9+92OWVmSpQmXZ6fc3d3y/fevqPd7GB8irUiSiCxLcYjToShK8rxgv5cCKY0V1WErNK00QWnB5C7WEmqUF5Jt7qyjaXuSOKYsCtJM6HH73ZYuxL9GqVh1puRArcA4XO+mDWYsiEb/tbOOzkq09Xq5YrFY0PaW1WrN8XBkt98xK2fEScxht8MOEg4iqZOOdrCksUF7SZj0zhFHhs5ajLXE1pNaSK0nG+ek2tG7Aec60rwgL+aik/FWxlxBlNV0wj9wCtqhp2oaTk9PyEsppFTojI0RyYyoPa/wFuLIcHZ6yq9/9StsN/Du1VsOH39KkgfNSNsRBW7GMFi0kwyDtm1DOqQwEYaup21koUjieALbRFmG8rEskkb+ao0apQVhpCGdORPHnOdPmRcZVdtw/epH9vsNZrnk/OkF/jeKum5/53RhcTijcSZi8A4/DHS7LUlSE8UZcXvk1dU71FcZz44V/+QX/4SLi3Oubq9p25qqOlDXNWkSUxaCmVUgs14Eg9w2LXroMd5LNHTThLmqtH+zLJfwB+WllZsYijzDNh1qdULk4Hx9wu7uHuPg4W7DzfUt52cXPH/+Ed3g+eqLf6CNDjw5ecJ2u6WMSlbLJScnp8xWK1GrLxacnpxwcnLCarUicYoyyUizhPliTpLELIoFsRaIjwuna88ofoRZwHlfvbuiDzRKhcJ2j1HXY1Esm51ssHES8+TpE05OT8iznO1+x+n5GV9+8SXH4wGcCoWvA+vBCRK4txJE1R4OHOuKvJixWq6YzSRm3ONRXlwQPmz82hiUtYF0KYLObujp2540lnGMx5MXBbPAYYjiWHQ5iadvXChGNHVTYzUU3mOcIxp1Ft4HQaaV92o0fTewbw7iyfeO6riXTAkvHICynLFcnlCUM7I8QxtN27fsdgeU0aQBRz/CqGIT8fDwgIoeCx0fUmPjNAHlud9swjhWURQ5s/ma5ljhnWicVEgZvby84PT0hKo68vBwj9IRaSrPXlO33Nzc49kFQaV0akVUnrDbHynmFefnM8r5XMSCKPJsT9/37PZ78mJG17c0VY1zYLS4So7VgbZtiBLJVkgzWRdmxYw4krA67RVXb65YLlcksSGblczLlA+fX7Ddbfnm25BgGyzhf9BioNrvppPJmKDlAR/FOO//EwBG37Z45YPoR04yGoXt+scNMcwPxxPi6Hs3JkJrWfy2mx3zsuRkfcbt6oYfX31P29WcnZ9QFjnv3r1FmxjnFF3X8kc//2O+/f63vLu+5urmhpOTE5TS9G1HFCnSJMPaYQIInZyc4LyVZ8k62q6hHix5lpGkMcvVivVuS3s8cn+94/nzJ+RZgkdxOOx59+4dzlk++vjDkElecNgf2O32rE9OqI4Vs8UcnMeFCGetNZuHB/FdDwNJmgbLZIhR7XqWiwVZnlNt90TasN8dmM1mnJ/nuK6RubaWanm/25OnOcqI1dM7jwa+/eZr2SzCrKuthdOelkVoZQrpsO1aqKAJYxm8p8hj4kg0DFGc03Ze4lDjSOAlSlMfK6pjJcrbICrdbh5CLKjQ2QjJZagxd0FaW9MDGrzR73P94ZHBUPcDtzfilFiuzrA9XJw/pal7qmNLOkDfSVLl8VhN+g9JGPREGqxWQU8ArR1QzmF6T9z2lG4MFJEdWyxXslAJ3jPGo+j9QG8HUWj7NETcim20qmuyqiYKsdTGCNSHUAwoLz+889i+o20bylJcM3VVc3N1y8vvX/L0gyeyUCM2txGNjLX0bTudfPsoEnti/OjrrsLpK0kSZssV+XKJVgb8e3HE48//0bPt0WSLJR999jm3b98wVA0PmweWJysunj7hV3/3d5PYVubcHqs0Lo6FkKdgCJ2s2Ay8u7vBGc367Cz4u7tgj1qx28lowlrLfr9jPp/Rt70gaoNSHq9RyhCFxEw3DLh+QA3SukZp2qwl1wYVacBjtPBCjIUyz1mUM5azOcvFgl/9/W/YH3uyKCdPCpTR/Omf/AX7zYH+YUexLHh2/oKf/+LnnJ2esV6vSAKlb4T9jDHfiVMkXhGngYcSa85PzvnjP/oFnevRkZagtCTCa9hutvzyl7+i7ToWq2XI0RAtR13XIUzMPTo1hoE+dIFe/viS3X7LkydP+fCjDzk9PROtSJJwPMDQDUF3pSRt04qlUClFlmekWdA4RZreSq5GHMmY1TuPHeS5VF6gWWPtqke9AEpGOlrGQUmaoJRhvlgGXZejdw6vFUmeTe8/M/JcXN/esFwsODs9C4WR/J0mAMisE/6DBwYv4xXX9zAMeKVBGfb7LX3b4LKM+nhAR9JF2gaR9jyMa8aY7tgYVotlcJi1k9NCwERy54/YcyCI1Y80x2raw5wbKGcLoiiVMXRVkeUFP/vZH00dqLbrsT5oR7zHORkzdk3HfDmjbhqiKOb127ec9gNxlBDriFkx5/nzD8iKnJvba9p+EF+yQgBZtqNua969e0vft+x2ey4vLvnF5z+nLGYkJuYfvviCl9//lmHwfPrTz/jgxTOsbVDKslxIZ9hay83tLV5JoNrv8/r9I4yNkMuqEOQSRRHz2Qztoa5qDEzBD1prnILeWhEQjrnpHowX4YX3Mvupa7HwSPvwEBC6kCQxZVlQ1w0oR1HOprZx01SYQNNzVmwYx6rl53/8X3BxccHueAhs+5aqqsjzPLTfx0CjbhLzjOpZT1B0IvPWvhdb4HK5ZLh8QlfXvH3zit/+9rdEWcz5xQV1fZS0rL7n9PREHo7eTZqE+4d7HrYbPv3ZZyL24ncVyFEUTUKPsZU2xk0O1rKvmwkmMs5GnbUM1lA1B+I0oTruUVoqZG1gs2nYVBusHbi7u8fZAa0TIpMBntV6hVeKqjrKwx3pCRDlnWO/20vXJCpD9LGdwpnG+eh2s8X2PV3TSmelc4JZzRMWiznb7Qbr+gAL6RmZ4VFsSLMY5we0yTg5Xf9OIVDXNfCIfR6/o6oSFfB2VxHHKcfjkfl8Pn2vd7c3GA3WDvRDj60EB1yWBevTFdm8fIxc7QUiYryXTHJdY53HoVBRxFDroOKP0VEDOhQDTubdJo5Jk4T5fEZZlBgtEbI6hCApZMTVdZLzDsIhIJzuq+OBut5RVUfqumIYeg6HPb/61d/T25bFYhHa3sOko8BZ2kD/HFuCwzA8oqvDj2lu3tRERUlqzGM34B99wBXz1QptIjbbnYjKhoE4TXFBHZ86R5amU3u+KBOG/pFiOVgLQ4/phyn/oK5rWdCelPz0pz/l4eGB6+vr6fsWTkDLMDxqeaqqYj7MiYaGdHTeODd1jfq2pz7URCYiKTMppJUmShJ8oUjJmS8WFLMZURyzWK55uNtjrSNLBGlsm4afffIJx9tb3GD5Z//8n/H555/LPZWkGCMwptHt0gewT2Ih8mLsdNajtNiu//LP/xmDH0jzlPlyTl7kDH7gq6++5t//+19S1w2r1WoShI2ug8lS7B+txs57SXr1A9vdhsNxz5u3r1mtTzg/Ow96DbE366JAqWjq9gy9dF2j8bsP1jXnnNjmtIDhTJSEWbia2tPjYc4YWRc1oEsJ4eqHnq7vgDG23dO2/bSGjgCoUYs0FqljV6Rv28Dvl/GmhGtpkjBGHZzD6YHei/20qg944N2bV+A9Lz78mNl8jtKattWhK9YI2fB4JM8LofsZ4RyMULXp7lbiWBkDo8aRZFVVcl2CKyZJkmksMwwDu51EYOd5TlkY0iQnTXIK55jP5mzOz3j35g1X795wPB6k2+IdfVvx9s0rumFgs3lgGByr+Yrnz59Lh3W+IM0y6rZm+3BPfazou3p6f0pBVde8e/eOf/tv/i3/6/p/4Y8+/zmff/Y5r358xQ8//IAdPHfX11y9+5DZPCeKPXUtuoJZUfKgH/jmq2/Ii5L/2//9//qPrgC/dzHw/Q8/AKPnV07h8/mcoWu5efcWrTXr9ZpyNpN866Co9E5u9Dj4TrECOrHBZz3OPGRmbKjrI2ma0rZyAzZ1jfIzwPHBB8+xrufq6i113ZLEEUVRkGURSscTV//Zs2e8ffdumr1lWSYgI68mR8RopYmiiKZrRUmLnMiGrkcbybePk5iT0xN8P9A0Fa+v3vDVV19hIkOaZeRFRtRpZvMZzjvatqfvZIPXQ09V1zw8PLBenxCHYgTEbiRdEfHWjw4DQXgmJHHMcbubCIKz2QxrLW3boI0OHPwUhYjLIpPIGCJN8VY2Z6UMeZYSxynWiWe171t0HFEWYtOzXux4dVB751nGcrnAh4yBUeg4fvd934MS1HKWZTwO6OH09ASP4/LygndXbxmGLrS3immhGUlfbduw2bSTLWl0d8gCaR+r+XBiatqWwjlOTk4mjcnNzQ0/+clPGIaB24d7jsc9SiNtSGRmv744pVwsubm+YXc8ykzYK2KTknqweDpnUXGMThNiBMYSx9K+1roN2FLxXidRTJkXRMow9D0mMTLvQ1q2XSepmH3X4Z1EEns3MHQdbhg4HvcMfcNm+0DTVFK0dh1NWxPFhtl8xosXLyjCHNx5jx/Cwhfm0OPcecLa+scAnNPTU7KZ4JBRWRhDj/0Gz3/2pRRaG5q248uvv2Z/OFAPA/XhCEq6JEppTCTPDCbGhEJu9ND7cI/ICVFU4cMgcbh4Sf388MMP+f7779ntdiRJQhOK9uPxMKF6RZc0kEaKeRhN+rZF6QFtIryD+ijFQBTH6HiEoBlQvQT7KM3cRCRRxPnpBWrQXF1dUWQF1gvR8pOPPuJyfUrTNDx7+pTz88tJCa68kCZx4LTDa0nJ61uJI9ZhNJqpDIPhdH0mkd1Gh/UmwcQRl2dPpqL67u6Os7Mznj9/zna7nQ4AYyEwcSU0OB+HIsFhrafvW+7v7ui6nouzc2ZFyauXL7m5ueHp0yeTONE5J2r68LVK8mgymlCYzWbkeU7TdnS9RWmxao6f2xg9PXfWSxSy1ho9aKyzDENPdTzg8YzJpsBUbIx6iCRJgid/xmq1pKlqTHCdKRTOWdEsqMBf8R6VJKAa6EV4XB23tM2R6rjjeNhxdnZOXs5YrU9YrdasFouJQNlUFZqAifee7e4wuafGNdd76fi9r1NSSrFcLqVgRw6yY0eo6zp2u31A8Evw2+hwG8Xg1g5Cdm1W9L2Mavu2AqU5HDZ4r/j+++/ouoHz0wuatqKYzVifnogjJkvJkoTdZsP93TV11U7BdVmakSYpx8ORb7/+lt12z/ff/pb1esXFxSnv3l7z9df/wFdf/YrZIuPp0wvmsxkmSvjyq6+pmoHT03Pubze/1x7/excDdZhNLhYLyrLk9vaWX/3m10QQqr2YuE4o5qWARULoRBRFkyjI6IiqqtHhZoFHf/1utwunRiFbTbHGfc/+IEjPs/MTolhO9g8P96SJvB9rPavVfDpNLhZL+lBgPDw8YK0odMfoy1GUNi6sxhginQYBkMJEiSwyStG34qN1Xvj926MENP3d3/8dz5495fT0hDheYoxiVuZEJmbT7acHMY7jKfBnvTqZWrnr9TqELCWT/ch7P4WIGCMFwKhcL4oitBUNJk0py4S62rJYLem7jvvbB5q6YQh2rMjEGC2iMhMZtFf0Tfh3UYRSEtKirQBUtIeu6ynmeaji9XTdxiCq6+trud7DQFmWzMrZ5J2OIyOFilYkZT5ZiOQ5tMG62dG2NeIVLoQiCBNmWlIhi/AQ7qZQl+VyGa5lOnlox4X0hx9+QAUGxTyIJ50fpgWga3u0itjt9lMok9YG5wUnGztH7z1O7xi8ZzGfE8cJSdqjug4wmEhANcoIJ1+CZSpcVTGbLdAzYfBXVQPIRu0CIMranqFraeuDVPuHPXUtqZXWjpZBuS7fffMNRVGwubvjxYsXzGbz4NgJcaxBqPs+q+L99vIo4lqdnUlB0vfEOg5zdgHU/Odfkr2wOxx4d3XNdr+n6jqUQ5Dd5UyuSyJjHRXFeEUAa8VC5wxWNPATBlcF+5p1oiN68uQJFxcXk8CpaRr2+124t4dpBOmcY7UoxBY39CjnHp2TXuN9R3UQ7kcxL8Eo3NCzf9hS5iKYGrqOoesxGLI45qcfffRI2YsiaaOfX0i8ehThguNp2pRDSuX2YUvdNFTHI9Q9MYq8FH3MdrulHzqMEhFuFEfkcS5uKQyaxwwC7z13d3c0jXQJ7u/vRatQltN3OhYGeZ4Rx8JLGdvds/mMy8tLFvMFeZqSZxnffP315OLJsgwdCRtgCIcwFU7swoMgPFOiEYjjeOryJFkaBL+eMWZ5VOArJQI97SS57/z8lIfNA0r5kE3S/05xA0z35M3NDXUYJeI852dnk25Fh+6FQ8idURRTRAZvxZ113B9Q3uF6zfXbH7m7uWK+WPH02XN2my1RkpIXBfhA7gygLBeejbaVTICzs7OpMzDYR43SuBbGcRwYOf10/cei4OTkDOcsZVkSxwl13fDmzRuO1ZE0TRj6luurK/a7DavVisN2y9CLvuW475gtlsyKjNv6jndXb7i9u2GxWvNnf/4X5HmJUYryJGcxn7GYF2we7nj7ZuD6ei95LnHMixcvSExMXbXMZjN++tNPcLbDW8exqkA54thT5CllkfHBi49YLpYcqo44Kbi6uvm99vjfuxiYzedEUcT5xQVFnofqayfwiVgu7OawpXO9tNriPJywpJ1fHY+UZcnQ9iH3ephsYs45njy5xPk+AElalPIURQZkRBpubq7oupZZueCD5y9IkxRoAwGw53K1YgjtSROihj/++GPJ0w5e9q7r0Uo6Ee+35Ou2gdhMpyylNdZ5bGjZeKWxXm6c1XLF4Ae6ruVw2DOfz0gSqRZ1OJlHUS0QoOrI4CxDcA6YEFjStq1kZB8OWOeIE8FGjrOsJJG5+g+//S277Zau6/jggw/IsozDIaZtjuA7nPfsDqIpmC9XLBdghx4/DFTVgd12B0gimsCLpGWfJCPLwZOlBRpFY1qsbeTEn6YcuhofWoJj2M6Ym3A8Hqn2B26ubwJmVNG0FXkeMfQWu++DFsCglSGyci59DHDhP1k4gNDmliJtVMAOQThXFCXb3ZZhkHjWy0s5xaVpineWJIlDYmJLkspsWxsD1jPLC1xvcb0N9LUIpwztIFqR3jqarpdCMIxcrJXvjb7HSrIqaZSiUey3O25v7umGnpPTCy4uBTJUFI+wF2cHcGKfPOx3HA8bFJ62qWibhro+ik5BMT0Px6OIYJu6pms7iS+dzYgigzFSnI1dtHGxGjevMcCl6zrwQrH0CjKfE6fJRNt8f9MZT4Bh32MYLNvdXjbMYQgnqYgk2IjHlLs4imSTsYNQ2dIEW9v/pDvgnKNrO5qmZuh70jxlvV7zi1/8gqqquLq6Cp/7OHUJx9Ge1pqN63jmLG/evGHx5Jm4FaJI0ip1FChxWoJuihQ89HXD/f7I8SAI5jIvSJMUpYSxHxuD0Up0OpKUg0oT6rpmX1ckyYigTrBW6Ir73VHGBBaU85LI6j1d1woJ0w0iyDSxbP6Jxzo/FRPr1ZrNdjMVziNn4f1CbvxutFZE8WO6ZVnmlGVO0zTc3d2ymC+5ODsPYjUJbTscJMfeaIFUdbU4IoTS2dH3Q3CaRNghZBgoSXt0zslhrR03QD11CcZ/rxAYjsHjnJ0YGVmWTJkb+/1+spuPjAXnHFdXV7zueoxSLBcLVsslbpACMs3iqSvr8FPMe9c0VNUB7waxTyqHZqBvempjxJZb9+Sz+TSi8N6z3WzFvp5lOBSLxYLb29vQJQ24Ym+J38vmGA+EaYg0Hp+rcRT3Pt0wTXO8d6RpzmAtz54/oW1q4sjwVsm1ka6uZn884r1iv9uSJDI+HQaBDHVtw/3DvRw6kwSjU+azObMiY72as1yUWNtTBYvop59+yl/82V+gMVT7A9Vxj0k0+vyEeV0SxYr/4r/8Bdr4YEeWsd52c2AYdgTO8R+yGJjRh+AX2VQ8T58+wY+n+HDhikywnkWWExvJsK7CjCzJUvKyoD4cJflwueT+Tnzqtu+wvocRMaoVZUATD32HtZ672zuqo1glzs9PadoDXTdQzubM5nOKsgRl8ChWy5OwMHuKfEZe5Fxf39DUEu2b5zlVVXF3d4eJDFXdYJ0lS8IcNoqFLqcS8A7rBogMWZGx8Etu72+4ubmmrirxbn/wAbNyxnZXUTU1URIRxYbIisVr6C27zQPL2YwyyyjSlC7P6fuB3W7L2fk5QwCr2MoyK0rmi/mEFo6CWjxKYm6vW5q6Jk5yMi0L9HF/oBsG0ijmWNXsd3s2m4eA2xQetvMSZ5wXxYTSrKojWVpweX7B6UpOqruduAg0YPuBJIlJ4mRCR7sk4RA8+WVRiBJ8sGhlWK1WvLt6g/YKN4hVbrGQk33TthyUm4qwKBL1+2w25+TkhO12F04YMv/PshTvZZyy3+/wKsZ7ec9X11cUec7JyZrFcjYllX332+9ouo7tdst8Pme1XpNmWbDFStszihIBouDoe8swaAkwWseYKMUYwbfqKUBntCkNHHZbmlYERIMdSJKM+WIZOjrBJkaYwzq5d/tBrqmzPcPQoZwiHU/8Tv5s70RlONiezbZlf9iy3Qp/YDmfkxfFJOxyXkReSRJjYxfuc4f3iqIopZDtetGza8NgHdkI6FEiSMS6yQbnvYgwd3dbbm82VI3FOrE+JZEmTcJYLdJT8We9+NFNFJGAFA/IZxjV9M5bqqZmfzhQNQ2LkxWx1nzw4gW/+Yd/kE3AO6q6ljFIKHaks9hxdyvjh5vrG3avXnFyekqWF6RxhlaGwUYQ5uMLTQimyWhtg3LS1ds1O1zfEzk1PUOSGQGH44H95oHb21uB9SjhyGujidJCxmteADnaaLEYDpauaeiqHtMbhNFvJ4hQN0T0rkNFmigRBf7nP/sZ//DFP3DdNLhQ7DZti7U9RVESxzF13Qa7rXQFk6BtkA5BTJq2DIPn5voG21vW6xV5mhHFMW3XEbXhMKNGXHBo0sh/SbyttxgjdjfXS9vfeSnC08ktIveDc6IB6Z1Do8AOUxFfVw1N3XDYH+i7gTzPJnHe6ckZTVNP2rI8y/FJKkVZlrHb7+lbARRdXF7ShRTcJIpI04SmbYh0RBYndEHROGrRJCwJlNdoB21T03d1SA2Ve7IoCuLUoLywbWZ5xtC2kGXkWcYwiOB3sANpmggDJMDdjvvDBLMaiwQBO2miKNA+ifjgg+dSCA4ddugDnjjm9uaBsihYnqzFzZRm1G1L01QoBdootBN8/Re/+Q32M8uz58/Z7Vq6tmExL8mygpOTM/7pP/1T3r55w8uXL5nNZzxsHqirisVsRl6kHHYPeO9YLAouL84xyPt/9epHjlXLdlexP7REcS7Mhj9kMWBtz2B7HjbVdKpYLpdkyYK3b98SR5IBLkRWmQ31jbTPRg/nfn9gsZizmi9om4a2aVAeulrCUqJEkU7tKjgepN3uBk/fikI9iSMiI1hH74VqnmYF5XxBkmU03YBWJvx78C64GXRCkZcSjBROubPZjGEY2Gw3Yulz+rH1qsDh8MrTa0+LxRlpl5VlSdPX3N3ccHqyxg4924d7lrOSJI1ougp/sOFUJ7PyLI7pqo7vv/lG0MVRBHYgUgpvBaOclgVJHGO7HmxoaSmI04RyLi35umnY7Q/YvkcrGHAoeoqyIEtTbNezubvl7atXJHEcdAwtaSQn6O1mS5pl/GR9inWWajjS9T24geZYEceKMk8gSyX610MU0L5d01Dbnq5tSeOIIheh1SjOe/36it1sj7MDi1nJ7e0Nbdeyubml6zrqqmKIykcLXDR2VKCuWrp2kHTJrprmjiIYbbC2J4pFZS446T1tK7kY8bXh6bMn2EEeri+/+hJlDIfqyM3drcBEkhhb1bLJRxH2GLzRKiLPZ/zz//pf8Gd/9ueUZcn9vYTzuDDGmE7PzlJXx6nFrbXGDS1dV9E0iXRCdBTshQ479NRNRXU8CL+/b8E7smBFwvmQfOzRimlEJvd/xP6w5VjteethuVyFoKuEWTknimKatkMpPZ1mRO2f0DYdWsbdeK8wHlwUi+A3NdB5VCcU0HEUVx9qvvj7X3H37p6hU4DBKIPRPmgwRGXtvZWN1quQKAA6MsRpEroLA145vJLQmG7oabpegDDeEUcxZ5cX/Plf/gVv3r4Jp1p57nxQfqMU1rmgHYH94cD1jy+xzrJYLpmVC+IowZNA5SUAK0mITEw5XwTvvYBtDpsd+80G3Qo5U2vNdrmUzt1+z8P9Pbe3t8HZkoRrqImynKwoiNOUOMtJixx0hDMKIiV5F92jhgPlMZFi8IbOtahEE9uYbJbzp3/yJ3z15ZeT1c16z+DFv46CvChI04LjsQo6K8UwSHelaXryfEZZJihvpoyJoR9wKTjvaboWe7STBmqMEMdL10lIfELm8yCHhjjBxDHKSYbLyB4YT/TO+eDRlwLXh1GT957IJMzLhYyQjKapW4yWWPC72zsWiwXz2YI06TA6YrfbESUpRTnDDpa8kHX4eJC9xKiISCu0BT14tIUkSknj7FG930qKqrM9uB7bNxy6Bo1DRUIFTcuC6rgjjmX/2e/2XFxc4r2irSuyJCWJRUOljML6AesG2q6hbzuyNJU8CucmgefIbUhToRVGUcTxWNH3HVmaUB0rnJMxaNXU5GWBRbE+O5duztu37Pf7gAaWqHVlHUPX8P1337Dd3IsoOU359NNPWC1mZFlJWcz4+ONPuHzyLf/m3/xvbO7v2W0eOD8/Zb1YMDSS/4Nz2L7lx+8H8iKnzGc8e/YRdTvwv/6b/52H+xsuL5/9YYuBtmnEehd+Xq1WXJydc3d3JxctVIbjAt53A/VQM1iLjg3eeY7VkbZpmOUFRSa++cEO4hOOIrI8mYiF480ZRzFVVQelaBkUxZ6yLPAh8nQMMFquTumthxBwMVkdYeLxV5XgOsfWd57ndIE9rhOx0j22UlWguRlQJsxGe1zbojFstpL/fnF+hneWzfaBOCs4PxX8bhxLVr1zHiykSYJCok7LsuRkfcL9ZoNRMl5Igk4hL6V7cHX1lq7LJ9Xx2GYfBWsCyXD0YWRxeiJ+WTx88slPuXu4Y7vfEA8xSSTqWBNFVMeK7TYQ9fIc7zR3Nw94a0kTyRVwDrq2m+x/4wKTxBH7ndhMi6KYWmnO+6DedeRZGtjsg8y9NZO1MAkjnDwviCLJD5fEuT1RlCBzPYsJ6X0jjMgYg0e6PuOi1XUd+/2ecpYTRRGXl5e8fPlS7KJOxgnH43FyZIyn4Op4DCLEgU8/+4T/9l/9d/zsZ39EFMdSXKQJpmvxDOOQmjHEZcx2GAtW5waqWjpdWZqijfz/Y5H0/o9jdRTESB9hrQQxdb2QBXWY6XYBNNSFXIo4WAxH22QUSVCKwHAMWV5MCuiLiwu57m1HHifQO5yTggEEa2yx2KbDNR1904ofvW05PGz59ssv2dzegbWiKXGB867FrmnDZxYgjcYH1OsYdjOKsjwCXRK2iJmet77vJbBFaz7+5BP+5b/8l/zrf/2vJ5StR06BcRi71aGtXlciwrXOcRna9XlWTKOIUZuj8MRpHJ4XcZTUTcVuu6G6eyAPbfUpjtx7hqYj8ioIjME2rcT4Dl6CfLzCqAid5hilQGt8OHmPf79cFFBaNqbxpIlXxLEE+IyvcXYtwuk+iC89y4VExMvY1DL0LoybCGLpBKVkdGmdJU4T8jzj9v5uGs2MY6MxCGosYkeOCSZisG5y6YzFw/g8jb9+/C6n9xzyMVTQEgguWhPFguI2sZmK91G38igKj1itVpOTQmuNGmRtHmf641zfuiiY/A02dIfGfaEbeiIjaGX+f7T9aa9mWZqeh11rrT2/8xljzIiorMrKGrvZU7EJUpAssdmkCQOGDNs0KPgPyNY/seEJ/gU04A/+ZtmSJbY4SuxmDzXlWJkZkTGdOOM773mv5Q/P2vucJCGpBJcDSFRWVWbEOefde61nuO/rVi2dLSnKhvV2zeLwkH2+J0lTDo6OqErRGi2X18KBmM7pWkueb8lGU0wQ0LQNRZVLEzWbYetuCGTq7c79SlNryVlZrfacnZ15HoZkJez3ezabFa9fvRpYHL2Qcr1eD1owgKIouLq6om06kkQ0CFeXl/IeZym2rfn2+8+oSrnvsixlPjvgW8/e50/PL6ibhvN351y+OycJQ8ajMYHW3CyXaKVp2papnrHZ7inKhnv3H9C8PePy+uLXuuN/7WIgTVJPPgqwnRyuZVGglOLpkyc0dcNmtRpeENn9tyRdR2JEFJaOMrI0Y7NcySHdCeRHfPaOyTglzcR604fXWGuxXetVoyVxHBFGmtlsShQfkOcl1mmur68ZT+agZJznnB2Eaf3v1SvXz8/PybKMrc+yNoERRrt/qfrObzTKRHijjWR61xKTHEQxo/GE2XTB2dk5bVNTFjuOqyNMGHNwdCofTtPiktSDZFqcH0PWdc1qtWIymXB8dMT51TX77SmFj8GMtJY1yWjEer2+tb8Yw3K5JPQpV23bYbTz3v2K1WpNNwSGBCRxTF5GgBy2cZJ4J4KMIOuqoqwroigV7UXZUJQF8/kUrQzn5+cD+6HfGXc+XKgXPfYHcWACalcPynjXSfehlCJNYowWUlwvlhLoR8Px8TGg2G1z9vvC7+Si4Z/pi4eus+RlLfAOrzeo65rdbkde7Kjqkvfff5+zszOyLPMFpfGFRzq4FbQWKNBkOqdrHf/h/+x/wcNHT2hay8XlFW1bMptNxfZaCjbZOUdo5FW5WwgANHVFsdsQakUaR5Kq6AVaTVMPB3Pvg+66jsJK9roKNK1rbtMPnSTPtW07jD2jKEKjvMWtRSH7yCAI0UozGonPerFYMJlMODw85PDgAGUkadMEAWkUkZgQ5YQl0eYlbVFR5yVVXbHd7/jopz/jo1/8nP12jbKdnMlOOnTbWrTtMFa+BxnLGjC32o/+8OxthvIzUsPEoussVdORSTgjgYn4/g9/izfvzvln//SfCH2xVHSdXCJRGEon6KSoy4uCzlpZBTpNFN7aS6EPA1IkTuyOm/WKy8sLjO+Ky6oQS7JRzOdToiigbVqxGnoceK/eD4OQOIpJTIhBoR3ozqKNTHN6x8PAT9Hi7tFaSTEQKpxR6EARxCHb/ZauE3aJ04rYxWRWQnm6vdgvDw+PuX/vgSDUN+tb27Nz7La7IahoMVlwcHDAvXv3WS5v2O13GC0AMuDWgnznGdXeMZBkGdYp7ym5DW7rL//eKeaccGOapuH6+tq//wFxJJ9JzyDArw3iJB6cLnd3+L3Itb8IlVJMxhOyceKzRSaMx+NBPNxn2CitSbOMTMtaSqT+8kw1TUtHQ92KayKLY8pqTxRqqmJHsQuYZDEmDOmaindvX1PlubARWtE8aQNhoNAmQ2mNcn4V4ZkoffFaliVNU2OMYjKZsFqv2G43vH79GqMNT548Eb6AdZycnKI037i3jDEceTFvVcl0Y7m8ETeMD5kbjyc4ZWkbzXaz5uWLr4miiI9/8TFNV/PDH/2A7373exwfHfGzn/4Vr16+wDYS+GcdlJU4jWStPOd73/8RR8ennF9d8rTteLZc8dnnn/9mi4EkiiWLvqrYFltmUzkw4zhmMpmy224Jg3B4OfO8GMYti8WCqmmYzWdyOHpiVmCMCO58aEWWiVq5r6z6i7nzsZRNW6O0pa5Drq5KJrO5HPwmou6ssNLTEVVZ0XXyYZRlOfj5Z7MZWSZY0P6QvhW7dL4jNUMn3LYdQSgqYROGmDBCNw0m6AhMy5MnT+laQfVujKVuCubzBQ8fPCCKEqnuU0jjFOcURV55ZK945y8uLpjOphwezInDAJNEjLIU20hhVXofdo8RlmCYmK7pCKNYwlxsQxKLyM/ZZujOwlA6LHAe4yodddu0lFVFay3T2RQ0NLUdaGar1YqurRmNJpyeng7hL8vl0mOFKyaT8SAkrDwMp8OChs4JcUwHmswfYG0tI+J+pN3bB/tgpqqqCYOY09MTyrKkKHeAHbzC/cEm/64bupD+e21b0Qh89NFHjEajQTAUxzEffvghbSsEwSiKaGp5rpJgxI9/+0ecnD4gCGPqtiIvasbjmDhJWBwccLNcslxKR5nGiQS9+EOu74abukSVYDQERpMkKVoLErmqa6pKBIF3d5Adls61KCP0uNa22EYOwn+TgdFZSxgEtG3n8zM66rbz72RCmmbEcczR0RHz+ZyZH4HrMPKBLYooCFGtpSlLmrqi3Oc0+4K6EMHo5dUlf/pnf8rLVy+oGpnuGGUGNG3nWfU9p94oYcRrd8uC6C/GHs7Tj5RB1tZyuFbUjQiHUYo0y/gbf+Nv8vEnn/DJJx/TdR2r1Yo8z4U3EgqWuygLSVhspJPebvYYHXB4eMjR0dHtiiRQONWikLOi6xoCExJFwvIv8oKyLFDa49X9rl8b6XhNKHTMOI0lCMdfaj1K26IGK2XvQupBTEZLOqnyCZY60n4V0MNtZIzf2I6yqDzkiuHieXf2jtVy7ZHkCePxGGvx55Blu93TdhLkFEYRVV3xxZdfUjcNSXwLlemLlFuxruCthVAZ03Y9Rrojy6b/VuEAtxOP3tIsz2RHI1IxbCP6ENuJYHS9Xg9naZ9d0E8B+zVfLxivm5rIC6n7rxOkm9YmIEpStDZEUUifieGwpJmInoNIhM/gCJQWfYASa2JoQrTrqIs9k3iOUh2XFxdcXpxzfHzCw4ePKMsRcSIiUeM8LKu1EkmtbgPk+q+r6xqatiMv9lxcXEjejlHDVHQ8HlFVFUkqJMSrq6tvOBJ6obrDslrdsFotWSwOGY1lsr1eL8XuGQWURc7rXc6PfvBDvv2t7/D85Vfc3Cw5ODhgMpnyt/+Dv83V5SX/1T/+L7m+vsKhpOjJC7746iuWqw1xOmFxcMX9x48IjZz9k+nk17rjf+1i4PztGVEce2V3hnIO11pqVbNar8CKLa7/AaRpBk6x2+8Z15Lot1wuB8XxZDohMIaqKAHhtsu4vxhGz/3DHcUhSTrn/oMTqqpgu9uwWa+4Xq6Yzw44ODimsY7VcsVhGGOMHMb9GqDrOu+Xl91z/0FZK7v6pm1onWTM39259ZCTyicuojQmjAh9V210wNHhEev1Fc5JFOnVdcNPf/oXfPCdD1EEdJ0ImQIT4xwkSSKULp/KVxYl48mYd+/OiNIY17aEWnQWSZIwm82GvIJ+fSIidLHitI1UywqZYGRZRoPCeDFNvypRqGEKksYZ4+mEKImJk5i66thtRMEdmICyqphMZkO31zT9OFMKCOPpaX2U8HQ6lV1oJz5klBDI1psN6/UKVdc+Gve2WxDOxO2L149L+/Gp1nrI4e4PLKXkhe0ZFf2I2tH5jl8O9b5Lmk4nfPTRRyyXK4IgYLfbUxSViMzqhh/+8McoFZCkI+J0wj6vyMZyEEVJzPd++AO++vIr9rsdtY+wvfuii12yQdeKUimUc9RxiglCms5SNx1tW9FUckB2/tkHh3UdZV16rnstl31rB8CO0tpTDvucBvyFLJY5lGKUSiT0vXv3ePz48cD5SEcZOopQgZHwJAtoS1vk7Ldbtjcr2rz0OpyWTz/5hBevXrCvcm4tiGq4GJwTO4VC7H3KKfoI1gGMBP/GyFnWHvLsFGSjCcvNhmwykcJay2c6nk75o7/zd/jo44958/ZsYCdsdzty74+XkXNLU7Ri5aslIr2/YPv3wwSKIBpJToWWEb1WSsRfRtF5dbpzHhesHFZLmp7SSMQuEMQhGENRVzSdRQUBNQ6U5uLdGbvNmvF4PMDS+q8hjGIi3a8Ccy6uLjCR4fMvPpb1UicUy6apxalibzUpfWaD/JLCXNY/0bDSbLtOhJs4zi7OqduW6XxGsd8PGPO7U4se/qONpm4a6s0GlKbtOqrtDu25FIPuAb5R6PY25+12K1wAJeFGLuiEpooC7Si2BVVVYYwIiO8yBybehda/MyA20/58h1tFP0pJw6W8m8s7zWQQoVAIrEjrnhcAUST2adu2uLajLQtWTY3Fcv/0mPXyhtev3jAdj9hvN1RNjbUtk9mc0WhKW5Y0TYczhruTDONx4NoIYCrPK5yTrInJZMxiMR+a37adUNXCYumD1Yafvf8rVPI8ZllKHEfM5zOOj0/YbnZ+BdGg0PzoBz/m2+9/mywd8ei9x6y2N6RpRlPXlGXD8fEJv/t7v8/l5QXn794xzkYcHR4TRTHX10t+/vOPeO/Je+yqik45Nrsdy/Xq17rjf+1iQFCSgqpN4njYodKJf1Sq8VZiQ6dTjo+PRNG+XnN+9k440ol0XQrlO0VvWzOSouUsvuKUMWHjOzGw3upRk2UZ89mcLM24Xi7lJdrtQBsWC7lARqMJ2hix2vjDQCnZd1dVKZS6AQ2sQIWoriUcjQhMQOGpgk1dE8apiPo6IIqEKhc5kjilchLhGoURWnegOnCOPN/xq1/9inunDxmNZuDg5cuXBD7ON0piwqYhjGPKSjqNoGuFIx6n3Ds5ETBOnDEaj2mahjTLaNtG1LImYr/dURZ72WE6YYY726BsR6QDQgV6vyONE3n5dMhmuxF0dBwPBEmLI88rRllGF4bsdy1xHHB+fgZIGl3jrU9ZlhEYPUxTuk78t5PJmM46dvsdQnisaJtKUr+CgNBf2pvNhsuuxmjJAHdeLSwdp8Q/i9bDaz56qp6/XLSPY3WeKyAjzpAglE7VaDNoK4bpg5KArSIvhLugGsqyIozlIs2LnLSc8OrNW66urvjuh88oc9GyaK2Jo5g6lGjUwATftIJZCdXq6obGKe+gkMKsdYqmc7RdTddWonoPQ3By0KEUu/2W3X5L20piXtu64TIMlBI8aWVRTiJK8doc49c2R8fHvP/+t3n//W/z3nvvMRqPhUQZhRD7QkA8pbRVRb7dsl9v2a430AiW+ebmms8+/5T1fkPT1CKEM4bQOqzVqA68ynEotpRSKG0HpbUIqwxBYPA1ny/sbm2jm+2Gl2dnhFFMko1wyovRgpBvf/AB//5/8O/zj/7RPxoyJuqyZF1KAbrbbdkFAdoYljfXGB1iLbQ+gXQ6mfrJBMSRItAG13VC4WslVK1rva3UTwud17jUbUvjLMqJFU+S5RylbSnbSqJn6wYdBFgH6+U1xW7PpCrZVyWx736DIGA2mzNyY6jhzflbfv6Ln7HZb3h3+UY+y1YSWNMk9QWr9RMu54sb67UkAhdzzuLcLTCobmt2+z15UbDf7Tg5PuJgseCTjz4iMIrpZIry0zbpciUrQBuH0haMjw4OQ9IwYr/fk6UZURz5QvNW/9D/52w2I05i1qsVVVmA8mFDQYDRBm0koyOsSsGgK0XTykqu8i4zrfUAhLOdaKhMKHkxAw8jCuX9QIqFnjWhtSYIY4GqGYXS4rgRMWqA0n0QnOiJrC+Ybq6uiOKYLEmI45AkCimLPbpref78OU4pnjx5Jk1PIFoh7QsN/5jTtA1RZEgz4eosVyuiKOLg4FDs1GVBGB5y794pFxfvxI0WxxitBLxnu4Gp03UdBwcHZNkIpQQ0t1gsMMZwc32NUYYgCHn58iWPHjzit398nzAx7PI1v/r8V5yeHJMlCWVZ8cEHH/Lee0/4iz//cy7PLzBa873v/4AkTrm8vKHpWvL9nsZ2nL07+7cmP/8/FwPz+XwQqfR+eGutjMe8qMt5z+rGRyj2vlXnnFCvypJkPCGbSO7z9eWlOJ10g20N4Uh2zGEgSElnLVXb0tlmqC6bpiMIIqLIcHCgfZHQ0Hn7F06EFOhWLmnfGQdG46yjLMQatF7J+KVtW1wnlW7k1bXaOkKlcFqCbpS1fgxl6HxSlzHyMkync2zb0DYFzrXUXU3TdOz3OavVhiQZkzclT599izCOJMrUKKbRgqIscKFGW7m8qrqi3FdUpViOaCuwzhPa9sRpItoGoxjPJ3R0NLZhs96RJhGLyQTbVKiwpS5yVquVTBnalrLO5SWyFpSlaWuKIqesa78maNlvNmhlSdKQ2VwATdfXN96nLBOTMAjI85zdbiOWybbm+uaSyUQmGJXtsJ630LMFjK/s0YamsxSVMPV7QlkvyqvaSlwWTvItAh0ReOIXWpH5KY8xkuS12+18kJCn3nmBa5qmJEnCdr0nChM2yxyshGBFgYVQ8ey9pxwdHJKNRtiuZr28IokCAgznFzfCfQhCQh0RBwlWG1H82z6AxotMG0fXge5a6q6k1eLzb9F0CNzI0YmtyL8LutGeJd96Qa7COU1rofXujc4pnFfT6y7CKKHDCazLcnp8ytOn3+KDD7/H02fPOD45EetcHOEigzVWXu66w+UF5dWS/eUV26sVTVWKnzyAn/7yL/jiq0+p24LOSbSuxaADEdSpzgmN097qGpxz4B0G4jKwtK1DaxG3yt8rojAhSUaURcX5xRXxbMJqt2NRNWSpvOPKtSRZzN/7n/w9vvzqc/7pn/wJxS4n0IqdFfvi1fUFV03FeDzCaEscZzRNR1XkBFpz/+QUozS2rjGtFAG75Za2Evx0sS281kSerXxfUFc++Kl2VK2i7mqsVoRZQpaEuDTEpiEuCaCsePHyJcU+Z5SlxPMxHz//isODA9IkkSKx7Xjv4SMOtSOIDLvdlpubGy5uzinqHKW9Q8IXMEZrrFODvqXr5AIsi5LONl5zJFMZraWgtb4pur685OhA4D1hEvD08Xu8O39HFMm0saACbajrhkAZ0SqFISYSUJQJjAc3+cYrCP30SaGMkBUtjrau2e52TKdTwigWSJjSWCU/L+McGoMKY2itNENIg6QBbTv2+z2z+Zw4MNxcXgjx0ETDBR5FEUmWStGvBXyFQwR1fpVggsj/e9Lgab/miMLQY8g7nFLoyGBUIM1pq3CdIzABD+/fxxhN01Q4p3BKpsMvnn/J4eEhWZaRZilZmpHEApzb5yVN1zCK5FmbTOcc1i273Y7xZEqZ58RhyPLmmgcPHtA2AsILjSIwSnrkDuI0pbWWIi9JkhFxnFFVlQfKBUynE3abHUpp4khC+v7Fv/xnRKFhNE64ubpkv1lz3rU8fPiQNE1xTnN8+JA//OsZf/qnf8oXX3zOdl/w6NEjdKAo84KqrTg9PeXpw4csl6vfbDFwl/Pcj/FvFdpSuiZJQppK1dvDa3r1bp8J0HUdyjnKPAcnxURTV2hCikKq4n6s3wvt6qZkMpkMo+GeyR7GIfPZgu2uoKkatts196dTOtviLBglvlvbqWG0fXFxwfX19fB19pxqiYC8GZTr/S6vLguCIBhe2h6s0VY1da0JVEg6GlOXmrap6IC2raiqhsvLK5zTHB2dyI7SF0VOwS7PCaOIKE5wfjzcOctyvaa1nUQbKxEmZX4qoGoZ951fnEsRphRGmyFgwwFBIKSq6+sb3rx9C10ju9ayoHOWJE0G0lvTNDilqKsO28rqIwy114LcFn1xnNA2nYzT/Fh/PB4P3UvTNKw3a+paJkdpmtLUpRSH1orf3cnImEhGiVprgRf5iM0eaNMr68MwGjq4ft1RFIWsjaIIpYTS1sNB+sOlnwporamqhv2+oCgLFouFf64itAkYj1NWqxsOjg7Z7QvSNGE6ndK2LY8ePRpidntBkVIG8BMK0U7JCLO1EvjibsedYnnVdGiUlq4sUuYb3Pm27QbYjmyuAjqfKNe6Dqv7SxcCF2C14K8XiwWP33vET37yExaLOU+fPWM2n5FORuhAtBpKg+46iT7eF+yvV6wuLtku15T5HouQNs9ev+WXn3xMXpZYumFE2q9ihEaHRxXroRDqf779blmcG4WfFjkUIfO5Ab9Guby8RhvD7N4x292OXb4nSiIiE6KdrBaOj0/4h//wP+Lr58/56Oc/owMaJz/P/X7PBvzeuiEMtsiaQpw5p6f3AEjjCNcIYKzKC4q9AJ6aqqJt2mEcX9ci2izLirp17IqSIIlJxyNGswnpZEwdKKxWxJMRcRjy8NkTfvmLX/D65StOjo747g9/wOXFJW8vLmTKkBe8fXvGvfv3OD454tWbV2zznKKsaJ1FIxkBJhARs+0cxoPO4jgefpb9Gdk7BIYxOXJRR37FopRMu4LRmDTLJO747IzReCw8BGeJohi6W9qfAoqyZJSNfNKfHaA8s9lMeP0egtU/x/0Z358Z/X9XSgl63k8Im6alyCsi38glkwlxIA1j17bc3NwwRJeHgSfHWoLAEEUxSsmQuV/9DDHLWuP8pLBfkypfPIVRiFHgXA1IIJN3QGJC+XfCKGI2nw2am8Z1w8RqsxEX1unpKcf6EDrLZDYn3+fcLG+om5Zil6OU/HlJlDI6HmNUwHy2wGhompp378Q+KHcEhEZRVS2OkjAK6fw6ri9o+p9Zr4tTSgTfxq8k2rrm409+ydOnT0XI6jHdZ2dnTCZTxpMpDx4/YXa0IJmO+eHv/JjLyws++eRj2ramoRVAWqjZ3Gy5Wd/8ZouB/X5PkiQDNfA21MIM1qB+t9wXAnt/SM9msyGA6N35OVEUksQhVQ62axmPMrq2oa6roUruUwXlZUkGYlf/Q8zznP1FLmOeKGI8mVA3NUpLTCbK7y791ygUrXAQuNy/f38QCOV57ilRUzYbyQNI01TQs538iPoXtRd4dTgwvagloe1EhKKDCNsJDAkU6/UagcGMxd8bx8wPDlDGoHK5DJyWTjGMI4JQ7ENX19e4tpML4PCAbDzyoSNSmLw7e8dsMsHajul0yuPHD7F1Lb7VuuLl6zdYByYI0Z31O81QuOxNw8i/zFGSEJiYrrY0VU3XVShaqjofsglAy1qkarzH+Y7QrR9p+wc78havpmkG7Kiozxk0CL0L4S55bRA+BgIh6gVJo9FouKROT0+HIuLftDD1e87+oJJnR6Kai0IyIOI4ZjQakSQJ3/ng28RxQNOUXF6eoY2i7WqsTYaQkl4cCQxjSOVuEzrruqZqS5ks+a+/vxzF9CnitCBQuEALV9+JIMxax2azp8hL2sahdYQKIlmf+H9GCi9DYAzj8ZTTk1O+/Z1v8cMffp/vff97JGnE4nBONh6jA4MywsdwTYvL91S7Pflqw+5mxW65pioKlHLsdhvW2w3/7F/+Cy6ur3FGrHT9BXB3n3trZeUbk4HeZdEXCH2uhmTBh2ht+OqrLzk/vyAIYh4+fk+CcbSiKEvpMrXAkzon2Nzvfu/7/Mf/m/+E/+P//n/Hi6++xDTlMLHuus7bVRuMCYQz4ORcev7iK+q6Yj6VCwjAdZamrOj85K/rWmzXwXZD3TaYIKCqavLO0TrHo5MHvP/hBySTESowrBuxNtZVRbHPCYzh9/7GX+feo4f83//R/40PvvMBSRxDGEjeStexvjjn9dlbglgi1dGKpmtQxg0R0pJ3Egvl0raDyyVN0+FM7dMsZRojl2AYhqAlvGY+m3N+fkFbN0xGY4zWnJyccLNcUtUVWkHTtT6jQWFMQJ+7EhP7xuEWy77bSeR6GIYiDPVfAzAky2ZJRhQl/vmQ+PMoSrBWrJCRj6POdzsJaLu+YpTEw90g+G3hgESR2GJD/54JvCuStaW1g+6h/9kEPhegdxj1RYrRxk+oevukuMhwzpP9bmPR93tZqWplhne0bR37/ZbLc/HqZ1kmts0olg1b17HLc+pamrMoEqdKsS8p6FitLgcNgHMdm60E1y2mM5zDr0oqD5iSePpBeNw0vH79Wn6evrhTCoHVGT1QKm+WwsHIsow4TlBKUzUNZdfQ1S1V15BMRjydv8+uzPns04+pbUscJFxcX/D1yxfstrtf647/tYuB3k4GDIduj0ft//feXjKZTEg8orI/9PsAnvEoo8h3Uj0mEVjLZJTJHt/e2tb63zeOY5q2Gv7cu0pZh8JaR1lWzA+OCMKQvNhhghhnHY0DqwW+EQUBSZLy4x//mPV6PVj17qrVlVLDOqRfc6SRtwb6r0drLcpiBYFX9tZVRVnJKN2YjswpwvBWyFPke66vrzk+PZU/O885OD5iPptTViVpKhfebrcblLnWWkJthglJt7XM5nP6MJoskbVLme+wtuPs7IxAa7pGglQOjo5py5ymrlg3tUA84kgU6dzucquqIhwnHB8fY9uW66tzOqsIowllWZJlISDxwKFP7HPO+owBfPePF7hZ8ryiqSuMV/0D1D54SJC4brAZ9Z9nz4Bo29bbANvhQOjXQ/2vN2/eEMcxcRwP/07vOpCsh3AoWpum9doCedmCQFPXJdPpmNl8TBQZ3p695mZ1w2g8odu3KKeGqUAf0KR135VFw9ftnPPUPb4xmeiLVQtYpTBWoVWI6xwEgqxVSlOVNUVe+CRFuWi1k0mQc7fYZlBko4wHjx7yh3/41/nRj3/Iyckhs9mMKItIRsmAGha1nYWipF6u2dwsWd8sKXd72qqmqWtW+y2v377hr37xc16dnVG1Da23ivWF1F0crTzzahBr3kUY3xWe9e9nj72t65LzizO0vuLZ02+DVp6IqKmamrKuSGJR3zu00CGV5nd+7/f4j/+3/wn/1//L/4nq5YvhDIhj6ZC6ThIcS0rRlnSOTz75iKLIefzwIeMkkQK2pzB2nfzVSHeGVrRWJo8mjBjPx5w+fMh77z8jm00wUYjVCmU7wrIQNHQj9q2ka5nNF/ydv/s/pi4r1ssV48mUYp9jnWKzy9kXe5x3YYgbw2JCcInkWjj/slhvZ7NODdOtuxhnJRQqrO3o01Zb27LZbIT130mC5OnJCfP5nOZKLk5nHSpQXqgsE6t+atifc0KTDAd9TY8TTpKEohZ9S88EGEShzknyqn82rIWqkhRDUahCHCdkaYJWjrauiAPDeDwedDbGGCaTCToIUEYLFEt5I79W1E3teRt3UMiqT5ZVwzt260Jww7PakzFFg9BiOwYRn20b8HHtBuMBSkAk0yStNV1dUSq4vrokScWC2bUtYSCppGGg6NoK23V+HWYJw4AoClmubiiKPdPpmCyNUU6xzwtPrgy8lqnDqXq4u3qWAUi2T9s1lGXOZDyma1v2uy273T2qsiEwEYGJuLy4Zr8rGM1m/OIXHxEnEal3vszmc77z3Q+4d/+Iszdv6ZqGj37xS969eTMQHP/7fv3axcC9e/eG7ucuV77/wOq65vDw8Ha84wVjveK88PaqKIoEy9qJsCfye5cojjCh+Uan1z8USZyQ+JHwXUtP0ziCMCSMIkygKcuc0XQECBvcdhZnRH3f+K/XGElXjOOYy8vL4eLox3M9mbBfURyeHA/WxF4z0XYdgXIoRDkcRrH3e1YY3REjPurCj05HkxGb7ZoHjx5xdHTE1c0Nb9684d79+/Ql4dh7piOf41CWJZEWMWO7l45K+Q6hzMtBIBlHMV0rXdnNdkdTVUShYTyZsmkbRlGEtZK46BCFcuPRxFEUCWEtCMmLHPwEIU3HlFX+De+wRMgGRGHI0dGRF49Zaq/ObbuOqm6oCr/+8UI05VX2otcoscltvGn///eHYT8N6OOp+wu/Hxfu9/uBwT+bzYaLty8u5D50wzSh63bSEVoJTBLhoWU2m6K1Q2nHxcU76VICzT7PUc5gjOgi2rYdxGEmNGSj1CN9kc/a60f6Q/xu5+zw4kKn0K3Cdg4R5WsvFuy8YKyj68Rfr6xC36EeilNjxJOn7/Hv/K2/ye/+/u9w//49Uu8CIRb9iJwo4Mqacr2l3m4obm5Yr1bk+Z6qKKnrhu1uw9dvvuanP/8FL9++pXaOxgooJ9CAM/9WQSCiKjV8BsN7Ofz/PUdf+3cpwpgA4eALQS8MDcbIzrynFOZlQRIHaBWh/CVm/X78D/76H6K15v/xf/4/oD7+iDAMhWo3mZDnOetuLUmIKJyFd++EZNjVFe89fCTJde1teqTtOujaIRinbhqCMOTwZM7R++8zXsw5uHeCNYpOy7g5cRBEIVEQUhclP/v8V5yHIfeOTqFzPHvvKd39Fq0UZ2dn/NXuL6najtY5kiQmTmO2uy1106A6iUMXVI3AekQTVRN4HcrddaUUlxql+hWBvLfr6zVWOXQIxrttnj9/zrfe/xZlWQ6JfcvVUkTKoey/rbV0VcVslJKGmVymKhhyR3o3z3qzYTQZU1blMIntP3eslhCkrqNtWrR2RJHYTwWFbWldh1Hicjo8PGS7Wg6pq4lfcUVxRNlZWv89i20VaBW6lbVx/x73q2gTCoa8f/ZuG4QeOtW/d6C1QXGb39F40bvq14eAUj5tUYG18ucpHFFgiMOA3WaDMmZI6IzjkK6r8PJ2v7c31LWhsy1dV0s9o0X06xpJkjVhRF23dP5Mav2aqy+MxInQ0rW1cDiqQlZdnWW723B1dcWzZ9/+xn378uVLri6vePDoEdvdhkmWiuU/L1DOMR6NaOqSv/zXf8Gbr18RAsFtvf6bKQaCIPgGVaqvGsPwljx2cXFOYAIODg/I0lTEEbut3wvLjj4KAtJEmAXb7Rat4PxS0vDmh4thrJzEArIoq5K6qtCBGSrRu/a/wAQ467i6vCKKI4oiJ9MRigBnO5quxiQJTdP63VzPvU+Yz+es1+vhQEvT1OMm94Nf1F44pjMhiL179466qXnw4AFxkHi/rkUHIXGSUdcWQY+1aKOIo5i8yL1oT7Ner/jggw+IkpgvvvySy4sLwiiis5YnT55wfn7u1boRgd8pW2uJE+n+eiIgwCjL0EpRYik8VfHg8IjT4yPunRyz36756Gd/xfnZG+IkoapLqU6RImA+n3NwcODHpS1Y0Uh0zrJar1FK9uPWyXTj6PgYpTTFPidJYrS5x+XFOUmciE2rqGgbUUp3XQNW7H5xHBMCqK2sWfRdD68Ufbc7QlEgF3nplcJ62FM+ePDAK5Fl8lRVwnLvi4a2a0X4s9ux2WwGm5DxAKrKdzxGa54+e8pkMmG5vPGgkIqvX37N97//A3bripubG6yv3oMwJM0yoiwizRLpsqylqmssTvjuviB2dzqVXsiP3/sLSlU8zU3ditfcCS5YaJIW17XS2SP/fBiELGYH/J2/+0f88d/9Y9IsxfggGbR34OCgc3R5SX5xw/rymnK3Jd8ub7HJgaHcl5xfXfDxRx/x9uwNVdPQyg8EtCY0ygs+lV+tiR1VG/6tyYA2ZvjrruVVkMCGtrUyAcGw3xes1ysOi4KmlU5daUXro2cDrQiVhA1ppVBWCsnf+u2/xvW/++8S/hf/Offv3yfyFts+wCoIjJ/8SIG322357PPPGaeZL1bdMLnsE/OcsuggIBuNhN8wykgmY6wxbMuCbDpBGQVaEM4mjHGhZTqe8v3vf5/L8wucheXNklGScXJ8TNu0PHv6TDIhcPzqi19hopDZ4oDxfMb19QWr1RVFVRKnqUCVXEfdKgIlIUB9s9FfElk2EjZGVfsGKhzsi62VCYJ87x1XV1eMJ2PG4xEOmM5mnF/IORIGEXjXRucs2+1WwpqiiDCQoKJ+wtvTA5XRovr3eg0cgisOI5xV9FYDa2/fr85atJLzznYNYRAQT6fYRr7+LMskaTHLKMoSg9hnO27XUD2kSh5H7b82LdkqJpRC0n3zVuvPQpRM/FQngDMXOaqyGbgYbduSRhG26zDKP9daeR1X39AKJ6Qsc2lgtSYdjRiPRhhliWL5jCrbEGhL3TQ0Tc0+r/30VhgUxk+ih0ZIOYySCTbO+Z+TvCdS8GjSLB2mmtb/b0ma8ObNG8qy4cmTpxwdHXFycszJyQm//Phjnj15gtKw3UqWi9Eag+Pi/Iw//9M/5bOPP0Fbhu/717rjf61/Chm5hIF0hv0HIR+oCI2UcoituSPSEIeaKNBMxyk3Nze0dSEeeKWJgjkmUaSZgCXScca+LHBrUdcmYQBKEaeS97zZ7MgLbzvTElgh0cgdRdXR2o7OWo5HJzKibSTDwGmxommn6FpH1wpaVSn539M0I88LAUkgYzmNjPMe3LvPmzdvhAXeCPv/8PiIi8tLOVDSkRchSWxtOh4Jf71p0Ri6zqGdjKerskRp+PzTX7K6ueTR4/dYjCfs9wUmiLm6OKcqc7773Q9Yb7Z89eIFyhgIJICoahpsWbJZb2XUpRWrq0A42p2jbkRB3dmKt+fntE0DXcPv/N7v8cVnYz7+5JfoKCYwmjCQbIU0HXlfuzDbnepwugXtCJMYrQ0mtlTLFbvdnrrzSFzfGdSVhCVFRuiM2hkiE4I2dEZwos51fncW+FGekS5AKXAKh/UKalH5SjBQiFEtrSf99ZCbrV/tNG0rkwZfCFR+VWBCmQBFcSzdkLOUVYkxmtCIzqUoSxaLQ46OT3AqoGos+10hY8ym4fL8gqrqqBpRrIfJiCRLScYpQRxgogiFo22kEKibiqqubgtkkAmOMmA7AuetrUahXIdyHU3dYGvHbrXHdQrlrXnWKiAQHoQT2+V8ccjf+6O/zx///T9mMhsPoBrXn4HOQl1R3GzYvLtkeXbBbrWmaxvatsJpyWGI4piqa/nos894/uaMfSXdSqckGyEyoUxKlIcKeQuXMVpIh4OSWyJ6wzAkjBKUDmVX66mfgZFJSWgtQRARBCGT6Zz1esN2u+aoKHFtTWgc2AbbKNqqEmeObzCUc2ilSZKI3/+Dn5BHMU+ffIvxdCr6G8sQ7SwrhISmkSlesSv45LOPqeuS8WjkJ9hS1KbxyHeNmve/+yFvry656CyTFqajhNUqJ4jHEi6kQDmH0ZrARKTpmINDzWg0o8hzHn3nW6yWSy6++hXPvvUtTBZz7ztP+OOTv0/4j/8xZVFQ5DmRiZjYA6pO0MjsdiRJjFFWDmglz4x13TBp65wl0CFhEKGV8dkdiratRaHv13Gdfzc6Z1mtVoLYbRrG4xHPnjzj+fPnjLIRrZNVltZKtBNNTaAU1omHLgh9xLVWRAj3xFnvCNBaIqdahw38uxyItXW1XKF94YIKqOqKqihoqpymKhlnwr+I45TddkeeVygVonVAEohN1lpkpYtYZ3uxoFPaF/HQdI7AFw8DMdFPeHDSiDnnqK2QRbvWoVxAoMGogK5tGWcTaaZs7eO8QRmFDgzWdQRKpoHGGOqioG1LqqqiqnbYZs765gbn4ODggMViwW635dWbVzRNzWg8gq5DA5OxwPfatiUMAmFbKEVZFKKR8coRjQLXop2maRvqrqasC1kn2BqrFMo4qqrk7M0rbNcwn42pij2HR4f8td/6EcvrKzbbNZ98+kts13By75h3b1/zq09+Sb3foF2HUgaLA90rb35DxQB+RN4rX3vAjIyZIApk9+K6jrdnb7FWKtE0FdtIUeS0bcN8tuD4+IjzizOapuTg4JDDw0POL67oGfLGBDAQugzj0Vg88l0nmdV1QxLFdFgmkzGb7RatNZPxxMfgCiCjFxFaZ3GdpShLnOsG4A/AyckJ+/2ewiu7e9xsD47YrD0VLE2YHyx49vSpxNLu94xGYw4ODvyYWvz1+82toKXTSgh8jahdgyDg7OwtYRhx/94Dsco5x9Xygpdff81qtea3/trv8OTpUz77/HPOzs640ppyn1NbS9e0WKVIxyOsH48Dg+DtYDpn5VPYIqM4Opjx+L33qNuKV29eiY3Hf++73Y6iKIkiKSr6DPPGjw27uhXSGojQMIpECV/VpEnCVVl6AaaMzJ1fBWjtL33n6YBdR2OFGNaLOcEDijrJWRdLzTdxqAM9DQF5CJlNMtz736Pf1ZdliW77qNGIuqmHtZRDBE+iIeh49OgxSgWs11uiSFLU6kogMFXVkGYTxpMF6WjMeDIlHaUEUSBR3YHBdi2dFQ1NZ2+T/+6O0AWgItwMpZR0vF4Y2LUtbdtRlhVd2wfCWBzesqq056s5/ubf+pv80R//Edlk5C8oJZMG67B1Q7Fds7++oVhtqDd7Lt6+pWvlYkkzSQiNswwdBaw/3/D161fsynKwjkG/g9X9gIAwFFqf0vgxrYz9e33HQJYLE9DBN9wbSklBLWdF5PUbGbPZnIvrJTfLNUf3xcLmkLCxnSuwWqOzTPQGQd8VGqbzGaXRHBwsaEZjr/MJODo6ovHc+sPDQwZC5vUNl+8u+ejjjwQgpA2B1hwsDnlw/xGBs5gsIxmPOQ4Dtvuc8XSGQ1HVwp+IkckAtqPz31cUxQP/IkkSTBDw4fe+x9dff83Xr17y7W9/m9nikCAyPHj0iDevXhEYuZyzbMTBwRFlVZMXJShFokKxn9o+tVI6bGNEF9ApRxiEno4oF0ZZVVI0dn2Q0G2WQF4ULKzkxZRlyfHxMfv9nn2+J0wSoUj6FWiqUioHXQsmDIbCOTTyeUWd7Pd7mFK/xhN87q2QO4oF877ZblA6EBpqK/bI64tz1lHorbgb8n2Oc4qukxRGHWj5s41wApy6fXdu9WfdoBeoPBY8TdNBlyO2diliet3DXT1L4ouZzWYzrB97rRsI8yMIDXXd+U3t7QoiDAM2mzW73ZbVzUrcTVFE25Q0Tcny5kbOSydW0aaqRDuUtMO6ps/l6NqWosgFt629QNivE2XNG2Eb7yzoLIHWvmARW6ntHJcX57x5/YowkJTM7XaHUvDZZ5/wn/9//t/s9xvu3z/h5OSINy9fsdlsPV1TtB7YX29P8OsXA/5XL6yTB9IN8hHl1dqBNjRtSzt4KUNGo9Fw0S4WCw4Pj7C24avnX2CtpSgKJuMJi8M5i8VisFxtt1umkylt21Hsc4kRdg7tmehpHBMaoYwlacp0PKa18gMUbK3GOUGwmSCgF7qs1+sBlam1Jk3EF5skCZvNZqDtnZ6eUlbeItd23FxdM5qM5YHxwsLKK0WVUkwmU6oix+LQKhj8xJVSvvuTF/jVq69RSrFYLOQhampeff2C6eKA6XTKB9/9kMloxDkQaM10OsF2GesbQRRX/oG7ubmhroW3nec541E2vLzpOOPly5ckPiglvb6mLCuclUt8PluQ53vyYk+aZlhrOTt7Nwi2+h0w3IZPJUmCQYiDcRTz1ZdfSv6Et760PXFGyQ5RKeE7NFU9XPD9pdL/3IJAeW2CFH4gg8j+wu//nbu/7tLS7hIBe8dHX7DKi6/8CFLCWp4+eUZRViQ6oNrlpOkYY6SzdCgin1Y3mc2YLw5FyKUFSKKUorWtR+vW1FUjI04/3h2sUK4Pubr9WvsiQYqOmu12fzvm9rAWrYw/IBW/9/u/x//qP/pf8u3vvj8ExPQCwW6bs7y45PrsLfV2i60atusNZ29e8+C9x6QjUTiPpyKIe312xkeffcq2yLHag+88Gtpo0zOFxLkQGMIoROt+1Km/ofEQdbfEeysdDJqKwWrYdVgvGG2aBlxJmsqYuKpaqqqlaSxGy+jathV1V9O1NfPZTOh/CrByMGulGI3GnJ6eYozxXbCmqkq6Tpw0Pfnu8OCQ0+N7VH5Cd+EV9zowXK9XjNWU2E6pGxntBlHEdruh6yxRHPvdsojturaTFYp/tvo1aZ/VoY3hvSdPODo+lnOxqlBofvD9H3IwW/Dpp58SBI0XHNdMJzPenb/1H6MliSMc4rJRWqY9rT8fjNdkoMQS2FkrP+8On6TpLwofxpbne169ejUkMc5mM1mBbjdon7TXP399sxMG8WBz017EmySJjMv/W963uq6HYKGyLH1hFGJpCbQiNopRNqKZTqk976NphF3Rdbd/tg58UmMqU+b+TLibj3C3sA6CAOv//q7gu+M2sbIH+/T/X08hvbsGgVuNW78+kPtM4VyJuQMV6/UbzkpOSFN1nL/LOX93xnJ5w3tPnhAniYdkGcbjEYnnpojVthz+fDlHFU1naZvW21wB29EEAU47bNew2Ww5WByQRBFGgfaUTGzLp598hG1rPvjgAz54/ynnZ+/46rNPKTdrDJb9asUXN5csl0uiKCbwup04jP6tz/O/7devXQy8fftWFOe9oMyrw0U5q1CB9qNZeahGmUTq9lzqvmubTmXEt1gc8NQ9oyyrIZZ0OhPvqdYVztZEYcJ2uyPf7+layQ0HUZaulyvhwCtNFEQoJ1x9OcB6ZngAfaiyVsPXLgIQNyAzkzgmigQI1FtXZrMZWksA0sXFBWmSYJ1ju96I3S8d34KX/H+Ox2PaesH11YUvCAxhnAxe215sVtc1X331BdPphJN7p5LHsNuQZhl1JcmKs+mE8Thlt9HMpjPBqjrHRitKDyHqqVZ9tXx2dobCEYeGONBgG5pKdmOr1Zrz83Pm8znj8YQ4TonjlPV6zXa7pyhyiqIUOqR13vdqBjdIL3ixTUtRCHvh4OCA3WbLoHLyPW3XStANSAcd+Yq91waIcvr2c+iFdf1vYYJAdqDODY6B/sXqbU/9xVvXNfhCoA+m6guTXofQNC1GO6JIcjS0DkhTeQ4Pj+5Jx/n6FUmaMp5MGU9mzGYLgjDGYiWNzh/AZVmxXm9YrTZcXFxC0xL6QqAXoyqlaOpq2LvePdhsZ2kb/1fbgVMo+ktXGBrf+s63+If/63/Ihz/6HibxzoLOYauaZpuzeXfJfrWhXW0p10vKvGC1XnF6csx0MkJHoVgNA8PLt2/4L/7kH/PTX/ycvCqorZ+6oAiVuVNYiZ1Ra9kRC+jGfaNruqvc7lXq/UHe/9JaowNF03inEUL8sw5cU9FWJcVuC11L5ONxm7LFViWBc8RHB2AU6htYZBGTLRYLFouFR1674XC/ff8mnJ7aQbHflDVnb9+yWm+oO0dR1zgtl2yaJOgwZLfdcbNc8t0PP/RefC8Cww0C2r4YGo/H5EWBVdJWhHHMOAxovPhYA4dHx8RRTBhEvH37Fq0Vz59/SeDXc9c3l5Sl0DDlMpSIaBNoT60TLofz2g2tAiLv1w86TRhq2tbQtR1VLUwFhRocW9PpdLBGz6ZTWb8aMzyHgx28lVVRGEVMplNMGFBVsq67y+u4++9EUUSWZT79NRfNV2dRJpR4ZBOIEM87rL744gs6D/4JgpDxeEocR2KBxYcD6TvIP/+O3xWny7N6W+z3dkN5Zt0g/AYGfVFvYdZa9A/9eXD395YchZ1ozLKUsqwoy2r4XuM4luaiLKirUizT/h02RrHbbkjiRL42oGtato2I5XvrcdM0bDab4RlyFpzt6BrRzrSmFm5EIiFQNk0wyj8PShMYqJsWrRVNvecv//LPePXqOd968oz5ZEpT5ti2xrmW3brAOpksMKwkLF3TCL781/j1axcDfbfcH8b9gdLvruqmwWoR6nRtKxQ1P27qO8s+/CL2SVNxHPlL5YQ4SnHcesUDE3JxcYG1jixJcV3nxy0tIy/000pe3igIcEqhrEMHIq7q1Z3Oj6itlZS/npNw92IiSQYxWpqmgyhts9nw4N49wiCg8IhPLU8heb4n8qOo/pdzjtF4TNc13Fxf45yVUiSMqKraX7IhQaBpu4a6Kdjt1gRhymQ8xnUNn336Ke/OL/jJT37CD7//fR49eMDl+QV1UTKfzairEuW57r03eL1eC0SphKoq0Sqk6yxlvqfY7bi6vqJqGtJ0RF03FEXJo0fjQdR5c3ND0zQcH52y2+04OFxgjKYsZTxfFMVwGBzOF8OffXp6ivNCxx6MBG7YgTZN5XUJoooOAllJyLhZVPlXV1eDmA5/9jvnhkTJ/oK9e+gDw8uqlBDTAMbjMdfX10BfXMir2nWWrm2Gv29bge6MJjPiKOby6obRaMZoPGY8nXJ4fEKSjWk7K4WuDjAa6qpiu91xeXnNF198ydXlBdM0YeGBLSDTpSiKKAuxlN5dd/Trta6TPAlQYj2yDhDc8unpKf/gH/wD/p1/92+RjlOcsqjWsb9e8fbFS9pdTldUpEFEV9d89ItfEAchT549ZXZ4gIpCOhxWwdVqyZ/803/CP/nn/4y8LGidpbIWhYww+/+U9ZvY2XrBnUzU+AZjoFe8ix/dYGGYGPWdVueZ9XGMx+GK11ppQ4zF2Iau2tMpS6elCOp1KBvnGKcxyTgD28l97/kUjTGDeLBtG6zr7hzOcsAL+XNPXXk2RBjw8PEj0vGK2kLVNEznM0aj0eBzV0pWXP271P9q6oYwlJAd4/9sYwT8pIz2wlFHW3f++RPr6WJ2wCjJOFwc8vDBI4piz3q9Yr02TKdT0izl/PyMqipZNVt/NmpUoLBKgX/Gm7YVnLrW4pgK5BlUtmO320Ms2N6ukxx7Z9XghFJKYEzjyYRtIYmnPfzt9hmUIh1/aalh3J4O53tfUN+dCvXPeP/3+7zAdh2N7Qiw2E468jAMWN5c09TtUOgaEzIaZR7vLhMJPBjurjjwm7ZW7admarjk+0lUkedezS8i59Fo5J+Pdvj9eqzx3dUwiGjYWnl2BGZ2yzq5+59RGNDWBbaTn5HtLHFkqIqCd+/ekWUZURgRlCWBMSwOD8nLgtVqxXg8Zj6fD7wSHWgCa1BYWiXNRRxHRKkIoLM0IQwDri+vcNYS6oBABxRFhVLQNhXvzl5z/va1WMurnIPDOU1T0HWNOJRaEWQq57Ctd3XduaP+u379D8IR90lx/YUdRiFda3HeXqKcKJJX6zVd3ZD4+Nk+2Ws0GnFycjLYU3qcadd22EB2ynleYExIHIuIxXYWpTpCY6i1wjnFdDwRb+xmS1UWtFbGfLrvWrTxD7GmbWSs1geX/JsWtLquqUpRr0dRxMnJyVCBbjYbkjDiux98wOs3b7i+uRGrkrU4HdC0t86Kfp8qVpqQR48ec311RVXmOBRJkrLfCUchigJCbcSrWxZA4JMJNU1Vsl7e8PXzr3j0+DG2bTk5OuTLL76gqgp++7d/i6urJecXlxIg0gkBr/GiSdsFHB4dMh+P+Ff/9Wds1yuM0XKIKU3rrXnn5+ecnBwPPuDVaiUwotHIT2eEuXBzc4NSioODAxkJa0NoAqqiFM+zpwLKOFREg7I6FlxtGIQoJ+CR3W7HteuYzmYEYSD8bA8lAVFIa6UwoRlEeZPJBGut2P5gqPwnkwlXV1eyZzby80+SZMAb9y9zU7cy/g6jYa0zWxzRWiEBrjZXdBam8wVxErM4PPAUtwBLK/JYfyt1bcd2veHrF19jdMCD+w+odrvheeqBUFEcY21HU9+ukPp/Bm41HmEYo7pOIE2toJ7/vX/vf8Tf/qO/TTrKQIuVqduXvP7Vl7z44isSEzCJM8pmx8cf/ZRfffUFH37wXT/aF01B5zr2u5Kf/fLn/Jd/8idcr25wStE6R6dulc69gl2HwXAZeBrsNyYGUtAICnk+m5OkCXlZU/kEyLtdZ91Zyrqmrhu6tnfX+NWTbVFtTVfkVG1DhyIyAdopdBfRYFleXDCtZwSBgaYBhzgX7nSqkjqYDIe9cxKSdnI65/ziirevBOainBRw1lm0CcniiOl0xsnpKZvdjrKs2O3FfXKzvGE8m4qLQisKe4udlnjkHmKmBA7mrZCywnGeeGoGwIu1IlQOw5j79+/7CVfNyckph4cHvHr5kvN3klEfhQFdFzIaSxKeQxIsg+DWfqvkjyEymtEo9d2wRJxXVUWSjPzKIB+s0UmacO/0HpdXl8MuW6ZsSvDszg2CcEEN4yN7g6Gz7pu4/oKU780Oe/rNdoc2mlGW4bqaQAVEcURgNNvNRqZNSgqaoii4ubkhTmMJSctzWTHrgNQXev2M4C7D4q4mpWeSiJYjZBSNhFC52VBWJVmafaOQ6RuK29V2r2USpHFRlNR1OUxERCOjB3V/bAxdE96uJDV0raVuK1QjOq5e2HhwcEBVluz3+2+sRHtqrfVrkq4x6EAyWbqmJs8bFBbbtVRtSxKF7HY7lLKYSEthbITR0gt5y6rEYZnNJ1RVQFUXskKymrJqKIsSRZ8q+hsuBsIoEluI7w5ufwOJxTWhRjnZBdeFXMBRHDNfLFA4JpMReb5nvbymyyYYFTAeLdht96zXe4IwwyhFOhpTFAXbfS64a22IQkNV7ynLHW1d89UXK8IgIogks35fljx6/BitLVqJH951FosfidUtKpCCxLpbhGo/fs7zHKNhcbCgaRq2uy37/Z7ROOP+vXtY2/Ht77zPo+oRRZHz9u0ZdWupiwL8/rS2HaGRKcV+vWE+mZE8eMibN29kUpIogjBgtVzSdpYoStCqw9mO/W7Fbrvh6OgEax1J03F1dk4aBBwcLNDK8PD+PbbbLZNRRhqnhMbwyWpF3bQczObUTUPX1pg4outa3py9wYSGbbFlv9kwHU85OjpCuZY40Lx9+YJ3b14xmkyYzmZMJhJz2fv4833L1dUV1soURbqLCVVZY3SA0gEOTd05knTEZJzx7uyMqqrpuna49KIoYDLL0JdrWSsBm82a/X7n957i8BCRjoiu2k5e5KZtubi8HLq/MA4JwwgLvHz9BqUUWTbCOoW1iiJvePjwMZeX5ygFZZ7TNS2RCYiSkKZzXK+XzE9OSALH1fKcIi8ZT6YkScri4IDpwTHa+IlR4FDO4lxHXVtuLq741UefkC9XfP8H36OzHW9evxL8KwoVBIRZJs6G/HYEap0UuqIghzBSNE2JQS46oyyhVjx57zG//5PfZ3w4o9MQOAWtZnN2zfmLt7iiIcxC9ssVn3/6CX/2r/+MJEtoCThfbVk3Ha2zBHHI81cv+U//X/8ZZ2fndDhUYGT07NX/SmtarGRv4AiUjOwVGovGKpmiaBTWivam6xSbXSGArSAEo2kQdXvYOWITUzU5u71EI8uoU/aWYlN0tHVBW+a4xlB7/odWhqaNfFCVYJTjJMHtcnF2O2ituBu0ErdDD6/qC9G2bcnzPW1dMF9Mh/12V1uJJraK/W4vTouqZjIaY9kxn08oyz1VlaOV8xPFgDRNKYqKMIwJghjway4Ei+y0rEcjHRKbmLZpcJ1EPVdlSe1BN1GY8N3vf5+vX7+i7lpqn04ZZxMmM5FxxlHg14ONjIudBBeZICQMNNa1VE1NqzQuEi5IFEZk2Yi6bsWtsc/Z7fcExvD02TMenT4SAacyTCZT9vs9y9XKI3RblJKJa9fI9HaSJMRRRO6Lq/4y3u/3Au9RCD9Ea297NdjAkMTCABiPUoqioyok3W9flGAMYSJ23Plszmg0kjC7psJEwjjYbvdY55jPF0S+IUNZcH3Cp4clKUXkz+/WWrCW2sPNtNakmZAVm1bAReIbUV6v0KGMpulaWg+fcv6iFHtZgOsQAijSpAllMSUNA4xfRzorRYZWIVW1x2gGzHHdtFTtBcvthjiJOTk5GeLUe/pg1VU0bSPBfBZ0EFD7TIimqcl3e7TDO3wijBK9ldJiUXRKcmWstdiuFsGx1hgdYFSIDmStoIwhzkaiafLv8W+0GOj30n1HIRVHRKADnHIYb89oaLGd8wIYTRTHRIEhS1MUjn2+py4boliU9NaBMaGMI62FpmW1WnNxcTmMgeMkJhudcnAwQbmOKIg5e/OOqi1J0pggFjBEEAjMw3jfLmiJMdWyYyOQtUZfDAyjLwUvX72UeF5f7JycnAjVbr8nS1PWHlPctS1PHj3iarnm4vKSpqpIkimuEfHaKElJ4lg+/EoIYFEUg3JkoQR+XF9e0HXWp+CJ4nO326GV5vj4Hk1dE2jD2zev2axXfPjhh1TGMJtOSZIYnKauCnBCIbOdJTQBoVHkec27szOiQFIix+MRVVGQxBFRGDBezJlOp1xfX3PlR+q91mI6nQ47b0KDbrRXEUvGuIgUpzjrvBNBir22bobOsVf3S1FRCQN92PsZ2YNqfZs6hmggwBKYEK08oMrvM/t1QB/jK1a+fvXg2G73aC3e8STJWK3WGBMwmYxR1pG3e1nJ7HaoIGS739E5iZJ9++4dp6f3ibOU0XjCZDaTZ8daibtVfr3U1Gxvtrx4/hzbiGsjUBptFGmS4pw/rOKEMJZQlTCOhshvbYyPJBY7kzFqWKloJULDIAz48Y9+xPd/8H35+YvFm2qXs75ZkcYJ0zRDdS0/++QT/vk//2eCmtaiip91ltgETCdjblZL/ps//VO+/Oo5VSOXknGCYr0LktEe+mLBH4oKbUIciqb1WEkcdd0Sx14Eti9owpZ0rAmTWIqLUABDjetI04y2s57Apr2zRNwVvTq8rqpBcFhrTaBkHB9VolCvq5rReAzLJYF13kDhBmGdVsKgj6Nk6PyUllRSc2SoqpLlcsVms6H1CXoP7j2k7loeP3oMwP179+DygrOLM8qy4IsvvmC12XL/wQPuPXiI1ob9fs/h4eHtVEBpCRhSvSgVlAl8CQWNa5hMJoOYTVL4HGFkWBwcoFYrkiTh3btzHBodRDicpPIFAcubK8qykuQ7I5HkYvOF/X6DU1A1siPP0ozYr+qmsznb/Z5RMeb8/Jx35+8IIwH/pMkYgJubJdvtVkTLTvbrm9Wa0WRMazuuLi8ZjUaMJ1Os72p7wWFd12SprFLvUgGNMYxHmVzQXc1iMaeMI8piTzYWlkMvutSBAS3TZOebxq+/fom1loODI7q2pawbzPAcGq8RY1gjbP0UbpgSGcV0JEyEuq4ZjUbSNAQhXdVSlCVt1w3anbqu/HrADgVPP+0QUqA4epqm37HLzyHIxihyPxUPPSV3R9f5xkg5nEKohbYlDMes12uur6/9JDgiSWLariLzYu3Aa7M6a4mjBCzEsYWuQ1nJh8D15hyLddIwOb/y7tc9TVOL1ihKRTMQJnTW0jQdWSZ3clX109ffUDEAfKMYAD9CC0UYo7Vht90LMCGJ2WzX3NwscdYyn02oPJBhNBpTlzX7YonShm+9/23Qt+Cinvw2n8+FQV/k7HZbnKuZzTLmkxmHB4fcOzmhqAtuVkuizdaP82LSNON6ucYEEaPRmKqqpHvsoKprMVT5h2uADSWiwl2tVjx69GjYkSdJwvpmyfLmhiT2ytHAkMQxx0eHzOdzlqsVrRNLZFVXLJdLLi4uWK1Wg85isVh4C09LnKSc3LvHxfk72QsaoYGlSUZZVpydveXw4JCXL59TNzX37t1jMpkwm81o25bVakVgAol13W2ZTue0XStwkEC6T9dZ5ocLNB2HB4dEJqCrm0Hc0ls4j46OcD7fXGs9EN7CMKCzHbEXVvbim17w13hLaRzHHB0esVmvaX1ATL8uAYbdZ0XnYRoSSjI4C3wx1mspejx0/890neyAgTvjSudXR8rvQUNG4wllWXF5eUEcR4Sh4erqhq5uMEE82P+sczJKHI85O79gNB7z5MlTQJGmmS/aBFdru8Z/DdJxblYrDuYLUmPYrlbsd3tMJCuipu0I/c9vNBpR+e8hOTwgCEWVHISh2KCMEUWxlY5Cm95KB7oXzvmLT1nx6kymYxaj72C7hpfPv+Ljzz9hnW/5/d/7A3704x/z+OlTju+dECYx6+2W/+d/9p/ys5//TOA5QYAzSrIwtCBbh2JA38KfWu/t7qOHlS8EwNHYht1u5z+bWxdOT/TL9zmudYzTjDiOmWoZERdFQZiY4c/pz44+Yrfv6gMVDJ/9er0eBHCjpuapFb2JYI77dYHoQaI48s8YfPzxL9nttvzO7/4WDx48ZJ+XFGXpI9M188Wc6WLBwdExdV1zfn6OMob79+4ThTFfPn/Bcrnk4PBI3EZByPHx8VA8LZdLdrsd8/lcLuo7otD+ZxGE+M9UgEzzxZzVakVZVvy13/k9Xrx4wc2NR19bR5KK8nyzXtHUjZA/y4K231/bUrIGDCIq01p0FIGmalp02chKNdFUrajee+BSb/XtxYCHh4dcXFyglJLdukE4HGFAJwpeAOq2lY7YJ4SORiMP+GI4878JCwtFcOlkDZgmMbgUBZShiMdnsxnj8Xh4bqTAbKjrljqvOTs7E11GnMj5Y2NJaL0jXrw7je7zQhSWvChoGnEQzWYzrLUsb5bUuQjT266lqCrfoLRDMXOXGiriPokv7rpumFDttlvqPPfvwu0ZJI2O8ElCF0mhA6IxqhzOzQYUci9e3G5rwkgPdMcgiLBWfo7j0RhtDOv1mrZuxDGnpfDsWrF4285rjJSm6Ro5QzxqG9URRxGHh8e01lJWNYEJ2Gy23nHyG54MaK0H0V2v6JSKufPjXnk4yrL2FqWQoiipqkvWqxXT8ZggMJyenpLEKWEcs89z3p6/I8vGRFEs1aF3H/SHxeXVFV214+hoynh8yGw+AhriRBOmI7b5ljAKCMOEsqgIg5Q0yejcrY+6aYTvjHMDlQoYql7bCXvg6uqKOI6HUCYFHCwOCHxGwPn5OYqIppZApuV6RZKmtE3rCw1Rt4qHvxggFf33orTGYhlPZuBgt/U5BK0iDBVhKGM5Exjx8TZyOL59+3bYBfajUes65vMpR0eHtK1jtVpju4YkTpiMUuIoYjwak8aGAMV+t5PiZr3m4OCAsizlglJqEEv+6le/IvZJk851A+QmjmUE17YtVVlQ+whiEQBJsZPEIV+UxSD8m8/nAGy3G0nwcoBPbusz4LebNYUnNGbZ2FPlOoyxw2HbF2YiIuyGriVJYh/uYoTqFUcoNeP09JT9XkRbViuSOKFsKoERRSH3Hz6grErm8wXvv/8d0mzki5QEbQwWK3YiJ3afsijY77ZorZiMx6imIT0OefP2NbvrHH8vEQQBi8VC6HH96LquSUeiIei6liiO0NqwXm/9Dt+ifaSjQXN+cc715QWnD08H8ZxTTiZeGoq24OPPP+XTLz9ndrTg8bMnfPj97/Pes6cEiYiQ/uoXP+enP/+5uFmMIdTKh2rpQfvQH2h3RZm2tQRh4C9ePehrerRyUQicK8sy6WJXS9TO0KFpnSUNU4xTbFZrWl/U97avJIoHPvpgOYTbCR23BUOfeDqbzwkj6ZzjOEFl46EjdA7BjTtHUVTyeS6OycZT/vKnv8QEMXE6Ih2NQDmUu3Wz3NrkGqyWz+TD731IlCSsNjvG49FABAzD0MfNZkyn08E90XXtMFXsu2SUkimLBevk8LXOEaUJ+31HOh7z+MkTyrrhAIVTsvMu8oIoijh/946yzknSCZLiYFFKkNldJ+JXB4RGhJvOSQJhEMgYu89tmM1mg3ZAhKoinrt37x6r1Uromn7nr5WsV5x/fuM4Jh2NcN4p0hfoWms5L3zyav/8TCYTsWP2PwPX+SlCKmAu/+dMp9M7LiIRahsTSHKjNsOUz+EYj8foMKDtWtQd0m0fXNR/LsYYX6B4m2HX8fz5c/m6xpMhr6Sqa8qmkulFKzqBXvzYCyolOdTSqMpPGTzTwbaUVoLv+sIERKC4Xm+IYwmP0k7WblESi57GN4G90HIQxQcM4us4Tr2IWFbwoJhOZ98QRWulcZ0UanVZeOcIKG0pG49g13K/NV1JVJSMx1OCIPaFi6Moc6rq/0/FwF2Pab9LEoZAR2BE3DUej3n46CH5fs/FhQTohFFEWRRstzuSNEOjSbKMfZ5jUZDnWC/G6H2hp6en5Psd15c77wXt2G5XJHHEZDShbBrquiSOI7LRhMurNePxXCAhvdjCyMOmQ+mK239jRBQEAWVRsFgcoBSDNW21WgnnOS/FaRBFpEnCx598wtXVFfcf3KduW2aLOZ3t2O52zA8WHB4shhCQ4+NjlsvlAO+xncVo+QB14KOPq4q6K73dRrjmb968xBjD8fE98jzn+vqaKIoYjUbM53PyfM/Dhw84Ojqi6yyb9Z4kiQh1wuW7PXE6wlmxmcRJxijLBuHjbrcb9BJhFNF7AOfzOffv32e323Fzc41DrJL9PraviuXiDEnjRNCyStE1DVmaDoLM4+Nj0jT1nWSIrSrM1RJnHePRhOPjIwkD2u8AOdyF1d8NE4h+DHv3z63qTkayWqZP0+lMYmjrSg7jruXVq9c4B1maMZ+JAjwoC07un/D46XssDhYcn55w7/63hpdQCHqBgHDKPfl+R9PUPjykoCxyjmbHFKsdYRCIHWs05tWb13SuYzKb8nAyYb6YE8YRbJGLwXfX2miCMBl8+50Xomkv4gK5+C+vLrg8P8fW38XoCLTzgrUQ3Qiae7lZUtkWFRl0KDvUqq5woeGr51/xz//FP2ez28pFqhRaOYnxVnLYai/R6rsrEWJFOO3Jyba39KXEcSgCQnsrEqzrWlLYSoszGoIA66DaV9i6HTo5YVFEZHFCHN2ib/tnr3/PrbXowbkgAk9rLfPZjIW3Dvejzqqq/BpLYDVaGyyGMMxoGtFY3Lv3kNdv33F4OGdxeMjV5TlJFPLu3TvKuuagbnj03hPwmQA6UDR1w3vvvceDXqOUJDRl7YOzRHjb+8fBDVCZ3mnRf299sW/8iN2pjmw0EqZDERLHGZdXS4Igomk70JZslLHfycW9Wa3pWkscBbRtDXSEkUEyCuRy6hkcxhi2my1d25IkEZHfwffvUBRFVFXF27O3ggx3Er521zGh/UXlFIMSf71aE8XxMN6uKpn4OW4zOPrGYLlckqSpd1ZIl7pZrziczwH5Go49h2G73QJ9ymjLfL7whWXIdDpHIYTEfZ7TdBL3O5qMh2auf1b7c8E5WbEFHoLVP9O9Fbpy8hyVdU3rhZ6ymrq1mPffT9f1tnWZBLZtTdPUIoRuWvab3b9lTewD0rQKiOOEOImJ0xTnGITVJycnA/PEGEPbyd0ZRwmtjzEHAUD1PImRh+iZwJBEISqEtJsM928SRZS5MBG2G0mCrJsOrRRX10u6zuPqxxmPHj9kt9uyGa1/rTv+f1A2ASAveRwPdpsoCqhKsVwJ/U/Gt0EYUFcVBwdHGAVHhwu22w3ZKCUbZeRFRRzGjKMYp0WE6Fo1/FlN07Ddbjk8PGSUaI6Pp4xGIVq1zOZj0jhhd5nLdMsZyrLi5vqa2XTB4vAQpzz4BSHYKbTEDPc+8KYZHoQ4iVHKDrbC/qBsW+nwyqJgt93y9u0Z796+Jc8LyionjmO+/vo5h8dHvP+db1OW1TDi7B/ehScUtp1U4NY6lqsVtm1FkGNCosjRWVHiQ0xnW3bbLV13xoMHD72yVLFcLr06NeK9996jqmTENhol7HZbbm5uiKKI/W5Hme8ZjWLKphyyxBvPg4+8y0PGuCkH8wPyPB+Y/gcHhzhuhVm937qua+IolTFZXuCskBrTOAGluH//PsAweegtP+PpDKMNdd2S5wXX10vSNGUymSFkMpkciKXpNm2s9xD3okbtKW29c0HWR7JHLsuSPC8Fo6oCyrKh6wp+93d/h5/8jZ+AgVdvX3N675RHjx+jjHTpvVBLaUPbNn5dtMTals7KJKTrOqbjCe2uwgUhSRQyn82YTiZ88dWXRHHEfLEQ37HpCYstjb0NOgqDYNCy3NzciBXX3dr2bn38UBc5uFaSEuMQl8bUbUtRl2zzPc5A0VS8fvuG+6cPKNuazX7Hn/zT/4p/8S//pXQVxmAUoihWSt4HBQY1HOj9mFKKY+efPxgFIbPZTKY27a3Vqp8MVlUlJgFnfEenhgt97G17vfNE8u0DlFdc98/T3WJA2Z53L13R6ekpxycnlJfXWGu5urqmCGOqqqYocg6P7hPFGcVu558Vw+LgCHDUTYEJYDYbs92vUUZzs7ohImU0mfD27VtO7t1DOwe+682LnLppefDoPSqfmtpPAh8/fvCNc/BWc9E/t/6SVBJslJpbP7xWsi6IE5k6FUXBs2894/PPPydJU3b7DVmaYYKGIIyYTg8kBEhZtMm4ublCdZbpdAxYETqWOUVeyEXVttjO0mxrjFHDhdGfb8YYUm+pW61WrNdrRqPRN9YISqmB2Dmfz4liyVzpv88evmOG+G35/6bTKbvdTi55JVkRxtNHy6oijiKvT3Cs12sf5d4TRi3GBMzn/XMm+N/FdMZoPJK5iHPoO2P5/j25SxmU96wb7K69INzajrySBiyOY+LA0HStv+DVN5wKt6AjcX/I+L5ltxOEPM0tWK6nby4WC6bTmbdKiihyuV7BNif2hdcQ6e4LFZEAiA23rsRy2zc5RVkwnc6xtNwslzgF49lUlCjOMZ0vaKKIYrfDtjKFDqOIdJSx2+1I0sQHAIqWyngnx2IxIwxFT/dr3fG/1j8FfP3114RBwNHx8VAQhGEo5L4oIVrEcuA3DZv1mrzMZY9ttKf/yQcnRUNMmo5Aa5q6Ji/Em2q04F6NlkNMMJQtB4sJi+mUti0kwWw0Jc/3GGfQNsCYEGVCjo6P2G7XHB4f0tkO7XHETV2yLArG0xmdMzLaMjLGbW1H7DtdrKNrhGMgIrWWMApQeooDRtOMxeGMr776iq9fvWK13mCCgLqsmWQTmrKhrirQItzAGNI4ZbI45OLiHK2lQhRio4iulALiGFot42kgjFNGSpPvc84vz7l3csr5+TlP3ntP0Lxlwc31NePxhOlkwnq1oq4LtHa4Tl7YJInZbWWiAor1ZkXXtmJrjALG48wnDbbsNmvu37/PZrvlzevXzOYzyrr2XQF+Nx9InnzbyJ7Rykiwbgo2myX7fMR2s2G9WWGxpGmCpaFqapQf6Y4nY6qyYL+XgKogkPVLmkZ+pCmddOsPsp5ToZWEN7WtJQwTjO8Ki0LCl+qmpaoaZrM5x0enbDZbtA549Og9/qf/4f+c8WTEcrNifnjCaDYhjsc4HYLSPrjEgFPURUO5q6hzmTh1tqEocrRWREYzHWdsqpLJeEJR5Mymc6IoIQxirFNUbUud79luNlRlTR8/GwYSdR2GYsnc77Z0bTMgw7WS9yQOAkKloLGUdcG+kHVVog0EkuXgXIuio9hv+OTzj3jx9ZfUbUNZ11wvb9ChRXcKVEjovM5CCEGiF6ATd4SDTiHqZFfTdc5PBgy7zRbbtmJX5FZZ3ovBrLU4pSWgKw2ZTmdkyUgy7+PIq59BCFJKumUjo9SqrunaVkROdU3TtjRFQ5wkjCdTDhaHnD58Dx0kNHUjEx9fjE6mE4qy4OXrV5zee4QxGoymtR3zScY4GzGbTjg/f4ejJU2maLXCOU2UxRydHPH8xdecnb1lMpuhg5DJfEqeF7x685Y0G7Ncr3ny7FtEgYyiX7x4xbNnz+5QFq0/7G/jhrXW4PHbyqvYjRb9BU4Cp+IoIkszJuMJgTa8fPWSX332GaEOmI4nrK+XJOOUPC/Bibh0Np1xs7wmCoUfkCYBXQOtyqnLgqrMMVoTxSFtZ1FWdvEOezsdqEsU8q5FcYgJBG8caBGKmjBkFMe01tF1ChPqoSG6vr6+XXO2rUcn229MC7073Ls5xPLnlKL1Cag9TTbQt7HcFk1R5EwmE3b7LbYDpUSAui+KATHsmlpWd3603q+0etCPs462aSny0q8qU58I2kIotM99Ucjz6AmigQ6wWDo8pl45tAnQxqE9AKqpSqp8J+P3qgYrmSdKK6I4pqxqVqsNcZSQF5XXHhiydESapYymUwBPdFQehWxIo8yHJknzuy9ygiAkGY1wSnJ3siwVd0JVDuTCINCE4zHKQe5XaKPxaGje+olZp/27XTdorXj79swHvt0WT7+RYuDe6emw+2j8C50kCaN0JJGg3hZijKHwIsAolEQ+UXHWjEZCryrynPlsQWeddN+IaMtow2g8YjpOub66RNNRlFvsKEQ5x/nZOVkSMskybNdS5DXaBWA1JtQ8uH9fDpuuEUGWEsZ7HAXYjqHzUVo84yhFFMqurPW76c6z+Z2VMBEXSJBSXVXE6ZTxdMLB8SGT2Zw3b95SliU31zf88ue/4P3330e1HToMGY3HtJ1jXxZk4wnZfkdZ7H3FLUx/tAiwwjCAWuFaMF5JG4QRYRhxc3ODs17VW5ZMJsJY+NXnv+Lp06fcu3eP83fvaJua3W5L5HG2292WUZaKva7rKIucxItylstrHj16wHQ64+XL11zf3Ayf0XQ68R1/OIjnsiy9w2nQdNZRtzVJKmyHMNCslmv/+5SUVUGWpczmE7JxxjgeYYKAk9MTnmQpVVUIMtZ3pk+fPiWOYz766KNhLxgYQ+MnNOGdg1grsfxMp1O+/vprUeaakGicEZiI3W4/8PSjKGa32zOeTrl3+pCybcAotI5QgUah5XBoHUVZcn15xfJqxXp1Q9PWtK0IjzrbUH6nJDRSSM5nM/KyYDZf8PS9pySjDK0D9kXBbruh2BcU+1ySK+NEvpZAkKl1UZHvdmAtifevKyXAmigwshm2Fo1jt1yzY0UWh0RRSN3WIiQLfFjV+prrrqNuWxEiKjABxGFIR/gNtbTsIAUV5JxChYa6lRx1HDjX45A1XdtQFo6ujTDmlhd/t+PM0pQH9x/z4OFD4jgBp71Tx9HYetASgZWvzTEUE+fn54NgtyxLZpMDPvjgAw4Oj2jajldvzrDWMd5veAacnp7SPHgAWnF4fMRyvSNOx7x48ZyZnpJlCUW1p2lKtILLywuapvKfoZM8+FColsYY3p29JQjlMjw+PqINhKr5+tUrRtMZq9WK+WTGo0cPOT8XMfDh4eFw6PcaoLtAqZ4E6JxPUyWgsT6lEY118nNM4pjJZMK33/82aRBxcXEhY+3jY9FZBAbbNey2G4zRzGdzWZV1UBUtVWFpGwiDhNEiRWsv6rMdVVV4cW/j1yAdRourYDweg+ohO6VkgVjFdrcjCCPGk5lgsS2Y8JY4uNvtRIcQGIpcOuQeVx6GUlzk+V7AQ41oqZrxmMODQ4qipOsktbCwlrZtUHjNlk9xDYKQJBaM+n6/l0lKHA+TaOV/zo0xaI+M9qIGoXm20mBm2cg7wBrRg1grglnj+QBeB2GUxmoJNbI4OgBjCJSjzPe8ef2K5c012I4wFPojTrDw4+mE7W5PUYooXXvNQa+DOFgsWBwckE6nw0SzaRqaNsc6sE3HKMvIi5yb6yXaaCEbaqlutNLD9DZNUwKvy2oah2tldZKNRsME5PT0lCRJePv2LT04q2vaAcVclRWl++Zq6DdSDEwmE8bjMcvlclADy4648pesZrtd+5FySxRJulTjdQBlVZAmCZPJRPYcdUXTSq51HEck/vBvmhprY5zreP7iOZNxJoIOZzk4OGC9uuHVq7e8/PoFVQVHR6fMDw6JRxlF3X5jR1NVJXGckWUj36k5Oj8GVNYJTdAKYli7W5FFmqYIaVEiZ20nK4ftZj8EKf3O7/wuT58+I89zzs7OePPmDV9+9SWHJ6dUW9nlOKWo6pYwijk6OuTsbTmMQm859reITaUiSQ50BttplJKRe7Hf++THhqurK548ecKzZ8+G3+v999+XLt7h7XqOm5srOttycnTEan2Dc4r9ruDw8JDHjx/z7On7vH37dvCSB9qgwoj5dEbdCLZVRKAFAuQIEc2OoSmK4eVKk5TJeERZVH502mFtj/s0tI3jyYP3iMKQJI45ODigbRsODhZ8+unH7HY7vvjiC773ve8xn885Pz+nqSo671ZI05TNZiO6lNZRlIJi3u12w4Flbc/Vl6JhNBoxm8357d/+LU5OTpjP52y2W5SGKJagGeX90rYTdnq53/Lu7StWVzfsdv2fVw+o2c8/+5zD6ZzV9TUnxyd+dOk4ODwkSmKykVT98vI3tE1DSIBBEShN6qmWb9Yv2ed75vP5YK+T51WjlKP0k5PpdMqjRw9QRrO+ueQv/vJf89O/+kvOzt8RRTFaCT/fGE0aeRysH/m3TtP5V9ta8WtLiJRD2QaU9Sz8hqLMcUoTqoQeTnIX8nLXStxjuo+Ojjg+OuH+/cdMJ1PKqqapW8lDcYKfNVEEWJpGRvvb7YambHn9+jXv3r0bDq8nT56QJROquma33xOnGWVVCazMk0GbtpV0t7YlimPGkwnXN0uOjg54+vQJ+/2WvMgBYXakaYS1NWUpUdp1VZEeH7M4OOD4+BinFPk+J4xFy5AkCaPRiLdnb/n+4ZE8u0Ex7KgH90sQ0LMx/k28r3UW3dsN7/zcpBj7JpgpSRICY3jy5AnGGC4uLrh//z7n5+dcXl6yXi2ZjMeUVYUxcHB4xM3NDdc3S/LdjiBUJFFI3ViCQIp0j+dBKUMYKqzzlMtADwXYfi/PnRQXrQ8fE7EhSnDLbVujazXoAqy1nJ+fE0chd/vLXlTYaygaf672F1XT1HLxWolYr6sK41t60Qg1VFXNYnEwiBQ7x6ApuYu57teGXSdZHr32wWhFXVd0tuP6+powCknThKqW6O7dbudX2X5UDwKx0kb+XivPO2hwbQvKiMUwCLCtgJiMNoIbtpabmxs2uz1ZNmaUZRgt/25dS9ZAT0rd73a3qzKvH1FKUeZ78qpkXxQ4rUh9BoqJQpJM3Bt31yl1XZPEsXAVOhESR0mCwg1006OjI9q29eJ2n/qbJKxWK4+XdsNn8t/369cuBvoLcjQaDUQnrRT77ZYgCNjvd1xfXw/7tvFsBoAxMbPJSGJ8fbVaFAVpWmECESQ6ao84tajOsl4Luz5JIsbjjNlcbHVt3XF6co9f/Pzn3Nwsmc+OKKuK9WaN3W7QoVhSkiQdxIB1XfkELEOWZDhlfPqZ8AW6Vg6LOAy+Ad7pVaC9utoYTZaN/IjQDtXbdDrl5OSE733ve/zqV7/i6vKS8XTKfrcjjCKqspKsgdmUk5NjLi4uAO50FRrnJII5iWNsq4l94td2uyFLUxazGZvVhvV6PVTQ+/2e999/f9jli8VN0KSB1tx/8ICzt695/faNVJRZRp9c1ndmZ2fvWMwXfowpo0HBsIasdxsWh4f+gujFmAGdVUzGEVpp8u2Wqqx4d3ZGWZZUHgM7nU7JspQg1MTz0e3uv22ZTKdUZTEobnvC4McffyxCS+/26L+vfl8YRRGjcYajFyF1A0JWEg/l4BDYTMUf/dFP+K3f/h1AxEt1XROPMgIfj220xjYtTVlS7AvW11fkuzXr1RVFWQptcLuR4reqOJjPOfzBjOVyyU9/+lM6HEVdEQYh905POTw8pG6lEOgr8/BOiE8QBCjg5vqKMDSMxumwv7TWHzrGsVxd8frN1zziIYvFAm3gZnXFv/iv/yl/8ed/gXIQhwE6CDGhcBmU1rcJdDiq1tJaNajCZbQrkeO2KTFGEcQRSsuER2mDJvQwKZma1Y2ES7VNN+g/rLU8evSI999/n+lkRpaOqesWrKOpa3a7vVjhAs1oPKIsci4uz1mtliyXSzarLev1enCnxHHMYrGgri1X11eMplO+//g9ofLFMeNcom6btk+ItBRVidI1WRYzmYxJs4jORihjmU8mNEVJWW6JI8PNdS5nkNcgiXhWBFdNIFQ36XRFbV+9ei1TgYMDgWJZOyRm9oFIwBArPJlktK1PnbS3NrW7UwNjJLb7Lm43SRJ2TUMcx3z44YeMx2M++eQTHjx4IAVl23B+dcXDhw95/vw549mM8XTKarUmLwrhYDix5qIU1hfrYRj7i1U4FnmeU5blMIXpyXgHB4f0rhKnbnUBeZ5zcHhAWeaD+r8fzxtPt+zfz6aR59yJtwHgToPjqJuGUJvhIkqTRAh7VUnrxP6WZSNmsxlGi/C88rknPb53NBr5Akq+v6HwsvIz3u5yurZGKUtV57x48SVZNhrEy/150J8fgH9fxGprcZ73Ie9QZy3jqdgCi73kFhjdB0fJhOBgcUAQRl6PEWOMiAazLGU2GxPGMXnVDpdwnywbhiGxD3Q7ODyU+PWqwgQSC97zVIqiGJDSBgWdFyDHoTA7EFS2dY7NZsNkMuHg4IDLy0uWyxvhT/jirNfF3X32/rt+/drFwGq18gJBN3iNHRAnsYdRdIxGgpRM0oTxWFYCyhjSLCGJI9q2pq7lB5Xnew4ORXWe55UonbUou52nvv21v/bbLBZTDiZTuqZlPpsRhyF11fDi+QtW6w2onChJsEpTd4Uc9MZQFDlpmg2BKXXVorZ7Do9OCH0h07Qt2jsFtBIVaI+U7V9qsYSEXnXdW4ncUKH2F1qWZdy/f5/JdIJVsNnsCJJEoD9VRVPXGCO43NVqNbxUIuyzVFXJeJQxnc/Y77bf4NqHYcTxyTH5bs92u+Xrr7+WXbovzvp4ztF4zHK1Yr1aoVzHaDxmt92yXK9JwoTjY3nQ3717x7t3Fyzmc9qqIghD8u1WBG5Ng3JOIBYWxuOp+F79iLwoG0LPAVA+rratBcZ0fHyM1nByckye71mtbzAm5urqSg6PsuLN69cYI3HPPctBol4FI9rUtQd/SBHQV9dBEFC3wt/uV1K960S6ic4rk2f8wR/8hD/8w7/hcxE62qIg9FMG/L5TW9itNyyvr7m+vuLm6pKz1695+/YNIIfd5eUVu+1eYrYPDnn2rW8xH085e/uW69XSPxsClInjmLaSVVeSJDgj9kfjV051VfH26orPPvsMwefeshR6ZXTTVHz66UeAjHzFnun47PNf8uLlc6JYWOVGazTGi56E+OsUfi8m+gyZNgRDoptSCmc7AjURvpDR3q0ARVnRNV4z4BxBaFBa+BLGT1z6ju3s7IzDw0NsY7msr8nznKKoqMqad2fvWK7XtF1NGIUYo2kaf2H4orrXG/XBPdoYfuu3fyyWsNmcw6NDfvbzXzCZThkZ0R7M5nO6gwX7Qpw11jmiKCRJDwgCxXic8vjgPq5t2Fy1tJOUlzeXXJ2/E3dAIHkkn376KU3TsdluGU9n7POc+WLO02+9z3g85tHjx9ys1jx8/Fi0JErE0B9//DGz2cwLyEQ7k2WJTMqcwjkN9nad0p8d/XRFoqrtNwRwyhM3lVI8fvyY01PRBR0dHfGzn/+CzsJuXzAez/j661ciWpvPqZuaqixwIH7ywBC0SlwuQUxnJSWx6wSQg3FkWcZ4POaLL75gs9kQxwlZJkyROBWrqAnERbXf7RiNM/I8J0mSoQuPwhDFbbxxnzmTpBlxHA2BPOC9+nVNmEiGTFX68CjxJAzC2X6n3rsjgjsajAHq1Lfzfr3VtjJVLstKJoyLGa2PTl6vxar97t07ZtPFAIzqpzrWWqFhKrGB1q2st4uiIN/vST3O/Pz8HZvtFkGdKwKtSdIRQRRhHSRJxuLgQKLE7S2EqS8AIv987/f74fOWwgumB3Py/Z7NfkcUR3TO0ZQltS8OewGotWI77uqG2lnCKGQ8E5LkdrmiynMhf3pR44MHD7i4uGC33Q3Nev+rXxX+RouBfiRhrYhDwiAgCmS8GScRV1eXInhKEpyzEoRTFlxeXvrLzaK1kR2RFrqdCULSLB2IbOvNmlDDdJoxnoyYTCakWUK+y9nvdrzbbNEqoG3l8AKBqPae0cViIXjguvZTAdkXdbbjg+98h7puJWfa/4Dk37Oe5KSH8V4URd6XrO78JaOjKEoGIE+e575T0BwdHVHVFWg4PTnm1es3TOdzuYQUA/s/yzJubm6GDlI6sJbrqys0jsl4TBwJvayuKrqmlTQqz+/e7/c+xMny+PHjIYiksx19DnlVtWx3O5wT1Od+s2a1WvuKvxMrJQwWovF4TFmW1JWM2EySyA7UuuHylcpVdnS2a3FOMZ1M6FqpQI+PDomigCyTCF2tkULHSQF4fX3F8+2a+WyKMbJfLYpiuLCcc5RaU/s11F0rUxRFODp610GWZYxGIz/ePcfa213hvXv3RFymNOvNhquba7717W/LqLlsaLuO0BmWVze8fPmCr198xVdfylTnzdu3KKWZTGc8vP+Iv/t3/i5/8Ac/4eTkGOPg5vxieFlxkm8R+pFmGAiaOktTXNQRBwHKQds0vHt7xp/96z/j/OwdSncYo7zPWOKetRGS2dXVJVdXB7IHL3bs93s+/fwTqjonjCScyyhJ5pTiWQhtKAa2wGgUgzLD5R7HstqytiX1O8q8LKibUrgKToSbMuoUxXPfpeAEU9wLlcqy5M///M8JVECgIz/ODQmMCO6Oj46wSD6FCXrAjDxTdSPF9Xw+J8tkT1yVJe/OzwmjkOVmy7uLS6qmQQcBr9ZLnnWWzXZLtVxiEcT50dEhcRwyGo/Iiz1g+frrr3BNQ5NL5sDXXz/n5uaK6XTuCZqa7XaLCQStnY0mECoKj99dzOfoMGK5lndkko2HIvvp06esVitmsynOBf55DKhrS113g2e/ww6H8BAbbB2d/ibXvyxLLi4veHh6b7hEoiji6dOnIv7NRkw/+2xQsZ+9PUN7wfOp79KjUHO4mKIUwnaoax48uEfdlJydveXLL78QtkUYSMfvmSe73Y6rq0sePpCxdBRGovkKpenpffd5njOdThmPxwKc8lHufUHXr2LlwlZDUX9wIM6kHpYjlMIxxX5HVUkqqvbrhP1+L7yV3W4QHt7VpQjxLxqmHX0TUVWVBw1JAaO1fB4nJ0fsdoI57xuuw8NDgMGR5dqOKBEQWdd1Aupar5nN5ty7d0rr7Yld23Jx/o7EBERh4O+IliAMJcLbaNAW1zrSVGz2TVtD16KdHng5dy/l/l4SS3czTHT74rHXS/U/U5zFej3QbDYjzlJ0EFDmBSOv29hut2w2G8bjMScnJ3z5qy8AhtTdvnD7jRYDcRwPEIXWE+gkwEM+pCyK2O52xEnCaDymqmtJQkOxmB/QVDWvXr/k4OCIOB5hO/G9mqBDaUNZFcymGV1bst4usXZGmhimo5RlVWE7S5ZmFFXDxfUSHcQsDmI6ZynrhjgQJG0P93FOsd8Xg11tPJ6IgEXJjq1pasqy8A+cwHPGo5Eo5puOJI38WE17v33vU7WClmwrrG1JkhCtHEGgsJ3j4YN77D3CtyxLqrohDUIcsC0rNnlBNhKISa/ID0PJXxABSMN+X5BEYuPSaBrlBZGdIx2NUUHAerVkvdnQvnhBFEZ+WiDozaLI0QqsbXny+DFd3XDWtaSJ+PfDKObtmzdDEpp1yNjMOsK+C6wbqkbWEpPJZBBOjUYZZVWy3+9Yr5YiwfHq8dVqidZSIKRJzOnxKUoHnL+WHHetYDJKUK4FK8AqcJSFjKDDMCKJE0JjhhAmhRoOliBKh5H7ZDIZWBdJHFPkol0xRvHo4X3qumS/L/jolx9Tty1PnjxleXlN4X3TXVnz8uVz/vzP/xWvX31NXZcYbfjgg29zcHDA6el9/vjv/D1+8IMf0bYd6/WGq+tr9mVJiyNOU2ajjMfvPSbJUglYAlQrqu7IGLRy1GXObrfj5z//OR9/8glKOYJAe0BV5XkGrcRoT6ZYW/Pq1Qv2+y1BYNjtdnz96oUP21EYIyJTo40Q6RRoZcCIBkJIg7L7BFmpKNcJNhyNBx6SRIKnxgiHvW27QSDXd2ZZlqGc8eJAQZ9WTY2zltlkzny6oG0tVSnfA0gGg8NRN56dHmi6TlYiKC12Nq39+ixnNl9wcXnOZDpFG1l1hGHAvXunHB3JPtkoRRKK2DKexcwPFpydvWGzXhFFt0dYGsW8uXjOm9evWa9vODicM5suUEqyBqpKeBSC9LZgOy4vzkmzjOPTe3RW9t/XV9csvjUfDuf5fI61luvra05PjlHAF796wenpCV3n4TKBkemmH907rw+wMhKht47YpiGIQglI2m15+OChjM7bTrj1SnPv/j3KquTly1dYZ3nvvcfs9juqQpgqzrZoLI8ePSYMNO+idzRNw2xxQFUVJFlGOhrxyccfsV2vmUzGOAfvv/8+eZ5zdX2NiUJcVZGXBUoZQFaho2yMsx3Hh8d+MlAQaEMHJNlIQuW84NQ6UMoQhCFVJc9A10kcM8oII0I8dShliMIErRVFU5OkijhJUSbABBJ7Hqcxo1HPNpCVctM0RLHBKRnrCyrcDiFRbddSF7WfKgqCuG4aZtMxaZZKc+bZJHVdc3FxSVVX3L//QFaGWvPhd7+HVQJ1CmLNNAh4rDVlU1Pvt4RJQtt0KCx1VUhQVqhJ0xEmjOicdPDaiChZU8rX6a3pyk+8AxUSmECip4UohhA/xYLdw+viKEIpTdOVFLWsTtfPNzx+7wlRFHPv+JTYA6B6t8bz518xnUyYTsfk+5yNj1h23kn0Gy0G0iREK4sSmBlNXeCSUAhkXUfnJHjBac02z+mqGuUEZpHEKT/60W/z4MFjURt7wIyMghVtW9I0NV9dvOHq6i1ZFjJKFBdnLT//q7/k2+9/yOnpPVbrHS9fvub8nUQbHywWtFVJYJR4xZUEPqAURSF88iRJOD4+5vDwCLDUdct0OqVtG4pCPowwDKibnLzYDR7oponobE3bAIxwTkb50smGzGcTctOLW608KHVJ1dRs11vm0xkPTk9ZrbeURYk2hkgbRtOUfVWiAtnzbm6uCI32zG5xVyinsIEiS0fkTlOWDcYEKOOwbYWJIqIk5Wa1wgQBbdNyeXlFFEX86Ec/5Pr6ijRLMEYsPMenJ7SVVPvzxdR3RmO22w1GK/KyoqjrYbw0Go0Zj9MBOSz+2z7drBEbXBwxmY7Z7jaSXuk6it0eBRR5zjiVzr1paqbjlNCHmnRtRRwmGI/+7JoWrWWvXpUlVVkxHmXMJlOf9NailSYOI2aLBUs/3YiiiO12OxRvWoliPjCKqsq5ujzj5cvX/Nm/+m84ODzG/vWf0NYNy8srqrLk808/4e3bFzganrx3n3v37pOmI5IsBRRPnz5jOhvz1fMvJNhHhTRdS5il6GLPPDvg6dNnZGPRYhiliJQmC0K2ntZXFFvOzs749NNPefHihUwPooi6E9aTs51MVWxHXTnqOKTrat68ecWLF195B0fkyXOBsB+MI0kjtIokH0EB3mylkKhYdN+VQ5iNfQfnR7Dgw7oYVkvWWgkz0oJA7aE5/1/W/mvHsjRN08Sef2m19TZt5to9REaqqq7qniaGYDdmwBsYkETPJc118IgXMBxgDqq6RDe7ujIzMrRwbdps66UlD761lnvOEGANkA44MiPChdnea///J973eeuqhlI+I5Kf6FHVJTU1B/uHDIMxV5e3ZIUcYE1ToBs6Vd30JLuOR9J58zVNLp6bmxvKspAYc13DdR1Aw/NdLMsRgVmSQNMw8DyKNhF0fXtH4Noc7u2xXC6JdhH39/Lsq6bh9mZBmmZMZxM815dnV5fusvOij4cDNA2qImWzTri6stAtE8t2Ba+9i3qKZEcp7cR/aZozHAzaornBsEyq7jwrC8zhEOrO4lvJCkfTaJEnsp5pan7161+jNTI4V5oGqmtiGjQFs+mEpmXQ73Y79HtYNw1JllDkOU2Vs91ssHSN8/NzdlFM1TQMhwO8YMDpAwvdMPj2q69JkpgwktCnYeuG2uxi/OEQ2/ZIk5wwTLAthyTOGQQ+uqGx2+4Ej1wWmJaDrltAhVIGhilY6KZRpGmObpjCnzE0drstrdSIBoVjOmjoZEnaTnNl7J9lJWEovBalSwJlnmetk0C1n3Oz5YAYHbNbUmkth7wooSlbZ4SsbjXNYDBwW61JKT/b6aemafiDAXN3n45kaeomCtFd8BHDIBiPef7ZZ7z++UcGwyFVnpPFEWmestutqDVoWq2D1mKfdQW6riSwrnViaJqsEg3DIHA8sjwnT3Isx8YwhFOw3W4xdHk2TcMijlOKsmA8dHHdMYZh8v7tOXVeoOs2UZhwt9sxGg/49NNPefHJM+7ubtltNgSuy/vzc5I0o9ANvMD/808GFvc3rJa3mKbZw3nKQt6kupGDjaamqbrLNGMyGuM4FtCw3a7xfSFOXV7dsN1uqaqKvb29FkqxJs9jyjb21HM9RoMRpm7z8MEDfvzpZ/b2DqBpODw8JG4xnoZpcHx6wmq9pstWLyvZx7948aIXV8ioUqxpu92uv/i6aNEP6tgPauDRaMT7d5dst1tOT0/wPK+lU2VYptYrRbvVia5rNJmk8HX2xuEgIAoj3p2/Z7FecvrwAfuHB2Rlwd1qQZKnWC0SdzqZslosyVPRGAjA4sOIS9M0DNNA1a3dKs8lfGQ0Zjqdcn5+zqtXr/j1r3/N/eKWMNzJDryqycoCzdBZbdaMJxP+4q/+laCF05T7+3thd+c5ddMwHA0ZjcegFGEYEoYhURQyHk8oy5I0TVitFuSF+GerWkZRURxRlxWe49K0ezzbsaHMhboHVO140LEdULJfHY2GgCb7wEzAP506GWTkdXBwwNXNfb8S6Sp9iTM1GA6H7Zhywmg0Yrfb8dWXv2ezvOGXv/gE11KE25Aqj7i5umA+H7J/8AWjsU+aSkFhmg5oBg8fPGQ2m3N7e8fB/qFcmI1CNyQ6dLY35+z0lNF4TN3UQgCsSppGxv5pGvPHL//A9fUl2+2G7Xbb70VNw5ARZV3SRUUbRqvBqZveJWHb3Xi0DayxdJqmxDD0Fqxkiw21H61W7ahe1gBKo528SOKZbhhoKFQj+gLfkC68ai+cuijEytTqaQzDRNmKppQOB609XKuGsoIkicjTDN+XnbGENZXY7SGnWmeJ53m9GNTzXJIkpcgrBgMfpWA2m3N+eSMx4paN53mYLbEwLzIkSwQsS9YcfuBRFDm7aEcUhpRFSZ5lrBZLwUYjPH3bMSmLiulkglImZdNlLYCuaz3xUuBJFaqWRL7A88Wy1tqlu8+34zgcHOwRhTFJknJ+fsl8Psf35Xvv8i8+Fg4KoEcuTdMQx4FqAK1NzKsbcbM0HxDpQK9bevbsWb9C+Pbbb3nz9h3brQB8qAr+03/6T5R5yi6MiNOc1WrJo0ePOD09wXFtptM9JuNpH0++2Ugs++OnT/j8889J0xzTcIjjlPu7JXd3C1bLBdc0uK6NaRkI+bCmamjH43r//YE0Q7JeqFHKpm4qyYKpa3QlSZFlKZkuRYub1yyznzR3ugGQAYpMaj+gsE3T7G2p3VTQdaVRKYuMqmyx1pWAs2zbbf/MD+jrbj1b1w2OY7VTh/qDU6abZtCgkKhvy7KYz/cwdI1kt2V9d4/rSihSjRQeHf+gW5E0rf6sYwt0mrIoirBtm5MDDdfz2/F9hRfI63RwcEAcx33C4Xa7lWIiaijyhIuLK6gaoq2cm8PhkO12y3qzZLtdMxgEWJYlSHdlMRxN+ennn9pVYP7nFxBuN5IiaDs2MME0hyTxBsvysSwHQ1OMBwG73Q7XdXFbn/rl5SXD4bAdPW7QdZPhcEiWZf2L1O2xdaUzCobUZco//9PvePjgIb/4/BfESdyO7G9kzWCJDcqxbXkIW71AXdWisByOe9JWnkuIhXhjKzRl/AmxSg5b8ZuHLb//492NZZkUZUEUhdi2he977YdXPhAdZS+OY0nNMg0m04kIVpqGPCuFhhYPSMuMMNzhJwFVI+It0xSim67kchuPx5y/ey9oz92O8WiEZVl9JoHnucRp1F+S4W7HYrFgPB631qCSv/v7v6OqCmzbZLPZkIQRru3geR5pmrJvieDn9vYG2zTFdqckp933A1CK9WaFUlrP+RcXid1fLpPJmDjp/pvX60jyRpTAtHStKIq4WS4oi0KKhRYwlGcZWvvBlkhVjd1WdoeO7VEUBY7jsNuJAj2OY+K0wHFdPM8TK1ErnrEsG993KUuTs7MzxuMhi+U9tzeXlEVCU2Xc3lyQFyVD3yJ48YQki8nymKrq3mvRljx88JBHjx6jaTqfvPgcTTdFJFmLrmQyHQvzvz0YpbNrSOKCLEu5uDjnj3/8kjevX5FlSX+4dSImpWl4ti3+fmp0XRLNLMtEtd2o1aY1docVSsMwbUyz+28OTa23PAat9flL113XXSFa97CRLt/B0GXtpNq/Y7PdUiN2Qau9/MpKvNBdQSyiXxGNaoZoFWzXxXZsdtsQy3SZTKbsdluqOqeuRLfR7ZW7v98wbDqkrm4ojk8OSZOMq6trOQPaJqOuKoq8QGkVfiNpl0HgsffkMe/evSOJY/IsY7m8Q/WdeyqFWFPjBz5KyY5+Op223WvT2tKkkBVnlGg2DMtCVRVJFGIYFnc3V4xGE+EDtJY20zR7e5vrOmgKVusli8Udjx8/FqFnXWM7Lqaps92GvSYCpahR2JZE/UZle/noOnVd9dz9j+2c0nR8yGdxXZe/+qu/4vjkDNdz2W3W3F4v0KqKMs+F/5FJY3B+foGu6xwcHEKj4flB6/qosG05kxf3SyzHa4mgmTRIW2GguL4nZ16R4Xoejmu1Auyiz7PoHDKdo6Aoi1YQKw2RrulUhYzsJQK7IskKNNPgeO8My7bwPK8PQ+ryTD5eDXRExLquKSrZ1Wua1p/lq9UKGf8bOLaJUhpV1RDHCb4fYBgfwEiz2YzBYMB6vW536J1422i1S5UA7NpUVaUk6bYsS3TTotbaKOaqEkBRVVIV4rToMNWdZq1q+TRxHPeFXRAEFEXB1dUVVd2QFTmO5xLGUih1LrHRaMR4PO4Dl+J4i227HB4e8fMPP+I5LmG4ZbNZAXJ3lGXG+/fv+xXPwf4RU9/Hcl1evX5FnMWUf24B4Xg8YLcLBW9a5Ti2iec5WIYpE4EyYzyZoOoCwzQoK4ld9AP5gAhycchyuWEXJr2SvhOiOLZNlYs/dTya4NgW52/fU2YlByfHfWbA9fU1g2DIfL5HWcllHrfJdpqmMfSHTCYd0KjsbVHSvTfUTdk/JH1Yi6bRoPeMgu4hLcsS13MwLQPLNqnqskdvbjab3lfaKUCVks4rz7P2It1xd7fAdTx2mzWmrqHRcHd9je27bfiHIUpdpdhsNpIBbxrMpzOauub8/LyHtXQ42MAPSBMJLjI0jYvdBe/evWuLm268ZgA18/kcXWnMZzOUUrx7944wiUGJvcxxHIb1SNTAQJpnpMuM+XyG69lsNiv5/i0RyGRZxnqzwrYtgsCnquQwdj2HLAbf9YijiDQS8ZDj2jiui6brHB4csmfr3N/f4bYW1V0YstttqWvw/QGmYRLuPtjPXNcVBW0YoukScbtarfpCbjKZkCQJ9wuJYJ3OxhRlzu3tNYZl4HoOP738Acu1+fVvf0uWFagGtFK18dZgmhZpUjCZ7PHk8TP8ICDwBxhGu7srK5I0QdMVg5FYIYuiIE5Ssixlu91wf3fLzz/9yH/6h39gubgXWE/72fm4W7Tai0XCtQw8T4Solmmi1TIp66yYvQJdN7As0bVYlolSOgqTVjfY+vs/4GOVZqLUh2RR6YRl3aI1Orbr9Pa9DkQl+QFSEDltkIxcoGI1nUymHJ+cEUbCRT95cEpdVywXG0AjGIwoq5Q8S8S10DpAbNsmSZI2oyOirkXU5bkBqZ2RZQX3C4l7HQ5HBIMA23bJixItk33pcrnEi3YcHx/x5R/+QFWX2G2BlZUFgeuyyXMm4zG2bTKdjVku73oKaVXWFGXeqrWN/qxQMneAsqJIEmq/wLYddtsNtucLda7tUB3HERslgqf9q7/6C87Pz9nuNkxnE2zX7XHbf2qLk8uRRhIpNRRmu9JsVNU3Hd1koFO/dwmhH6O5R+MRn3zyCe/fvObi3Rsh9FHLyFmXLnSz2XFxcU2Wlhzs73N6cobruHz//XctkEvS7NLXbzg5OWU0HFGWDevVljwv0A1FVUnBuFqvGTaBuK00WUFVlVjDu9An+f5kZaLrRqtzkZhxXUkmTZaXDAYO8719ZrMZRts8dZ17V2BINkDVd9kdLMf3A0zL7OmXuq4zGAxIoghNieYlzwt++OFbnj/7BNtyCKNNX4yWZdlP57p1mRRjElOe57q8Ryg0w8ByXZpGYdkuTiBUzTIYUCYJo2yEYeqUKFxv0N8lncC6+9x2ExT4AKSq2ztJ0zTiOGbX3ludo2i5XPbuDaUUaRIzm47xHI9BEJAkMZ7jtMJq2nOiBhRJUhHHOcdHjzk6OsVyXdbhlnp5138df7Zi4NHDx9zd3bFer0nilNVyhYaiUBlFLt1VVaZoSiNNdmRFzXA8lVx5pUizpK1ePLY7iX/0PK8fgXueR6npGJqMaqajCWkY8/7dObsk4ejomCAYfKS6rXj39i1Kk2pW1/VekCfAhqyfAHQeU13XWhJh0z9w8hAKraqu3f7D2ClaO3DIYnHPcDjsI0z9wG9Z0PJhHo1G8vCphjjJuL+/5/z8gjTNePLkKZ7jsFjcCehE1xjOpuiIPUjp4rk2dBHdOJZNHMe4rbUH6KlfYRjheFJZ1+2Iq6s8OwiUXmnYjjgENps1riOXsWVZBAMBvAwGA46PT1gu7lC6hhf4pGnKNtzh+z5RHJKkkspnWSae77JcLtvxPdi2hKMMRwMMfUSRFfhtsExdVdRFBw4xRL/SyAg8LOWRi9r1g/xH0SRkaUrapD2OWJTC0g2UZUnTfnDqumY8HqOU4u7uDk1TBIHPdrvhq6/+yPPnTynLguefPMc0DMqyJhiN0S2bxw8eYZoml+fnrFb3XN9co2smcVQwHGhYtsPx6RlK6TRVw8X5Ba/fvGGxuccwdaazGY5tk6YpYRjy5vVrbq6vuLw45/z9O4o0ZTDwZUfZFgDde+c4Mp2R8bwI7vb2ZqII32ygLHonSzeGlT2siaYDdAmhoCnrAz+ggaqSgsPQDXRDQfMhsrqbLOVZQZWL4M3zfRFMmR8Eo0VRYBqCBTd0nSxJSBOZDLheSZE3DAdTbMfHNCXm1vNmEq7j2FRVyma9IE8li6PIC7KsFFxzpUjinDyvMA2X3U4opU+fPGf/IGGxXHJzc8Onn38uSXu6geu5fcf48uefGQ6HDIYBi8UdZdl+dpu61TBlpFXCeHyCrmntZQp1hWRaNNKhdpPCJGkpqbpBkSWUecZ2uWJ+eIjVA9CkQ03TlPF4zPv371mvFvzyl59jmjrz+YS/+7t/wDB09vcPKKvmT1xKH8bpLQyqqlBNg9uuKDMFi8WyX71+bCvrrLN/wtJvGg72D/jil18Q7tYsb28IN2uiNEY3pLM1DIPtJqQspLh+/OBYrKB1zTfffEVRlNRNQpLnTKcznv7iOdttxP39kqKo0A0dpYkGSzd1JJ+lbEfw4qISpkjWj9pt2xYRaVm0WgIo8hJVZMJwcWQ0vtqsuV8uBbvdTta681XObRgOJa4d6M/nLMtQmgiZuyRJXdcJdzt2YUiW5Xz33fdMJjOOj09IkvhPmr2OZpimaSsy1pGME71v+sw2frTKFEVZ4Q2GaC3aWLdMjMbHsF2KtthtdINafeAowIfVQ+eC+rjA03WdplCoFoGPplBtk9A1Pl3h0DXIruOyWKxRCHAvjkJZnTWVFAF96BJoysC0bK6ubpjt7ZEVJdPZjKxIyYvsz1sM2LrN0f4Rvu1zfnGOjk6eFqwWi5aNbBCFUf+ioJvMZvsCsbEshoOhZFWbDqORxXKxpKHBsR3CXShK/7rE913M1q54cvKAouz8z4owjDg7m+L7cnHtH+xzf38ve2PDwGhtNGEUEScpriOhG3WL0FTKQCH72E4oKHxx2Rl2oqCmhezUdY1lW9i22Ca32y2GaTIcDOSAbNXsm82G7XYjL36WUhQZhq7x8MED2dflGbqusDQdx7JQuk64WtNoCr2WdEHar8X3PSEiahqGrrWaiza3oa5FLNd2F1GaU5YVe/sH1HXzERxF0LRCihSYRlGUvHjxov++JS64wGz3d4PBgNVqJQzypiGOw17Va5oW292WXbjDNExMUw6q1WqF49hs45g0TLBMU5TmozFNJd7iqqpwbLu3khaag2XKOiErcoqibJG9WltQVqLK/ehD0r0PaAaorjgoGI/HdIhTkC55vV6z3YaE4Y69/QMaGkbDMQdHx9Qo0jzn4vKaLEkoK4Fi2ZaDbQ344otf8vjpC1lpVRVZnnF+fs7f//3fEyYbfvsXv+X25ob7xT1pkmDZNnmecXx8xHQ84tHDB1i6hmVa4lChwTTbXbFS6JrRu12SNKYoJOGxLAsMXcdQXXqjJEaabZSrXDA1TaP1XYFpuBSlrBrE6SHdHI3wBHTdbnPpRXeSpDl1k6N00cbU7TPQ1LKSaD4ipvXhVE1DHGcMghFnp484ODhBaRbv3l+w3GzbTh+Oj04YjYeURYZt++iaie+LsPJ+cc/ensQL//jjjzR1g+24GIZArpI0x7ZdTk7OePfugpc/v+Tk5EysdKXEgidpLO6QWKOLZm2aiihK+8NYNAXy7zebNWVVyO5aQVVBURbYltYKjGVtAI28vnVFHIfohsHl+3dMZnsMpjMs3RCBmSPBWKv1mt1uy3K5ZDabtiCxfV6/fsVoNGYymVFU8vVUrUJcaTIhahqFgY720Y7cacfMVeup73UDmkaDQmtFu1kbFCSZB/D8xSfUZcE//t3fcnN1SVGV6IbVRxIbuiLLE4HQOGKdzosCTdNpkDWQbprcXt/wvf4DZ2ePWmBV0jqLBD6lK8kzUAp0re1qdR3bEUBOHMn6tmxJmHVdomVKbMd1KdyApmEXCRuiUd1nvMT3PB60BEbTsjARJ5Nl270VnAbKdqVSVRVhGLZrRQku++6779msV+i6wWg85pe/+iWOa5NmcW/ZKwqJITdb6BdAWUjhIjhlmdAZ7XSjRr7+NI5FAGqCptVYSoKoaBriKKQsMvJKYr87bU/H86jKCtO02lV00U40JNCrBrR27Wjpkl/ROdWqtuGRBMNOi9aIKNGyMPOcJI6RFEsRm0dhimXZeN6ANM3YtFbDw+MDUDWGofHu/ds/bzFwe3uHYzvs7e2z2WwldrNsONg7Ik2EMy+73YTJZEJdNVyfX2PaJoZpEcYRg8GA+7trsqwkTVJ83+fh2QOGwwFpEnF1+YZGuRRN3Y/Szp48Yb0JyUvpolw/4ObuBlrgyuHhIevNhrKWkJDNZkOWlxyfnmHaNnpbSRat4tvQjb5jUqppR0Wm4GPbiGZBqjftvqumrEomsyk///wzD5paOmlPxmedKOn1m5egGtIkZb1eYeiGeENNg6rMxfFgmRJi044RPdeVMa9KKYuSSimU6zEdD1uh3Q5dif9b02o01VBkMTfbHZb5YaVRFDmz+QFRtEHRsFqthHhl21QamIaNbTv8/vdfEscho/EQxx3guD7zvRnX19fc3NygK42b62s5xHTacB05kOI4wTBMPDfoq/U8qyhyIWw1jU5Zyo43zXJ8PyCKdxiGRuD4kmGgG3i2R1PXNHqDbgpLoapKsixp94FOO00QHGfTNChdMRgOpFtpPf2yNtEYDDzm0yn3d/foyiAKU/7wh6/Ii4zBaMR4OuHR4ycYhklVNWzWG3a7HYZpUSMRz6amsb+3z/HRjDrLqZXGarXg62++5m/+9m/4r7/7Z/7H//B/5/Rwn7vFPYFn8+L5E0zbYnl3j6GEvb/bbjF1HYUiSWPKMsFxTfJcBIqDIGC1WlPVOZPJiKoSsZuM981216+TpaUQ5jSDBnnf6xoMXb6HshD3jjJkxymEOL3vKqoK6koRxhmL1aYF0Bgo3UI3OkCNQZGn2KaOYxqtSlsjzzLul0tGwyG10jg5ecCLF5+xd3DE7f2K9xevWe9CoihmNBxiGiY//PATvusS+D7Hp8dc361xwgzfd4nSgvpuiWGanJ49kpCctlsWAZZHnsDt3R0PHzzm5Y8vefbkCYvFAnsn4K2mbsizgii8F5yubqA0yZ5ompow3DIcBa3qHeraINkmRKGkyOV5jo5GtAsBCDc7BgMZ8ZZNg+3bGCboZs1uuaWpazw/QEdD1yRueLsJubm7Yz4Z8/KnV/zw/Y/81b/6Cz775DNevXrdrjwrdE1R1jVlU7XiwQbVdF0ffdErAVIK1/fFRgttWl9NWUGtidUXoELg9ZqlUxYZ3iDg6YtPuLw45+2bN1hoOI5HlqWkeUKjVURpwvl1TllJlO7B8SFhtGW5uMcyTeqqQVc6URjjej7zg0PWu6id5Fg4lkNVFZR5BarpBZVaGy/crV9tx2nTTE1xv2gaKIOqVORlIXC2LO+nv5pltZkBOnGSCC641QFIlPAHwmhZlu0UQMNx7T4RtgOUBQOfvEj54osv8Ftm//XdRfs6K+paclN0JMujloQ4yrrtwNugI00pSksya2ggSyKoKlRVYWJh2oY4s9KMqiyoENGkUu2KxjTbVNFMcNyGWO6buuldKVVdUqEwbI+6LNAb0A2Z7tVNKaFJmhQsVbvyQ1Wt5btmtd4Rbjd9MqShy1TB9WyaWii2SimKLOX7775jubjDsg1MU2N/Mv/zFgPXNzeMx2P2Dw/YPzjg+++/5/buHmqNo8Njmqbm8OiM3W4nFQ2wC3c4lct4Kqzku7s7aPcnVVUxmQboRkMQODRNTjAIGI/HvaK663TPHjyiroUNfX5+weHhoSBmk7RN5soxWr6zZVlcX1/jBQPOzs7ai6rNrC4FP5llHwEzNI2mrvr1QFeFGoYhnXUth5bvejx9/IRwu6MqSvbnB3QZC5K+5/Ly5Utcx6UsCnbZDs/zmE5FzVvXFcfHR7x7+5btLm3HuzV+EFAVOXWrf1gt7zk8OMRybKpKshqm01lPysrzHE2JJsHzvFa34LQrEUVVfhhtmqZJGIZcXFywuL9D1zUOD/cZDoftyCxmuVx90CTohoR9ZDllU9Ag6NVOzNTBMTpc6NGRxLu+fPmSpq5wHZvA8+TDqBoMc0hdldzd3VEUBWdxStq0CmoaatoRnlJoWo1ZK2gyilK6ARo5HGV6U+O48no2Zc1wMuXuVv7cer1llGZomkVNhvr//Bd+9eknTK5uGMYJ/jZqLx9JdRvmOYZpsW+LpzlPEh4UOZvbG0JlUJUVP/78I//8z79js7jnX8+m/GWasPjP/5lhljIxDMyra8qqRG+nOKPBEDMM8WyJjK2biqrKqJuKssxboekVaicsDs8Typvd8vGtPKesCgzdpK5VO2ZsKKuCuurGtLZ8D5nQGXVDa0WCbSqhUq3KupL43Pb9Ano9gaZUzyco8gwFWLbFQIngtSgK/DRBXd9iGiYPHjxmdnXJ8rvvSZdrBnmBmeX88uiE5OaaLJUUx7osxdf8/h2zKifNUrZ5RhpuaRybIs/Z39+jqYUeKNMnSW2rk5K9smRWVzTvXuP/4Q9kqyV+kaK1O9FOpyGgMtXuerP2IC3QNLGrdaAVTdPYbDYEgVj8umjZ7rXoxvCOZVFXFYZrs9usSRIBlW3WS9abHY+fvuDu9obFZkUaxwSnx2iey48//sjXX33LF198wcH+Hk076t5sNozGYxoFlaag0dE0oxXHNX2uS4t5Aui1Sh/ARNAJwLspQlEUCCVfdumD9lz863/zb6mqmtVqw83NNXpmEoZbFBJjm8Uxu92OX//ql7x48Qm/+69boigWtG2jkRULttutZCPc3nFzedWKHR0sSyZwRZFhmlYbXlZ/EMO2nT5K1k26Um0BkeH5Po7mEoZhf36AYHmtdqLajfvN1jYaRVHfqHUugCSRuOaqLntdVndGP3nyBMsyCYKg/XWKON5JkmNLjXVsp42Ll2RQEI2BZmhUneCvaQQA1uYWdKwNXVMUeYphuLLzr6TAGwSDdlcPaZ6TFyWWY7fvl4ZlyyVf1AWaJgmvUZSiNAn+qhsoq5oki9F0Hcc2RAfUji6611jCrXRpgDwN27KEc0CNbkhT0KBTlRIGJgVEQ5YlnJ+/w7JNHMfi6OjwX3TH/4uLgbpRrLchX33zHZPJmMFojBfUWLZLmrVJS0mB0hSG4VA2BXVTs95uMCyT45Njbu/u2O22jEdD3r9/T90UTGei/J9Mh0xnkpGtdBnv6KZBVshBOhgMODo65ubmlovzK7777jt2mxVB4DOeTnjxySc0tSij89Y21LGbu8u9rkqKvMs9sFGtGLCuFU17yXXCmK5r6yiB1A2eI8zrNEmJoviDYhjV7yLjOMJ1XE5OTsjb3TxIIlWexAS+R+C5GKZJmiTsNmvG0zl1I+sC17Gpq5IgGCJkrW7cVPb7r2BgkKWCIjXNtmhp6XGmoTObzSQdshX6dK/F6emJFFmpMLHrGuJ4Jwp1xyHa7Xh09pA8z1lul39iaewOUU2Hk4Mj8ZNXstt89vwJb169oihylOZRFrnAoJKY9WpFkaZ8ZZv8N5st/3bz4ZD7cDir/kPQfeBlHF631iWjfZ2XaO8u+wO/aceQpmH2kA+3Deqorq6w2m6laQNQOsFqJ+jraGfdsZyVZZ8n8KyqeNDqT9xwA//T/8SJ+pBWJ49EzVEjCmhN0zlo1xt1Vcn4T9d6vUT3vTWNgGnEXSNXQue97v7c5mNOiIKm/t9bg5TS+tetZ8LTBi/1v1wEgSjZl3e5Glr/hzf9GqaDFAnLvcE0ZT0myxfFqKoI6qr92toAn7rBMA3yNpPCNA2BqSgpdDvCmq5rrUWs6clvmtIYIxjmHs6iFA+LnPof/5ZZuyJKT0+JW82FYRiMx2OyPCLN5Kco2BWO67K93LRaIMV0MqUsKsqyYjgc/okjR9c1LMvEti3xqgNFnqNpCtvSCcMNm/WSWunc3AywTJ2nTx6hdIEG5UnKaDAkTzN+/uknnjx5RBQlvLl6RRhH/WVdlzW1qtFNaTw6QWg/wWnfp85t8uGwrf/EStfBf5rWomloOrph8tkvvqCqG25v70G3Waw3FFWNYTpkaUJVQpwnxPEFpmGyN5+yWm0wDQ3TtAANXUnTte/7fPrpp4Sbba9wn80mMv5v9FYDoaPrijyT9V6XV9JoEsrWKBnrJz3cyel3990IvW6tmt3n7+MQrI582b0WXRBZ0xZ53TnQ6RU0TbUOinNms1nvAus0Yh3m2zRNqqLsX1M/8CmrirqF0InItOyJk4ZhUhYlaSb5KXG4JfADHMelKivCMBH2iCaB1UWeUdYNruei6+15DOiWhdEoNpsthuWiayZVi3DXdA3bkNdPPnrdWdCga7LCTbMMDdBtC6UkQVHTNPIsFuGzbYDSexu2hJdlpKlYroNBQFF4BEH0//NO/9/++JdHGB8ds1wtubq6pigF3KNQpHmGadlYjs39agG0YjcdHN9Hy3PCOGL/+IhG13A9h7q1osRxxO3tNZ7nYzs2tmURRiFpmpKmGa7rYOgGeZ5xe5uwWCyZTfc5OXnAq5dvudy9Z7NZ0yBBSnobNjTfP2S5Wsv4rb1AReE7YFdG7U7UaNcE3aH5wUVgmiZXV1dMJhPJ5A5DGlX3XVw3EdjttlJYuA62LbvXZ0+fsr+3Lxdqqw6dTqdYhsFPP/zAbD6XUVBV0VQVd3d3pFmObujtjlySFat2NREMAoDes9p1yVfXF4xGo9622SAHTofojaKor7YdxyEKd30FXVYFR0eHrTVFCiWtgSIVm0pZlZRN2Qswu6lEf+BrELXvU7fLU+34qq5Fga61di7DNNB0j/+n5/H/UoqqKIUo5zqkWYLd8vvTVF5PsQvp/ffZHQyDwZDhaMT+wQG2bbdhSyF5nnF0dEqalCRpyf/t//E/yvdVF5ydHWMY4nU2TYu9vQO2253glJsa33eIox1xuGG3W6FriqcnZwyHI96+O+fV6zc8ffaCJ0+fU7VEsjhOWhJaRVYWFGlKXRUMgwGe4zLwA+I2V0IQr4o0jbm5ucGxA+I0x/Z8hqMhdS1WWJCCqKpKagHjtcVDg2kprNbVoOsGpmmhaTq+H+A4Fo2SIqM7KHe7HVEYUxZSkKj2UNxut+QtQEdv0bZ1VRJ4Luv1BnSToiy5XyzI85zPP/+Mf/2v/w2r7Q5lWNwt16y3IXGaY9oORVZBKcXH/f09lmHx4OyMuilJspjr62vJ2wg89vb3mE0nlGlK3T7zXUBVXddcvbtgPp/juoKG/fGH7/i3/6d/i66aNl2xRm/PFVGzp2x3W7IsZTgUOmie5wxHw3ZqosQtYVmUZU0cRy01tWizUIrWeuugFQpD6TRlgTJ1dFWjmpL18hbTCdhtVzx49JTru2tMR2dxu8A2bHa7naRhrjf88cuvieNYih9DJzo4kDWgY7eFtOq7va6wruq65UxoH4q59qLUWzsjfGCe2LZDUaaUZUGj1ZiGBbqBbrnYXsDYsPnEsrm9uuL87Rv0FlsdxTts26Su5PecnT3k5vqy1YQoHFO0G+Krn7O/v8erl6/wPKddxckVUeQlmjJIkhRN0wj8YTvWr6iVRlEWxHlGnqWivQLhIbTvW3c5d+JOTdNavYEU9bZt92yG7td2xZu4fj4qotoJym4Xsl6v+xCpjzHGXex7U9cS696q7w3DgEgmwrX68PoqpeO5Prqht2e8OEd0VaNVEiGs1Q2265NqBWWeU5WFZO84HpolWT2d/TTNMuoaiqKkrMAwhb9RZdLcaoYgwpUmWOPO5YCSQDE0HcfzaaoSzTCw2zhlmfwaNE1bUGmCv5cmpRI3mamwG7MvjBb3i3/RHf8vzybYbNE0g8OjY4F6dHyAoqCsC0bDEZZrCgxhtSJKZAc8Ho9Ji5wvv/ojaZryi88/J4sTTk8kZ9t2bOIo5vbmFtsVBkERhsRJjNIUTZNC3eD7AZomD6PvDflv/9v/C46lcX1z2XPtJ5MJWZbhema/V+ou9+12y831HfvzAyR4SEl6omez3W7xXbc/UDvISFmWDIKAzDDYbDb9eHEVhtQ1LBYLaMWGnm/z6NEjNus14S7sR1lZlonlbTJhbzaTDkTXJB+hyKnKnPV2i2Vb4lv1PQLfI8+Sntk9mUzaQyxGtZWu2fKyu5F9EAQMgqCvxtM0pUteNA2D8WjYFj/CCk/TlOvrFWEoF1e8Cwl80QOEYUijy4dxf1/iensrUJ62HfuH0BLxSwu9ruvo15s1VTvNqAFNN6gMg6JpqNqOIG09xlEU9RYqwedKV0DbyQPchzsSpYjbv7dTWhdAWFbMDo/5v/43/2cef/o5l1eXjDyHyfEJpg53P/zILBiieT6+aZMrA6Ur0iwG14EqYezvMxkP8f0BZQ3rPGN0dMyTL37F9c0t63AHmuLw6IhGyfrE0RSBEmIctWBncw1yTZHnUig8evwAo3RJAd8f4aYFYZrRtOuk+elZKyBrsGyTumyoa9Urny1bx3N8LFP4AqYpkc26bpBkEWWZo5sml9fXDIdD3MmMpNZoUjn4DF0CgbwkZrVeM5nMaKhJ45iiyKjynKhRhElGrXRq22E4mVJbLs54yvL6jvX2nrSoaJROimIbJkxHEwxN58fvf0AB4/GQu80KJ/CoHQtt6JNvCrZNycl0xKbMKXKBFAX7e2JbGw7ZbbbEdUOhie7h7Plz7nYbfvftt/zm178kjsLWRRMynU65vb1FaQ2HBydkeYrvu9zd3aDQcWwLy6yI45QsTakqmXDkeU4YbfE9T3JO4gilyf7e0A0sw5C0yTRpVyw1VZmTbFZousmDhw/wXZv1boVlGswmUzbrNVma9kyILE159vy5rD/HY5I4FgqoZlB2Tg/jw7oApdB0OcQ/vugaJC69K8K7518mdBb2dCq0uqphs95SozHdP5RgIdeT8X9Vc39zg66JWFppMgbP8oKzszM0pbi6Om89/lsuLi6YzPcZDEccHh5x/v683dfL9FFAVDpJkiJkQLOd2FlYhiIrUyrA9TwUDUWRs9nG1IUQTIfDISCXcflRZkE3oesyGLrpwcfQIWkMG+qm6gsky7J68N1f/uVf9s1eNzGoyhJTF21RUQn8zGxBTt1KVNN1EXDrumg88grX9ejYGlUlZFRDgWoayiyjMG2C0QTTcqEB33VYrtckWUJTQ5plknapagy9BSvpFk2ty3lQit2e1rnQdHSwdkKHaqmsdUv/VAIcaxrk99aNiMpdnzQJ20msEkcQVb/G0DSZLGbtHXJyfPbnLQZOT8+wLLP/5zyXvaVw+XWqKscwdZ48ecjlpcmbt++lMmsfjiTLmEwmRGGEpZscHZ3gB36LlM3w/QHKaCirmoPDI0ajscCGWv1AB29wbE+So1yXv/rrv+brr//IYDjAth3u7+8xTQvXr1FKI03jvuI0TVNCf6qqhWp0iFHxfg8GA6Ioan3jO4LAJ00ziixj6AfYhkmcxFRKsVossF2/jQXdcXx8TJJI4IyIJO/Z399nu932okSNhkePH7FYLHr4hWmamIZJWWwp8ow4jKiKgul43HdQRSEYYd/z+srXbTMiTNNkPpuCUgJ5qSW/Ic9zbMchbXG+hinfb1XXZFnO1dUVaZbIBWQKtEcpJQE7dUOSJhR1gUL1pMiuSMrqsh2/a63IRzrNIm/zBjT+pGABRZykrV2zFABG2xEaRrczFTeB4zjts1X2hVzXNXXkw+41cByHquXcb8OIZy9mnD54QN2AadkcnZzg+wE31xf4gY9lWyRpgqGbjMbj1k3hEYYrVJ1jGBIkYnsuN9d3ZGXJJ59+wXq7426xZrY3xR/4BIMBefs1oCmGgcd2s6LIcoTChwSXWCZlaRLFEYahMxiOoNGZTAekdwvqGhxH/PSdJ103FLprUhYNruf3yXhJlLYgFQPQuD6/FJtnJRf+ZDrl5uaexWJNUZTEUYRCOrDxaIztuGy2IbbtkVeSKAeKwXCMahocLyDNRJcwGNxhWVLoLxZrfC9gu42xTY0ozcmSTFTR7eppOPBJopj3715jGgYHp0d4o4Dh0GcXrhmPh9zeXlPkGYHtMBoNiOOcNIuZ21N24ZaqrlhvN4y1IaZp8Itf/II//OH3XJyfM20BXt1Kx/M8svbwrauG+7slZSH0ucHQpqMuLhcrXNfHMGQdINZMsclatkmaJpiGKSPhtrgsSgET1U1NVSp03WG323Dx/h2rzZrlZsnebB/v8JTxcMB6vaGpSgLPI01i/vjll5w9eMBhUVIjB3qhKrKs6AmUdTsdsHRZbdV1RWef7JoHNJkASY6DaEF0y2ytiwVK0zA1neF4wsmDh9zc3jIcjzGnMwLPp0hysjgDGtJUpk+rtog3DY2riwvqpqJpFJ4/aFeoAnsqi4LJZMz19RW7nbhhbMeiKpue/NlAv2rSTZ1G1SRlSd1U2I6N49iESlE0aV/oB22j0kB/IXfpt32yX1NDKyDspihma8Pto75by2aapr3lOImTDw2CptDbQkpeW9qmUs4To5u4aAraPbtuGGBLg6aUIM2rWqeqSsp2klnVUO1C0G3mewcYuoFhaExmU5rlkjTPsB2HJKlasWDd3pE1DQrdtGiqClPTMdGokfwKAE0TLkyaZgIja7McNF3cB53TrEHWLE3dyNfTyGqhbmokGVQ0cU3Tajh0yYx4f/7+z1sMbLdbxuNRv0fu3pCiyHj46CGLxT1JnGBaNsFwyGe/+Iy72ztQMBmPYLXi6GC/9fM3DCYjbMumrJGfRUmeJXiWz9nhMa5rsd2u+PqrPzIZDTEsR9jfVknTZgzohsnnv/iCo+MTqqbm4vKCqm7Y7mL8YECW5RR5QWFkJGHIydEButZQ5LILshybLM+wXYeyyqmbkqLMcVyLLM9I0wjPMPBtC9OxsS2D7XbLdrPGTCKGvs/5u5fYts7ZgzPuF/ecnh5zaO5R1xqW7VKUGXd3V1xeRZwenzI72KdS8PbtW4qiwHDsdn8vIpAkS0izlKEzxDV0bEvcCLd3O2zLYjwaYukmA0+6RaU07hfilx8Oh2hKWOGO42A7HmGUysOi67i2x+nZKbvdlsuLc+IowtQNPnn+HNu2+fLLLwWxOhhwfXWFYRiMhmOiMJLLWzNIi4jNbi0HmmlSlxWWYeKYNk0NjuWIaKiCsix614dkWmig61itAll27WBZEtI0HA4xTREPLZfLfjXxYa8ITVOSZjm7OMX1fHTDxHNsfvuv/5I4j7ld3TMcBvhDi1285e37d/i+Q7qIGU8meM4AIecalFlOXTYYpothaIzHkzaB0OTk+JTBYMRiueHZ8xd4gdvaxhSa0hkNfZI4oUiLNk5Y4ESaXrdqeenw0rJClZ0tsCSrU1x/CCicFk3asQC67PgokpEzSsO0HVbLkB9++JKjw0O+/e5b7u7u0XWd9SYEzeDk+ITLq0sCP+D5i+e4nk6WRuzCLe/ev8W2HQbDAXt7+4w8RyK/y4okEdFUlkEcZzx9+pTRaEIcRwwGQ87PL8nyFKt1XlQl5FZJnles7heSFzEa8uknz3n95md+/vkn7r+56RGzjmMzDQJu7+6IwpDjF88pCnk2V6tVL4h1Rz7K0DFck0YH0zH57V/+hv/yj//Awf4M2zKgcUQA1lToWk0cLmTvXWXEu43s6POczXZDEAToumI4DIiiGDQDy5QVQ5pkuI6HZTpCzww8ykx0I7qu43o+vh+wWMZEcYZpaZy/esV2t6MoSkZOQBRvmc/HhOGaMNwwnY744ovPuVssOTo+xPNdyqamqCQhs6mEe5+1Bbnl2GgN5K3oTVeKoq5oKMnLFMt0ULWOQoMalNEIjhqNvGiwbYM0Ez3G2ckRcRKzWKypdZ3j4xOi7U4i3D2H9eaeNM8oq4zNboXv2dQU3NwtGQ5HmE7JzdUVZyenjIMBjmVyeLBPuNsQhjvqaoCpu61dMW6nFeB5Ldul9ejXukGSZNR1RV1LF2/pBrYrPIrZ3h59sE4bsawZOprRrQQrqqJumwO7L56G45E866tVe7ZvmU2nDEcjWYwaFZZmkWYJSZmiGnnNqrIUW6+BvLZKUSlwHKvP3uh+6LouxU2r3bF0E820KIqMvJGVjtau6YoiJ9yFjKYTGsvEMVzGmtav10zLwWgk7TZJM1arDX4Q4A+GgE5TieZGb5srXdcxdRO90dAahVapNvBIoXSZojZ12TrK2vhrDUzbwbRNVFOx3RRojUbdiHaJNmFXxNeKOA7/vMXAarXi9vYGz/NbYIRGx2e+urri8vKy91DP53NRWI9HvXhks9nQ1DWz2Zyo9Wg3jWSVBwPB6u7WKxSK+/sFeRYzGQfMp1Op9nSNqpY9dF7UFGXNdBQQVyXL1Yo4idsoVIv5noHr+UynU5b3dyRRxG67xWkP3MUqpEMr52WOwmCbRD1eMsuyfiQvIxyNXbhFa0eumlKEuy2+53B2esJ6uyVJBKR0cXFOUzfM54ftZVYzmUzI85wojoVqpmmkWdajPAfDQa/QHY1GlJWM0pT+gY2epinGZEKaJihTfu20zesui4KqrqXTbBW6ZVlSV+C6PnVTYZR6q0bNKYuCg/0DLFPokY7jMJ1Oefz4MVdXV203K1StcBf2McllWbBcl2y2a6miGxgNhiLOqWthupeVfA9lQZrFfTyyoRs0Vc1mvQb46MPYkfNM1utNL+LsRn4dMERQn/JeGIaOYduUVU1TVsxmc/zAp8hkJHhycsjtzQ22LTAXyzaIY6FnNk0t7Imm6kWWhqFzeHLEcBjwzZd/kI53PKWsKo6OjxmOxoRJSJmV/eXdAaeUUpiWhDlpetl2TgrbdSnjEtOy0NpOT9cM7u9XFLUgZvf29nvxj4xEsz5voRuL64bB1dUtu23MN1//DY7rcnu7YDgYcnh0SpykXF/fkqY5b998y83tHS+eP5X9+3ZNmlXEyY40K6kanfv1hoO9gx7Y49oSzOT5Hnf39wwGAbP5nKZpGAwHXHx/zmK1Zm//iAaNd28leTRJMpy5A0pxcX3JarOhVg3b3ZrNWmKkfc9lt92gmroNI3K5vLzsR8UPHz5kvV6z2YQ4jo1uGNze3RL4EnL18KGIWbuJUVmKlibwHdI0lLRPy2Q8HpGmKXG06wuR2XSGZZmEYSO0znaylmVZjxxPkhTTMtqiVFY7hiEwnE4hT9OQJBFZmhJHMevlkvfvTPb393j29Bl391LojMYjfvsXv5HnyTRQ7eonjiN224jZbI7jCmyoqSp02yQIHHbbmLrlWjiug9I14kiKYLG6teLPWrUplwW5kcsU1JAV52w65eLiiqQoOTk85NNPP2EyGvLVH3+P0ivieEeRx0h2huLxk8cYlsf9/QItjNA1g6+/+hoaxWA45OT4CNPU+c//+R/buGCnD1HqYFsw48GDB4RhyG6zEbubYcj0KZcJLLWo9RfLJXEiGOi6rmkUH4HgPogrO5F052AKAtFL/dM//RPn5+ccHR0Js8L3ZdQPvSZGJkCijZG1Bmhag2XrOK5DUwuJcrsLMVp9Vrd2kAAvvXWaZViWjtPqPSzLJopjwiikIWY0npHlNavdFtuVycTDhw+ZzWZsNps+6dZxXJSW4jgeRVVhWhaCWi5R6gNXwjRNdE2nLGQi0L1GHxDVktaoVKsqVoJgtnVN3GZp2Z6isjo0TMnkCMOIKIoJguG/9Ir/P0AgfPSIMAzbaUDRK2Nt2yWKYjSlM55NGY8mZGlOjWS9n56eCU3P9bm9veH2boHSpOrd3z8UoIJhMh5PcNo9eBRuieOY66v30km4Lk+ePseyBXZRlLkwonVNMKBlTpwmvHz1CtfzmO8dUJUVRguwKErJ2b44P2c2mQhox3VaOJBUoGVBP44WRbVUv5bjEMYRmmGwXq4YDgcMfJ+722t0DU5OT5lMJzx89FBwy+sFm/WW5WJJWdbkRYppCnlxt9uh6zo3Nzc0TcP+/j66rvdBQUEQtIEa7U6+pSR2zoayLEVUY8lo2bYsirJENwwCz8O0LAzDJM8LRuMJURiT5xLokaYRy+2CPEswDZ3pZMz+fM75xXt2ux17e3vs7e3x7t07Tk5OqArRTVxeXnJxcUEURYzHIyzLZuAPyLOMpmrI0xxD1zENkziP0XVZ5xiGznYrASlhGKIhYKWikFFk90HowCD39/d/ojLuDokO9yl2LFnF1G14U9NAMJCCsyoKTMPGd13WyxUPH51i2ybPnj7h4vIthq6RpYmQunQLVYttT7qVmG+//Z7PP/+M+f4h6BYPHj7i9OFj0jRHaTphEvVFVre6kL2uCMKU0jEtRdPCU0zLwPFcfN/HdXyEsmhgWj7L9ZamgZubW1zX4/DwgMvLSzabteCj22dS9CAFL1++5fbmjsViwbNnz/D8EWlWsrhfoRsG4/GEg4NDmlosaLtdwvv3V7iuy2Awl9dZ18jSmjCJ2Gxe4VhWKyI1efTkEcPRiH/8h3+grMo+C93zfQ4OD1muN7x584ZHj56g64JSTdOcMIrIlgn3i1tWq3s83+Ho+Ai7BRfZjkVVyyRvNB5yeXmJ58ka68GDB6xWK+7u7jBNm9FoxPX1NYd7e0zGoq/Rqfn+229omg8iYM/zKKuy/5x8fKGcnp7RNDW73a6FbmXtGeX02pouOKkToVVVJXbaPOfy8hJQZHmBaQUyli8r6hqJUz44IE5TlosFSSTclE8/+4w3b94Q7cLWXmmxWS1ZrlcMx2NZB+qG2ME0haHrlFVFmReYLdCsrGp0w+D6+pqyqphP91ivtkzGc1Gsazp5kUtDYJqAah0GkmWCpnN8dMT/+r/8L5i6xsOzUx48PGW7WfDlV3fs78+hKVku71kslmTtWaOUEE3TNMMwQpbLJePpmKIsGA4DRqMR2+2aIHDR23VKt6Y7Pz9vn68BTSMCZxStaFsgbx14SdM0drsd6/Ua27Hxg6DPJpBx/gcXUVcQlG2gmVKKxWIhtEjLYjwe946gspEUzqq1htuWxaR9zZu6wnZM/MAFIM9KcupW4CzrmKyNM3ccR17ndppV15ILYxjSgHqej2l7XN/ckd3dM57OMOsGzTBk9VnIxT0cjDANS8TbucDUgkBSJHXDIooSrq6u+nOuc1rEcSRhYNCnK3ZiQlTTWlPlWe9WHhLMJFZI07QomhqaDl/eETA1uuycP2sxUJY14/GUqhLC124X9jvdQTDEthzyPCNJsp61HoYxy+VPSF6zQVFUHBwckmby65bLNQf7BzRt3KXw+bekScR8b06a7OTvCnfYrsuTJ8+JkwTTtNrdbS6JeVVFlufM9uacnJwKIS8vKM2CpqqoywrfcaGuefvmFfO9fcaTCZqhEyYxpmniOA5xHLNcLj+E0DSQlwUaijyVvXeWZBzM99ht11R1SRTuOD49ZeD7aJrCsW20yQQwyLOS7a4SPUV7oS2XS+q6bnUGMibskq9MUwSU3VhcumCZDnT8Bc91mYymRB8FYdi2ADnu7u+xbYe6hifzfXR9w/1iQTAYUBUJ6+UCxzLxXZfNesP+3h7D4bDnAARBwOPHj8mzHKtFpBqG0U9JXNfF1102DaiqtQdWgFJ4rtOK3iSlK44jGhoGwaAVc8n70PH5P/a/e23X1nmRu2lA92s6oZF0DQmarqN0ScaLoojZdAptJK/rOLiOzeuXL3n27CmzyZhLGnzP5e72hsePBhi6QECKImM4HPDtt99xcnLCzz+/4vDokCQv+fn1G5Rl43kBeVG0AV2CVB4OO5qm2dLttb5QLcsCPxhhOxaOb/fUyKqsWK53XN3ckWW5FFWDIaPhiDCMieOEN2/esFwu+eabb/A8j9FoxLt377g8v2c4HDMcT4mSjPFkj6urK46mUji8fv2ayWTCL37xBcPhkG+/+x4vGGIYJu8vrvs969HxEWWjMHSNME64uLwkGY/55NNPMCyTwXgk76mSjArdMvEDn8lkwsnpgChO8XyfQTDi4lIuri5XPhgOGA4CdB0812mFZyDhRLK77SBSlmVxfHzMDz/80IaVNW2hJJ/DNEvxfA9dV7ieg9KgKLP+f7O0YHC0z7pNKu3CfsRx05L/dIM0k2LP833M1qbWedirSjDeg8GAuoI0ybAtF98TC9mDx094+eoNYRj1iXdHRwfkRYnjuGw2Gy4vLjB0g2FrHy7ylNV6zctXr7i5u8ULfP7qL/+Ks4enEoCjqzYdr26L9BLHkQlX1VTc3d3z7v07ZtM5RVYxn+94cPYAP3CgrHAcB90we+1EnsvFGUYR+wd7/Oa3v2EYeBiGRp5njMZDnj59zM31FU1doilYr1ekSUKDweHhIW9ev0UpSJKU5XKJc2lxfHyE67p89tmn/MM//B3rzQrXk8yITvznOMKO2W235FneahA+vNe0O/9ut98FxxVFgW3ZPWr8Y8uvZVmMRqN+MqtpGr7v8+///b9nuVwymUw4ODjo14dJklA2bUx0VdFUNaqGKiuoVIVRaZRlQV3V5HlFkcsqthNFdk2HTBI0LEeE5ff3t+2Z01A1DZswoiobHNfHsj0s2+HswUM0Db755lveqvccHR0hnAPRnJVlyXS+R1XD+fklDWBZUpR2f3dXXGmahumYf3LW6Xo7EdDFEl9XMlFBNbTtByC5JbpuUqkCgVypHoPe2cr/7NkEmhJFpKHrjIbjNnO85Pz8Xf9N2LbNZKKzt7eP5/v87X/8W26ubzg+PqZuagI/YLlcUTeQJDnhLmE+2ydNEzSlGA6G5HlGU5f9Q3R4eMh2t+X+/o4GhesOMC2HKI5xWgFJGMfYjsOLTz7h5PSUMi3ZLjf8/sfvGfo+mgJDaYxHI8LNCtexCAJXbB3UFJVErXbpWR1Pvqoq4jQlaPdjruNAVTMbT1mMR1xcneOVLlWRk8Sdg0A6K88bEUcJdVOy3izQDZO9vT3Oz88ZjUZMp1PCUKrxbv+2Xq+5vLzsOeWuH0i1Ph73o+MoiljeLXl/ccHe3h6nZ2f4vs+Xf/wjaVFycnrGfL5HnKRYjoPvB6zub8mzHMeyaaqyXRPsc/7uPbYn4sFvv/2W3W7H6ekpBwcHaErx5s2bXuwoyYwJnmNzdnJGtAvZrDcUeUYSJa2zoGjHdIqiyFsbnND5JG+8Ep1B29F9/IHohES9h79dEXSWoar17huGgW6YNEooZoZp8+DBA1zHYTKZomsGURxi2TpnJydsNyvev3nDxcU5h0dH5GnCyfEpv//nf8Z1Pd6/f8///D//v3Edn08/+4x/99/NMGyXhw8fcnh8ShRHOJZBmeaMRqP2Ax/3o2bLcinrBsdxsW0Lz/cpi5I4CUlWG1zXoygqNpstumbQNIr1SmxxcZTwzdfftomXHu/fn3N6esLBwQGe5/H27VuqquLs4RnhThjsjaq5vDpvp0oaWZZxdvZAbFu6QRQlPHv+nLfv36MbBicPzvrY6PcXF/hBgOvaZGkkF3SRc35xThgKxrupRQRbFAXh7S2jwOfB2QPQDHZv3hGFEYE/5Pj4iLwsGI4HTOcTyipnOPTJkojxwJe1YDvVOjjYa3f29KCZy8tL1us1oLAsk9VqRZ6n3Ov3LO5vGQ0GeJ6L58ml0+kpOqxzBwjrQny6/9Y9K0qvMQyTxWKJ5bio9mtJ26LeMIw2WRE2my2bzbpdMeiYlqTizWZT0jRhOBLhsuPYlGVFWRRkaYpj2ayWSyzTxHZtonBHXRZ4rs3BwR7+xX52AAEAAElEQVS2LXwAXRMZGU0NDZy/f894PJZpGVA34kR5/PgRb96+4fz9OePRlB9++AHLtDk62sey9R7QZXR5G37Qr1Fcz+X5i6eopibebYl2O5qmYjodc3tzhabrMvFRGrEVEacJt7e37ZRXAFdXV1eE8QbHsTk8PODo+IBPP3shTJhahG5ZlkkqbYsFbtomR0ODFoBVVYJuDjyf0WjUxowLRjyMwj5NE2SS9TEavpsQdDHFXUDbgwcP6BIOu+yOqG04pFGoaMoKBeLUat/rKN5S1w2W6WAaTpsT8oHP8XGGhLiwEpTScBwTTVeUDTRoomurGjTdoG4UhmkyCAI+++xzfv/737U6FXFi1XWD7w/Is4Lr67cYpoXfWmmDIOiDyMqyRCHvZxd93L0m3WRAa10GNVJYVy1zRGu1SwrhEui6IbQq6g98hkb1cKQ/bzHQ7oS6SuZg/xBN0/oc+evrK66urojjhPv7JY7rsLhf4jgu4/Gkj5G8ur6mQREMBhR5xTfffEMcJ9iWyWwylOhMx6KpJcK2qU1QDUVVE8cxZVmjtBjTcjANicCtgelsSpQkKF3e4GGLSv3pp5842J8zDAJMXfbmcRQxm8/QFBIfW3/w8XeWFcMwuLy8FE50VWLrJhrgORJ/enpyguNa7EKxeORZhhf42KYjnURV9xStwA+oq5KD1gLUTR+6N10pJZjV1sLYdVDr7VXPC0iShCCQ3OpBMMRfr1muVgxHIzRd4/j4GNPxmEzn6IbBar2WEZJtC1ZY+SRhyPXdHaaukcUxhmUSpzFKwfHxMW/fviWOYzabDVUpqnO9PUQcxyGKIqgrbq6uKfKCPMsYBgGzyZTlaslqtWI0GjIcDsjzjOFg0L5nkhTYIZL9NqAqDHcYhugwgN6+2BUfXdfXdRTQ4Dg2pmVToaiqpoVwZCxbBkWe5Szv7/EDl8XiHkXNer3i8ZNHDAYSq/vq5c9kmZDgvv/+e2azGYE/xHU9FksRlU1mczRDx3QsyrLA8z0UStZlUUSR5+zCEMtymY8mfRGzWKxk1GcYmCiSNOcPv/+SLMsZjSZMp1OGwyFRpLNarcnzDE3TybKc+XzO7373O549e0YQBBweHnJ3d08UpTx++ojdbiedRaxYbZakaYZqP8ICitny8OFD0Vs0FXlRcTAdS7FlivsBJbCp0XjCZBwQxSFff/sNuiZceIVYZufzOePJhLrIiaKQrJAp4PPnz7i4uObw+AS7qdnuNtR1ga7DYrViFLikWUpRyLOTppLqaBgmk/Eeg8Gg95iLRmbI4eGxfP3rJbd5ziDw+fHHHymzkNHQx3WdVm1f9gViZ/XtJlfdBV+WpRQzVc10NhddE9JFaromWSmm2Qea1bU4o+oaPC+QYm294dDzGA4H/PyzaEo++fQFy8WK3S4kSfJ+nJu2awPHddiGW46Oj1GAbUqqp6JhuVhiWCaT6RiA7WbN2zevefbsE4LAx/U8irwk8D0++/RTvv3m+zaWfM4f/vB7qvoXPHnysB29C5NeKWHaW5YFutAGLctE1TX+3h77sxk/fP8tWiHrrDRJxDqsm4xHY77+5mviJMY0rDYfRD5nURiyWi1ZLO/Y25vx6aefcn9/h2HIRMVxHJIk6Tt3z3WxTAvHEW3O3d0tQJ82+jG8zTAMJmOZyHbiUaA/43zfZzAY9JPBj2Fn3Vq6G3lHUURZia25bZhRLWRI13VoA+ksy6Zqx/J1XUtxpuvSV7cTjq6YkO9JtVbINmJe04jTAtMwGAwDZvN9irImTTMCf8DJyRmGYfHjjz9wcHDAaDQmz4VHkmWJkA8Ns8/isW27h9X1LImWyCuAJfmht1kKTSscbDQh5dLm6Ah+vKEuG0Br3ScVdStylvOzoZEX4s9bDCjDpFYKdJ3lesPrd+8k4CYIGAQDjk8eEAzGMnIua+xG8eLFpwwGAS9evJA3paqYTGasV2tR5a+Wcjk4NlmacXlxg+tabYVYI+nIDZrpYZmgtWRB17KoalGqW46D47kCaWkaKNvEL03nxScvsAydaLclT1P8wOP47IS8SFBK8s/ropLLpanRFRhtIWCYBoPA58GDB9xcXws61rTYlQkDJyAsCuxggB0MWCyWNNqGEy9A78hyqkbTZbSYJAm7dnQ0n8977UAH5SjKnMurc05PTnn46AH/6R//EdMyODk6Zhfu+Pabb8mLgtl0ysHBAdsw4pNPP+fu7o6mEQa3a3soXdT9GpLRnWYhpm7i2w6VAsuyGY8nYunTIBh4pGnCLtxxMD5gPB5xdXNNGIfMp1M8z8NzXTxHeOBH+3tsVmu+u7npyYhRkmBYFl4QcHR8im2ZxFFIlZc0hoFWNziGvKeaEi5+t9YQ5bFgprtuwzAMoiTGdV1QiigMqZoGpevMx2NczyVNMpI0oy4KVC2jwaODQ3QattGWu9sbsnTA5ft3vHn7kvv7O44OTvjis19xfX3Lze0tQTBiPt/j3/072VXvdiEPHjzEC3xqGjQaXv74M7so4rPPP5fkRs9DaRrZdsvt/YLZbIbr+WR5Rl4UrFdrrm+uWdzfEwx8Hj9+xNXVNfv7B31nm2UZVV3y/vwdpmVxenLaJmCK0Ovw8BDDMHj58iVHR0f4vsdoNAal2N8/4OXr1ziejK3RdZ62hcNyseDy8pI4E9fE4dExX331FecXl0ynMyaTMY8fP6apSpI0RqPBMk382YztdsNuu+Tw4IC6rKiLjLrI2C7uhIypKzTDYRcm3N4t2D88BGVhmzbjkY2m1eimYr1eYhg2lqnjlBpFlmGboCMjS9exJHyortluVpwcH3F0dMRqveP7738QYR2K3TZkNBjgOy5FURJFIj7zfWGH1GXedtw6tmkxn86IE0G71jQkWcZoMhGipOOwDTcorcL2fLIi4W65hgZJQRwMaVTD/GCPQTBE00zyLKdq4PzqmjgrCIZjtlFMmKSUTcPN/Q3z2Yw4DkX0maVUlU8T1mxWS0lbNAzqIife7Xi1e0WjFJ9++gmO57FdR9zeLhmPb3GcU6oyR1dQlzUPT49YL9ZcnF/y4PQIy7SkUSkrXMejAkyzQamMpskkYE03UKnC0HQMFFQ1ruPiuwGLxS0PHzwkjgU+lsai5J/O93DjlNVqS1FWWLaG0gFT49W7l3iuy3pzj9Ke4fsO222EYThoymTgjQijEP8j2Npms0GLmxaDrmG2Ij3RsOx6VoSEsQUMgxHb3VYKgho8x8ds4VoyhVDtxEITXoShtaJicSB1ccaoDyRTZWiycmntoYZuYOgmmmot8Q2ousEydbFHokFZkWU5tWGCUhKyBhQttdbzfUaNoqzBC4biADIsHEemuWGcMp3tMZuuKPMaZ+ADBlkmmoUmFeaFqes0SrUcAHEb6S0Loa5r6pbcqIltoM14EBBZVVXtiQRlIyJqpYukoKkrNNOERkiIRdlaVS0b13aw64a8yP+8xYDjumxb1XzdNNQNLFdr4jihLAUXPB5PGI3GBEGAbZs9A3+73WFZItgwdOGjG7pOU9dEYUgQBMznU5QS76amN8TxDlCCvS2kS5XxsiJNE6Iowvd8Dg8OUQru7+9lV+15nJw+ZBfGuJ7H06dP+en77yjLklevXnG3uMbzbVzXYj7foyolMlnXFE2roO+EYg/OzuQybCu5DpCR5BlmyxMvioIoSQmGI+I4xfW9HtMbBD7X19eiq2ijnC3L4vBQpir39/dSuKQFe3t7oCDLUhzXwTTl0lyv13ieR7XbkSQJm82WqhYA1Hg84eLiQqYzZYFWN2RGiqYcyrwgCSMyTbj5o+GIOApZLO5Yr7doOhwczEmiUMacts1nn3+K6VisViuKouDq6kpgKo+fcHp8QlPXFGnGZDzpi4GLi4tesDUajQi3W5JYKuI4itHb1LZupNtZUo12qmOaJtPpVMAwYdhSDyVvolMV13WNbugUZUGyTCjyAl2XMV0QDHn29CnHR8et17ikyHN+/OFHlKr57/+7/x7XddntdiyXa+I4xnM9Tk5OGY/HTCaTnpkwnU4ZjEZkeUYSR9iWyWolYSu6YbINQ3a7nfjpjw7xfJ/NestPP77k/fv3/bhRNBAlb9685fvvv+8nIp1gcjabMRqPuL29ZbG8Z29/ju/7XJxn/UTk6OioBa6kWJbCtDTetX9HXlR4rk8UhXz5xy/55JNPmE6nZK3I7Or6Gs8P2N8/4Pz8vN3ZBiRxzHa1II5DPvlUutI4DkkzQRAnUcR4NIK64vtvv2U0HHK/XDCdzpjvHXFweIAXZaB0VuuYJFvjOBaa1nB8sk9VlniOxSgQXUEaRZRFzv3tDU0tiZJZlvV6iJubGwCub+55+/YNpmEwn04wDY0kGVNmNVkatyI1OTw1TVgWTV0I4jtP2WxW2LZYmp12vFzVNUkcUpQVpiH6JduxyYscz3NxHPmcxknMerPBcT1Wq7UkrZYlYRhSNfCb3/4W2/UE9Yx0aoYlF0de5Liuw3g4ImpBRqvVSngj45F49ylomgqUzmKxYq5ZjEcTUIrJZMJgELBaLRmNR61Dq+AXn3/Kwf4+juMymUypyhrDsMjzEs3Q+1WBpmlkaUqRZUwmY5qyQm8UqhaXzdHRMevNgqJI+dWvfsVwOOTlz2/YbHb84pe/ZLPe8fPPr9ludwyGPnWdU1Q56U7Wtobh8fXX3xJFO2gUplljmz6ff/Yr7u/viJOwtQZbor1oKsbjMavVsneaVVVFURSMRqN+sty5OgzdABN8TyB2tON7+X1dDLBOXTWkWd7++7JnB1A35FkH12qDulr2SDdB0HUDaIOhGmkcyjZe3fNacWEjjBDX9cTWp+nolg1KUZYCrnItC9AJoxTTUozGHjTintruQo5PTnn588+SnzKbM5lKbHQ/6QXyUkKeupCsLrdB1/U+Yv7jCYgCqlKj0qpeVGkYBk2ry9BUg9ZUeMaAusrJi0KYBu3npCxLlK5QlfbnLQY2mw0NDfsHB4xHI27vblkuVwzaEVBeFBJvaUuKk+v7DEcjoiTm9Zs3TCYTIXk5Hvd3d0RRxMnJCRcXF+2IKGAwHJEkEXESYlo2ui47fdPUMQyz3cfUBIEo6/MsI4p2uI6DbZmAx263pSgk4KdIM7abDZdXV9xcXlKUOUWV4no2z58/ld1N3RDFW4x2fGNZUol3PzqV+4fM7Q+VaPfgdtGalmVR03wUIFR/2GtmOVmZtVhknyRJWttOTpImbLfbVmsgKltQXF1dsdlsek3B3d1dOzJTvH79uh+pPXz4UAR4ukFDQ54kuI7TI5/XqwWTQSD+4XDF9dV7trs1t9eXHB4cEAwG3N3dMZ3PhDPg+2zXa6zK4uzslDxO+a//9Z948fw5x8fHpGnKy5cv+x1YlxEQRxH39wvSVFK/HMdCt0y6kJluJSJKZsVuJ2PtziXR7Qk/Jhx+/PvKUohm48kE05Apx9nZA371q1/3H6TZbMbt7S1xHDOZDHsxaMc9B1kNdejp8/NzyrJoQ4Aqbm6uGY5GbLcb5nv7KE3HMHR+/PEHDMPgwcOHRGFIGIZst1vev7/kpx9/xjRN7u7uODo6IooioigiCHwsy+6nHvJ9ykj77OyMNE25vb3Fsiz29vZwHDmcdjtJ1XMcWTmFu6TtJuDubkFR1mjKYH/vgDiOeP36NT///HNPzuyS4kajEaPRiDdv3siYXMFf/+Vv+bu//4/89NNPPHr0EF0X2FQSl6RZSln68ixpilevX4MCz/O5ur7m4NhEKYNdFDEYDtldXlOZIp6U0aTJIBgxngyFxaFpvH3zprVW6uziHaZpcnR0xGKxwHVdVusVWSbI7nC3IUkiJoeHQNNfInVdM5/PezzubH9CFK4JQ2kYnp0+pmlqLq6uaWr63bLnD7AcrRW11Ww2W7abHYeHR5TtBNFqrZ/SnTWSFNpiuMeDYavtiXux4auXrxiNRlRlheO47M3nxFEsbIbhgNlshm7o/b5b12qqWqNuGhaLe2bzGZ9++pzvf/yJmxt5XmzbYblYMN+bEgQeTaNze3fL3d0N796948WLT8TapxSGEiuucCJaDkcLFtN1HR2F1hjkdcZwPObo+JQwWqNUw2A4xPOGvH7zHjRFmuSMZ4dcXlyy3a3I8gRPr1nc37MLY9Ik4+hwn5vrGyzLZr26ZzyGr7/+GkkhdNAsySixHSE75oXwX+IopC6rfh3a7fo7seduJ3j0yWQi1L2q6kXkH7JJOvSwwtKtPzl3QTrjj2mFStPQDV1IqFT96qgrQrq1RNM0f2Ir7DRitm0LZMjU0E2tFaaXZFmN55uYukYwGIHSieIExxGBrW3b2KaJoetc39xgmJK4m+e5iFfbMD29baA6HLOmab2IvBPjd19X0zTw0f3xMalStDE5hqHa6O6CNJYJt96uVjr9RXd3/Ut+/MvXBKbOdDJBKY11uCUYDZnt72EZgvqVHXnO6ekpg8GQxeKOP379FUVZMtub0wA//vQT8+kM17IJgoDtdsuvfvWr3uqh6SLMsBuXprZbGlON0gRKMRyOiOOktSJNsW2Dw4P9VojUkGepjGHLEsuyKZIUz/MYj0bcX9+gOw6ubjMaC7t/twtRGHj+ELela8lDpv7k/3chGh2DoLO5dGKlLh3w6OgIXdN6al+HKu32pqZh9j7azkf9+s1rfD+Qlctg0P9MkpR1suutbM5H1EHb8TAsk/u7e/I8I00TPv/8c9A0dA00GpI4oqkqFvf3OJbNdjQkGPgS46wp7NZDvV6tSdIUL45JsoyDo0NcxyG1DJKkoiwy/MDh9uaWP3z5e/bnB2w3W6bTKefn5/0HdDwZ8/btHXESY+gagyBA02h3WB+SI/M873Unmqa4vb3tPyDdRVi2h0NXfHUfEJCDviwKdM1A0xS/+c1vJJLYsFgul/0H/S/+4i/wfRfHkSLBcYRQWVVVz8GP45jhUPIaZrMpmqazvdnx7bdf06B43shEYL1eUhYFjx4/7kU+y+WyVzt3yOa7uzviOGY6nbYXsKRTfvHFFxiGRRSJxbYLnjo8POTi4oLVaiWFSiP5Fy9fvuThw4c0TcPp6SlJLPZMzxvg+0mvIHZcF6tV4G+3Yse9urrC9Xwm0xlhGPLs2TOGw6H4+bcb/vZv/yNlmbHZVPz0U85sNmE2m7K/fyBi0CThwYMHjIZDFrN5G7xjkeY17969Y35wwna7wXYC9vbnxHFEmqSEYYyui+1ttVxze3ONa1uCD3ccLNsir4Ru1z3TaZpKIpumMRj4uI6JY5kUZU5Z5Via6kfQdS2WQdu22lyMmCyToj2Kdvi+LzqOOON3v/sdk9mcL375axqhS1BXNb4XEIUZTaNaAeEIhcZkPGW5XFE1Ba7nsFotKZuGLMv44Ycfevxu08Bicc/R0SG2ZXLTfv4n4zHb7QbHcciKnLKscGzrg6VLybOvGxp3d7c8ffaUk5Njvv/+R87PLzg+PkQ3FLe3N5yenpAVGev1Hd9++wOW6TCbzTg9OaNq5PvQNQ3PsTFaUa9t2dRlidJ00GWcbJgmTa2zf3TEvJ6JCyPLGI3nWM6Am7s7Mq/A8caMp3u8e/eam9sr6irl4OAIxzS5v78lijLStOTubollOgwHoh8T/G+O0iwsW7UOH711eDVYtoPhqL4Y6GzpkrMgK7PdbkcYhjx48KBfD3ZTw04b0jQNtuVIFkhWtBRcaQ6KWkKnPqYV0jToSrVskbj/OztImmrTodI07ZsLmdiJMLhBMXQcXE84DHGUYVgubqAEmNeAbhrUKLZhKHZlFAM/IBgOsTZriqoiGATkZUGjKYk5tq0e/V7XNZ7nAfSfhW6C0hVB/XnXIt+7wCohlRrswpq6qdo4aAPDslBp8r+7tzuh7b/kx7+4GDg+OekFOtP5VJLiqooiy6gbSS9TmmKxWtEAewf7RHEkqNzBgOVyye3dHbZlMzwIGA6H/fi9aKE5SZbgeT6O57LZbmiqmqoWHr6oy0U1aRiSjhbtdrx784YHD85o6orVQvZ36/WaRhnoSsbTh4eHFGlGWReUdU6cbHn37h2PHz8hCMZo2oeXYblc0gX0dMrjq6srfN9nb28PXdf7fVVXJOzt7fWdQFbkPHr0qA/FEKzroh9jBUHQi5yCIODy8gLPd3tu9mKxYLPZkKVZLxjrtAWmabJYLDg4NBkMfKoqZ71es1ov+O77b3ny5CkKiMKIaBeiQMRNpsXDkxPubm/wXIfTk2Murt6343bZYzeAbpp9YRVFIbZttbtZxXg8Io5irq+uCMMIy7JYr9e9JTDLxbM7nU5lu1WL1UiCV+RQtyyrz3wQkaTqd2Z/8gFolbbwgbolASCuxDNnKZbl8h/+w3/A9wftKK5gf1/8+t0FbRgaTSPiS9u2CcOwX28IeMQkjhVZlvL69Ws832MyHbdpX6UIQ4ucV29eUVaQtcLO7XbL9fW1/FmGTVU1LYgFvvrqK5w2ong4GOJ6LuvVhru7BZOJrNDu7++J45j5fM50OmW73ba0x4Df/OY3WJbVu0y+//4HNGVh2S5xEqJrBrYjU6i3b95IpzybfdQxFBSbDTc3UmTtdjvm8zmj0YhB4HN/cyWTO9clCASp7Tg2ugaWaVJkOTc3NygUYRjyw48/yMFueaBbZEXD3sER4/GQOEmJoh37+/uAUEqXd/f4joXne1RFIfbJIOB+cfcnAVoCXdprOyONMNqRZQW+P8T3HHSl4ThSTF+1NMwORmVoFoZhoWtC9Fuvd1xf3/Hq9RvulisBck2nbcdVY5omqmnaDI8BZVmLeFU32W5D/tW/+leYps3V9QXr9RI/8EjygqIs2GzW6LrJw4ePyfOC5y9eCDQpDLFtKcI0FGdnov14d/5eQm7abk9G1wpNl/VmHMecPjhjOBpyfHzS8uMjJtMBZZVydX3OfG+PyWzAo8en3N2uuL+/FeuaZlCXJbWmoRrB5w58n7woiJNEiHOta0cpYdZ7foCE2kgx1TQ6XhCQXlxRNQrDtBmMJjx74TCaToh3K4osZbm4R2Fwfn7JZDwjiVPiOOXm5pam1lr0boyXu+RVhWVbYi1sQDctdAWb5YKmrls0udl25lJMd8TNuq65v78HZBU9Ho/7M6Drrk3LJLADgsBntVqQpnGL29Woyw8AnwbppstGLKidn79bz0khX4kOrf3nDm5mmj6T8UQQwe0UznFs8kJ2/6ZtgAa1qqApCHexBOmZJgolF38cYZgmi9WSYBBgWha0HBVL0xgOdcIw7LMYugTUbvLZWQH79NL23wN/kseSlyV5kWPqOpIJ06A0Q+Bn7Zn7gYNi9Gfp/78f/4c0A5vNWroRW7oqAJTCsm2StOVDpwmzvblQp9rxX1bk5FXJk2dPsTSd7Xbbd8ed2lTTdWxHkMMSwZihlKTxGbpFhcAd8rwgIhE6m6p5/eqljAvb/X3g+z321kAnjEVYNBgOyYuUKKl7NfP79+959tTDceVic1yH+7t79vbmfUffEbc6f233AHeHL3yAnqRpymw+78d3nUXm6OiIxf2i5weAjKeSJOkV151FqhvtNHSZDwYHBwf9A53nOVfX18zmM/b29j4Cc9xjGiaff/4LBoFPFsdsNxtU06BrivP379jtNm32u6idFYigcyvRr5vNpnVjaIShjHQVQC1TjixLSNvJSJIkfQGTpimr1RrXc3Edh81qiYZw1JumRlMtZayt4LsxWRe0lGVZb520bRutTUrrgku66rajWTZ1w9HRIXGcsNtFjEZT0lQ+1INBwMHBPq4nUcogO/zb21vpHtu1Q5xE2LbFm7ev+g+fZZsylm4aojjh1es3NEhHcHNzja5LhO7BwUH/Xj179glf/uGPWJbF/v4+FxcXOI6DpiTHXlMSM+q5Ngf7R1xdXWA7IkZ89epVn37XjcXfvn3bF4xpmjKfz8nSmrJqmE7nLFdrVqs1gkWWlEIQtXxX6Mzne8z39nvQy9XVFXmec7C/J891lrTqbinElqsV282Kf/PXfw1ziKOI0XDAarkkGAxYLFfswnu8wZiHj5/jOo7AfcINl5cX2I6N5/qUpWRfJNGOQ2MfxzLJ0pz3q3MePjrjdnHdT7nSNO35FVUtfnvfc3FMXWhtRQFtcfPo0aP+8IyimGHg4doeZUFfLEtomExqZD0ylqmdZdG0im7DMEnTDWWbXf/+/Tm/+MUvcV2PppEIbMsySOKIolFousWzZ885OjqmaSRJ0tANlst7NET35LrinjAtsw/0qpsG2yv6ES9KNEnr9ZrJdMp2u2E4mohmqSpoKMQNszfiq69eU9UFs9mYLM2Yz/a5OL/l/Pyc58+eUxQ1VHW7SxcevWWYKE+1QLaiZT9IKp9Y4URvYdoOaVpQ1g2otqM2TALbwXYsbNdC1UdQlmw3a96/e8tqec96vWI0GvPkyR5pUrJebTCMCNPS0S0TT9Opa1kVKqWRZgmToaxY7m5ve5dWN5I3TbPXZnXOKcMwZE21KnvuSOdAEAiXRpJknJ2d8ebNa5SGaA6aqj9Xi6oWbVlZgvpgU+ymkk3ToNe00eIfgqHiOJZGwV7jep4AlpYroihqRak1VmwznEzJsoihN8MwB61wVP7cRhPw2mA05Oc3r3A8afBMy+wnHr7jif6rnQJ04KGuaLEsiziOP3Bm2tfFsqx+UlqLuhLPC7AsA+qSokj7CYG4VD8kYXaT6z9rMfDyp5/RdZ1nz2ZYuonZYg6TKAFHxBxxGVMVOTfvL1rhQs37129omoaHDx8ymUyIo4TAFXZ4nuesd2vC3Q7H80hK8ZR6nk9Vl3S56bbuYhh634lWVcVqtSTwLT77/HOUUqw3a+IkYWCa1HWJY0mSWNVU1GI+xbV8PN+kyGN0x8VzPJJYsp4H41EbVCIgo7yQaUSH0w1b8VjXxbqOQ11V6JrGcDDANCSOUjUN4WaLbugkcczxyQnz+RwaCNvf3wkxi1ZnAVKcKGA8HHIwm/Hu7VtOjvbby24sQjLTllAfpbF3sE9ZFETbHZZuklcZm9WSi/dvef78OXU5YrtdMZoMGA+G7HZr8jwly1LZYdseVSlKft0UIJRmNGSpCHx0zcIyZPw4m+6xXNzLexcM8Tyf+/t7gsEA1xUfuDy84NoOVTCgzHOyTFGWBWWt0Aydsq6AGlVVUuzohjDKNb39QAjGs6GhqWoMyxZlcC0iT01J/nqlZOx/fXMp+RN1yePHTwmTLU3TMJtNyPOUuqqJogTP91GaRhTH2O104u72Htu2GQ0nQnFs0aNxGPcK5SdPn5DmGVlekGVdoakIPI/5bMr333/P+7fn1BUcHB5SlCVPnz5vw1hqhqMxd3e3eLbNYBiQlTloijCMODk5xnE27O3tt6jnmu1mh9MqsDvtg67phOGGLC8ZAp7vohkyHvZ9lzS1SZKk9Zp7su+2LF69ei3Pr21hWhau57GLYqI0w7ZdvMBns92glOLw+AFFFvPm3QVPHz9mF4oeaDgaM50dsA1zdtGKxnAoypIoCtFNjbrKCQIX09IxTI2iyrlfLZhPx2RlSZ6n2K6DYWisd1vCJKXIcqqyZjwZY7suu3DHaDCQPI7RUCyfuoFnO9imRZzlaKZNku2IwzXjyZjzy0sUDdPphBq4vrtjs15j2g6BP+Gbb37gf/gffsNwPOL+fiHPEyL2apqGohRwkK6bTGdTbNcmLzNsV7Q5F5fvGI4meL7N7fU1h/sHVFXFzdUFcRKTpBGu46FbNmUltL0sz9ltN7x59ZLheIgyGrRIxIKarrVZA7Barvjxh594/uIFnj3A0g3QFKau0JXJwf4xX/7xd/zyl7/k0xfPicKURw8eYlsuuq5RFHV7kUiwloaiVgqFhlJgamZrR1P9pVJVNWXZUBQVlmmhBQaj4YjVakNdyeTOtV18z6eqBevsNSMeP3/BcXLGq59fMp3ucXR8TBAMub6+5b/8038hS3MIdbxBIJkehiboaTTiKMa1LfxgSNPI5We1EzldN8jzgqpuBYI0lE2Do5tYtk1elDS1uJ/qpiFL8zZd8RzH+RzPc4mikE0cYeqSY9C0dvosLynyDFVXvUjZNm3yTPQCqmU11IgSv6xKoKGmIkoLttG2FwzbtoOrO5gKmiJHq2s0w0JrGnFgGAaaaZHnBY4tqGnd0Jnv7bHZbPqityt86rISEXbRJb0aIoyuhdFSNzVVXQlJUCkaPoz5OxttXUuhp5smeZZQF5LxQi0clgqEcJlm7fRE75vWP1sx8OL5C9l1OC6qEUWmKJdvCfyA0WDEeDhieX9PkkRYlkldlFi6zv7+PrZhsltvWn95iB/4KNVwd3fdCnl0IUnVNfd3tximgWmYaEpSD8uSvnryPE/WEhTcLxdYlvj9q6Zuw2RaKwqSP5DlNsF4SJ5EDL0xlilc7CAI+O6779AMHdv32O4kZTAYBEiKnotlOjx8+JAoinqRW1fh0oo0tpsNReuX15XoHtI0pVEyau1WApv1mvfv35NlGXt7e7x//15EZyfHEpJRlUS7HbqC8XDAdrMWtnWWMfB9lss1VxdXpFnK7dU1e3t7zCZT6YrqhnC75fbmiqpsQSSu3XZ/JeFu03fVcRT3aYZllfYFlmXaJIko2m3L43B/n9vra26u7zANnUEwwLIdwjBqK1GN5WrFaDRiNp7iuR7ffvMt69UWx7FRykTTESxtVZIkmYzcTIM8q0iiD6IiXTfbmGrBUerteK2pmz61i3Ycpxs66/WKL7/8kr/+N/8a0zawXJPNZo2mdK6vLzFNyVgvy+YD+c622N8/EA+v0oUMWDXQKFF6z+eC9qxKdpsdSZ6y3YX89i//gv29AwaBoI9XqxWe63J6csJ2HbLbRHz/3Xc8fvwUpRlEkWCZy6rBdjwc1yXLc376+ScePXzA4cF+jxq+vb0DhLtfpGm/+66qmuViyWg8pihyujAXy7KYeKN+hdVNlYqyxFOq9XTnjCcTdrsdo9GYLMtaKlpB3SiiOGWzDYWg2DT88MMrdFXy/v05cRQzCHzKosDQTR4+esL84ITl9n9l/+Cwh3MZlg5NjWXq3N5eY5o2jaaBpqFME8M2KdKSPE3I0wQtg8FgRNTspKtWOnkmwjLfdsQ+qetYrk6dFzTomI7Hm/fvKbIU27bQlSKOk1YIu+PufkVVlYRRwvXtPZPpnLLSZdXgD1gvNxSZiCE1Q2FZNqenZ9xc39A0SqykvjAvNpstmqaj6SauG+C6HgPfZxeGXF1eYJomSRwSRjuUpjGbT3EcV5DjeYFjWKimwjLbbrXIqcpGLhbdaMflFnUj58XN1TX7s4bhSLI9DFMnSwr25oc8ffSM77/5jl/+8tfs7x/S1MJDKKoCVElDRVnV1I1gq9F1dFNHR94T2uRQVYiCPU0l70NTBkppmKbGcBCw3WywLYu6aqARsJzueOimgWk5FFlB6WacPWj49tuveP32PZPplPFkzK//6i+4vb3l9vaW9XqLZZoEgYdl6ijkck7zgqwoxTqpKZRhoCkZq+u6IfG9SkBFumGgGUZrvVMoXfWq+jAKuby8YDCQNdN2uyOKZQ2qW2avxZLbXgHiKojCmOVihWmajIZDhqMRtCtNmcjULWOgpG5KcSIovXUjyJrKtW0sTaMpS3brDUleoowl48mIwPdoNJ0yz1lHCUVZyArOcVgsFtRVJc67WmKV60oshB+7LIx24rvLUkzdYOD5kunwv1kjdD8EUy+FjaFpNIZJVTbUgG3Z5HlMU5bUgG78aQz2n60Y6Ea8d4tFO44dECcppm0xGAbC2C5yyrokSROSJKJBBFHL1YL1q58Zj8fs7+9TVwX3dzcEgYfvC+SnqUvysiBLM1Dg2CPKMpMXoKqQqMYK3VBMpiOapiHcSUe6WCx6EVpd1+R5JpqD1tsuAAmFaVuUdYNhWXz33fcURSFpWE2Nanevx8en5HnJer1lb89GWQrP8/odTPfCilL4wzims4p0OzLHdbEdh9vbW8qyZLfdcXl52dvZugnDbDbj7u5e4oPlK6WoSkzLxnbK9tdMiaKYJEnY7rYMhhL12gniPhY5dpfVbDbr7YGGpjEdDlG6RtXIaBGliOKYmprRZIxpdZqHkuFw2DLAFXGSsNtumE+nHBzss1xtuLu7QynFu3fvGI/HnJ6eUpc1YRhR1UJ+y/KCwWCAbY9IkpC8ZXUrJAtAtQCOTl3ciX26YqBj0cvnW9HF9xqGJjkR2x0Pnzzh+fNPqKlRIMUEiu+++5b9/bk8l47Lze0V2+1WnCJZzLPDZ9zeyVqlqivKomQ2n3NydorSDQZ+QJLmvDu/IC8KtpsdcZQS7uKeIPb1119zenrK5eUVliEUxDdv3hCMxjJNGgyJ44TBIOD6+pqT02Om0ylv377FUPJMTSaTfs1T1zU34Q6rLCmqkqzIMWyL69sbJpO5dDONRN7utrt2xA6Hh4ctCfSc1WrFYDAgyzKieMN2u2Wz2TCbzYToaVnMW33B1dVVL6wcDAL2ZkPpMJREqwaDgYwYbYtdHDOfT6mqUhwi+og4jYUeV1Ucn54ShjFZKZkBcSwcgyKNGXgOjx49JMsSoihkPh5DVVNmBeNAMi6m0zmWa3O/WoGSNMc0jKjqhs9/8QW3N9fMp1OOjw4Jd1ssw2Q4mLDZbhgNh2zWMVdXd9BoLJdLvvjii95O6jgO290Wz/SwbQtDN1o0eslqtWIb7vq14Xg85sGDB6Kb6Q7QpqEqyzbVTp5Zy3Y4OTmhKAT0JCI5oe65vodtm7Lv1cBsyaVFWWIYJnEqo+/b21uiTcjJ2Sm2Y+F6Dlqjo+kWDx4+xnVdvvvuB5pak6yQqqHRC5TRYJQSYARK4DO6hjKkYChLMNuAs6q9FB3H6Vdu3bRgMhm3duwE12nzGsqCqmwteEpyE0zD4ejMZTqfc3Hxjm244365ZjAaMZ5MOTw64f72XlaQu4jA91oqnmqLCru90EqKskI3KmyNlv5KP6o3DL3VCBRtNyv3uq4bNEbD6akIVzebjTyXhkFZlD019uPvDaWomoZGCfkxjCN2Ucg0S/uzLUlj8jztffy7UMTas+kc23YwDLMvsvMspNESvKDBdn3yIiPcbtCQTImqbThoFI1WiRZnuWJ5v2AwlAm6kjYfEO2X7/siSM8z8qpE0zWqzn2R5f1E4GNnRXdniKU9x9CF52CaLk1dErbPcqfD+lgI/2ctBqq6ImmV4J1gbDAaMhgNqaqSrMxJopD1dkVdl+gaTMZjLMtkMBhweLgve6EkwTR1Hpwds1ovqauCqgRN1QyDMfpoSFHkbDbbdhJgMv9oDy+qYkmmkl1K0dqpqh5ne3l5wcHBIa7ropRiNp+SZRnr5RKt7bBm8z3xjFuWWEiKgulkxmQ8BQQJWeQlpVn2WgFN01rQj6wJkpZPP51ORQ0bRWxWa7EsNg3BcCiQmizrVd+O47C/v89iscBxHDabDXuTPa6vrxhNhtR1CcgYaDKekCSyE03TjCAI2G2lIj47O+MPf/gDg8GALvPAtIw+50Bsi4XQtOqavC0SfN+naBX5ddO0SWo6TS37zrJuCHc7kmDIcrFEoUmm/S5EKY28FX/Fcczh4SGHh0JVrCt49eYtDx4+YjgYcH1zI19DlrRK7KadqGg4jodlGni2JYLANrugc2BYltk7NrrfZ9s2IKpZGfM1+MGQJMsZDH0RJhY197cLGuDly5ecnB6zf3BAmiZUVYnrDjk42Of3v/8dq03IfL5HMBxyfn6O6Tpsw5DBcEi0WpEXBfPZHM/zuLu6IckKnj1/ztHxMXd3d/zN3/wNjuPy9PEzlvcrDNvi/PySeVGQZgVwxS+++CVPnjxCKYllzbL/L2v/tSxZlmbrYd/S0perrXfIlJGV3V3V3ad14xwDCNIImNEAvhMveX34ACQfATQjaSTs8OjurpYlUoXcert2X1rMxYt/ukcWcIGmsaIqzdIiIiP2dl8+5y/G+EbJ8fHxwWq53W65vr4+aD88z6NpG6JY8hB836coS46PjknzjCwTZkcQhkwmE/peOocwDPnpT396eL4s2+Ef/uGfDhRDQHe/G66urn4joXIv6DRtG9u08TyXXbqj8Vw26w2DwYgkGTKdTulUz4sXz1it1jSdYGYfHmfM53Ms2+Xx8RHVdQyiSPzztdimfD9gt1sziEJMBdk2Y7Za0xsmoR9jmA5tZ1BW4sP2HYf7hwV5UXJxfsbF5RN+8uoV9NJp3d/c0VQinvW9kN///T/k+fOXmJZJmqW8evXqEKSjlERK7xGwURRrBK5Y84zaPHxebm9vGY/HxHEsUbtVzcX5BUkyYLPZcnpywuNiRo8wE+JYCq9BnLBrdqQ6lbRTLU4Pnm3Tt5LJYQBVWVJkOZPpET0Gm82KXbbFD30m0yknJyc4rsv19R2WafKzn/4B3333HUr1XF5eYBqK3mix+h4Q+5pBD4Zk3BugoTVCprVM6zeCb/YCNfGyG5qw+ANJMmIQJ1ojZIESHZTqOrBMHF8gbJ/p53K5XrFYLjFdh8HIJQwTPnx4z7u3bzg1DELfp+laLNfC3v+dLfT0tK3CczRPQF+Olm1JoFzd0DYdjuvokbisCFzXZjweap2VTJ3rpjoIjfdi231RvWcKSDqpiNst26bTlEHDMHBcWztaWvr+o/PL88SOXZaiCQq8AM8LMUyLvKhwPR/TshhPpzS1Q1koLNMhT+W/SYZDTk5PeP7sOa9f/0Cv9lZzm/Z/cqlvNhvKqtIFpE/eZoLZd12s3voNEfX+H8v6+Jp2XY1h2pgGFGWjJwkcioG6rg9OhH/OD6P/Z84Q/g//x/8TdV0z0RQ8DEMjWXf0vSLPMtk1+R6OY0HXEkeBjPsdscFhGDRVQ+D5JEnM4+yBsswZJDFRFHN8fM5qtaLUJCZDl4dpmlPXlQQQac+kZZkYmoNt2/bBnrXvCEajkU6AEwuaaZrCdu8UdVWR58WBgLXvPJ88eaIZ/DlhGBGGgezhtABjHy+b5zmOBhOlacpwODzgNx8fHiRKuG0IwpDT8zMZw7cteSYe8/F4zO3t7eFhq7NKKtC2YpNuaVoBowSuQ101rNcbPC+gKESolwwTxuMx6/Wa+XzOarXShY2NYUihsC8QTNOkzHPGwyGmYRx2T9vtVqKNbQtMk7btaJQiL7QFKUqYjMfk6Y6qFHa+CYRRRBRHwlbXxdF2u8U0HGzbw9Ckw+fPn7NaLXm4u6WpSmbzB5282GBZBqCwDflw7Ha7Q1jUPsVrbxHcFwq2bYtDxHZxPY/xdML/9r/9b/jpT3/KxeUZ93f3mNisliuqPOc//sd/R9vV/NEf/zHj8ZjNZsPZ2RlZlmHZDoYlhdiek3D/8IBSis+/fIVhmCznc9pG7H9NVRMNByjg7u6Oq6srXcRU9B2Y2GCZBGHM+eUTfnj9hunRMZ9++ilFkfPwcM9kOgYUVVkyThK22y1v3oh4cb1e80d/9Ec8zh/E155lpLsdQRBKxzoYsttllFUjSWlth227TCYjcaroFdXewbIfR+/ZF/tEzOVyyemJrKeOj48Pvz9Nd8QDn0Eccn52yna9ZrF4pCxEQe64HsPRGNf3MS2bMIxIs5w3b95SVg1N1xHFCVXdsNttmYxHnJ8eyxkQeKi24Ve/+keePDkj9iNsw6WqGn54+w5lSPJn0dRkZUEyTKBTbJcrhsMBnmeTDGJMegLPxXFstqs1bdWQpjvCMOT09FRz7zPyIsfzJNp3Lzrte8XD/JGmabUYUS4ZQ+9lO03CzHQS4Wg0oi4qRsMhV1dXPH/+/PBct0ZH2TSkaa7ZDwZRFBOFMUWxoyxSbm+uBUNrefhehOt7eL5Hmpd4vs94ciQY5SKlKEvQlL3ReMyzFy/YbtaAYjhM8DyP6+srwijgsy9eYDomQqaXVVevDFTf03QdhmHKyFhB23TCrtfagaZpKMvyUBTtm4V/9+/+A7PZnBcvPmEwSABLTyilq1ZKSTx5U9O1LZiGaH8MQ4RxRUmepmw3G15//x3pbkvge3Rdw9E0EbKiBoEZ9AyiCNuwcH1P6wPk/VFwAMDtVfD7rtbzHNJ0rQvqgjiO2O42NHVD16iDUBv20egiXhYgXiMhQY6D67h4tqM78pKqKrQtESzb1PAihzwvZbpZVXRtj+cF2n0gmgDf84mSIQNNK2xbJRMVBY7jcnp+zsX5OQ8PD8znc0bjsTBTtMskz3Pqumagw/ayssDzfUwMlrM5J0fHB73BPqPlNyYE/d4xoqDrxF5e5LiuTVll4oLShcB+avKv//W//l+84/9/cBP4DIYJge/jevJw17WsBdJ0x3q7wrVtvNAjDkKiwGO3XbLZrFgsBN16dHRM3ykcy+L09IQkCWm7hqaRHWlViS871DnREkUJcRyhVKA9qAKIkEN0SacJTvKgyFphT37aK/CTRMNnTBPTciRb3nYItboc0NyAlqqqCYIQwzBZrdZYlrCq9wXDjxP18jw//Pe73U5bd3rJSchzbu/ucD0BTpydnhH4AXd3d1xfX3N/f89oNMLCIhkIfey71z8we5jhBC5lVXI0HmFbNkEQUpYlg8GAIIg4PjmmKHLtlw+4ubnRnlmXKBZk6+npKSCwKNtxyMuC0WhEkiRMJ1O22y1ZtqMscjINwmmb9vC6l0XFQ/XI/d0NvucyHg0ZDYfUdc3QFjjSdrvlyZMnXF9fo3qLMJROKU1TWtVR1g3RIKE0DaJwgKXtVYbh0LUts+WM4TA5uBJ+PO77cTezf7+bzgTDJC8rfv/lp3zxxStcL8C2tBU0r6irCtOU3flqVXJ1dQXIh0isSUs2iwXhYMLzly8O3AceH2R/q3qybMsuTTmaTEm3O7q25ebhjq6X2OknT55wc3PDw8MjURDz5OkzxkdTuq7n4ulTvvjyFUVZslpt8DyPk9NTbJ1WN4hj6DqePHnC+fk5ruvyzTffcHt7SzJKGI3HXD55QlVVvH37lqquxAPfKdK8YJAMybKc3e6R0WjIyckJ19fXXFxc8PDwQBzHvH//gaKsdQBSRBiGRFHE1dUV6U7gVgBRFB2ogMv1jP/+v/vf8fTJJV3b8A9//7faPil57oMkplMCrhGnjfDXk+GIxWol0cDkRKFoHjabLU8vzrCNnsVmxdNnT0jGA/pWYfQmR+NTxqdn3D3OUF1HXRf4QYTnh9R5xWAw0p+9MY4tkJWmVYCEXYWei2nK5y4IRMhXFPmhaN/3OGUpRM+zszMWi4UAzsIBlg7dyTWr3vf9g5e7bVsGcUSWphJupnG6jdtghy7j8RjLsoXkaYqNschFI5SXBUEYUBcVqusOvHzTMLAwCINQyIS9Ho2b6E7dom0V6/WWKIzoe0VdNWRZxu/8ztesNytev3nPxcUl8SDWY2AdVXvoNmUcbfYGFhIsZ1jGjy7JjxG5TVtjdga/+7tf82/+zb9lvV6SJEIRBEN/FoX0Z/YmGGKT6zEwu5auV/hhDIZ83cdawNpUFaprWCwe2a7n2JaJZRo4rovnOiTJkFo7h0Ktuep6Rdf3oAzREWAgmHVp+lzH5fTklF26PZwPvep/Ax60XyvKeN2UIKHEPnBNAFSvDqFIYuld64uz0kWHRxTGKPURRmQaH7tyR1MBDdVSZDsRuoahCBHbFsOwUKpjuVgw1dM327YPuPWmMw7T66IoWK1WHJ8cEwTiMMA0aNqWzWZzeJ/2BcDeXSRAMCHaVmVFVRQEvquphkJS3MPa9nbF37pmwDRMEantUmzLZjAYMJvPKMoc6IkjER1laY5t2AzCkLOzC7baAy4jmUKY2ZEQBH3Pp1U2lmnx/Q8/YFnSnZuWBFn0vaJqGtpaghmCIKDXWEYRuXm0egy/t10IhU24zChFURbEUUTddSjA920s08Y3pOoMghDP97BMg1/96tc8Pj4yGo24vLwkCEOydEdV1Xieqz/QYKiOTnW4tkVVyljKsm1OTk+ZPTwedt22bbPQIJwnT56IG6JtCCMZawNUdcXd9o7ReESaS3fftS1VWdNEMW7oYTs2sTOgaSQbPt2lOK5DXbfEccKzZ8+ZzeY8PN5hWoI5nc/nchD6PqcnJzS1xILats03337D/f09WZYynY4ZjUZUdU6WbanqhrapybqWtm5EeOV7BGHMepviBy6O75HmOcMkoWpqul5xfz/DMlfCiDclB7wqK7abJbQNjmOzWm1F0Nj1FFmq0+c+ilG7tqVrO2zTOij6LdNC9ZKYZpsmhmlzdDLhX/2rf0Vd1Xx49566EmTtbltQ1S3rxQLbdtmlGZZp4vsefa/45S9+QRTF+F6AhUGtWQ5Pnj7F/MwkCAN6DFzHZjl7ZLGY8/lnn9G1Hbsix7Nttpstrufp1/sBy1hSli3/m08/4fjkjLrrmEynlGXFeDzFcUQB/PBwT5rtZMpj2yTJiKurGz58eM9PfvITTk9PmS/n7LY7mlpCW8IgpMxL7FhSz+bLNcORwYvnT0mzHIOOzXpD25T87c//WrttWizbZTI9PhRYaZpS1zVJkvDw+ChriLrm8eGe1WqFH3gYRs9muyHZRJpFccnP/+avOT0958svv6BpFXf3D/h+yHg8QfULRpMR0+kxRVWxXW/0XtwW7VDd8PbNW5I44Hg6Ji9TmrqhKmv6DqpWEQ2GTI4mfP/DW9K8IDQMTCS06WQy5c2711iOy+nxVIS0ZSlUUscB1TEcJdiuRdUIeCuKfJLhkPv7B+15N/ECH8uRycl4PGW33SJceLG2Cm1ULmaAXLuLXMchjKXw7rqOm+tr8jIn9Ew22w1XV9cEQYjv2aRZiud6eL0HyiAOE25XtziWS1lVOL5PWVRi+1OKHrHAtTpUxjTFvpqnKb7vkcQxq+WWQRJLMeQGnF9EjCZTbh8eMUyHIBQdQo+sA0zDpNWdNYaB45iU1cfO0nEcDMP8mBJqOeAYHB0d8cUXn3N9fUPT1GI5RMA2H+2C8jVajoNpW1SVQVdXmAZ4joczclmvVzieJHgGQciTOMZ4+pSyLJg/3NNUJT0mq82WrqlpNKLX9RzU3grQ20BPXfdYlqwy6hpU12AY8rp1nWK1WiGOTaEE0ouCvgcwDAzTom3rg9XRcVyNNe9pDUVeFPiBTxBEuJ6P37bYjky60zRF5CE9hoGebLuYpoFrC9vAMAxsz8V1DUyzl/wvo6drK5q6pCgzXr/uefLkKc+ePqUqS7a7HX4Y0LQSuDaZjNlq6FIUxXRNS28aDJOE7WZLVJb4nkenxYadUkRxDECR5zQarqeU2O0t2/oNxsSPRYf/XN3AP19A2HYYPfieh9FDthPcpGmaVHUp1C0/oCorZo8L+rZjmEQkyZhXX44wLSFsVVXFrJQ9+Hq9JooiJpMJUZRwc/1BELuOI5V+WVBUFYEbYnv2QYlpGga9Uvi+R1HIN50kyWGHFHguqm2xDAg8DzrZHdWqYzAaYBgmtisPu2Gb+IEvvGcTlqsFni8dtlKKIi/Y7VJQIRZQFQVtVWJZ8jX0qpNuazyhbhoSPdozdUGw226xbJttuiMZj4iTAXVZsVwuuL+7J3B9xqMp6+2a6dGEpolYLOYEnvDQ87JkOByyXq91QlzPYrEiSYY6+OiYi4tLTk/Pmf2PDzw+PmJoVfneyTAYDKgrh7dv3/LmzZuDEn00GmphWo/vu4yI6VRLlqYYhsXJ8ws8L6BtFF1rcPH0hbgDPEcQr7bFYr0CyyROYsBmtV1hGAaPswdG4zHHJ8ds10uKLCOMJMjJ6KFzWyzTFQWzaXJyfEzge8wfBVWNaWBa4tO3bYuLiyfkjYzJ/+RP/oQolGnJu7dv2eyW/P4f/AFxPODqwy2r9Y54MOJoesJyseDy8ow4Dvn5z/+azz57xfHRGcl4wHqxQvU9s8cZjuuSJJKtoJqaZBBrYdFWhEXjCbtdxmiUiLc6joU/3sIu3ZGXJWcXZxRVQ1nV4igw5JB+eHjg9es3NE3NeDRit83Js/dEUcRnn31OHMc4js1ut6Mua+qy5vX3r3ny5AllUaJ6k4vLpygdruM4Dnme8fBwS9c1chB1NWWZU+Qlz158cogAHwwG/PKXv5QMBkscIOv1il/+4h95//4dgzjiz//0T+josAzo2prFfMf1h/c0VUlXN+y2Kd99/wOu6/HwMOPZ85fYrs3D/R3HR8cMBwPev7/Cc30iP2A8GNC1DQrZjT7O5gyTGMdyKNuS45Mzlus1q82Kqmpo+h7LdSmKitViTRyGHJ+c8bhc0nYmlu3zxeef8eHtG9arOaiGKJQ9/8nJKWEUkN7sKKsc01Rk2YbFas1XP/ma2XyJ6nvKosKyWiaaGZ9lGWkqMb9nZ2fsATd13TKdTrUGpWGxWnB8fMw2k2yQQd8wnk5xXJuqriTkTLUYuPRNR1spRkdjbHtJXhSYdQOmsBMwYLfbEiGHusDwpAu3DAPbNVk8PqDaHsd1kY2oQ9MadD20yuD45ERG/VmBaRr0mNiuiWUYKENJ3K2+B2zbpNGNi2EaIqJ2hMgZx/J8p2nK11//BN/3NGfEYb3Z4vshju1qNbqBYZv0MtzCtk1cpUVqpoVCJn+m7aA0l6PvFabq8EwXx8+Yz1c4lkGvWkBQ5b4WG/Z6/w9oYmRHT4djSoxwnoslOh7EOI6rpxbi1GhRmhtSHhgmnufRlz10HQpDiznF/UUPddPSdgrfD9g78C2zo/c7rRnIdXR7TWcoLeQToaalMfPjyQgv8CnKiiDwiKKAPC9ZrpbkRU66W5HuNtimRRiEVGXFZrWiVaKLMU2DZDiQ96PqKJqOXkEYhGRZzsNsxsnxMaZhUGuUclGWkptT16i21hoCW743pFEKwwiluoOObk9n/K0WA3vQTJZlWJYlqvjRGGX27LZbMiPDxCAKHdzEJnBdZrMlq+UGX1vc0jSnbSWitKoqptMpo9HoUM38ztdfY1gWhT7ILNsiGY1QtdJrhOowSpa9S0UUhbrqNQ5kp77rafV4aDKZHGA12XbDYBgLA96Q+E3DkAfXc10+/fTTw/daVRWeK6jKoshJe0VZ5FRFoXGyNZYlK4isKKj0ZMLTeOY0Tbm7u8PxXJ4/f84gHhBFEW/fvmU4SJhOj+jajvFwRBQm3N3d0KM4Pj7GdR0WixlN0/L8+UfyYxzHgvDc5Xz//fdEUcRyuaTRATUY/cFdYRiGPvBS6qriaDo5EBQNw2A61V1r32mcr4iNonjE8fERk/EUy3IwDAvLdOla+Oqrr3m4u2c8Hunf71DVBa9/+B6jt/H8EMsyub+/10KljqbvePXVKxbzOcv5ktD3sS0LyzIIfJuua/BcB9syRYWu1zGOJ2sb27b57/77/z3/5X/1v2K53WJrctlsNiMMQ6bTKevNktlszunxBXEcy8haNXzy6WcsFw+Yps3V1Q1xPCBLU3a7N9iOZJsb2gmieiUrBaU4OTnh8fGRNE1pmoazszPW653Yz2yLdtcRBAFJklCVDb0y2G7W/Id//+9pOsV33/9A5EccTSa4nsfP/+bnJMmAk5MT3r15Q6+1GuPJiIeHe6Io5Pz8jLqu8TxPeBy5vMe73Y6J61HXFXmeygGld76WbeG4lrZkCrMhfBKxXG1RvUyIbu9usSyLLJPs99F4xGa7IYpCnj69xDSgrisa1fA//r/+33z11ZeShAa0dQN9z7e//oZ/8//5t5xfXHJyesY/rv6eTz77jPVqzTe//jVffvmK9vwMy7apihLTANtzMLGEjoZBlu6IQ4kzr6oSz/XY6RjcsijYbneMdFS2HwRsdlvqpuZoOpGOrm2lKF7NMTRsaa9AH8QDXNch8D1qjSiu61rHHBvih7c5jJj34JsoiujS3W/oU/aAmq7rSLPsoGmRy9UWVgg9f/D7f8B8vuTDhys9eWsOl6zregdEtOeJqNO0LEbjMWVTfwynMU0NM5LzLwxDLUTeoXbQNDV5nvE4eyAeRJxfnArpz1I0dUNaiIDZnU5lmkZH2yrhs+g9fW/JFdvUjVwYxkfgzv4sbduWi4sL7u/vsdyOk5NjViuhnh7G1aYBpnEYWe/XpgaKtlEEgY/jO9pS25FmKV3X43k+z1685OHujuVqQa9agtDVjIEdgSd0yE4pMBrtiZcpTdcp6rqjrlqSQYKpxahta2mYDtpB0R7eO9HBWBqSVn0UFCpxUVmmpVcKjQQTmZJdoVSPUj1XVzc626bVwDTY1rKe8DzB6DdNQ1EW2J7DcDTGc4XjIM9pjRAfTdbrJd9//y2maXN5+ZQkiVlu1mR5RpHndEoRRiGDIMHEYL5YUNQVp6en3N7fM5/PtQjfPQDnyrLE5H8e7yyAJhPbtvTP9Ydn/beOI95ToX48hjAti6ap9J5H1KFRGEq3O0yYPc54f/eByWTCcJRQVzVRJLaNFy8kn32P3x0mCX0vyWp100BdUVYVcZLQ62zqj0hJNE2uOggAl8vlQYRW5DkoxWg0kqJCH4ZhGHB3e8PJySnj0QQDWT/UVUW6EYfC8fSIX/7yl1imyROdbNe2DVWZ41iesLH1XkaoiSGdPkAcx6FHmOZ5UUjoTyyTD8MUfvf19TUPls10MhHhnGXjubI6WSxnLBYLzV4vCQLnQOEqioLT01Msy+Lu9oHT02MmkwmPjzOm01PiOAYUH67ei2VST12iKKLI84Pidh+UtD+IfvxDiqmWIPR5fJzh+wFBEDGIpUOYz+c8PDxSZDnn5+ckAxHCGYbN5eUTNtsNcRwe9uOLxYwoFB+32CHFK56lKZZjc3x8glIN33/3HRoDgoU4SEzLwrJtzs4u+LM/+1PyXOBLdSuF3WeffcZiseDq6ooojuQCqGo+++wz6JRAlqqSKBxyf/eIHziSIVB3JMlENBa+z/39HU+fP6fpWqIwxNYUsL1V1fO836B/1XVNEIUkSSLoas1HsCwTz3Wptlscw2A6HpLtdvzyH/+Ruqy4T3c83N5R1RXT4wl+4FHeZgIRMg02mzVBEB0KvMFgAMDt7a0mNErW+nA4pChyHMeWhLmqJKAXOFJTs77f4fsBg0HC9c0VURRiGD2OKwdkUWaozmEQRwySmCzd8f7De6aTMUp1/Of/+J8Yj4bYpjA9fvj+B8Iw4qe/+3tMjo740z//C/4f//f/J3/3t3/LJ59+yjAZslmvSJKIk+Njsqzg6sM1UeDh+A5tU1HkO8qyIPQvRezl+5i2w06vrTzX5fTkBEuPscuqotcHPwjm+Oc//zmOZTAeJfiOyW67piwLZrOZWCJBCHZ1TRAEfPXVK2zHo6oawKDIi8P+dW8Ftm2b0XB0OFRBzhmBQLXc398fJmuudh3FQYjjuBRZzvu37wSt7Plcf7hGtaJxSTR9b7vd4vvBR9tbJx1bu4+l7QQgUxTFgTqplEQSu4FH1zZsN2vJIZnPWK9XfPHl51roZmNicvX+CsswGQ1HlGUFGNrCK2d2XuWoXmnH0Ed9wr4I2a8Q+r7niy++4J9+9Ut6es4vzlgtN2R5RhxF2KaD7bh0qqPTwCDPc7F9sUtWVQ3Y+IHEyTueR7rbYtDjuCa//y/+mHS75sP7tzzcXVNV2saYxASei2k6qL4R0YNhaN8/Er9r2NRtK9CltpMmRb+HlmUeViF7oWSaKuL4Y1jcj2mx6Lur1qmo+zyAYSIUyjiOWSyWh4IHU68qlTrkJuyflaqoeL98K3HYw4FkWHTipFOqwTR6ttsV49GUb7/5JV988SXnJ6dS6LYNpZ4eS5Hj8/TpU24f7kl1ku96tTqIfPu9rdV1UV1L3zYHRPE+PbfroCwqHNfRTjzJMvhx8N5vpRhomuYgtNljT7u2JU1zmrbBcwOSwYDtessqFbVvrwym01Msy+DhXj60bRux2Sylo1uvD6l8ti3ZBhLH2zJfyM67ampc02cwGBzEIvs3Y6+YDIJAsto1hMW1LVzbpixLbm5u8DwROw7HCZ7ratGhTAR61eI6LqppUV3H6fEJV/F7Xn/3A67lMJpMdGbAEscyGQ4GOLYpxVHb6Q+a5mIDveoZxDE9SABQKCKtOJHYyvF4zHq5wnFcHfXs4fsBeZ4d8JG+7zMej4giCZjZP8j7zuPlJy+4u70jy1POz0/FJnZ/JxX9ekmWZYciQilxT/SqO4jxkiQ5cBOyfIdlWfqh6nBczbLulaTV3d3RHfcURcvNzR2RF/CY57x585qzsxOGQ1EM7zaihxgOBzoaNxeXSZ7x/uqKvlecHJ0QeB6T6ZTPP/uU7379C9brlSaIia82CiTAY2+3efr0KbPZXJP6UoqqOijwt9stR0dHhAOfUuN1XddjNBphGIq72wzLchFBEhwdHVNVNa4TkCQDEcAlQ1JdnKS7HcdHR9g6Rth1XUm/CyPAOPj5p8dHdKrj1atXWoGeYFs2nmMzGY5oz2ps0+RmNqNraqoiY71ec3FxyXRyyrNPn+uLSRFFAYaJBlr5fPrpp6zXa96/f89kMuHi4oLX795wnB1hOzZNW4HR07Q1nerEzqd6/CBgkHQMEgPflzje0Sg5jDSFPZASRiGe77Ldrqmqkroqebi7p3vxgs8//4yyyBkPRzR1iWUYLMoVfQfJcMjReMr97S2DOGa12dDULY5j6X9M4UlUFXVZEPmy159Oxqz6BscSxXdvIHyFQhwON9d3GD1slvIcnF9cEMYRbdcxmYxBM03qIiPbbZiMxMrc94KRNU2Dh4d7PN+lqWuausK2HcEzKzRUSoAs+3Axz/MOVuGuFyz43ra1t5ftmx5Pp5mu12uhjirFl59/zps3b7m7uaGqGsq8JN2lTCdHgER5e548h5PJFMdxmek8CqtzDhHErh8c1jn76ebeLliXBYqerlfYtkme7ljMZ/RK8fLFC1zPw7VdBuGA3SYlDITZIisCg071GiuekuUlFxcX2rWgDhfkj0VqAKenp4wmY7757ju6ruX8/ISqatludxitMA1s08S1Bb3cdwrD7mVkr1qqpgPDFpeFAscP6duWVrWC6/VDnr/8jPVmzWI2oywk18Yaj3EdG9t26en09ELjystaP+tihewxqBtNDex6TPujOHI/4Wma+pBH8uOkv/3rbunvWUTUmehJhgmmbWGFDvQmD4+PQH8QEO49+4fReyshSkYvwLCyyHWYnIhFDaQ7N/oW01BsN0t++ctf8Omnn9EbUvP4rgcG2KYwEyzLZDwe8zifYWkx63a7Pfz9e6uhZXCwXn/MVnDougYME9OwUL3i5uaGqqwOjcVvrRho21Z/+MyDJ/PHe+mmbpjN5jga6nF//0ijld2S4tfy2WefAg3X12/YbDYH+9/R0RGmYbBerTBt6xDd6gcBjudR5fXhQtxXQ00jUJuu6w72sCyTC9XzPHIdd7yvAl3XZbvZYnsmlmmy2ntTMWmtFt8NSNOU9WrF7/zka8pCqqn9YdArsb9kWYbnWNrGZ9KrHs/1MPQHxDQE5jOIY7HOtI2oSSNRdD998oShvjyePXtGkeUslwuyTNLhXNfh4eGOvhdlcVXVh/3P+/fvtaVPeO5t1/Pm7WuWq4VMAeKIr7/+mu+++w6AyWQie9Cq4u72hjRNOTk5YTQaCTjINA40rK5rkcR24bhbps16vZZJRVpgmh5REJFvt7RNzWQywjJ7bm/eE4QBXhDQNxI6EkUBg4EUOldXV4Jx7hTLxTc8ubjk1atXdOojHlaIhPpBt0xsS6w0nv4w7A/K7WZDNBhIkVhV2usdc3Z6Rt2KGKssSxKNX7ZtB5SJZdtsdnPZ+Q4G7NItR8fnnJ2dMRgOycuCu/s7+Tu2W9nd6w5z783eaava+fk5buDr3HOTiycXeI6sAr7/9nsiLyTPc3abnYa6CO3xi88/lyRCoz+IkKqqJMtSHVQVHIKc9hjsvc01jiOgZ71eEUWhFr7JZRFEEuyVpTlBGNA0HWEUcnR0xMPDPZvNlrbdZxj0NK7NskhxbYlczZoa04Drq2uSOOb4aMrN1TUGoskZDGIcW5DHf/93f0c0GKB6gzzL+f67b3l8uMNxbH7yk1cslzOiIObi/Iw4CiiKFNU2HB1NsS0RguZlwdXVFWXVkJUlg2TAsZewGyQ0XYfreyhdWhdFqamDOcM4hE7scXHo6XWljWHIeNZ1XSqdj9LqztT3fZpWUeiO7scQlrquWS6XcuF23SGDxHVdJpOJsOS10HmfmdG2LVVe8g9/9w8slkvG4zEP94883D8QakX43ha3H8/e3t4ymUyJo4g0z/Atk97g4F7YMw3u70XM+eTJE3xH3vtOKRQ9261kBdiOy83VLXVZHxLsbm9viWIJ2DJN2W3LKL9jtV6zWq8pSyGeCsmwP3yN+wt0PyncbrcYpsGrV1/w7t17lqsFX736Hd69e0ddtQdXhHSn3UGtropMNAla0Nd1iDvAtDEdE9VC20sAUN12PHv2ksAPWS7mpFmBZdqEQUAcufrssTQEqWe9Tnn/4RrXC/j005ccHx/hujamZdEqhWc6v5FbsieaGjqbYN8QiTNA0gXR7gul1OF7L8vqMGVo2w7PlUJin7D64+lC13UYNGKDtGwsoxeBZNcQJ5FYEE2L3jRotajw7PSExWLL29dvtPOoYzgeicW7lQLODwMMS+6v7W7HIIrYbjasNOV1L5A3garID9/XXm/hOA6O/bFAmIynh8nPb7UY2HvBg1By2R8fHwADhYFtCWLSwCTNckaDhPPzC9qmxrFtijLn+vqKd+/fs1rNqOsdbdOwXK74ydc/IcskjrTRe9CqbvB8H8d1UJ3CQA4Ey5TKqShLyqLE8xy6rhVYRd/guYIZrqvyYDXMi1w47mFIEHrYrgd9z26zoSlrLMsm8EM6q9XTDhE+ff07P+Ho6JiiLOm7ltPTU2YPDxJsUZRYtcT21m0DpoHVSyVrWA5t27HbZRydHNO0EqcchXKwGOgLmPbgyiidGtV3ZNlOdm+OI/CWQczxsfDSLVMgILvdBsuy+Z3f+ZrdLuWv/uo/c38vroG6rrEsvS8rK2zLYnwimffZbqttk4EAlRpRte79x9KpdtqzG4puYT4n8D0dTGNRljmdFk9eXb1jtZph2xbJMCHuO+qmocgz2rYhDEJ8L+by4pxvv90Jc9swuL2/oywLBtqS8/u//wd8//23tF1LFIW0SkmEbVFwe//IF1++oqobtrsd8/mMppOi9Pj4WERFkaw+4sGAMq/puhbHc0Qc5Di0tXzgt7slu13Ki+cvSZIR48mJOBlaiTF9/vwFb96+IYhCVsvVIXHTDwIc12F6fIxpWqzWKwngOppKp9C0dIY4ECyjZ5du2K63GBhMJxPOTk44Pj0hjmOmRxIJ/M3r7zk+Psb3XQxTVMtVVWBbLvQ9bSvs+bv7e+4fHvACF8eVmNW6qQnDkNV6JQwNw2K5WOH5AU3T0vU9s/mcJI4ZJANs22K5XNI2EhecpTsR4roulqkPx7bD9zwGgwjTgOPjKX/+p3/KLt3xn/7T36A6sWJZlsP9bMZgMGQ8GrNcrSizgrxvePfmDX/+F39GU7dUVUOrCZRFtsOyHIqmwQ9CVC+EysEgwgsC6E2KvOHq+orp0RFlZdF0rYimyoLQdZmMB7z78IHAExV9qxTxIAF65ssZo2RwWF3atmBuVdfx+HCP6kV13vWtHiUrERSWBYvFguPjEzm4e/BcX7IHCsEfF0VB13WHxkXoihllWTJKRqi+56e/91N8PyAM96hcKV7zsuDd1TsCP8CwLIbDIbbjUNWVrBzalr4saTuZLh4dH+G4mlxogNhhpYPvlawYLM9kPB5RFAU//PAD5+fnzOdztqnLw8Mjl5dP6Hsldr+uYzgaouiZLRZCwTy/pLcMiYU3rI+vFxwuR1dfRNPpEU3T8uHDO8bjEV3bU+QlVVli6glx2wg7xbZtfMPCsQ1Ub1LXLVlRytTUsnAsH0MpctUTxgm+BsnRK6oy5/H+nsXsEd8dU1cNnu8Bkjg5Gk2YLVb83k9/BvR4vn8IIWqahqLvhafhiMZI1PqiFTRN6zdSUcXlpJBwQ3Xw7O95OWHgH3QBQeALoVUpPaXotctAI4E7OctNS7QUSvWUdQlpTzwYYBhonHrHarnA8wIc2yLPdlR1ie24VFXJ0fExVS0aNYwepcmsbl2RZhnJMNFAOYcwCHE9F9V2JMNEoFC6oFO9EjZEJZ8vOdd8DEM7AX+bxcDTZ0+YzWZkWSpil0i8+G3T09Ud44mQ+4r8ntlihW3CZJxQlxXr9YpkNGC1XjIcDRkm5wwGA73Hj6mqirbrcXTOdd8L1ck2HYq8wPd8iiwnjANh1ase27Iwe5OmVmxWa8IwoDOE22w5Dr1p0JsGhmVxen4mQUNZRjAI8IOQKIwo84oyr0AZtO0Gz5f0xSCKWG3WjI9G+J5NvlNMRiN2mzV5njMYxFhmR17scL0Ay4K2q7EdC9Oy6A3J6g7jGMMwKbISE4M4jLBNGYXvqzdMkyAK6Y0ew1CEvscoEayuG8i48ubqnrpucByTdLehrGpub2+oqoqHh3t2ux1ZluF7vmR/dx1HR0eMR2NdSRocj49Zrlc83N5xfH5CEPk0TX2o7pumIQxj2rbj8WFO6FlYCJCjqyts2yXwbCpl0nWtThGTgJzvv/sBPwgZj0T4FgQBQeKjqg4bk+lozNHZqQTR5AXLhxl1UWLbLrPFisunLzDvbjg+OWI+n1FWHVYPsRfzsz/4IxrVU7UdbVPzza/+iU8++ZS2LjDpGA1j6HoMBZ7rkjcFddtxcnbOcrnicXNP0+bYtkccWTx79hLLtiibmrppubh8wnK5wnF9Lp8+Z7tbc6P3dpeGwXR6xC7PwLKxHIfJ0RGmabJarei6ju1yidEr7q6vuL+7w7Isfu/3fsoXX7zC90LuH+5RfY/re6J/2ZocHU355JPnDEcJ33//HfP5jKOjKRY9b998xy7NyfOMOBmQDIekuYjpvMAnjCTmdbPbcHf9iO/HZHlGU+VyYcUxddfiORZNXdG1Deluje8JZjmMZfWURBG2ZVNmOePLIZbVYtBSFDu6xmG5mMl76ft0Coldrmoa1XG/mBFFCUEQolSLZ4dsNinXV7ecnB5zfn7Mm9fvsByHu9mS0TAmCD2cXhGGvpYYGTS1IgxiVptbotjDdsBxTIIwxOs6MkPh2DaNajk+O6HrWjZ5Tt3ZeK5Nnu1oug7LtcCCNEsZD0cEQcB6vabIRXvj+XJuJMMRZV0SWBFFVTI9Oeb8+Jw0zTBtIbut1gL1chzpCvfd+z6m2TJN/v7v/gHX9TBNm6PJKb7rgzL44rMveJg9YNgGndHiRh627xAOI5QlHA+lFK4TYRomBlKkVK3kJ0yPxtApCdXpRUNjWxKEczSeEkYhRbolLwvKMqPtKsJBgO+HLJcbpkdnWLbolrreoK47Ai/mdGrTdo0ej3eayW/Lpao5B0Eoz5ZpOZjkBJ7DILJxLJtvv/2GQZwQ+HKh7XaZFmF6mAa4GoTUdVpE53QYfUfdySqybVrKNMdxQuzApFc+qmtp60prqsbc3gx48913OLZFnAy0pc8mDCKePrvENOQyNn40uvccn6YqKfL1/2TtofTUU84vMKkqmQ7RGwcFvlIKhYkyFNvdlratGTtj2qZluVphmSZRHAukqqoOOQqGYWOYPR2dpgoqOtXTY5JXLaZd4Tg9ddVgmjaqN6gbOQv7rqU3FIZtUhY5t9dXWL4jtkFL3g/HdyGDoi6wLZs4GTBbzDk7OxN2jiXWbfT76QYudV0edAKWZkS0uulr+/q3WwzsNhtU29DUDZZlEodSDSfJhF7BdrujqsR7WpU5diA0ud1uS1WVvPrqd+n7lzi2Sa+aww5ELBzCw681LyCKItkT1jWO6x5+z1416TgyGkIrScVWKOpPpRS79fqQJnZ6ekqSJDpZT3K9N+sVhuoxke9ju91gmD2eazEaJjzMHg4JhnlaUBbCOD+ejrlvKxzLxPOFa29ZUoXnZUUYhriOpIfF2jEhq4yG3a7l4uL8sMbYV6x7kETTCMugaUWkeXR8gqLn/YfrQ6ytZUGnGlzP5/HxljRNOTo6YjSScdN4NObs9Jz3798f4mtd1yXP8oNaeb6QONTReITnu4eJwl6BCnvVtWI8GotHt+lYLtd0ncRquq57EG4KD6KnKkvW6zXPnz/n/Pyct2/fkiQJyTDBcmzOnzzh5vaWXqOQ5bU5JooSPvvsU0G0qo7f/Z2fEcUxD4+P5HnO+eUlWZaJZsW2efLkKZcXl6RpSlnWtK06rI7iOAEMfv2rb0nTlNViwePjgwhUhwmnZyfYtkMUR9h1jWHZ1NqB4pkmZ2dnXF2/x/d9Li4uBIFswGKx5OT4nOl0Sl3X3N/fC7Xw/p6uqdltt9ze3GKY4tterdecX1ywXomttCoLknCs6ZUZw+GQpmkZjUZMp0cav9ywTldst1uaTkn+wmqN7/vsspT1aiuhRbVivdrSKwPP9dinmO33ppvNBttzqYpMMOFVJWRN08DCJCtLLi7O6eqGp0+esFtvcR2botoIA6LtWC0W/PDDD5yennJ2doZp2iTDIX//T7+Qw0hn0wd+QG8YrLdb+l7xb/7tv+Xk+IgvPv+UJBlzf3dPMkgIo4BOTzskQyNgtdrQNj2NvSexNTRtR13VuF6L5/ocv3jBar4gy3KGyYBBGJLuRONS1TWGvhSyPMf3HNC71Pl8ThAEHE+P+Nu/+zsuLy81fjwj0KLEKIoP2p5BMqBqGkk9TRLaruPh4ZaTk2PtJioOuGjRTHnae25RVzXOxOXm5paulzTOgScwmqdPn7DZrGmaiq6zcGxXwte0IGyv+N535dtdjSX5g/S9wHfEL97T1C21XWH0PXVZ4VgWWZphmuKPv725YTAYcnxygmlLl9x1HRYixHTcWEOZerlMuk5b3D4+OyDx1bZl4TiCAg/9gNPjEz58uOLi4pLlZoVpWNh2QNs2uJ5ocjzP02r6TrNfJD3RMk0s3xVdiWvjey6qlc9E3/YUhUxenz3/nCQe8otf/COL1ZrxSMBYTduQDIYaRGYh/ZOBaYo+yDIsffbLz4vzqkGpRjMVWh1012qHmn2YEBmGhJcZhkvvOqLVqIXDUBQyATEt4TOgAUy9jg43LOPjlLcDw+wPmS9VWdN18p6Z5j4MDXzb1d9DR6eLs04pmrIVcqYfEA0SgjBmPBqh2o66rLi8vKCtGxazOefnZ1iWTVWXWLapV0O9JP12LW1bs97uaGv584GPXtPfVjGw1FY327YI/QGmYbCYz8jSjCiMNbmuIo58lssZyyLF9x1evHiOH3jsdmLz6T0XAyEw7XZbnUW+5OTkmGEiBcBeWSvCMhnzirc6/41ReKvHK1mWSnym0R92o6PR+CCcWM0XYkVyTALfxTRtdqs1BuDYDpvNhlyLq776+idUecZ6s+Had+lbYQVcXl6SJDFwQp5n5HlGGIk1xfd8giiWS7RVxLG8MUr13N3dUtcV5+fntF1DvpOubzAY0HYNjmuTpRmuZ5NuUhnLBzIK2262lGXJ2dkZfQ9R5FGUGff3j5ycnPDq1Ssu9WW5XC7pWkUYSGb2zc2N3ldOZP2CiWkIOrU3tQizhxcvxdVxd3d32LFVVUVXVxRFiYCxTPzAI0szgjDg+PiY+7s7fSAbDEcJRSF4z91uQ993mjw54+XLlxi2xevX34nroqpJhgMGUUReNPhBjOoNbm5kfVDWDSdnJzi+x8++eiVd3mZD1dRMx2NJr7NsoiimyEturm/4/IsvDkrb7WbLcJgwm82o6po0K0jznKZrSEZDZvMFhmVhaltanuc0rXjLy1p2q8DBPZAkCZ988gnr1Y7VSkRu0+mUu7s7TNPkYb5kmCScXVxwenp62NF9//0PNE1LMhrS9EpEaNsNVzc3DKKYJBloe5x9mNC4rkteFNzeP1A1DZ7eQ5uGie9HeK6PUhzSFh0daX18ciLaC9elaips16HMc7J0q9XRj/iexFFjWtR1SRxGeK7DY5VjWSKmi6OYyXhMEg+Iw5C3b99xdz/HD0L8UAr0tBB+ghMHGJjsdgIbsmzRZtze3WEaBn/yJ38OGDSNpFW6rkUYhmAoZrNHbm/uOD97JmeKaRIFIav1BmW0NFVNVVS0TUNZVNiWwevXb+hVx4tnzwiCkM26xOgNBoMBfd9RljWDQYJq2oNOZrvZkK433PfQteoALjIsh/FkKh101x9APE3b0vYK0zYPu/SqElhXURTYtq0twqEox3tD27Z6ojimrEpGkzF112qXxZrtaoVlQBj4qF530KoXP31TScS6kr/TMgz6RmNr0dodvXbsOgnUsmz5HPueT991GKZN4Pu0bc9qucI0LQaDIUEUYWnELfRUdclgsBf2ysjc0boUef2EwmdqAaNl9GCA6Tqcn51QVSWLxQzPE/6C4w7xXFdYKFVBXZUEQUQYBHpCoDCKgq6TcXwyiGWVbBj0tgW9gev4VEUhqv7OxIuGvPj0C25vrtlsd7iuhaVdLZZlM9DkxbY16PuWrm1FxGiIpa7r9mmO0HQKqxeHm+oNglAYBfRQlY2mCn7cp3cY4koxLeIkpG478iynrGpZWXuevld10BsGti1raXEetB9XCV1H1+5dGz1NU2GZJnXdYVoWrh/QtJVOYJW0xt6AssjFuVTVOJ7HIIogjEh3KRfn52w3WwGSDSOqQtgLjiOgpiwTJ1ivo5Edy6ZpGlkv/bbXBL7rMB4m1HXNdDKSMX/gU5Y1TVViWiZ927DebYh8D9U7tF3D+w/vSNMtti0jo6au8T2P4XBIXbecnJxxfn5JlqWs12uWy+XBBzsajQ585r2K8yAm225ZzZco1ZEMByTJgDTdMh6PyDPBkma7VPzYloXRw3a1ofEEWrHbrKnKgjzLQKONMU1++P4bdmkKpsHi8R7bcjBNi7KQYBvbtqmrkl22ZbvbMp4cEUQxjs42b5tKpzUK3OP09FinGPrsdlvqujp0gmmaMhoNZcqgOwTxmova++b2jjwrse2ArutYrXI61eAHAePxmPPzc0YjGYsOh0N++P71oaudTCb84he/oOs6kmRIlReSg9DW9KYODbKtgzjq8vKSuq5ZaTsLraIqG8qipu2kq6vqiqYpMU2om0pSzTTyuW0beqW4vbv5DU74+6v3Emo1HvEHf/iHRJ7P4v6RzWpDMj7mcT7nH3/5j9oeppgtF/ivBR/78pNPWG82zBZzTk5OCMII0zAYjSaYpkkYxvzww1smR0c4nkvfG/LeAc+ePWM1GLDZ7Pjp7/8+333/La1SWI6L7bhstmvm8yV+EJCMxtzd37HebFiu5vR9L7jUMDx4dZVSrNdrjo6ONKPB4V/8i3/BbifZFJvNhr5XXF1d0zQNN/f3LJdL6cptm7cf3ktynPVRwHZ+fqFDn1LatoEWTgYDTs/P+ebb76g72f+Vety4Xu9wHQk7sm2PqtrStKLF6JRCZVKg5nkOvVAxR0nCdDrm7ZvX+J7HarPGNMG1RP9wenLM82fP2KUr1isR1Z5/fcbD3Z0IP5XCsR3aZu8Bl07a9UKtmG4lmMeVCNvFbCYK9izn5ctP2WzWtK24aqIooihlxDxIBEPtuiFpltLUNVmacnR0Qq/3uovFAhOhAR4fndLUJWVZke4kX6KrO3wvoOtqsrTENHrNHolAKeIg5Gg4ZjI9oqhr7h4eqduWk9Nzhjp1Fcfi5voGy3VoVcd2u+blJy+5PDvV2SfatqjPodVyRdu0HB0NpPtravGo0+vERxhEA8oiRzUtFtA1JXVl4gcDWmWgMGWHbJnssh2tLgiwdKeuO7n9mXBY5dU1eSb59bZloTpF21Wotufs7JKf/u7vsFyt5Xlt5RJolYDhbEsscaNRQpYVAvUyTSwLsalqpofZQ982GNqOaNkGuC5PLi/ouo7vvvv+QCY8P5N173gyIssyyrKiKgssyyYMfIIoPBBYQXRQpmXRdz2d61CWFYbl4PgWqu8JfQ/LdhhPjri/veLx4ZblYk4yHDIajRH2v3doWNq21xoL46M2pJMQMMcVa/CPhZKWZWH2HAix4h7xxbWg9VLyeWqwbAfX91GqE57HHusr/9fUQeiUwtSCQdXrsChtPzT0pKptayRWGVTT0xs9CoOibnBdjyCINDlRilBbkw4dU6Yzj/OFnGlPnwp1UimGwyFZvqOu5Rw2jJ6i6MSpoIWyrmGgtIX1t1oMvHz+HNu2JETINKnKgroqWM6XlGV54MuL2E1AI23bsF4v8X1XdqADEWYEXqgxtB1N3WKHDqPRmLauuLi4IMsy9vkCSqlDLPB+hwcCAHn67Bl5luH5QsQTK8+E+eMj69Uay7SINEPfsW1GgyGrxZzdbotqa7abFV3bUBaFIDENg8emkrx7y+T66j3D4Yjnz1+guobHR4l9Xa1WrDYbesB2PIIoxnY9DMNgsVxQVTXDocQsn5+fa1X+Th4sSyInAZqm4v7+jul0Ksp3oxfspWOTpVK9RlFMnle6yjSZTI9Is5LNZsPz588BAYMAjEajg/o9CALOz8+5u7tjOByyVb1EijoOLR2GIZ3Edr09QG48z+Pi4oKmblg+zkWxrhWsnmfLXqo3KIoc3/coy5LNZq2toZa4HDpRuu/fpzxvsRybk5NjBoOIxeOMzWbNk6dPaBTs8jVpviXPMhzX4pNPP+XJ5VNOTk85PT9ju9nyT7/4BZZt8dWXr0i3O/oeyQWIRLT113/11/zZX/wZR0cn0pm0ogi+vHzCLs3xg5DPv/iSx8d7mq7lh9evqesS1cN6s0H1cHMnu/1BIlOoMAx59uwZi8WCPM8PdMvHx0dB+Ppid3358iVlWfE4m7PZbJjPFyJo8jzGkwme72NYJmme4wWSTje/e+Czzz5hMIh5+/YNt7e3uK5Dk0u4FabJT37yE16/e8/52QW+HzObLbi8vCSOY0zTIQoH1IOKzW4r8c+VTATCOGQ0GmGbJre3V3z48IEolKTMtq7pD/9TnF+c4tkuRSFwqraVi+/09IS6LHnx4jmbbcFqvSFJhjh+wKiuqbuOVsFyuaIocsaTCU1TCX9e5xZ88+tvefGiZTgcUFWK2WzO48ONaGtsiziSHAt6myQZiOXz0mM8nbLZ7mjajmSQYOl8+6oqsS2LOB5Q1xWB79Jr4Z9MiXKKfHcAGpV5weJxxvMnT+nblpvrGzqlGI7HKI0Xnkyn3Nzecf/wgOnY7LIU1SsuLi+wkgF1VepiWkRcSZLgez5VWfP8+XOyrGAxXwlSNo6oVMt8sWC3XePpXPvRcAj0NK2i61pMW8KeMEV5bzs2qi5QKKq2IXQ9OjjEIovP3KFX4Fgu/b5AQEbwjmXR9wbnZ2eiS4oiMATnbVsmtW6kLNug63qdGupRtbJyrSrwPJd9Hoio2d2Dgr7ve3AswsDns88+wTAN3r55h2mC7Vi0XYtnugziiL7rKcqSuqowTJMgHnB8NBXxuW1JQdV1mIbCcy1Ub0nMcCOrw67u6bFxXJ8nT58ziEP+Zj5jtVhhmQ5VWVNXDScnJ0RRzHazpVWdzlPosG2HOIpQuTzfqodOcbDxNk2Ha9v4fnBoLoui1N8n0ixYFp6G0nVKikt5nxxs3fj0fS/ivV7eg17rEgwlYnfblLPQMCXuqaxamrLGNSUMqaoLTMvBsqCq5GxxXBcwwZS1ZVEUJNEQx3aJNaulrirOzs5E1A6YlkGa7lBqvyYx6XvNU9DTH6UBWr/VYuD+8R5bj+eDIKAoCoqipCwLLW6zD75Oy7JJRglZnjMcPhOxWSl55mEYslltMU1LW6YM7Tft8Hwf13M5OTkRq0+WMYgHOL71USVfVzjaR2xgEA/iw5g1zws8PxMYznqLgVDUylxCelzPwz4+Yrte09Q1nuvQGD29EjgFBtiO7B6VIaKvXinevX1z8KdutltevHiBaRhUTcN6teLs4hIDeHh4oNABRevVkmfPn5PnGa9/+B7HdTk/P6MoChzNSu86uVyGwyG73RbDEDZ6H3gcHR/jhwnffPMdi4VAaHzfJctybFt2/VdXVzx7/oxkkFDVNePxGHpYLpd4nserV6+YTqf80z/8I77r0dU1QeCz2q5Rfcc+zXFPFUvTVLqHoVg+61q8+7t0Q1UVRFGoc9SlKpaI2A7hiQvwxLZtwlCiY/cBIV3XcH31gV26xTFMmrwU1wFwND3iL//yz7Fsg9c//EBV5cSDiJ/+7Kd0XcdoPOIv/vIveP/+A6v1GscSW1H+o0jrq+trXt4/8PTpczIdHrXbpczmOiZ6u+WTT19KB+u4UiBpzsDeXeF5HlmeUdcNL15cUtcfSNNMQ1pET5Fqu6plWVxcXlJWFds0Jc9Lwijm/YcrMC2KsmI2ezwUhL6OdF1v1lRlRRgJS+HuTiykz58/p21q6rLl4eGRMBIh53g8Jt1lnJyeEsWJvhhczs8vME2Lo8mENEtZLBdkumApixLLtlilKYNBQqGTMvM8xzZNTZdbyWu03XGz2XB5fo7r7Nd3Je/evWezXmNaNkEYkJcVddNQdQovCCibBqUgHgzojR5MgzCOxUUSRShH7Hx3t7cYxrlw35vmkLl+dn7G2dk5th3w4d0NeZFhGDIByPNCA3EkhjYaDiUIpmk4Oj7i6eUTZg+3LJczHNPAtmRH2/cQhBF1VWpSW6WneDXz+YLH2YyXLz9hOp5SNS2r9Zqu6xkME2aLOTd3d0yPpgxHIxzXZbPZkOmM+31M7nQ6xTRMBnFMkiQoBVFcE4Q+q92Wk8szZg8PlJWsPlzHxXVcoDs4dXw/oMMSO6Rl0SqT0WhEmu2ga6nqhl5bFF1HigDbkksapbBtEVhjmai+l+LHC1Bdx3fffYftuDx/+QlK9eR5ccgWMEyLuu7o2gbLNlGdxvm2LWudFGvr2GLRyfUS+3u4SGRt8Plnn/HJy09IBkNcTyyedV3rCaFF3MTsdilFVbJeLUUDFkaovsfse+0EkGPE810st6fd5Zgd9L2405qqwbEgjhNevfoJi+USwzDZbncURUmaZpwcn+gsEQ6aAc8XTcC+wy7rmq4Vu+l+4uJaNoM41mwYKKsa13EIAh+jNgGJWRaphpx1e7Jt9KNMmb4XIbthGIfJodITLXRYlNH3kpnQf/yee1FB0hsyUbBtkyLPkLwMB9XtoVE9m/XqwI2wLJPdbofvecK+6TrKSii8onvY0wjl63Es0U6UZUFT/ZYFhHsusmFZWG17GIldXF5SVzWTyRTLsthuNziOy3q9wHEsTCzydIdpWYSej2maDBLB6hom7NINRVEwHA4ZT8cEYUjbNBRNSzSQIJy6LhkMYnpDgdHT9S3RIMKyXG4fHijKXPCu4yGz5RLVtGAZ1K0gOUejkVSkrhw2lm1i49I0Papt6AwDU/uQgzAijmPKsqQsS/Ld7mAxMrWG4ftvv0VhMRiOMA2L2f0jd7cP9D2MkhFd29HUDWVWMIwTJqMJV9dXelRlH+yDnWqJIh/L7Om7Bt/3sONI+P2mxSDxmUymZHmO5ztYjiW2FcuiR/QRH66vefniBZvtltALOD05ZbPZHCIwkyTh9OKC3TYlCF3atmKXrVFdR1FWdJZLmqYH5v1utxNCIALT2Ae4TCYTDMOiyEpcxxcltGo0YQ2aJqeqqoNvd++5VqqlbWqoK9b3d7RtRxgEkrQVDoQ+1iu2ix2DMGY+n/Ph3Tv+1b/8lxg9eJ5Evn7/+i1vPoiQcnJyxMN8xnK9YjAcMlvO+eabb0gGQ0ajCUkywnVCvv32G549v+SXv/wlebrjZ7/3e8RxzGqxZJyMcS2XZDTi9ds3LFcrwjiiV3B9dcP93QMnJxAEvt4xWqjewHKERPe4XDI6OmaxXnF/fycJla6LH3m8f/+eLE+xHRPDPKXIUqo84/rDB8bjEaYBt3dXbHcr2rbBcRwWyyV13eH4Lpaesqi2JQ5DiqYm6C3iQcJomGjOh8/N7QfKImU4GjCZDilLObRev34t4t5BrIl3NUVZsNtsSTay++/6jr//+38kDEOGwxGRL7Q+1aUo1dM0LYZlk4wjvDCgVVA3HR0mdi3dWNsWjIdDRqMheZFR1SVmEFIVDYNBRNe2OJ6B73lYpkL1Hr0BthNQ1Yqz8xPu7h5RvRSWdd1SNy2GaTNIAt68foPSExnPddnucmbLFbOVxNcq26LpGiLfxbYcyjLDtV3iOGEynuJ5nozW6TmxXIbTY4JoAEXFk8shm+2WNM8YDBOOOxEoH02nXJ5fsJ4v2DRbVustDw/39H3Her0WNr9pk4xG+IGP6RpYoc1xfMRqtQJDaIdNVRFrbUHb9GIdNWyZDjgWru/S1RVKdbjYAuC1xF3guqGM9TsFtFTZDtOx6doODPB8i6KqyPKcWof+/Id//+8xTBvHdYnCmLPzCzqlqHXRTG/JZ1nnZdA1FHql1tUNi/tHjo6PdRqgKQRQ06ZXBqYBqqtB9ShaER1XOV1bQQeu5aCUcDAs2yaIfUzHxCyk6L++/kCi0y4xHDoUZtvhaS6C2dvs+i1tV9PbJrXhYKieMIwxDZdkdMRms2K3S2WSbNjMH2ZMJiM8x8SxTOqupa0N8r6V11jDd5quwUDCiixTzrKq7XAc6dL9UOilbac0g0Lij6Gjp6XrlF4HVTRNx2DQE4YhlmWjdAS7ZVr0hknTKZqmxnHBxMTsDVw/ouvFzdBb0NsWnWEe9BOmY+EGQlbcOxyqIkPgAyb0GiGNkHKvr6/J80wzRRocxyVNcwzL1DkvIoouupQwCFBKvpffbjGgkZlRJC+e67qEUYRr+5RFqZGphe5eauqqpixbokjpXbuMidq2xbGdAxp07wwwLZOqril1/kAQCKL38fGB6TARj7VGM4roRd4g0zIZjQTROptJEBKq58nFpYwQxyGm3j/e3N6I0CUMKFaFEATphdpkGhoEU+M41YF4uBfblKW2AloGZVHSmzbdakVdt8wXSy6ePOP09JS6rpmMJ6zXa+7v7wlDwWLajoNtO5ydneG6EmuZZRn0ip2mTO2pUrs0ZbdLcZyA2Xx2SGWcTqfEccxgmGCY5iHXYbvdUtUVeZpzcnzC06dPWS6XbDYbzs7O+J2vv2a12fBwf0tZtviex25ToNqOqsxZrzZURckgSSTm2TAw9fuzWCwxDekkgjBgPJowHo/ZbNas1kIZ7HuFpK+Zh+yKw2unx5XHR1OSJOH6+hoQuNBkPNbiooRBPJCkxaLk5cuXh0CS+WzO42yOZdksVgumR0fUTcMu3YkFzZPEuPfvPvD0yXOapsO2PUajMUfH08NY9Pvvv8PWu78DmdL3efj+e16/fUPbtQyKIdNjkyiKOD4+EXGoLzAqya8X0NDt9TWu53J2fo5hwuPskadPn8ilvljw6qtX/PKffnEAyuxx2L7nEQYhjmMdYoXXGgoDxmHVJmSxmjAIWW82FGWJ40pyWpqm0gUHEZv1mvuHG46PjwWgZRiHPf9yueTy8pzBIGY2lyRNz/fo4QDQAekm0jSjbxt8zxd3TNuS6lXd2BaUdhIPuL17oDf0lM6Q18J1BeoSRzFtW9PWel03HeO7Dk1dgisFZxiL+NSxxTJ7d3dHmqU63trGtHq6sqasap3w1h6SNjEM1uu1BmRJ51/RM04GOI5HXZZ0ncLzfIIglFWjFsmfX1ySZoUm/Xn0vclgkJAMR9zMHxiORnovPToIlfe47rIotYBNdDF5WmAYJqdFLssWpVjM55yen5PtdqKnCnyyXUrXdoRhRF1bbG/vOR2MODs705OJzWH9KZwPDtjZuq7B7FBlTdfUYofUXbDspCV0p+saXNfBsR0Wyx3ToxNsx2G5WnF8corrOgdKq7zfvTRCtgW9yaoWQezJ8TGL+ULv3+VC9DxPd70csL97twYa8Cb+fg/TdGi6VtgBhnGARjVty6effUJRFLx79w7TMgQQZ0DXdji2K+jisU3geeRlyna7xrFtHK2JcP2AgWmQFwWTo2NWyxVpXuDrz4jnhbJaK0uhk1YVfa8kmdSUtdIBLax/GPpzzOHnxAXRq1ZPXjhMTQ0dE73n++/prnv0/f7X9lCryjIPrhkMQdDHgwGu59H1Lfs0wf3nTIBZHl3bHf7cuq5omhrTsHFdEQnbtoXr+CjVU1U1hikTBsd1iQyD1XaDZRoYnay927bRrhCHj9/5b6kYcF2BcOz/fU/tKlWNgSg+9/hOUTgqVC/frG3bPD4+stvtOD09BXqOj48pioL1ei3K7V5hOfYh/2CfLJbEQpz78P49tiUxxmdnZ5ieCX0lIh+NwXWckdjkeuHUYyCgnKYlCAQVOV/MyPP0R7tTQ2JOjT2bXFFUFV0vwhrfDyR8Qyt6ZfxdUdQlQRQR6t3nd999x8PDgxa2ifAsDEO+/fZbAcx4Hkk8YDmfc39/SxSFRHFIvM8P0KrarusOGgDXcfjkk0/48OEDlcbwFkXB6fk5nu8dSIxxHLPZbOhMEQEFgfDQoyhit9vheR7H0wnr5Yyf//UvKLKU6WTEcDDED2NWqxWl3vUNBgOKSqxLTdNimY4WqJjkWcG22ZHnOW378X0yTaTD0c/APsgqTVNU12C5zkFouGe2u65cSJ9++ime57Fer/nyyy95+vQpw8n48AAvV0sM02Q+n7NaL4l+9jOUFtB4jsft9Y0oucuKX/7y1/zkJ4LjDUOfJIlZrRecnZ0ym8/4+d/+DZ1qWSzmZLuU8/Nzmqbh5cuXZHlOPBiwy3Jt47Q+xkxXFUdHRzw+zjBt4a/Hg1hrFmT1tUfYlmXJarXi7OyM0+Nj0jQVu2DTHA5+1x1g6w627+H+/p6joxNWq5UUDX5IWRY4jqehUDWmZaOUgWE0eqVkYNmmrCCaRrpS/bVKGFWr6Y9b8iLDdR2GgwRVy+9VSvHHf/zH3N3d0XYtaVrTNC5JkgCS/WFZFk3bYFo2q/WK2XxO2/Ws1lt2acbFkyecnor7YjgcYtkGdzf3mo63D5CpiMJQcMuRLwmNtkeW5WTZnOFwiGHY2mIrYVyuJwXR5cWFHN6GwcPDAwDT6ZTNOsXAxLZMncIn1ip6heuYmJZNpfn5o9EI07I4iga4rqcRtjZNJ11f4Ad0vcL3/YPFLs9zmqIiCAKePXtGVZf4vuzR8/xKaH+2SZrt6LqW5XyOaRjM7m9pigzLchgmQwbxgLZtsGyXs/ML6qZlvVqiMERzpderTSPWXsO2oVfUVcFiPaPc7rDoGU3HMpXsFaievlN0TYNqFYahyOtcCjlTiszJZCI2b8c+kPP6XjQCqte00d440Pq2292BthhoHPg+0EzOxP6A8q1rQf3KRGkImFSlqOI9U1YXPT3mYEAQ+LRtRxyHfPrpSxaLJbvdRrIebFu4AZaJ6hSB5+K5CaHnMp/P6VqxPnqBQxgHYBm4fsBqvaHpFF1Z4uY5vaGo2pZoEOMi7oG9AHPfcPaaOLj/uaqqDkj9/Zkl4u8a05IYajRe3tTd+v7H3g6+L9r32ihA/7tH17UHkeJeuOg4DqrpNaPFQmyj8mu2ZR+oq6bWFQAY7CcVLbYt0DG5W+Xr8H0fw7bog4Cm66iqgrbuZB3uSPPdq45OF/7/Sz/+2cWAbdsHq82+62uaBt+VeMbtdnvYEwdBwGQy0WjdBz58uMZzXQGMrNbammMzmUwO1dUu3VE19eHP3mOAszxjt1pydnp62H3u44+VUgSaSLVZL+naluFoiGXbpNlWIBmmheU59J1ivpizWq0wLHloTMsk9EI5EFp1+HuFqZ9T1i2h6x92SG2r9LrA4fmzJySjIVleYrsurmEdHo4949xxHJ4/f87p6SnLxZKiyLm5uqauC4y+J/BcAs8nCAJ2u93h0gBRvDp2fUCJbjYbLMuS19VzKcvyUHzt91klBR8+fMB1XS2yiQiCgHfv3hLHET/56hW71ZKf//xvMLAIfAHPuLaD6csHP93tZBLQfkRcdl1PluVCU8PQu3MRJUVRhG2Lineft7CnVXqeh+osfM8+JPIppbi4uOB3f/f3qCsZvdq2rbvvlPOLC54/e6bJjRbj0Zhvv/uBx8dHnj69xA9EuBfHMXmaEccxj48zPC/g/v5eF6oto1GC7ZicnBzpdUnEalWzWMwYjYdcvf8gxYlGg1q2JcJShFG/FwfuuQLr9ZrJdEo0iKmaGoyPiN+6rvn2228PkxrbthkkYmvd62uUUh/dCabNfC7CxDRNqesGpfrDYZDudjiOi+cFbLc7mrYnTRfEccTlk0u6rmW+eMB1BSu7Z1XEcXwoBsbjEU1TMxyOcF1ZSRVFQd+0ZFnG119/zfn5Off39ziOwzAKWa9XPD4+6s+ucPx3aYofRPS9wcuXLynKmvXm1zx9+pSm6/TErJXdZCvFrGEYuI4QDlXXHgrT6+trlOo4P7vAcWyyLGe73eHYHlGSEEaR0Ar1eZIXBZdaUOy6rvxcnmMYFuPJkLrIqcoKs3cIA09G9HWDwmSXF0JsC2Tk3ilFVuRYpo1lO2w3OwFtJTGZtg7uXUonJyf4tqshPYqyKqiqAt/3ePrsKZZO7JxMxqxWKz682/FmvdJBNR2IkVdU+0BHB5ZNW5dkaUoyGjGIQ2rNAjAMg+FwJAJpy8XoDZIopD9qaOuKMApoVEvXQts1lFVJp4T5UVcVpuniBd5BzxIEIpCr9eqj0zqAfTNRlSVdJ+Puqqp4fHz8mA9jiCp//xzt0w0DPxBYl74I95NM09QXl1bDu5aIAs22pe9FT9T3hk6XFRdAMhiIJiMrZWXRdzR1jWUZBJ7P0XTKUhfGtA1+6OMGAUenLl87DrvNVhrJ5Yy8rukMQzgvQch4PMayTLI8ZR8QtS+I6ro+6KN+/POmaWCZJrbvHzQZ++TKtpWgK1MzGfYpgfvXEjigw+XnOVz++2ZpH3QmhZRoepIkIQwjsXNbjtYP2Iev6xAk1xuHO0GpDtuWFaFqe7a7HaZtiSW2bUToaNv0qsPApNdFzo85Er+VYmA4FPDDbrc7dO91XWPGMiaMouhwMe1FJfsxqwAwZLQUx+KD3+12B/cBSCcv3HbrMIIpy5Ku7Xh6+QTTkIp9dHYmgJ3hUHenMko0lML3XHIt+inLEtM0yCs5JB/u7snzDMtxqJsSwzIPqWiddhLssaTxIKHrpCIryoq6bmRH1ClU1xNHAZdPLpktFoRhiGnb2K5HFMUSxtL3B3XuaDQiz2Wffnt9yygZ0vcxfuDhue5hDHV0JDvHfYBQnuUHVWnXdQcEb9t13N7eHrj1p6enh1S1cTIm24lFM01TTk9PteDTZ7WYoZqayXiM7/oUac4gGjA+kS68qmtU1xGFIWVZ4fk+dS0Podh4WsqqxtIxpmEUYRgijksSEbylaYZt24cgpLIsaZuOLKsO48/tdgvAu3fv8NyQ1WpFURQ0jWQ4fP7557z6yVdYtlx0w9GQFy9ecHp+RlHmtI34ecMgZDEXnOz799dkmSjeN5s1i8Wch4c7kqGILpVSDAYxy+UCw4CjI4nO3mw2mLbN5Eg855Zlsdpsubq6wnEcUf7PZE0zmQ71RS0iwiiOdOKZRB+fnZ2Jjx5IkoTjoyNm9w+Hg2f/rA8GA05Pz/n222/pFQR+RBxZ4lJYLyRnvlWkaY7nBVRlSd0a7NJM0hRdh/F4CChWq8VB4CgdtkRTi+/aoapKnjy5pKoK/vpv/kqIaq5MOz58+EBd11xfX9N1Lf/Vv/wvePbsGTsN9fnIeXcOnPnNNsN2fV69esVwPGGxXOjn3GU+f+T45Ig4ksnCdrvFNCAMJBRoED8lL1KyLGOxWOJ5Pnmea3HsEMt1ubl9y3g8xXZcyrqirivu7+8PYWR7sevR0RFGr/Bim75raNs9E0PGvY7dY5oWGCaO7QosxgBT8/5X8znL5UpWnsV+XKsOVNA0TXFNS2NrO4oyJwgkn6GoCs4m57i+K773rsWxLeg6XNPEMQ0NvekxTEMmEH2HZbucnCTYlkBy6iKnMwQs5LoeTd3ieSGt2eLYNrQ1nWHiuw6YgOrolSJPU0ztqaeHvu2o+xrLbjEtOZPT3Y7p0TGZniAJP8Si6yS0rO1abMtBKZ80TQ9ZBcLx7wEJS3Ocj2sGNAdjT/nbZ9W0rcK2XNzAx9BdsGVrDHDfQqPPQsPAcW1sx8KgZzweQb+GXjQWZVlg6ubDdWRCZVgWeZFT1g1N0+I6Ds8/+YS761thdLg2WbahakRTUpQl9cMjruswGg/1+vJjRPSe47//9/1z6jgOg9A/ePaVxkBLfoS4Otq2PayM9+ucpmkO1s/9NHefg+O67mGiUFWVTF6blr43aJuO7SbFD2Sao2ywdeH145RF+TtamWaZ0qztUfKWLRZ7q3folEYRdx1dLyLRrm0EAFhVmovwWywG9vvPNM0Olaxtl9zd3XF+dk4QhHo/62OaMl7c7VINCZIkvJOTUxzXOlRJ+wOyLEsJujBFbFQU+SF0yNb2iDASYMbscXaw6FVVKWMX08A0LB7u7gjDAM/3iONIBIBpynz+yGqxxHHFjmTZtkQRGz29/rNc2z/AR4qy0uLDBlqFZdp4rgg0+r7EdTx2u52ohV1XJgN+wDBJiOKYVtPM+r5ntVoJEMdx+Pqrr7Btm5vbK6qyoqkrJpOxUPB+xFJom1ZrJ5yDtc91XWazGXEcY9hyqVxdXbFer3n69CmvX7/m2eUzfJ3O5jgONzc3tG3LMElQjaBp66rC9zzSXcrN1Q21Dvig7wn8gNV6LV2SHjm6rkuWZYcu1kTGbp3qJKzIMrm9vaUsKxzHPUwFXNclDAM99pTCaF9IAmw3G3bpPWdnZ5ydnXFycsLt3R2np6dUVYVnmHieT5rnDJIBoYpYrsRtoZTkgJuGwcXFBc+fLZk9Ltlstpyfn8hh7rnUdcV6vaDve66uPogbgp5Ov55pmjKfzej17g3T+I0grF/+4hfc39+xWq35yvHx/IBduiOOY8ZTwW/P5zPCIOT+/h7bFkcNwNXVFZ4tcbYSgy0dORgsFksJr9HriNVqhW3bHB8fC21RSTeS69VFXnSYlk1dC0hHqYYwlCJbKcV4PKZtO02xFBKcUoqiyHn9+jWgiKJYDusDZ91gMV/oPA+H+/s7KWxN85CWZtvSUVu2Q9fIqFHifRVZcUvTtgSBpG6enZ0zmz/I/t+wmU6P6JUijvzDgTwYDDAtE9UrlssFV1fCpBgMEo229hiPR6je0H50oe8F4UDGxvqA3OqwrHEygE7Euk2lsBwLy7HBNDi/uJCQJU9oma1SuJ5Lr6Bni2kJfrjIc+wf7X/3h3eHSVUK1MV2bOq6wXZsLNsiKzJsy+Td+7e4lkB/Jjr9chDH5EXDcrHg7OIJ4SCmo9OrVGmcqt2Wt2/f4EUDnjx5Sq+gaVrKcstoOCaOB1gotosFm+WSVjWstxscUzrrgZ+w3e1wXElqtGyfzXZDNV9wenYmYWHxQMLV+h5bJ8y2XYthmlhI5v3t7S3D4VDnTuhwoE4dOmjgwAxpdae8p6fuL76m6VACg9U0QvleepQuQFqU0pMDHaKkmgbV9ERRKJC2KMT3PPIsY7FcEkYhURxJ2FQZYOo7QiyLFl9+9RX3d3fM5w+MJgPyNCNPMwxtr7Mti7r+EalW/9hHGu/1MrZt64KmpW8ljdbzRR9iGOiumh916r95qe4punvh9J5rIBMRKQpb/dwKbbI/rAYMw6KpW3AMbItDAbB3Jewbyv1kQikHy5Kzt+0kjMswDIZRiGualHWNcl3aMtewPtGGNXVFutv9s+74f3YxUBYtvj+Afs7j45LtJufFixd88uneRbAlSzOm0ykYJnXTUdWN7MoHMaNhQlUWrFZLfNdjOpmwWW/E+tLDerNiPBkyHg5ASULWcrWiahq6pqG5vWP2+ABK4XnyIa6LguOjKa7nMhgMOJkckxc5KJg/ztilKXmakurCItR4TNtwsA0X23Fo6gazNwTQob+WTlehqJ66a6Q7qCtUp+j6nqKuUcuNJEl1CrMH37ZwTIP1bIbreUS+R5qVzOcLwjBCtR2PZYHjuRRVLihjxySvSyZnU7795jscxyLPMnwvwLWcw4dxv3+6vLzE932yIj+sa/Zd05/+6Z9CZ3D1/grTNHj37h2WZWi/aqTBUILNdDyLo+CIMIx48vSCh4dHirJitd2AZdH2PfPVgqFq8F0P1clrXhUmQeBxdHyk1xobVqsOpTo8z/+NJLbNZiO4UNNkOIiYaq1AXhQMoph0t+Py4pwg9Dg6nVCrhnAUc/7iCU4UkZcldu9hWD1dWwr1zLPZbjY8zh55ooE9k8mI/+Jf/iWrzZa/+eufkwymtHXBr//pV3z+xSeopuabb77l+vaWn/7sD3C9gMn0mM8/6fmu+56iKmnKBhNL3hPbxNY22cf5A+PpiPF0zHQyoa5bBvEAy7YJfW2vzQtxVrSKh/tHTk9OWaRLXr54ThRKN1sUxWENEscxj/N7XfQUBGGI41pMphMW8wXL5RrHdkiSIfP5TMRPlq9Row3rdSldJ5YuvAW+JIWBTF/W65UwKXRqZVkWdK0U9KqtsfSBdX56TlUUNHXJZrOiLHON33Xx/YC+76iqBttxMW1PVgZhTN8pMk1PpHcp8xLf93j5/DOhpzkOy+US2zLpVUvguRwdTUlGX/D999+hupbhaMB2JxOlzW6ld/YVtq3I0pK+k6yOPM/Y7TZkac7Z2TkmBr7nYvgOWZHhWgbKEJKo6htRwdsGdVNw9WHOxfkTLMshzzM61eG5Pr7vUpW25M53BqbqUXRiTXMsNtsS0wloS8V0PEb1ioeHB8LAx7cD8lWKUQr/Pm9zyqxiMprQm9ChsBwDQ4Gioe1AGR296lHKoWk6qloxGEyJh2NUZ+pxdkOW5TI1XZeSA9KU5FUmxVtvYlgWrdljeja1aki3GZZtMxiEOMoge3jku29/xcsXz9ltl4CB7wXURS4I4l4sjkq7DPpOsVlvaJXi4vycXo/SsUxp2LqWrq60LU/DdmwbQ3ewvWHg+h6maWGaYFkGoOiVuB7k7jQO64aqkiKjVwIJKopCMh4cC9PosVwX07ZZLJa4ux1hFKCqGtf3iR2PsoOyrOkD+PyzL4njAbPZLfQWhmFTlRXKgLLr6esWz/exbAvblcTCHhj6CWfHYl2/f3jA6IFO1sJ+4EmxpOmkSsmaq9XhR/sC4Mc6hK4T4d9yuTwI7E3DE3G87enpoYFhFNgWuIGH6zoHPYaxX0FYlkyEemhVI0hqDJnMdR1t14ChBZ5KYRhyP+w2MtkoyhLP93DDmK6paaqaopBMmWQw/O0WA7tdxnBkc3J6huo6Oeh11K1Q2mws2ybX4hJRXkt4iOtYzCrhDASBrxXUkiEue/IWw4DNesWb1z/QtpISOJ1OKYqS//yf/0oIb11Llu6wTPizP/szzo6mvP7hh4MwTR4ul8ViQVHLPqeHw0jHTRJ8PZrv2k4UrRrEIw9p/3E8UzdauGFh2OJtL4qKqm5I04xOV2b7C7ttGgLPZ7VcAvD5l1/y8DCjrmrqumEQxdzc3OB4LrZnMRyPaFXL9PiINN1x/3CHazlI3W5JBoQjeoLtdkvbtofO2tdJfUmSHHbSAJE/4PT0VAudUh4e7qjrilVdMRmN6Lqavpc0xCCI2G0zwjDgv/5f/9c4rsf/8D/833icLQiiCLuVaU5jmlSlODSGQ6El9r0iCHzdyY1JkoTJZMJms+Ht27eEYUgcxzqes6GruwPuWCmJOVaqY7VaCrEtGeCHIaPJsUB6TJv7h0ds28Z1bLq2palKLEs+REVR4Pke5+dnmDrg5Sc/+YpvfvUtu+2OZ08uuLl5zyD2OT07YhAPDkS7V199TV3V5DrDPvADWtVRlAWb7RZlSxqlZYuY1fcDgiCkLApJ5Gvlwkl3OzAM6UqbBtMw6dpOkwh7iZseJgyHQ25vbw+jwlTbuZTqcH2HzWbFbDZjvV6TpTnz+YIkSRiPx1xePuf65oaHxxUYJkHgs9PrH8MwKMqMtm15eHhgMj6iqmq6rubs7JzNRjQolubM23ZF1ynu7u+xDNitVoyTBM+2cWxbimg+rgNtW7j7qlM0qmE9X1HULQ+zOYPkowXYNPYdkwE9rNdrhOC45eT4iO1mxZOLS3EFOCLSffL0CU3TkOeiGWi7Foyek5MjDEPRtjUGIqbzfA+7EbyrY8sYtypLHFcobbZtYTgOvuNQNwWG2bLbbXFsiyzdke12WLZH3YoTaTQa8vDwQNs2LJcZqpMGIYhCNm2DaZvEccz9/VyeWdPUo2+bwXBEuduQbTfMHx4OnabEDXfEg4EE/VgdjeroVINqWhHtKVBdSVN35HkpvP0e6ro9jJ6LIqeuKizHJs9FEW6YBqiei/NL8jpDWUrs76ZE3jqOgKaaDr788nNub+/567/+T1iWw1/+xV8SngX0XYvt+PoSkYvZtiym5xe4vk/V1DSdcF4cwPW8g9Le1KN1V+t99tyBPbrccSSb4GMUuv51S1xJQRBIV3/IP1GYtoWFwXa3Y6dJnz0ynbt88oTJeMT8ccb1zQe6usHsO5q2ZbtLCcKI7WaFZRmEYcDJySltK7kFjisgNHpF09XMFwvOTk/1elEogMvHJb1erbmuSxSGNI6DYcp53imFofaOANFPuK570Bqg75P9hGFf6Ox1QZZpkSSjw/RNMh7AdTJMw8R1nP9ZiqDq5c9SXSddf9sKbMu2oP9xxLysKQzQDjiTRq93DT1xMWwhIVqWzVbTRm3zN/++/7+LgbLK8UpHrCH6Gy3LnHSXAwbT6QTPc0nTTEAYvksyjLi/veXd2x8YJQlhFGKZBo4jApyu7XBdhyLPaNqG1Xqh4SdIuA6gVM8nL1/Ijird8f7dG9Ldlvnsge1iznq95uHhgT2++OzsDMd3RBWvA0ZWq5UehdlYWrW53820raJpykO1t3/QTdMkCAI819Y2nh7bzg82MMM0pZr0Ze+W57La2ItW/t2/+7e8fvOGbZYzmUzxXY9vf/UrJsdH/Mmf/hF5npOMxE63Ws21RcXGxDyENW3SVIdmCBxnr4WYTKfUTU2eSqjIdiNTiu1yh2Va2mpZoJTw6lfzOdPRmM1mQ1kKzbCuGzql+Id/+EfaVunViYvqFdPpEZ7vsFouKTKJju6R0dVgMKBpajabzUEdv9c2bLfbQzb7PshoEIViMWuaw9pht9txdnqJaRq0TUvbdNiWrCNG4yll1UAPru1I5a5EWWtioKqGq/l7zo5PJE/+4YGmVWTpjrOzExbzBZahUKrl7v4WDCWuAdWxXG2Yz2e8+vIrOtXx3fffMz06IowjsVU6Dtf3N6z6NekuIwzDA+jEs3wcR2Kxj48lmvrTTz89WNGurq4OgViWZeEHAZvNRnQe2tq1t/55nqyZ9jvI29tb8jwnDOIDnyEMQylGPJ+ukwLENHs+efkMyzI0Mz8lTTM8L9LrKJeq6pjNH3EdD8syMIyeLNth28IAsSyLMPSpilyCamyLwAt0ypuSzjsIcW0Hz3HZbmXP/+b1a7wwpmoVnifuiT0BMtRugaZpiKJIQoyCQPQAsYiAoyjA9aPDc7J3lyRJgueHlGVOr1purj/g2CFdZ2CaMJvNMU2bJBkRRCGqU6xXSwZxhO1YWLZDW9fsyhTHNYlCn912daAH7nY7RmOJpd2PYvciu8ViwWYlefHjyYRnL14IDKztcWyhoj48PFDXDdPplNnjjDhwMMweW08ffvp7PyVJEq5urjW4TFYbnueCIRkSrVbvKyWF7HKx5vJSsNGuK/tx1/M4mk40DMzFdWzSbUtVlbiOy/HxEd+/FdrnXjxcaRpsXVX0hkVW1RqmlXN1dcP/9f/yf+bi/Jxnz5/zuz/9qUws45iqqmmQVZjjufSIwv5Q+OgL7sfxv7DH93q/MTI3DFOHATX8GN1tKhPTsel/9N/v/wwD8c5PJhNp3IpC3CVpIdZTx+Hs/AzThB+++46HhzmTyYgsXaP6ljCO2W1XBEFAGIZcXj5hPp/rQhy6tqatWxSK99fXFEWGbYt+q2+E3rg/p31ftCuOYx50XvuvV0iDMukwTKEGqf1URPUY2nLdtlIUl33F/f0DeV5ydHR0cN3tnRez+Yy8yA/N8r6GxjBo+54qz+k7JRkUpkmoORX0Bq3OutlD3uR+15wGU/Qglu3SqT3cyqcqPZRqf/vZBMOh2GQ2m9XBLjgYDPA8n8V8zi7dcnR0hGnJoVKVOaotmYwTBpFP29S4lkGvGsLA1/70jizdUZYFQeBzcXaOUko6GtMkSzMAzk5PWa9XbFcLJuMRceiT7XZUpnWo1vadcxzHjLyR+H8XC7Is4+TkhMlkQugH+K4vogq6Q6Wa5zllmRNF0eGAlgwEaGqhm+0nASIQlCo2TVM2mw2e55GmKe/fv9dgD7i6vqGoaizH5v7+HtV2OKbFp598wvHJMZZjE0YBy+VSP9QRbdVQZCV5mpOmGU3XM0iku9yvC6qqkuS/qmYQRUyGYx6rmsXjDNvyMQyTNN1hmhLi8uHDUvIGzs558uQJ9/e32LbN3d0jyWDALl3z/Q/fY5oW8+UKPxC0sBw0esfXdUzGE6aTCYbZUxQ55+fnWtkt7/ceHb23KPm+T7RfT5imrI/gkORYlCWmYLOYzRZ8uLnjX/zxn4jHvmxJtylZFIvwyLaJI5f1eoNlmCxmc26ur/nZz37GIEkoyoLhOOGTT1/Sq468SAkC56ApGQ4TnlvPwbg+MNgdx2Wz2zJfLRmOR7x4+ZLZfC4c+7IQL68hPmXP86my+qCcVr3Q4wzD4NmzZ/zVX/0VTdOw2WzE5ZBLh9c0jdYJcNAS7AuCvahwvV4fvPR7geHZ2RkXFxdsNhvatiGKxGPeNjWmAY5tkKYlfa90gWvRNA2GCbZtMfKHtG3HdithJnJACyhoenyEaUDXyLNpOzauL2LWtm1xbRsTKItCuAi+T1U1HB8d4/ohXhTzOFuIyNfzDqLhpmmYz+dcXFxQ1zW7NCWJo4+C4mFCUwsvfjabHYS2pmnQty3T0YiyKrg4PSXLKspS/szQ8zm/fIJh2eRFiet5xIOBJCb2iiwtKAtJLXSQ90QcJvesliscy2M0PsJAxFcPD8Ii8XQ+im0Y2CbUbctuvWG92nJ8coplSTEwe5xRlpK14DiiPxiNhlRVxWazAaOnaWvOTs9Yrjc0bSfpfI5N1ys61VKXpeyOsQg9D3M6xjR6etXiuhHD4YC7u3utHbFYdvJrtqUOz8Vms6VtGxolLoB9sdj3PavlgiQZc3d7x/HxCcPBAOPJJR/ef+Du9opf//oXfPPNr3ny7DlfvvqKo+MTkmTId999x8tPP5Fgq7JEaTfVwQmkpx6m+dFd8GPinqjlu0OXvRfnOY5DVVfYpnGYzO5dNnJeyJ2yd0FZlsVyuWI0HuviV0GvGE/GHB8fY5ot79+/JssK7h/uePrsOf6pNC6BG+K6UqhLGuaKLN3R9x110ciU03XYbjc8Ps6xlYHnugeB+kdbcH34Gvffx/7sk1yOj3hm47ACMQ/CQkMGWRimyWKxoG3bg7A70vbxum00bM/E1RyHHsEg27ZNVdYUVYUBdG2L6gsNcbOxeuNg1+2UolM1bSdhVobRiwbGNqGDvtvbIk1s28Wx/nnX/D8/qMj3DxahLMvIsoz1enUY0UDP7e2NfjFbVssZnmMzHg2ZTkagfOqqlLz1umGz3fL+3XtBmIYhtmkwTJLDZQdg+jImL7KUrqk5PztBdRPx9ZoGnusTBqH2U+8OQTuP80fqrqYsy0Noz17YqHS05P4wFm+/jCn38Ii9RbLvFZq1cVCG+r5+WPWhs//A7FcRnWpxHZs/+MPfJxmO8QKfm5tb/uO//08yVm4byrLkKDlmNp+TJJG4HvKcZ5dPmc8WLGYLXM+jb0VMkiQJaZoym82k4nRcRsmQRse1rpYrhskQ23ZxPZ8PH96xWMz5wz/8A7l8tlsWywXJMNKqbIsg2HF8fIq7svnlr34lpDQlAKaJNUX1Slv0RmRpelDMer5LVZWHLp8ffdj3XeJwONQRwyUmPZvNlqqqGI1GB/tdmqbUpewlt3/390yPTrRX2qbvevoOXv/whjgM8FyXdLclDEKSQYxr2Tw+PLLZbJhMJux2Ox4fZwyHCYMkJtu2KNUQRfI8pZlYEGVPX3J7e8t8teT5ixesNmuyPOeH16/pDUj1SFO67IYkGWJZJa4lYT37qZhcOJKP4LpiqQx1Dkb5/2Xtv74sy8/zTPDZ3u/j4oSPdJWVZVAgCYCgRDVntUY30xpNz8xdzz/aF61uzZJabIqSaECQIApVWenCx4ljt/dz8e19MiFdDLQaiYVVQFVWxrG/32fe93l74VnYf54dx9nTHZtGoDyr1YrlcrkXGKZpxmQyke6l6/ZW3sViIVnuKpR1yWp5T565tF1HGAZU1UYOZEXvg7ByrD5CV1U7VA3ariZLM4bo37auGE8mtEJXIYpjzg6PaKqa+4cHLNNkNpuhKSp5mbNdbzg/O2O9jciTlOl4QlFXLJfLfa7F4L/ebDZMJhO8VlDjgtze0jY104MxlmWxXq/3PIOmblA02TfXlaQNdq1GkaXsdjFlVcmaqKyEEte1GKYl++e2oa0bfD9gs1pKt152UjB4HmonHVLbtoJvzfP9KtGyLGnKaJlMxiRJRuAHxElO14Lt2OiGweGPjlmv13KmrTeMDsfQdFRlwyic0LYKhm6R9RO3pikxTJWyzGi6hvV6Q9eA0Sfc7XZbdM1C1zTi3Y6H+3u6uiaOdmxXK8qqZP24QtdVTk9mPH1yLo+yawmCkLiPU5/NZnvXVtfKWqYsMpaPCwzdxLJtfvqTP8LzbL79zXfohsV8NmM6nuA5AkJLkoS3b9/x4vPPZAraczU+LQA+nZh+yh4Yfg2xxcM/6zqJOM/L3xZFD975IR1zmL7meY7v+wCYhgjw6rrC6q2pct4puLbFt999R1lGJFFMZEeoqoltiTAw8EXIeXJ8yt3dLZvNgixPSZMEz/PRdZ3FwwMoIoIdVqvSQHpomkw/Pw2HKsuSqqywTJmyyT/r0HWtFwTW/fPQ+oZROna1LwjiOBZEPIACfhhguc7HVQrSZHZdS9N2OK4n3IxGrJZ1We2TTYdVdtM0QkBQuv7nSmx21ice2rZYRFXAMA3RPFQVv8uv/4ZiwOzfqBLJT7b3KsmyrHpYjqhd4yhiMh5h6ApVVfCbb39NVUqileTJh/ieh++Lz71pavIso2vlgzTqLWKaolD0UcdNWxN4LoZl0o5Hoj/oi4XpdLpHCL9995bHx0cs1+Lk5ISXL19K3HFdQwtqp/aJiVIJooCuiYhr6HIHy4gcVjWKInkLpqmjaSXQE6yQD9YwCjIMA9MSFkA4GpEVBZbj4jgum9WaZCdq8iRJcFOpFpV+hGNZFmVZURZCimubj8CMuq65u7vbj7WmkwlVWVK1LZvVmuXDgkk4wvM8Do+OCAKPv/iL/4OyLEjThNOzM55cPGG9XvP27Q99d2ztD0rXdbm9u+fZsxfMD49wfZftbvNRLNMK+lhVFOaHM0aj0X63PHxAfd9nPp9TliUHBwcMZMQyz5iOwz1HIcuk2tU1HSyV44M50/khX379NfPDQxzH5b54JPAD3v3wA5Zlcnp8yM31DUcHcwLXpS4rNqsVm80Gt8cfj8cjbMuhrSv+4e9/0QNsPEnQixNsR2AsN7d3LB4fUVSFV198wWq94vWbNxI9W5YUVU2W5QRBuKeEjUYjsigjSVO8wN+LJJfLpSBNeyEfSOXueYKUHrpP13V7IZ9MSYZ4ZN/3JX8gFtfN4nGBqqicnp7SdR1//ud/zosXLzg9OWK720q0rKbQ1BVZnvVEQB3LcoVO1skYcRdlbLab/Sh+MpngeR5plvG4WlGVJdCiqQqh7zMdj8T+muVUZSlrulTEhG3TsttuSdKc6fyI1XrFk2dzirrqiZFSZGSZjHiHKUXbNJRVyfHRIbPplCLPqGuZMCmKwsPDA+fn5/JzNJUqL0Rf0rRYpodlSOZ9EEr4WFqU1EhKXFf1l4+mieis79TSNKVuOlzHROvdGXUpB3y6TVB6p8RsNqMsS1zXQesa6DryvCTPcg5mc9Isx7IdpkdT1mvhmViWzWg0oq5aDE3H80J5TZMc3wtJ05zlcknbdSRpi2Fp2K5FEHhsHrcUVYuq6jzcLyiLmq9/9GN+/OMfcX19w8PDLYdHR9zf35Kl/WdhsabIdhiGxigc4TsjbMsir+R1HDraqpKLUwFOj4/3E63D2QGGrmIaGj//+c/QNJOj4zPC8UycKVXFyckJi9VSGivrYxzvcCEC+0nAEPUrLq5ify8Mlrehmx7WYUEQkPQ6lIF4Wdc1dVVTaqJfGWLHh518Wba4ti0XtQK6pkt0vGdTFTl/+M0f8uHqmu++/4E8a/B8KaJ9X2ziCrLzn0ymKFpL9VDjh2oPrOqYzeekqw2beL0v6Ae7Ydc/l8FmOqxJLMvqMwqUXrPUIGFAas8k6D5hFny0/g2smv2fY1u0/Ws1WDm7TkiNatWI3qdtZAqgGZi6RW3W/WRaWA1dB22LBDMpXW/v7/YOraqSdFNNNUGTac1mHaP9jgzC/wbNQEWXl8LdrsUl4LgeTSUe36OjI3abDbvNDs91URGEL13HwfyQeLelLAsRbyX3RLZFGIYc9KFEdV1hWhaapu5HnkkS9S+cxt3lLQtkF7zdrCmrkvFojOd4HB8foSQdd3c30LYYuoal6ZweH+PYYk9r6fAdD6WTXX/TSkWl6jp121AXdQ/S0dEMXUI1AF0VVKRtWZimRRIn8gYN/EJFgkQkiKVBQQA6211EXpRCGysrAj+Qn09HWcjrOD8+wrYtVLWnfKGSphlVKcpiq7drPjzc0TQ1L168QNNU0n70rpoGs6M58+MjqrpiG23olJbvv/8eVVN4WCzI8pzAd/n29XeoakfTdTx7/qzf0224uDjn9PSUq+tr5vMjfv3rb3m4bwkDH9eUS07zg328dJalvPr8JT/6+keSN19kZFmGqmiiDN9uOT87l+4gz6UjnI5o25b7h3sRSGk63333HQ+LR3ZpSpQV/Ozn/5SmUijylqbuCPwR8/kxnuswnYz5/jeveZ9+4MXLFzx/8ZzvX3/H/d01k3GAabscHMzZbWOW5iOz2Yy725TV4wLbsnFtE1OTFLKHm2tib4vnhZweH+P5Pqg6t/cPbLcpcRIjIKGKs7M50+mEg4MZiZGwfGxJ4pgoiagaUeUnaUxVSVFp9FyErpMo0yAM0Q2DXZ9voRsGbScdRde1zGazfjRpUhYVXSeTp9u7W+q65P2Ht8znU3wvoK4aVEXtdR8Zbm9jqlsFuoamKfbwFMNxoO8WsrRCUzWyLKcqKwxFYCRVXqL4Klma01U1abylyCWxs0gq4lSiaDXDJMozuqyg6d/ju9sb6Nc3tm3vD/PhMkjTlHH/OoTjCYcHc2zb5GFxS5oXHM6Peff2A4v7R0zdQDMVamo8J+yZHia+p/P8xeegqhLhrGg4hkFW5BiOQRLtKPKMo/kBZZETjHwUpUWhpKlbppMZuqLxn//TX+M4AajS7R8dHaGpGoYuwTqWa5MlKfODGYE3As3Asi0sxyVPM4oso61q4iwnTTM2dUbTFERRxMuXLzk/P2eXpuRliWYYWJqGBNR2xOtIJptpRpbm6LpJU1dyfuUZlqZxfnwMTYOhaXz55ZeSo5GkzA6mGLpKi4amWRSNqOMNw8YyLFarJTQdOhKIU5Y1YRAym0xRNV3SFauS9XaH18CzZ8d96qK55/AfHh6SlwXLxyXPXoTUTbuH5XxqyQP5bBdFgW4Y4kbpuRxt0zKMywd66oAyVlHEWZbl1L0WxjB1mt5tUJRyjtVpha6rvR5Bo6ylKSubkk7R8cIRB/MTlssVz559xvnFM3a7CMeyaZqOLMmwTRvLtEnTBDoFL5hybnvkaYLvj3j/9g3r5ZrFzS26JjkNRVlgmjpdqxGlidhI9Y8kwKqqMHRDxJStXNx1XaNrWo80Bq0f9Q/woqoSod+gT6n76e1oNEI1pAizLIsgCPZraa/ryIuS9Wot7jRNA6WTFUC/qh2+X6bt0LUVRZH0Fk7kTO0t81WRY5k6uqoS+iFqp7B6fPz9FgOGYe3/ut1uyfKSqo/BrMqSzWqDrmm8fP4Zq9WKokgFhZomPLk4x/MDZtMZj4uH3+pylT6pr+1askJEb4PXWfIJXBb3CxHnqaDrKrppkZcFeSnI4Q9XH1gtV3R1w2w2EQWlaXB6ckpW5HR0lFVJoshOZcD+6rqO60t6YFFkmH23PFSHdV2DqmK7FpqioACjIJCOt65QNZWD2XTPSlitVvKGWTaO40mCXiNv9tWHS+LdjqPjY2azOX4YkucVYTjmcfHAzc0NVV5Q5DltLfhJwzRIkhjLsrBtOQBs22a5XtMhaNZWgePTYyEzrjesNyvaruGzl5/tVc7jccjN9RWjUcjd3S1FWXB8fMhisSDPReCooHD54QPv373bj/sVReFgNmNALBuGQZnn/O3f/ILLD5f8yZ/8nLOzEzRVLhUFhfOzc9qmJY7i3uer94Sshq6FzXbHP/zDr4jjCNtxUfWSs/Nzjo9PsW2PoqyxbRdDN7i4eEKaxLx7/4HdLkY3FFok7axpa1bLR26uLzk5f4rnjzENG991mYw8/qpMebi/wbGEE++6LvPDI44O58RxwurxkdVqhWZazI/OcHYpUZzh+yFJIoLQ1WpFHO9I4gjX8glHIdninsl4jO95xFmM64Vy0VaVIFv7Dsq2TO56YavnediOQ5qmZHmGprAXt7muy2q5ZDyZEPhBn5yYs91t+dGPvkbVVJI0Y7Xa8uHyHUWR43mOxBSbFoZi7CcgVdXsCYxxHOG6HrpmiGCr/8/5yQnr9VrCsJoOWoXtNmY8DghHojXI8pI4SiiTnFZVKNuWuq5I7iVrQ76jOrYjF+mgqp9OpwxZ8yCrtfV6x3q9Q9MUXr160ScmNoT+iDwpMAOTzVrIm7PZbC/o6roO0x7RaRrbbcSk53EoXYdpGsRdS1Hm5GWObRvkhSjGu6Ykzyqg4+3rN2w2O0bhjGAU4rguXdvxuFjiOMIiKSuJOVcaBdfxmcyOeFgtOT45Z7FYUJclqiJ7ZlVRmMyOubx8h1lVtCgsevdQtNtRNxWGpuP7rnyX05w8zcmzXASIiyVVWUrzorS8/v77HjhUEkURX379FYqq8P7tOxaLRd91QpzloOmouo7juDRVRRqn+K5LVRZCU+132rK2lNyWpmnIy5pk8Uia5lxcxJyfP8H1/H1h+vTpMxbLBVVZofdAIZkA13RtK+r6QXRp23Lm9u+tZuhoqg6dkFAlErnYazJ8V8SklaJCV6J00u13SieT1U6U9F3X0ZY1TVth2QZK1kEn1s2iKkE3UXUDy3FI0hTbsQnDkDTLyQtR4QsTwkDXVFzXIa4r6rbBsl2auuHZ0xcsHxeYqs4PP7xGU1XM6UREeb1iX+8thRIdLxk8gy5DURV0TdbhQxBbO4RfAHQtnVBY9gXF8GcNmqrh9W2qmqaqaeumL6bFDeNYFpUiVsQOBVUTg+GnqxoAlHbPUJA4a2XPFcqyRHRSoXAmwiCATx/n76MYGOwUwzi0LEv8PsrT9zxsy6KrG+IoRtd1jk+e0rYNq9WSIs8I/RDLNCirem8FHI1GbLfbXrFbomga0+lE1Pe2xfX1jdjqyorj42N2uw2KOuQ2N7Qt1E3HdrtmOpqgoPSJfyqmbUpSWxxju/0Iqap790DZIztlJVDX1b4SHnZJn+7A6qZG1Q0UTTp3FIWyrvCdAM3Qeewrr2AUUtc1fuBLVxv45LmIWI6Ojnn3wxtOzs4wTYsiL8iLgpvra96+fYOmafiOi6nrzOcimGybBtuRg//h4WFPSGsViUIdxCli1ZQLaUD1WpbF+fl5r97fcnJyQp7GDHGstmVimSaLhTgZkiTi8XHFV199iYKyFxEN+38Rs9WierakAv9f/9f/DcexyYucLMvp2k5WJP2uXB6viIwGcFIQBGRpjucG6JbJeDLlpz/5icCX6pa6abEdm6YxODw+oshCZrMJ33//vRz+eUYQBJwcH7PZbPjwAYqqwfNGTEYzNFXl+OSU55+9FCFgmvHh8hpFN/DDMU+ePeH6+oZqseHu4YGT8ye0Xdf7dG0cx+T09JgglJCsrmu5ur7i8xefE6cRSZpgOQ5pngNa7/Rw95qOQSwE8tmREJreEqQoWKZFWZSMx1NOT09pmgbH7b3HaofvOyiKRxhKkfFXf/VXNHXHbreVwtA292ss0zBIs5Kuc3FdUe+7rhTQh4dzoigmiiKiaIdtO32YkHAptpsNSRTxzdff4PsulqWhKFLsW2lGlhWkuwh1HwwGpqFLoej4jEYh9CS6oigw+rHnIHQdQpeWy2UPeNoR+A51U7NbbwiCgPVytV8xDAI0CWKStdShOybOsh6wVAmIS1V4eIgoso+C32EtYRgGiq6goPP+/Xv+5m/+hsAb8fnnX3J2fi5dna6xXD5iGIKdLcsCDRXPEiqq6fgYn4C2JKFO2zcPZVlx9uQJNzc3jGdTAj+gKCXieXO3ZhyOxCvea4OSNGWzjTBNi+l0QpoINVTXdW7v7ghHY2azGVGasFgs9iu4QdWuKLI3Pzg4YLvdst1u8D2X09NTcZPs2r44M/YXT1VVUhgg8b6e56NqKg8P9+i6wZOnz9AsHVVAC5ydn++99X0aAXV/6SuKnKn0F5KmafupaNF3pG3d7guE4a4Y+P+fYnyFw6+haOr+fSv732f3ibZt3aCpGrr68TzO8hzbc6mahgYR9WVlgW4a1EkfIKZ0khho6JJcaeh0dUnZNPL8FQXDsjk6PcV0HJqq5O72lrIq8RwbRemEedPfb1Vd78f5gx6qaZo+urqPK+7zCwYNwN72p6n77/unq96iB7wNWonNZtOfFy62bWGaOqZhUNUNqqLRdkLHHXQag85NJGuqwPb6z8n+8bRQVSWb7QbPcaFt8Vzn91sMDDvETwUheZ6LcCiTseUgTJnP58TxjrzICIIQuj4juimYTqYEvrcHFamqytOnT4Vm1sdJypNuGY8nPD4+kvUCJV3X8XyXLEtRlA5UCXxIkpRvv/9eaGCOw2Q2JhiNWK5WWLYtHyy1o2wEq+v7IvoSTHDeozflTc/zfE+S+9RioqqqVMmahtp15EUh0A3TIByNKIqc0WjEwXwuHnZdo0MmFJ0CL1+94suvvqYsCr77/nuSOBbQSi086y+++JJJOMIyTGaTqXR2gQjFBtzszc0NWZah9ZTBIAj2YpXxeMyyR+cOH1ZFUaSI2opifRyG/It/8S9om5o8S9jtdigq3N/foihwenrMyckpm80WOtn7DYLKIWchS3LiKGI6m3F3d8svf/nLXtUu4svFYrH/8A4HgNJz0QemeRAEHBzMcf2AMBzx2WcvxYWhqpRViWGZ1L3gbTydMA6f8PT537PbLvdq7sMj2aO/efOGqm746qsfU5UF76/veHi85+T0kK+//jFVXnJ1c8W3v/mesq45OjlE0VS20Q6rH7UXZUmaZ8wPD5lNQrIs4fb2mvn8gPPzsz5IRheNgGlgWCYnp2fkZcWbNz8Qx8n+Qhu6gixLeXx83H9PJpMJiqIwnkzI4py6qvnw4cP+4o7jiK4P9rIsGb2bhs10csC7d++Ek2GZOI6zDwdarVZUdYNti4Dx5OSoT6vccHR01BM1a3w/wLZs1us1neuQpgmmYdIgAUDzgxmKbXD/cM/F+RM0zSAcTTi/eMouifn2N99S17UE5qiCwa4qoYLGacK5JUmbdb9rHkbFw3s9uCXa/nMy8gMMTWe72ZAmCePxeL+XHtw9RVHKqNRQMS05lC3HFG/6bit+bVXh/fv3GLrKuAeAaUqDbRti4VutqMuWxeKBz794tf9eDK93UZTSmWk6pmpSFeKTj+KY1WqD059nw+UGHVXTEnoylVmtNyRp3nP3xWK6Xq4Yj0Pm0yme50tRiEw6J5MpvhfSth3hKGQUyepocCkNIujpbNondxqCXp9MWK1Wok3yXE5PTqBrubzM99O3vKj2+2ugB5JJlHQQBDRNh+8HtF3Dd999izeaMJ3NhNjYNWiaQd1WxHGGaehUZUVZVoRhgK6JqC+KIqYHM9quY7vbiXOorKn60LL/0lXw6e590K+I0yzfnw2mYUpsMiLyVBQFp3cygIKqCOdEUUXU2NFJomZdo+vStAz/LXoHj6q1qJpKGPhs2galH89rhkXdNnhBQJ5lTA4OWD0uqFHRlJama2kRZX5RVeRlgWWYfZqj0k+61vs1iK5r+3XCHiXcP+ZP1yzD66D0F/en/5Wk36w/z0M8z9+Lt5tWgd4mOADmuq6jzFPqUt7fdg+Sku+6ogojoW0byqpApUPtMem/t2JgqGgER1oThuH+A1AWskPrGoEuWKbspaqqYbvdoasaURSTZykKLUmy21dNg9XMME0URSdOEg7ncxzXJQzH6JrOzfUV79//hiDwCDW5AK9vbtANm9Ozc8qqJopTzk/PePrkCeHYF2SoYaBrGpqqUvVgBtuWIKKiyHEcG8PUafvnURTFfj2xR00OEaCqiue4WJpG20rXOnRo48lYsgdublhuNoRhiG7ZqLrGbHqA7bg0Tcvb1+/41a9+hdEL0yzdwjZsfvT1N0wnU6zeJTAaj3Ech1Zt94r9w0OJ1L26uqLpIR+DnfLw8JDxeMwoCLm7vcW27f1Y//HxkSHQaMg+2KyW1FXZ4zJrtrstu13ExfkTDEPH81wc29t3819++eX+UNytI8qiZLl8ZLPZ9l3wx853KBiHgkBCZiy6DjTN6FdALrPZnBaFH339DZ4nQp5ttKYoKjp0dNMgKTPKpEDXVIqyIEvTvQhveDz39w90bUddNEwmc1brHVESo2gKJ4eHNGVD3cFf/+1fkfzqV9Q0ghkFRuMRLR3r7QZV1yirijRL2Kwl6fCLL18JsdLQiaKI+4eKqv9M6IZFto0xdJOB6REEAU+ePKEsSy4vPxDH8V41P0QZbzc7kki6mW9+/DVQAxbb3Yo8rySpzbI5PDxm+bjmpz/9Gbbt8Pd//0sMQ9sL9BzHJk4ikjQTRXE3xzBNXM8hy1Lev3+PbdvYtnixV6v1nkA4nU7p2pa6rD5iktUOTTMpq0Z2yppOHKc0XcOrV69o2440yeWC68QjHYQh4+l4n58xdE1v3ryRFVYvTh2NRqB0zGYHXF1d8nB7x9H8kMl4zP3dPY5tM5lM+scp04LZbMpkPOLq/p44FjaDYZl4noPz5AIVharK+ZM/+RO2mxVv37xBo8MwFNKkxjQNvvjiC6JtQhRFbDYbTk5OsCyTtq1l8tY2tK1Kp0oHnqQJmuXwy1/+EstyePXqi31xJzx44Uc8rtbUTcdoMqMoC4q6ISsEamT0DqO2k+al6t1S5+fnxHFCFO3wPMErTyYTLi+vGI1GGLrOeDrZd+JlIZ25bdv7ZFdN08iSgrdv33E4n6H3lryTkxPUSqyYgw15aLQsy6TtJLPg7dsFdd3guC76YsF5fsHJ2amE3VSVwI6iiP/wi1/K5aDrvHr1OZ9//pK4rnl4eKBTYHZwIJhiBUlP7X/2p7bDYRowFCjDeTVE6nY9wbBr230hbRrmx4uzPz8MXQdbhOo57HkonucJ6M226RArbJ6Lot7zfVQ0VE3BtW2arsNDISgr2m1H1dQoeoVp24wPDqjKYg8cqtsWS9clz6LreTSwb3ZAIHNtvzobHu9QaAp8rN5Pxz5lW3T9nfdpSNJgz6ybijRNMAyrtzGqoOgoqkylBsunqqo4rofmemSZrBSbtiTPS4oiBYQ7oKsqdQW1AkX+exYQBkGwx+IKcCjfVytxHIsNKc1wbIfJeMzB7KAXlVRcXX4g3m0ZjULCwMX3XZqmZrfbYVmWeKp3EWma4bk+um4Q7SQqN4oiHh8fub6+FjAJLVEcs16tCcZjyrqhLiv+5b/8v3M4n1OVJXeLW1RTx3c9uroh2kVYhkHo+9RK29s5Otqu7sV7yn7y0Lbt/ounaRqqpqJ2vVK8bVCRKUmnsLdmaYZBaNtU/aiyampW6zWGbeP2IsLr61vevn/HeDJhPplh6jq+50kmg6mxiyImkyl5mkqkcJYRjH1s2+4Z4NUeL3v/+EhVVbx7926/237+/DlxFHFzc4PjOPvd65C/XdcCMhrG1mVZkPVOjd1ui2XZhGFAmiaMxxM8V3jxWZbx+vVrXNdlMplS9Vau4aA6Pj7qDw5tT9kb6GSO85GfP1iIhtc4jhMmszlffPGlFBSKgh+4oKTkBZycnrDbOjw+LthFO+IkwfPl9Xjz5g1RvOunOhZxFLFQ70iTgqfPXzJjTtNWJFlBnVU4ro9p2azWS/wg4OzsDMf+wGK5om4aojglCENM02S9WlFVBZqmcnt7g8RtH3BzdwOqIgFXjkPTtowmU0zDoK5l4jSdTvfP1bGdPYBnYC4MwizPCzBNvVc0BzwuHxiN5PVeLlfQCc1Q1w0cx+0dMxMUBUbjEMcRPrzv+9LFlDmXVx/2ExfDNDg8PCIIJBlN03RevfoC3/N5/d1vJMhlNMLSDYo8J9pFbGNBg3+4vsK2PDRViiPTkiNCRqYV0S5mu41k2uC6FGVJ1cgZ8Pr1a16+fIndK8IPDg72l4rvu9ze3khEsSafAdtx9oryIWlPURRZkeQ5Hy4/YNg24Sigqivu72/pgMl4iq5q8tyLgtvbWxm9Zgl0kpKpGwY//elPub2+5+bmnm+//bZnh3QEgU8cx2R5wng6EriTbqMqCmVRMBmPMUz7k9VAuddC5HWF47sczOe9Al3vVd0SiBZ4PlkmKwbLlEmHbRhMJhOWjyvu7x/46qsDqqqiKCqcfg2BJgVuXdXkWf5f2fn2yXV9kdF1ErIzXChD0RIEAdPpVAqCOEIzhDGhqMp+J65pQra7urpE0RSOTo+xsDFtk4uLc64+XMnExTC4urxiPBrh+B5nZ2e8efdONFGuQ0tHXdb7nz0g0odV8vBdl/WMrJHour37oes66q7FNk0RdCoKtPS7eGkw6PfuTddQ1hXr7UYYFQpUTY2uan3zBlmeoaviTutoUDqJB6btMG0N2/VoadAMOdfrusbqR/1ZusOydPl/mtoXZRqGIvk4w4h+WIVomkpdf1wRDL86JFumrut9jsPQ+Mo/73/fvuDpBDSFQlM3pGmGosgkpUMD5aNtfXhtTd3AUPsIZF2jrRQUFUzLoK5kKlC3LW3TkGfp718zkMTi+aUf+wzoycDzOTs5xbFtUdm3HdvtlihK0FSV3W4jXklDx3Ft2WN1UhUenQhk6HG16n3WB+KLTxKyVKo8y7ExHZsvvviSxcM93377a3bRFk2TvcuH9x/4f/+//p8cHx2zeHggjmKquiRJI+o0x3e9PimskZ1/ke1TEYfiRlNVmrqirRsURXLSm6ZBU1Q0RSVKUuqqYjQeA1C1NWXVUNUV8/mc1WaD1bsrglFAEARsNjviKObywzXzw2OOjo6Z/mRG17SMQ9kJpnGCqinMDg8oe4+1YwnREEUhiiNQJECo66NyHdvGUEWRW1c1jmlhmxbb9QbT0Dk9PeH16x94eHjgyy+/2EevhqZHliZs12sJMGkV0jRjuVxiGCZ/+Id/hILK5eUVaZrjucneLnN0dMyQnLh63ABQNy3zwyMsyyBOEgn5sCyWSxmND8lmVd3gur6k8TX1HtpxenbG0ckJZxdndIoo7JMkRdV0HFfn4eGOh/s76DrKXGAz2/UjaZrx8LDg/v6Wh4c7urbFdRzWmxUnZxd8/sULirKhaTuaqubm6orlasHzF59h3Vm8efOO09MLXG9Efv2AZmo4jiuVeNehGSYosNvFKAr4gUT7Xl9dMxpPcV2P2ewAVdXYrLesV4/cXH8AxH/sug5t27DZLPEDn67tMAyLIBjRtpJA9viwwjDsftIh8bzff/8dim7QqQZ+OOHhcYlje6iaTtW0jMYT6rrEsmx832M8Ctlu14AcFEVZ7FHAQTDqL7GCw8NDdN3g1asvAAhDtycD+pimRRontKoKpuR0KCjUdYPnetzf35OmWe9pzxiNp0RRSl4U1E2LHwb4QcDt7S15llIWKt999y1df+RVVSFrqmjLcrUgDEVLMT88ZL1es4kiGgWyMqelk5/dA4yyJMX2vV4jITCWumnxg5C6kb1yldfcbu+ZjGdYhsZuuxLxXJlDp+IFIX/wh8d89XXLh8v3/B9//u+5uDjj2bOnjMIA2zbY7SJ0VSNPK5K8IK3anh5n0XaNTCV6C+7d/T2tqvDq6y+xLAtd0ymKXERxdU3XVORlRdN2ffSssAgMy6ZtOjTd4KuvvuLk5ITtbkdZw3Q67UV7BpvlSnQyqmCuTcsizWQVq2gKdVWRxSmFAlq/O/c9lySO8PwAx3ZZLh/3ei7bcYiyRBxFQcjT50+xTJvrmxvSXCKGL99fst1FHB0dcW5aWLbDy5cvSNOYzUacK3/1V39FOB7zsz/+I/7gR1+R5SVK26GpgKahdEp/OQpWXgo7ccx0XbtfE3a9WFDrp4h0cnkOwWZN00qGQCMJjaomk5VhRaYpCkkUYRo62/Wa3W7H9fUVmqpxcDDDsW1ZOyig6TaKIt04iLtnHATYpo7nuNiGhWe7Ek+d5Tw+tOyiDZZp7wmAqgadqqJq+l7nYOhmzxhopFDp1wFaf8F3bYfaT5W3ux2mafRrBXVfJA2FwLDKlcC8j+RbKTxA1xXapqOtKzTbpKlKFF2XDIyetKjpUuTptYFiKqhtRVHX0qgij6Pq77nfWzGQxsneD+26DkdHR9DbWrquI00SdE2sRpZlcXtzTxInbDYrDuZjDFOlairyUqJwAUxbwAmuHzA/Ot5XT3me4/oulmXy4cMHfvrHf8x8OqPJc/7uF3/L//Kv/xfuFvcEozGTyRTXcdlutwK56MQHPAvHvSq2wbJ6RkLbMBlP9z9DU6WibeoKteuwHRvDMMnzEteyaTuomxJT08jTjNvbW+q24fTkFEUHE5eqaanqhhcvn+I49j4boWk7Dg7mfP7yK4loVVXqstino223O8Kp5HovFg8kScLD/QOGoVM3DaMwwLEEhUzXstlsePv2rUBqwpDNZssuTkREVTd0dYPp2tyvV9zd3dO2LWdnp6w3j9S1hNc0TUMjRlW6DqqyYfm44fPPP8e2vH4q43B3e0eW5T39SuXkRIRub968EV42CBQmjvHxmc4OUOlwHIvZbLaP8hXxT0VVt9i2xeHhAbPZlLZtuLq54uD4gIaG5XqJqum0rULX1ORl2u9RbamCJ1O+/vIrfvPtr1gsHmnqhtvrW5aPC+YHM3kudcXbt98xnU/5wz/6OYoi8bt+6PH2raS7eUHIr371D2zWKb43RlENVEXD9T3iNEVBYzyesdtteff+Lbal8erzz3BdG9uUkXsQhKiKhu/5pKm8n4eHh9i2JZTM3RrXc7Adi2++/jEP9ysuL69ZLFbEscTmTqdj8SjT9QpllfnhCbu0RNMNygbCyZSqbCibltn8CMu2extUhW0JYChPc4zeLVA3omaO4qTPidc4Pj5mu5VC9fXr30iktWNx/uQcPwhwHY/Xr99gej6TgxNGYcjjw6LPmO8IgzHbOGW5WrHdZaAauEGIvtmyXq8I45AkS9lFO1zXZTweUTU1i8cHceU0JWWV95Yz2W3HSYLjugTjMUaeSyhOlrFLYtI4xbEsFITQBi2WqVM2HdFOnBuOFxJHO5J4g2O52JaH7zh0TSGvqSqEtulsTpoVWKaDZqjomoKqtGRpwuLhjvE4hLYhT3LKskJBZbeLCIIRlmX3P9vAMERTUrcNju/RqcIJsUyTzXJJ4AcobYdpmFiOx+WH97RVySgIMXttT5oW/PDDO1mjuWavq7Bw0DB1g5EfcHR8jGVblE3N5eV70qQUUaCiUXc1VVvRlhLgJkl0MZqiUVQ1qqZAW0OnoakKUbRjs9symoxRNAPXM+hQZKJab3hYPKCprsDiOpV0l7DW1uRZQejb2JbFyckhx8eHKGiC/M5z7q7umM1mhJ5LVdVoqo5hqjT6IJRtAI22rXvPfrMvBqBD09SPiOMhKlhTUDRFQpQUtQe6qXSKkPk6xMKqq+LcinaH3N7ecnh4yMHBjKOjOa+/f02WJoz9gCSOCYIAO5Dzu+06UBq6psExDdSuQWkaKsNC9zTGo2nvfsto2g5NMVFala6VqW/bQEWDqn7MrRnU/pIPoHzy9zvQZEoq4325lMveojhgkNX+98vL0gq3QAIH5J8p/d9valRFJUt2KF0tUQBNRdEUqIpozeq6/Sh6VxVUOvTe7jikA/+uv37nYuDJhYSNvH//nroqxaZhyrjHsiwJm+iEeLZeb1gs7lksHjFNjTQ1GZl+L5YocF3hct/dSYTtgIb8VHk68NufP3/OeDyWtcF2y/HRMf+f/+l/4od3b/jHb3/DwWxOVchef7+LosN23X5EnvcgExGPxKlAO0ajsEcMC1BI23czdY8drfeJb1lesFqusVyb55+94Pj4mLyQDqAochEG+cF+lHNycoptOz0IQ4AYeZZhGX24i65hW4IAVZVepd21lGVOXcmIvakrNisB/RSF7LSi7ZbV4hHHEYpjkiTUZcWbfm2gGgrL9RpDt/gnf/JPqKuqj7yt9xViU9fUpdiGkjjlxYvPOT97jqE7XFy8wDRN/rb7BXd3N2y3W+7u7thsRP19c3PD/f2DcLA1jdFoRBiG4ndta2zb3GNmB/iGrmsSyFKV3D/c88Pb13Rdy/Pnzzk+PsT33D43vsPx3H3QlaIoKB0YmoQ2HR4ekmcxf/Wf/hO6porAdDRhYKOrPevhL/7iz3G9gCdPXmLbHmEw4ey04erqioNZwLOnOXlecXf/yOPjQtjsSofreRweHvLdd6+5vblCU6WDiXYRTb+LPTo64ub2gaZWefL0Oc+ePaOuCx7ubilLiSsty4qiKPA9j++++x5xp6pE0Y7RaMLh4QFVXhOGAav1Y7/DbjB0g64toGcNzA7maKrBdrvl4skTbm6uiKMdZVGiqQppmu+RsEVZ7kfGHeC53n7PrChKj6G+4+r6mulsyrNnz0ARVKnv+/h+iKpZrNcb8rqFtsZzPUZTj6x+4Isvv2S1WvH+/fs+unqOqum8e39J14mDpKgqNtuI6WzK0dEJiqIIFMr195qgsqywbZswHHN7e0sU7Yh2EaPAo2lbXN/DMgxUQ6OMCpqq5fjklGIX7c+FYVxu2TZdB4qqy+XRQRCMKOuMphSR2nazwTLk9XUcm4uLix4GE3P/cMN8fojnuhwdBrL6zApMw8b1PNAkRE03TO4f7smyAs0w8QKPMAh7GJmsS33HxTEtLNPg7vpGwsySmIODqTQBLaj9RVi3DbohluagbSiLEj+wybIdXnBIWhbc3d/h+2PqpqasG7JCJmOqYVGXFXpPDxRfe8XED/dQn6yocD1ffm7doOqyMpnNZji2I2tPRaXKO+qmpawrqrJgs1kRJxGrRYth6D3m2uBnP/0pQeDz8CBOGcu2ZNrVa8g0UxcbXC8O3GutimJPzhtE5/AxqnfYnQ+ZBjJNNP4r0bZopmSqYBgGr169oixLrq6uuLi4YDQe8/XXX1PkBWWW96LQhrpuenEmdA0ySW3q/WMcVhu6rjMajUjiiNJtoLdTqnS0jUZHQ1MXKOqQB6AA2n598alQck9n7AaVv9ZrAkQ4rSofExwHPUXXCcZg+Fc/dQfI9KGl7WOli6IgCAPoWvQ+Zrkoik/W96B98jiGM3iYSPzeioE8y3Bsi+fPnmFZJtvtls1my3gc8uTJE7bbLTc317iux+PjA4vHO5IkwTBGmKbev3DqPjDk4OCAopDRJrAnuQ07e2DfZd7f3pHuIlzTpK1rmrrmn/z8T/jq66+5v3+QsKMs4/7+vvfEe72qeisdZtWQ64XkkVsmjiMH0/BzVEVhHAoVT0Elz0uapiXLcqIoQtN1Dg4OePriOccnJ/Jc1Iz54dFeOKPrkuGuaSpd12JZzscDrC74x1/9kq5p+kAnm/fv39F1kgSYKgrr1ZKHe8E5a6rGYgE0Lb7vCfrXsjg/Pe9R0OLeUPsP4vHREX4Q0HQ1/PADSZzx4f17Hh/vCUKHuipROoWmrsmSjLZpeLi7xzBMfvqTn3NwcEhTt6iqwdXlHV2r4jgubRtzeHi4/3KenZ1xcnK2D2SyrD4lDLBMndVKchAG/K6iKOiGRui6uK5N3dQUVY5Cx09/9hNOj48EQIJKksR76M16vcHzZa9rm8J4ODw85PrqUvQKXcu/+lf/it98+2u+/fU/4ngeatuQ5wpxlvJv/+3/lz/+ecb52TNmszmHh0e0rRQoYSghTm/fvcEwNM5OT+gUME2d1fKR3WYFXcPF2QVN7xs/PjrhbrFguVz2hU5JFEeE4wm7XUTTtIzGPmVZYJp2fwloFHlFEIQYetnvQRuauusxypL2mKYpcRyxWq8oS9hsdtR1R5SkeMEI0/XYxXHP9WjwgxCFlhYEy5vEfdElh/7QDVimzcPDwydBLAaj8RhVU/nHf/xHXrx4yXQylcO67dANk8PjE46OjinyAtsyBf88GaGoYDkGcQ9Y0nWdg8NDuk5hu9uy3uwwDRPHranqFseTJM22aanqjqpuaZoSz3Uo8pJvf/0thim4Z9txUHWxUymGaDKargZNgqqWyyWOHxCGIZpps41iifm2bTzbR9V06rYVJK4Ogqh12W0F+BMnCY5l4nkuYRjiujZ397coasfZ2QlKJwmBqqJihSZ13RJHEf5I3hvTEqveZrOhahq+mf9Y4ps1nVE4osxzIR/Wkgg6HY+pAw/D0OkUhbptKaqSwJKxfZHnlHXN9GDGercmSXYsF/ccHMwwHYPH9QrTNntxno1SN8RZhNmPtQeMcds2+J5DVVr78By1V9y7rouq62KVQyKao82WRX7HeDzhYDqjyBvJW6gNUBUM09xPqppGgofKcse//bf/rv/uXwgzw7Up61b0EppG3bQUZbUXDw9nxXBJDgXAoB/4VGRomuZ+5QwDBlnbFwQfg4NahmwYVVV58eIFjiNTuptbWSVatsPy4ZEwGDEeTeT8UVWagcGgqtQ9/2IIqhrccLqmEwQT2lYjS2KqsiBKIkyjwfd0qjKj7WoUpcWxPQnZazvaTtlrCYbnKYGSam/9E9wwQFO36KoQdgfU8d6iqMiKET7aM4cLfFixtG1LkkZE8bbPanE/WSnU/dqlkxX8JxgAwzD2BfTvrRiIdhviHp273cgD8D0XyzLkS6h1xPGW7U4oSucXxzR1i+e6e7W94HfBMPR91Tek/m23254Jb/0W2nKxWFAVBbvVmvs0ZRKGTA8m5FnGfDbjaD4nSRKurq4Yh+Ge163rJmEoLPztdgtI56AaYvVSNRVNlerUsi2Oj05ompY8K1ivd5IWhYLjCDDmq6+/ls7F6cVgHaiqxEWORyMRr+iiOrctm8WDCN8MQ2e1WmJqGlmakqUmeZagawpN05LEO+7u7tBUlfEo2F+k08mUIisYsg9Wq5VYCRVFhIeKyvzggDgWL/ny8ZHH5QNN2zLux73T2Qh6kEddNqRRTFWW6KpK17a8+vwVt7d36LrJ1eUNi8WSNM0xTYOXn73k9Q/f78mDdV3z8uVLRqMJt7e3fPjwgZubGyaTCdPpFNq6T7Bk/6VP0xTLcWgA1xP/+2gccnZ+yvxoRtc2fbymgm2ajMdTkjTZHyq246ABdVnhuZ6M/b2AH/3oa549ueAv/+I/cHf3wMWTC5S2o20lY+L27pq/+It/z5/+KWRZytHxMdPZmO1mSxRH6IbKN9/8iDTPyMuCzW5LVZc8PDzg2Aahf0ie5RiaxuHskHE4YbXdSfHYWy4l6e6AyWTK/e1tn7KpUVaSI352egqhiqZZGHrBarWmrDLCsN7nnxumjqrKwZAmKU1n4nkBluVgWA6KLkQ0VTcwejrneOSjqR2r9VJWPh3YlgWqAI80TROPco87HuJjNU1jPJmw6O2nb96845/9s/+Oz1++6qcaBZPpDEPXxbal6SjA9GBM0zZousbB/Ii/+Ztf8O//9z/n/PyCH//hTwD49T/+mvVmTdMqVHWL2XZ4fkAUx2i6geMGGLqGa8sE8fLyktPTw33hWBQ59/d3eM4QjgNaH3ec5TkNCllRQZ8pEo5GZFlOlhdUSgVtjaY0uI7Zi9QkRtZzLO5ur3Esg+l0Ig2HY/Hq1Us+fHhPWRboSouuKiwWj6Rpyovnn0HXslouWa3XmKaQUs/OLvhwdcVuuyXLc+YHBxzNDrA0nTRJUOnI8pKmbvADXzI8qqKPQm9ou+63uuTlckmndjiORAvvooji/TsUXcdxHeIoQzdFK+SHAShtvz+vcX0Px7HRVYUi1zE0VTRdZYmma9RNjW0Y6IZB2KeVZmm698FnaUpTQVNVNLWIGGUdUvSTrbxHzMvk1LYdNpsNcZxgO3ZP7VQ/Wq77S3u4eIazXYTK5f6y/5SkN3TIwyX68QL8bUuinCfNvrECcbbN53PyoiQMR73WC54//4zFwwNVJdkbqi6WwrKuSLJkr3MbLM7DXVP3tnbLdol2W7abNXlesNnu0FQX17FoWpUsi2nqhDA0URR5bJ+KCvdnX/tpvHNP1FW0vXNnaJSGfx9aVFX5LZCQfE6GwkDpJwgKmqbvp8WfdvyDOHMoMAbh5mBb/V1+/c7FgGPbwovWdZI4Yb3ekmU6j6uSh4XscMJRwOXlJVmWMZ1M8Tyx8S2Xj7iui90njaWphNg4PZWt6yR+eFBiHx8f9+EzPWinqmmLijLPWdc1y9WC2eGc8WQEXYOha1ycn8n+6PVr1svtPic8SVJMU9LV8qLAgD5nwMTQzT521SXPCrbb3SePKydJUg4ODvj888+loyxyLq9/4NWrV0ymB3T9m59l8nu32y0PDwtM0wLBY9B1EoRCUxM6poyugHEYUDc1l5eXYhPqC4ndbice6tkUXdPI65o4ikiTRIAuRUEYTihL6Vp1VaPu//dy8UhV1zx9+oJ//t//czQdrq/fo6oQZwlxFGMbJlVZ8dmLFzx/9oyyjy+eH065vxcoSZZ1RPGaspRwoTAM99XvcrnEsqxeMwLj8ZjNZkNdFRKo0xdxruvy2WefkVclpudwenrKZ5+9QNUkwjoIA4ok4WGxQFN1NFVsoCgqnudCL95UOgFpFEXBxcUTos0Wz/Woe5iIoZssFkumB2NQoGxKdMNkuXzgL//yz/mTP/mnnJzOewuoR9Vk3N3e4bieWOzoUDSV5Xol4A9VJU0SmqomcMbcXN9iGhau45CWBYpqSHqiYUIH282WtpU9Ikh4VlGU/PKX/8DLz15hW4LwPTg4wPUs8izl+PiE9XqJbmg4/QV4cnJCUYl+YDQ7oKgq1EZGnX4QMpvNGY98At/h3ds3FGXVOxM8UBWaOKLq89UVRaXuY2WHg6JpGjbrNZZj7zkef/EXf8HbN+94/vw5R0fHqF1F0n/+qIUQZ5oaii76i6Jo+Kf/9E95/vxzdrud7GZtm7PzJ3z/3fdcX1+R5hktKkVZ43kheVHz5q2sFjU6Tk9PqaqS6+tbNE1jOjvA6O2zaRpRNRVFURKOfI7mx6iKyeNaons10yZKUsLRGEVNUDoF27BwLI26kIyDpq5pKdGrsu+QGpq27kFjJpZlUBQZiqKwWDzgWR5VWXN3e02eFzy9eMIoDKlaxGkRxTwbjfjjP/5jDo9P+Ku/+s/c396S7Ha4ls3x4RGe61HkGU1dMpmMUVQpYopKYoF10yDNM0zb4n7xwC/+/pccHx3x8vPPSHY7HMvGsR2KSkTMmmExnk7p0HENAwr4cPkOSzNQ247RKGS13VAXOVeXl/zo6y8/8aFLsep6MlmLtlt8xyVXVVarFaauo+sGKuo+ObFra7pGw9Q18qqkrMq9ZscwzH4VJ+LV25tbZvMDcUEYBqquEYYeklHz8WIcLqJP/zt0rIPA+L9U4g+hP4N74iNsR3z3g71vf8EpGqDRVLL2VDo4OTklyzLqpsHseTGKpuL7AXk/GRicK8N3I8sykiwhDEf9VCshGAV0nYimm0oBpUFRdNpOYbuJ0XQdRev2YU57cWDboSk6dS0kSFXVsW29tx3yWxZL27ZFy9ZUe+3BUPTIOVr1BUHHRx8CgPJbxdE+XAlQ+4JrKDo/nbT///v1OxcDlmns/7eh60xHY9abNWVTYFkGt7c3LJdL3r9/J9HGxydYpsVf//Vfk2UZP/vZzwA51KNou7cfDdntruvyD//wD6iqyqIfyeq65GE3eYmGKDc32w2r9ZLlZkVRppycnuA6Lnkhdpz5bIZlWKzXu30Ust5Hj2q6RtNfankfpKMoCtPxiCwvKMpSCFSq+NpnB3POL84Fgem6VG3D06dPmUynrDZr0UAUpYSn9GCewPfFY93V6IZGVQmuuVMgWu8IgxDD0Gnbhqoo0FQBF7VtS+D70LV90I1Cp2sky0jQs7omB04jxc/q8ZHtekUUxyyXS3zPFxGTZfPu7Vs26xVPnz6hrgugZbtZS9JkscJ1HI4PD/nhh+/pVJ3pVMKHPM/HMi1W6xXr1RLbsvjpT36CH/gsFo/84hd/S5LkTKdT6rrmyy+/4IsvXvH4+Mi7t2/wPfFTO46D47rMZjO2UUTWVJiWgeM55EVG3ad9rVZrFvcLVFXDsuSLoekmqmmLulwBTdXxfJ272zvKqubiyVNB/ioao/GE2cGcbbTpd5ZNr7eUAme1WvDLX/41TSP54qPRqH+Mx9iOz83tLWXdUOYZgeehqxqrxSNN1fD8xQtmk6n83LrGHwd0mUZR1hi6TlOLUO5x+UgQhOx2255tbrJcPeI6HrZlk6QpSZSi6SqbzZbFwx0nR0fi+e8aQOHp02fUTcPl1YLlekujahxfXEhIiqrQ0HJ6dsr97Q3v3r5ms1mjGya257PoqXKmaYMilD66WtCvhoGhazStcDUelwsCPxANS79T321FDPjk7IyrICQMAiaTCZOehFepLVGa0jayH+9ajcl0guU4wqhvO/zRmB//0U/44utvuLu7Ie27sKIQnr9p2dR1QxxvSdMUx3VFrKsb5Jk4CQzTQs1TxpMR6+UD2+2OrlMZhTOxLu5ixrO5rBKbVhxAZcP94y2z6YgiSwh8l65tRI+zKbBssZRWdUOSpqRpwrSaEAY+0/GYm6sr8qggDEccHh4xnkxYrdcsHpc0iipkVdfl85efi7bC8ziYzfBsm8fFI99/9z2b5Yrnz56x3a4pywwv8LEci8fVgq5PQh3ib5tWmA1/9Id/xO3dLbvtjngX0fng+SFKIwC3bJcxm1uyauha8iwXDHFekKbZ3sZ7fXXFzc0109mEs6MTPN8gTlOyLEc3LcLRmKZt2e52ohVqGvK8wHM1mrZjNB5BpNApQnGtehqmZRpUZS3qfFPi2VvNQNMUbm+v2ey2zA/nBKMRnu9jmgYqCqauoSpQlVDykeM/dOLSKcul9pHi93Gt0A1iOoQHM1BMnT7pr66ly9c1mcjquo7r6LSmaA+qosBxXVAVWjqquqZX7eE4DvP5vCdyRnRtrzuhQ9N1fN+Dru0hciF1UxJOxlS5QbRZYRgalqnTth1tq5AnKS3C6RgCjfYXOR9DizRNQ9M1WR+3LZr2iaugz3dQNSl62n5yQUePPzaE9di2qGrLQHMdJiyfFgT0f6LSqRiaJgLOZtAO/G53/O9cDLR8pDCpuoKiK6i6itrpbDZR3zGavHz5hXiHDYcoiinLmjyX6imOd/t4TEkCE5LT+/fvmc1mzOfSwT08POwjNtumQatFQQuNKDsNnc12A+9rwtDFNFT0XrhY5AWWaTCbjrAtgzTJUOhElW4a/QEsE47Zk4ve+62S1iWaZeK6LovFgmefPcPzfMJQLsk0z3AdkzhN+dW3v0YzTI6OjnB9sZO0dSNKX8vAMhXaVj4gSV3TKhqO7xHvYpquw1Dk4kKRFUNRFigKbLdbTFNGmpqmsU52jMcjJpNxH1j0gKYp0NXQCaSiKnLoGh7ubwlcnyRN0bqO7WrJg2kQhD51W/cM8Abbsfjyy1eMwhGO4+H4op4eIoYPDg7QdZ042rF8fGQ8CuTD11Q0VUmepSSJyR//8U85Ozvl8XHB7GCMbX7Odr0lT1ParuXi2VOyXA5HV9XI65y8rrE9F12RUWUUpXSdSprmaJoURCPPBd2gQwRQUZQwGo0JxhMmqkISx1LQKSqnF0/41a9/Q/74QBQnzOczmjRG6VQsXSfNUhZ3t1wOPzOJOT05oWk6CnR++P71njHghyPm0zlNAb+5+xbrboFpOZw8OcfzXb77/jtG0xmKIumcQegxGvs4jsXjwwOaJla+1WrIdVB5XG7wfZ8nz8/ZbNZsNjm6oUtH6nn7NEHTNNlFO9quBqXlycUFB9MDmq6WfPeuIi9bHh7uoBVEdV6VqJYDpk3VddiWjak3aJYr6X9VQVWVNE2Fqik0XUVdVaRRh9I0lGXBZr2hcB0MrcNWOsrRmDTyWC8lKvv4+AjVgHA04vFxQx7nTGfHVFWH4pocHB1yd7dgu47RdYvRbM50PqcsUpbLJUEQ8P79e1RV5eb6kuk4EJZCz/O/uLjA8TxW6xVpEhP1Lo7D+QHnZ8fEaYrTSkCP4wUYlkuSZviOg63pRE2ErsHD/T22YQrPXm9RdRd0jbJpsb2QtirpWoUsz/HcilKvqPKacTAlTkpQLUaTEW3X0qJy93CPoqocHR3jBwGmofHX//k/E4Qjzk6PSZOUZJdydXnNzYcrXn/3G54+O8MPPW5urjg9O6arK7quQbVk9GtaDoYCpq5hGCbz+RHRdsVuuwVVY73ZEccCnXH9MWXZEsebfnyscX58xuLuDkOBtpEU0120Q7dM/u7vfkXyouTk+ATHH6FoBqg6lueh6CpZU8lU0PMwLBPNMmnrhsfdWlZyXUdRyYpW7VSaGnRVpy5KVMDUNLqmIom35Hnar8ISDg6PODs9w+nH20qHaBQ0Dc11idNIOmRFprG6YdK0DUrX7q3Hv52ACKr6kaKoKC1dV1NWBagqiq5B3QnuF6jyDF3RsWwDlJYsb9isHwnDEUWPFx+SR6WJ0hmHIddXNxRFzdMXz9EMhaIusA2DsigxdQM8j7TI6bQcRVMhy1jvdtiGjm/bKL09sql/myY4TEDEJ9ijjftpgIJYCGWnL79FQ5dipR2mJmIeA1A6ec00VQOtQ+9amlaKD/qfN+gC9sJFedFQNFXSgEsRL+rm7xk6tF6v9092wPZ2XYdlSXCR7/t4nrffi202G0zT4p/9s/+OssyxbUGU+r6/BxUtl0u+++47Li8v+frrrzk9PWX5+LgfpViWRV1V6CpoqviWTcWiMAo6Om5vblmv18K77sdQr169Yn54RFmJHxpVhC4DRMhz3P1jr3tSVFGVjCZjTMuiLEuePHlCEATMZjMMQ+h50eOCoipJ0hQ/CAjHPazEskEVTCkMdCqpVPM8F6yw51H3qWNRHEHX4blOb8WhF9HRayqUfUxo0zRkWUae56zX6/24J3cywh6Sc3//QJ6laJqCYWp8dvKcpmm4u7sT5XYwIkoidL1E1XS++uorfNfD83yOjk+omgZV0zAtF8+zyYuELoejw0Nsy5KY2jzn8vKStm15+uwJP//5zzk5OSZNE8JRSFHkZHnM+cURmqZx/7jAMBVM28cwLKoWHIQUZtsOStugqTqq8nHPeHBwIMInTUE1jP2aJ8syiqIkCELKXMa7s9mM9WrF4mHRj9ka4jjh4OAAwzARIl8ne29F4fr6ek8DFBa6TZyWbFZrLq+vcb2Ak7MzHNdludr0AJUc+s/6ZrNhs9mQlRX/7J/9d9zdP0g6X5LgeS6X/YTLNM0+E0AAWo6T8/Lzz3AcG8vSWSzusSybtq7wPI9Xr159gnAWm9JoPCJOEh4fH1FNFd3U8IOAt9+9ZrvZ4toWTVfvAU9dh6zBelKdrutYtkXV7yGHbiLPcwxNxzStvcgoDCU5zbEt2rZgt3ukqpI+dCbi17/+O6YHU54+fU6aldzdr9jtYuoGgtGIbbTFccTaF6c5bVNhWy6K6nJ4YtE2DWdPnmKZJsfHJyTbNbc31/h+ha7bXF7eMB6PqduS2WwGNCRJhOuKbmYymfYsfJUgCGnR6GUSVE1DnMRi1ZxMaJuGJIvI44TdbotjWZJzYTt0hsHD4pbxKKSsalarDYHvycTNkLWSpmmUpURxn52dkeYp43GIYVpiKa5bymVJR8soHBOORzxRddIk4v7uiqurKw6P5/sRt7zuNbtthmEanASjXmCmstvuSLIMyzQxLRvN0GnajrJuUDXJd2g78CYuaRpTlkU/NpezOMuyfoesUVctTQvfffcDy9WGr7/5hmBkEicZVdthOobAuizhWoituGM0HjOZzfrvV8H5+Tl5nmNq+kebHNLda4Z04mWRY+g6eVFSVhVHx6cyJWtahtCej0JCuRuaOutR1MN5K9PQwWI9aA2kGFD3598A6LIsi06RnIVBKJtlmVBWHZc0Fp2SruscHMzI80y6/zQlvr7GdSW63DAMurbFskx++pOfsN7EZGUOKHv8vHAPwDBNxuMJbDdkXSsckg6qIifJcnzHpm0lvEjt1B469ZFZo6nibvk0XKjr//Cua/c6sAEvXBXlXi/waXEhKxPJXVAUFY3ekdIn5BZFISLXXpRIJ1WEWDfb3qWg/FfrmP/TxcDd3cP+RRMBhEaaRqK6ND+O+gfVaKU36J9MAYQ3XhDH8f7vmabJ6ekp8/mc05MT7u9vUZUOFdn/6LqObThonXSSVQ2GYeK6HmUpX8THxRJh4+u9t/XXfIWKoml7Ap9lOYxGYwzT2FsYB8yv7NdcFF0iIAfMbVVVLBYLZgeHrLcbJrMphinhR7ph4Icj8jQTIIZhoGqqRGrWYl2rakk783wpkIYAJ9dxCUchmqKgayplWezHZev1es/2/tQykiQJ2+2W8XgsgBLNRDUkWcu2bR4WdxRFhqb5+L6gSAfXgqoKMW8bbfnyiy/x/ZCTo+P99MFShT3guS6GKYXc9999z5sf3rDd7qiqkvV6w3K55OjoiP/hf/i/MZ3OiOIdbdcwnU5YrR45OjpAUxU5zD0Ly9JouhZV6zA0OTBGo5FkRXRQFaUcPj3HfrAkFkWJYzq9kAZs2+L16zfousH9zTXTyZif/uSne5rh6ekpeS6JllVV43kBSRJRVTISHQhy8/mcoigk6yIr0HUb27I5Oz1ju4vIkpSuEWDIEDbUdS2LxQLHsfA8j/HsgCdPn7B4XPbCPNn1eb4E6YSjQFYFhoZl2hzOjwUbHEe8efNDz18vSaOYsijwfZ+LiwvyPOf6+prNZsP8+IyT42NMy6JRml4cuuS2x0w7js3d4k4OFF3fMx3EBitU0EZVaHuUdNvWZHnVu11mklK42WJbFpZpQdthGyZtU1A1HYpSsdkUhGHI/f09NzeXvHv7FlUzefvumvHkgKIoOTo55eTsgulsTjia4jsWtDWmqfNwu2A2nWJ6Hq7vk2dyQE9ncxmHKxK0VdcVpmlQVikqLaahEQYeXdv0BL2YPC8py5qqanG8gDiO0XV5XyezKV3TSvqb61JUOQ+LR4o848svX3F0fCwFY49WDsOQtuskKbBtSbIcVTdRNHWv+p/6U2YHB0TxliRJmEwn7JIUUMnLik7pyKtSuP6awXa7QtE0ttEOVVd5/vwpnuf1YV1ik72+vqFpFOZzcbWYpoWqm6hqy2x+SJpkaJrBy5evWCwWwmWwnb5r/tiAlWXROxfqvnjU6TqVqk8YVA2L0fQA0zaJk5QmL4iSmMlkLCurJENTVMLQpms7Nv16Te/DqFzXpS4rsR0nyf6cHM5KVdFIs4LZdIpumDiWtScLDi6WpmkIggClF8SFoUFelpRVI5qIrkPrRXWD0HgoAj4l9cFHe2HdttBrkYaLT9d1aKWBGkR8g0i9aVpOT0+p65p3797x4cOHfdZDW4sl3HFsNNOgagosy0RtxAVQVhVtLSJIx3Fom4bK9dBUnULTWS0egA7fs+GTS3YIiGpVle6Te28oaoaMlk9Dh7Is2xcFn9odh/UIqJimhaKI9brjo9OgaYV2K5TX6rdWLfLayZ0omTu/HUf9f7oYeHLxlCyTy891hDfeNi1REu2f+OPj417UZBk2vu+zWCxQVXEQ2Lbdx8LG+1S8zz//XGwWTc35ySmXlx+EBKfK7kNRoClK4niHZUmYR9t2aJqBbbu/Ze2QoBgJMxlPJ5ycnZJlOaZp9wdCJB5NXd+/gK7rslg+YrmCjz0+Pt5bP6qqAlVhOpuhahqqruHqGi1yaRmGIWOcnjz18T8teS6JZwpgGD2IQxFLi6qIXaYsiz5MpmK73e6jP3e7HZvNhiTK917mIBCnQZIkFGWBoRtYli07PktnPj9lPj8U2+euwTC0nojnUsctp6fnvHr1JYcHc5aLBR/eX+GHHsHEQ9NUtrstuq6x20W8ffeGNG0IQ0ksvL+/4/DoiP/+//rPGY1Cfvjh+541P6XrahzHYrOOsFyXcBSw2e148+Y1tutyMD+iUyRrQCJGdbROoaiky4+iaF8I+L7PZrvF9uVweXxcYlnCAb+6vOTtmx+YjEf8wY//QFS5vaq4rkvWmxVJEhPHiXxx6paqEuS12zMnnj171lt6OmzT5fDomA6VN+/eUZYyfttuxVkg4UQqk8loz0N/+/Yt/+7f/e9sNltOzy4IgoCrq0um0wnr9ZqmqUjTGK2fSNw/3HH/cMdq9UiapYRhAHR7AdFwwe92O0nrQ4of23EYTyds4i1v3r5msZAJiGkaRNEOo0c9d0DeHx51Xe8Dt4o+OEwOWR2UrrdDtVSV0D+bpkFXNQxd5/H+AceGMPTZrmNx83QNhqaSF6XstVE4mI0oSokmt2wTVZEYnjRJME0H03apq5K2qsQHTYehaWzzDM91aZsawzLxPR/HdfpsDbHJDZ3kcpmTpQmObfRecQtNlxTA9WqFqkm+fFlVjMIQQ9f5/jffMQpCLMfh8PiY5eMjb96+l7jlrsN2PVTdQNUMqrplPBIhbpYXmI7ObDplt9tBodKpCsvNiuXigc1mTVGWjCYTcS7UEmRTNy2W6XJ+cU6ep6w3y36yJUmom81GGAW65J0cHh73NuSWoqgkD6W3gSmaznq7o2076hbGozFl02GZJrZtkmXJHm8cb9eYuoam6ViWTZosKIuWo6NTRpMp88NDjk7OSLIMswHbsciSHXlesttEKIogrEEhyzNUVaUsyz3rX9M0aDs26/XefjdMcne9UHY0nhKEI/KylHyIoqTRtf05tk+xNAwaJADJNE3yUiZOhmlCv/ceJgODe+zTHIhPccyqroueoVfFD9MD1VSpumpfLEmRKaLH+37CvFgsuL8XCNuzZ09ROug6lQ7Z42uGjaK2KLWI/+r+Pql7waLTp28auiH6M1WlyDLyoqSj3l/OQ0EAsvsfntunRcHgGPitC1jX0TWdqs/A+bQwGibkmiaXfNvJz+v2f566L6aksELgRopC00BVlwyo/d9rMTDYTHRdPPrX1zdkWUEYhOh9gMoARjAMA1O3ePfuXW8jOmbUd02+7zOZiN1ltVrtRXyaquDbJhcXZ3x4/4Eyz8iSCFWR/dDAJzBNS3QAioLjuL3tQkYjw6hW0wWEUVQlpm31YTJ9JCgfQ5eKouDh4QHX8zg6OiIMw/3ko6oE7jGES6iqxBZXdY1uGORpjGtLNLKMbVqKosQ0FHRdlLiqKh/SJJGpgIxx5ENTFjlZmu3TEodo4V2fBiZrAqn2hvXBUAGve/RwXpikaYxhqBwdHxAGPnGc8uLFMxaLFZbpcnNzR1XXnD+94O72nu16u1cUdy2URUWW52y3G6aTKVEUASquZwsH3TA4u7jgT//0T8UnXRaMxiFNU2MYmqjadRUFlTAc8/79e1AVgmBEEIYUecE2XnJ0ega0MsavJKp4NBrtbUSf+mGl+zP3U6bz83M0TacuC+paCifLNLk4P+fm5obRaNwXmCZNI3kTTSMdWFlmlGXJ3d0dz58/Zz6f47k+mmphGSZN2zGfHXB5dY2KTIourwQv7Lo28/mMoihYLpdUVSX7aUcmYKqmYhoGSbzrVwEmdV3heQ63t/f4Xojv+8RJjGFo1HXJarlC5yNvXFEUvvjiCzRD58P11Ud4lyr58ev1Gt/zebi5I5jP0XXJvsjznKRftX26Mxymd1VV9p2U3sN6WrI8o677CNgkoc4L8iRlOhlzcT4njRPKssKyLOJdxHQ6I44e2W4EvtOpClleEicxdV0R73ZEux1RkjMaTZkfHjOaTASDu9KxbYfjk2O60mOz3VJr8j5H6ZblZoHruJiWSVnQh+z4jEYjsZwaOlGScDKd8+HyEt8fkeUlhtFhex5aB0V/3miGTlGVnM6P0QyNIi+ha/hwecX56Qm7SCyOk6nYWbOipCplbdY0LWmWivrcMrEcm8urS968/q6PJ85FXKYomG1H2bRstjuSpCDSEjRd5+j4GMOA6WSM73vESdSv+iTa++TkgslkSrRL+sO5o+0PA9txOT2/oCorVFWn7ScB6/Ua0zJ4fFyQ5wJoU7oaU1NQFB3X8Xn+7DMWixVfffMNJ2dnwkDRNW4Xj9Rti9n/rN0uEueRJoFxju1gOiaWqe8jpzebjUw5+3NqsLjl+UCQlCAhyzCkGHVdNE0nTVPZUfefu4EdgEKPtq4xTBE2yw69p4z2GSafJi0Ok4XBUjjYExugzLL9FGL4+4MAbzgbh3vAD3yUPkviz/7sz7i5ucEwDFbLFZ7nomnmXrStaQqB76PWYBqm8AjahqKu9vdNOBqTpwmGplPofQH9eIuiVP/V5d62Ldonzgngk8JG7f+q7S/8uq7lvemjkofXYniug0NEtgAfpybDfZbn+f69ouvQfks+oPSN8u85m8D1POjY6wXyokRVNabjGb7v0nXg+8F+5PTh/WU/YrX3F+zwoeq6bl+JDql6TV1TFB3dtuVgNiOKdqxWKyzHZDwKMTRTDjfDwrEd2gaSZI3aYxzrpt4Tr3TdoINeJRqQZTm7bYQfBmRJQlmVFEVJWQrR6fj0lNlsto9bHdSnqqaBblD2lKy6FR71YIGR8X9EkeWy/zNkVZEmKbYjY9A9glJV0BSdh/tbdE1jt91QFhKb+/DwQBRFMnXpVy1N0zIOA6qq7iE3MbZlsVgscC0HQw+Ioh26rvDixQv8wMH3PTRdp64ajo+Oubq6pa4a/CAQy6IuKxBnMqHrYBdFpKXGbHaArpnEccxqtSUMJyiagWlafPPNN2RZimVZeL5H2o+2bFtGh20jGfSaqpFnBW0rQU73dwu+/fZ7lqsVR2fHfPn1j1g+LvEcH6VnNARhSNPrK2TMVvYc+hrbdsXrb5h9kWajKxDtdvi+TxgE4tJA7GN1XfP69fe/VcxF8WYfJR3FEW/eveX5s+c4tktXdcRxTNMNQTo+eVFgmiqHh4dE0XYPVRpPQg7mByzX2/0Xvq5rykJomu/fvaGqKl6+fMnp6RnbrRAbfS/AD3yOmkOSJMLzXFRFIU9yxuMxs4MZDw8PbDYboiRmNj2gLEqqsqCuCuIo6tdJGtPJmCxNmIxHsO2Is2S/XknTlMD3qeqaPMsIfY9OHQhkgqs1TasftWZC5LRt5tMD1otHsizrOQ4qINhxRVG5vb0jzQuSJCXLiz0qrWlbDNWi1C2qsiBwbXS1o8wSCsvE1BXyZIfS1ly9T4mjiBawA59dtKEocqqqpigzEY26Xk9oU3n+/Dm/2Ky5vrzm5PQU0zTwXI88L/C9QCYbbUcQBviez+3NTd9tduyiCNMW/YRCy+F8juc5VEXOOAjQDZ3dZo1lGaKVcByyQooCy5bLZ7vdYlomF0+ecH56ymw+p6wFFqZqBlGWoWgGaZKzelyhqypVU+8Jg45js1w9SoR7nu0P/rKosCwHFIU8y/CCoE9LlIu0bTqg75JVOZYHyNWQeqgpDavFgjwradWWMByRZxVN3RKOJqRZTpymLB5XBKOQqm77aUBI17TyeXUckiQlyRPGk3F/Gcsuf2imirzoC/WGvMixLbunlkoBq2satC2K1pEmMS0dtiOTVTn/VXTDoO4alF5LZZo6KBqKqtDWcmMN/Au5W7rf2r0P94hpmqT5RzT6YOVr6hpDM6iRqdKwb1cUUAbOQduSF0Xv4DI4mB2g0LFabWibBl3XeuYN+4bEdV1JL2waslwQxYZpStKsWaAi4r7xeMJqdUfXVfsCqOskHAgG3z803ccOvu0bgE9TCwFq3RA7Lx93/LL3b9BUmWTwye/vEKHhkI8wTAnoPrU6KqAMxcjvdsf/zsWA0V/iSq2iNzWe7wEyYm5bsTK4jsQLb7dbmrrls89e4jiWqN77kS1dtx9h1lXFZDSS8WVZEo5HRLsdRVmAogkNLcsklYGGeLelaVp0pQMdOtNE67q+WzdBU7Fsm5OTM7KyoGla4jhFVXWOjk7xPIf7h5ve8qJiBi6jyZhaBXQNfxSK0rbv1KM0JZhMUdpuL9Ro2xYVDUPVMXShtFmGga6CZZqkacR6veFZOJbOXxckpqFAmedE640k0NGyXq94eLjHcb19uMhkMukLg5Isj/cfnCCQcZXrmai0tG2OYeg4js3x8TGqqhBFMZcfblgutyRxzsX5M169+or1ds3B0VTS4LKMVlFou5ZgPGY8CenoeH/5YS8SLaOK+eEFX//oD3E8l7ptcXyXTlVoug5N1dlFMaYhUZkXp6cUWcFf//Vf8+tf/5osy/jmm2+wbBvbMPnmiz9AaRSarETthAWAoVI3KpotgraizJmM5+RJTJqWRJsIx/HQFBXaDt8TQWdTVRRlTpKJQl63NGZHBxR1iRcGUmlbDq4fsEsjFFWjVRQaBbZJzGK9xLZS5tND6BSu3n8QIVbdyERJUfACh3Ds8vz5c8oyJy9zWXnoBkmScXJywtdffSWHY1HStuB5AV0nuoUkkbRHz/e5vrliMplgmCZRFOGHI5q6oaxLHleP/NEf/RGbzYZWAd2weHxcYupLDmdjmiTi6dERq+WKtsigg816zWgUSkGepJiaiuLYzCZj4jghpUNTRXQHhewcESGhqZmotklcJziWRdOp+JMDxpq8FxLRKocWbUee1zSdQlkJUbOqGkFRKzplntE2NWWWoGkGp6cXKHVJV1cYqkZT1Pzth7+lbiXG+OBwTn3/QBjKwazpKm3dksUxM1/Chy4/XGIaOtPRFGU0gbYl2W4ZhyMeHpZ0dYehGugopFFMkWY0dc1oNJKimw6l7TiYzsjzHMtyMU2XPC+5u7tFUzt0BXQ9oOkDcMqioaoigsDvx7owHo+YTsccHR5x1DNPJHSrxrEsGKtMJ2N0XWxkpxdnbFYL6iqlahrGk4nEHZcSH12UNaGmoamGgMqahq6uwVCo8goUBcMyZZJV1XQN+7TLpql6wWBHHGdoqoVhqCiKiOvOL87QDIMP798znkyYHhwwnx+wXK2kS6wbGrXPIqk76lbBsF2ieMXDwwOWZcr0SOvQdNk1S5ZJTt0IgyXNdiwWK8ajKTevr3nVfAFtR5amTCYipmyLls7WQLdFD2GoKLU0aqgKSqf24+6OVlXQDB29D1vS+4tQq+UizfN8/5xlilpSVXVvNdbkz1SE42+aKnXdoSgyuW6ahrapUDsV27ChhUor2W22uJ4nWPqyIvQDiTIvSlTDwO+bD1NRCWwHre3YtZCUOQ093bEzqC2bsqrwR1NQYLl8BJH2yeOiQ+kn0Eo/HVE6odw2XUfddSh9Uzk8P1Ov9wwfXdOwTOMjoZAGug6l/chrEC2iRqeIA0Pr0cTyuRqKEXEswO+GIv5vKgYsy9y/UUOM5jAG2e22jEbjvcK5aRqeP3+OpilkWcrAFHddF8s0yXoRjGEYjEajPZs6iiKauvdZolDmBXVVk1YisKj7v1aVvFBF/4IpPX3NtC3M3q5o2xZNLSFCYTDuXQ8bQGG93TKZTRmNxziuw3YjYqEO6Q6yLBP1a1PTNlLtFXlO2fO2PdelqDOKPMOyTCpalP5LpGsap8cn5FlGU9U9HUtht9mSJym73ZbrmytApiQDaljEYc5+75UkCW0vEBl2QrZt4bqOKKWrmqaR8ApV1cjzDEVRieOU5eOKs7MLPN9ju90xmx3w2WfP957YT9nYUZxg6Dqe6+8RzZpm8OTpE5q24fLyUsbba9nphb6PMRqhGwa2Y6G0ckG8e/9+D3gChaOjY5lymBau65FnOWVRihByMkbTNBaPj2R5LqmEus6HDx+4v73D86dkRcnN7S3nF08oq5rx1GQ8GrFZrfjl3/2Sz14+x3WFta73quODgwN++OEH8jxnNBpJ5kU5iK00dtuIi3MdVdXQDUnym0ynPNwveHxc4QU+cZFR7nJUVSdOInRdYzQKKaoGx/VxXR/P8/txnsLp2Rm2I+9X13W9YNXi81evWK1WXF9f71XR0+mU1WqJYRhsths0TePt27c8efKk1xzUvQ8Zvv31P4oz4/4ez/OIowjLEhpekiT4nkfXNWy3a4xeAyM7Q71fo4hAUlXUflQoOpimaqjqBlVrWK9lKjcKApTZmKppqNoWw7IxTQfbcjFMk9u7WzRVl/eqECeQQFQkj97xbHzPJc8LyiLj7vYa23FYLR9RdI2ua3BiG1XVSYjk8lYUmv5QTnpdiuc6oOu8+eENo1FAVZUEYUAQTgiCgCwrOb84xjCtvQqepiVOd3ieS1mIGGw6nRLH8R4jXNcVnu/LId1WklQ4DpmOJ2hJQdx/9yXxMaAoZCLVAXd3d/vRbdM01D0hr24qbNuirhuSNEFRxY2QJKlM6DQNz3P7IlBixl3XFyhVWaBqQqizLIvReEKHimnbHB4e8XC/4M2bN5RVQV03uK6ICeO6Ic9EAV+VaT+Wt3Bcm7KpieMto0nIpEdIO7bNevG4X89alsV6vUbtITxNU/XPOew1RjrRJu4zVnRsy5L1Z57huTae53B4NOf9ux/45usf0VYFu+2atq05OTsTjRdyDnZAp8qKSpL85IZS+jCegZ45TF0dx0U11P36bNi3F0VBkZf7SQH9+6QqA7FP6cWzzTC46i9hOb81VSBmruvw5s0bsizj+PgYz3P3XXRZlhi6RtmvF3VNxTR0xqMQNdVIMnEsYICq9zqCKpeU2rIUEWsnAXBKXxAMv/bCPnqXABKeNBQCbdvS9gLCQQw5TAb2E4JPbIsiIBf+jqZqmJber8pb6q6DTwSJoP6WIPP3Vgy8f/d2T44qCglUieKYupIYX11TuF0+ir+xbXhcFBimvqdGid2uFFdBlu2FKYvFYu82SCL5II5HAa5tc794IEtTxkGwRzgOlr1hnNQ0DVmeo6gqVmnjei4Pjw/YjlTlhqGx3a5pmo/gFc/3OD8/F1tcr4Id7CxVVQmsSNOwTHPPDzANgzRN5flVNXVdoqkObV2hq/0L3woMhbalqeWDuHx85O7+nqauWT+uUJSO+fyAthPcpMBn2OMxB6vNkIU9mUwA2aMP/7ztD4W2Tx/cbndkWUqWFdSVTGRM0ySOIxzbI80SokjgRcMYarsVAMx2u9mHnAwiNMeV7Hnd0Dg4mNJ2DWkqBERDUzFNnSJP2W1zvH7neHJ6xsvPX6GqKv/m3/wbHhaPrNdr4izjX3oh4+mELMvY7bYczA8IwpAky9iuJUPiCS/gKgAAfVJJREFUw9u3HB8ekCQxrjfm1auXfPub7/j21//IaDKjqEpevXiBaRj8z//zd+jvNX7+859xdHTIfXvP4eGc7XbNfD7bMypsy6YoU7F/AnVVs91smX82J89zvv32W+q6oaprcRuUJY5jk6QJ0+kE3/dI05gOER99+HBN1wqqNgxCoihGURQOjw73I87ZbEZZlgR+wGq1ZjY7ENqlYYgtrpUK/ujoiIeHB968eUNRFBwcHPD+/SVBGDIeh9iWze3tLVVZ0FhmH1m8pWs9wsAnzYZkR6fnyJd7BXTRp4JuNhvyXCBRk8lErEhJiq8oomTWdZq6YRtFrDY2J8dzgv5SKMsaTTWJUxFq2pZDh4ZlgWW7VKVgqzVVPqMCiclp6pIsL9F1lYuLU+pWSJGmZRHHKSfHAvdRFYWyFFaGoqhMJhOapur1FRLQ5HseRVFBtMP1AuJkx+vX34kW4fhEdDOZBMi0rajtHUdWaVm/Y5YJgYnvOWRJTJkXmJpCksr0JhhJhHCe5xQFhGFAHCe4royNi6LYF+R1XZOWFU0HaZoJEMvzKYo1dAqm4bDbJhJz27VcX9/y5Zdf0nYKSZyKk8YwaFPRKBhAU9eUeYHSc/nzLGOxWPSTAYv1aknUVARBwNHRIf/pL/9SbLSzA9IsYzY94Pb+jnAy7ZMiKybTaU8W/LiCGwpS3/cpihxNNcnbhq5r+OGHN3iew/Pnz8mznCRO8H0X03DQNFlTFXnWfy5NsjjCMg1Ojo+4u79ju1lzeHREXZcYmk1TldRNi6Ka0skP+T10+3WQrslnT1f7fXfbisW513PVdb2n00ojquxXLoP1rq4rmoY91XC4fNu2lUCmVundVD5lWfLy88+IoojVakXXNZhWb2nUFLIk6YE+UsxYlommKdi1uZ9cV02J4zqgqbRdLZhw06Isin0TO5z/w2PZ/7W/k4cC4FOhILAvAmQa9NGl8KkeaChcKipMw0TTB3Ggsi+Cmv7PH/RIgzvu91oMvH3zA1ofojMkSNm2jaoiHbfSYZkGae+5tj5hU3uew5A8ZZkm9iAM6SufQVzn2A6WYZAlKWkUEXg+Ty/OsU1hGQx2jKIo9lHJKAqrzVoY1FWFjbCc1+sVeZ5xf//AbhehKhqLxQLLNvl//I//I/P5HBRFdAWe/zFWUvnIyZY3rsE0LVzHlaq2r1hdx+H66hJNgaPDueAk6TB1nV1ZsVwuubm54fb2FkVRcB2H2WzGaCR75MfH+77bt0Wc1YtBBsXswcEBk/F4n+i4Wq1IkkQ6XtNG180+UKSmaVouL69YPKz47LPPcV23B5hoZFnM3eKW5y+e7rvXPM9FgJYk+wwG3w/JslQus6ri8XHB9GAiQA86fN+jbWuaVkhyqqpQFA1V1ciqxLFFGLrboRkW2yji6x//mKaRL+d//I//kdV6zR/+9Cf85Gc/I4qiftoimQCz8Zjbm1uyLCMcHwiXwTKZTCcoqkJZ5iyXSwxdJxyFfPjwgfl8xo//4A8YhSN+9atfcXxyRJxEXF9fc39/z2Qypi4bKipxgxgqy8WKk6OU0WiEYRhcX9/w1Zc/oihK6qbhfv2ApqtEcURVF5imwWa9Ii9q7m4f8LwANdD4y//wHwSjbOjc3d8xHo/3gUCe5/H999/jByNevHjJwKJXFAXX9diuha75Z3/2Z6xWK+7v78Ui2HUodDw+PPTK+kfSNO5V6SVVVQjhrf+rpqn7Qla+izKBM3QDQzckTbAo0DSNKIrI8wJV1TEsm/FktmdYVGWBopscnpwRx1uquuohRR27OJUsDF3HtBXu7u6ZjKeCz80zJn3Y0d3djdDfaLAMg7LIGI9lFFuUGdDy5Mk5tmVSFpkIBbuGMPT79UOFbZlMx2OyQMKobMukbhtUTScIQ5arJUHoMh5N0DWV1z+8Jo5iDg/npLEURA8PDwxJioNI+fT0hLrpekeBzu3dDdPxiLapqFvhvhumjmUZ6Lq2t/cOxXEcx/uDfBPFoGpEuwjfD3Fdn9lsys3lNePxlLKU3IDVeklR1Nze3eOPAvxRSKeCbhocnRyz2ewoMrGCRrsdZr+GeXhYkGU5y8Ujo1HIwWzGw8M9TVWj6SoXF+esV2tGowmnp6f4fsBi8cj9YgFdTRC6FHmCoSnYlnx3h05xyG3puhaFFjpln6r6/v0lz54+x3Nc0miHrqgSjY5gwQ1dw9R1NNvCNk2qosANQ3zPJYq2NHVJkaZoukHdtiiaXEimJSh4iTKWlZ+qKhLxq6rklTQh2HaPI5czVtM08jz/ZJr58ULcq/ZbBKr1CcL304REw+gFjqqCZZkURU4Y+ui6ytX1FeOxCD7LsmC1XPYNJ/39Ihe170kmSpImVHVF3bVYtgXId1V4AzFZIq+nbDDa/vkqexGgqql7MfqnFkohFnb7JlfcEMa+OPgvMxyGSYvST+XqTu5VVVWEYNg7MXzf/y1B4u+1GNBVhaYqsRxHAn96f2rTiBc6iQQ8pKsKjiXq0bKuMS2zjwv2eHxcoGuaPIl+TRD3ARtRtKOrGibjCZ5t4zo2ZZGTpxlFlu9taEOcr6IIiMI0JY2tqiuW6zWu7zKZTtjuNtzervG8gKdPnzIeT7i8vBREJfS+5ymjsYwsi0wux0HkOHRcqqJTZJLMZ+rSsTdVjWXojAKfh4d74thG6Vqur664v70jjuOeXhjw7OkzgsBns9niuS6KIvqD4ZIvq6qPYBWVbBiG++mA1hckruvi+/7+8RW5jA93u4iL83PuN/fUdcvZ2TmWZffe1BRNU1ls15R1xdX1FS9fysV0fX1NEAScnp6iqjp3d3eMRqN+3VASRRFf/OgLxuMRz549FW/73S1B6LNdL8WrHIRkSU5bt9zdPXBwOEfTDcqy4vmLF2iaxvHxscBi2o7F4yNX19fc3Nyw3Wy4ur4mSRJcz+Xs7BTfcfBdh7ubG5bLR3TD5OzigrMLhSTJoBds0nU8ffIU0zT4xS9+QZLE/Pibb5jNJiRJxOHhYS9ErIijHZZh0FQNbd1iqDppFPN4v+gvT50gCDg8nPPhwxVlWYheBcGzQkDbGXLpFi2+62FbFtv1mmQX43qeAHB67KgkqQU0TdMzHjS6VixznueLcHS33XuBh9WZgKpadE3h+vID8/mc46M5vudgmwJZ6Zqapipp6hLbMnAsB9OyeFwuKctSxHB9rrltW3Ttx4JWVNFmr4sQTofnuQLb0Q3yTCfNS1bbiLoWe1iS5qjoWLaLFwQoikoQmGi6SVXVOKZFVVbc3t4Thj5NU6Go0HUK52dPmR8e4vo+buDhuh7fv36NrvVgpZHAn3bbDYZhUjdd//hETe7Y4sRRFXi4fUQ3dA4O58TJDsPUcG0bBVVwuYaB49ikScp8fgD9pZdlgu4ej8fCJvE8VKVDn4xJk4gsz2mbmokqpMumrul6hbmuaz0+WSY/Wc9JKIoC3bLxwhGj8RjLtPfvo0Cocs7Pz4jiHXQaP/r6x+imRk3DarViPJ4SJwmWaaNqGmWaCiwpzRhPZni+T+B6mJqJciTx6LQdfh/prSodQeD1F5q1P0M+//wl86M5P/zwA8vFA4ouyYVZKumOBwfzPj6+3BNe66rg5OSY5XJFWRYkSca//tf/G//iz/4vfPbiM7IsJYp3pElClqWibm9qijyjKgtubq5YL20Rg5Yli4c7Do9PqcuCTtPomhpds2Rj3a+U6n5K0Sn9ZZ9mEoqlqlRlKbz//mKv6/q3VtH/ZYcsNjxlv77+1KtfVUOKIEDbi3c9HMeiqqr91G+73XJ1ddkXDpITIlNZYz/pK8sau5/sdQpEaUpeFeimSdfYuG27d7RVRQ7tpwmMH22FdV3TIqL23yIGgqyvepvndrslDEPgo2NgeN/EOq+LDKDrqGuh2CrKICgUt1nXtmRZtv/3f++TgefPn1P31YthmvuLynQc3D74YYjoBFitlr14yCGOZQ9q2/Y+G2DwlxaFQHdcx+XgZEoQ+GRJzHq9Io62ND2JcBidu6671yU0SBi0YZqgKOiGTuAHKAo4rlRYL158huN4rNcbXNdhuVyxXC6Js5QgDCjLkjiKyfoL+lNvqKjGi77LnIhqU1F4eHjg9OSQwPfJ0pi//+XfEe/ksvd9j/nBvF+piKwpSRKa/pBVes+3436kDSp9bOdHOMXHUdjgN7UsS4h6qkoWZdApJHHGL37xS6Io5uLinNFousfiyuhYIpAVTRMwkqruc+7Lsux3v5AmCXme7+0sT5484fz8nCzPWCwe0HXJ9U7TWC6RnlKm63qPwVBYbzYs+u53MpmS5wVxnIrFzvcZjUI+++wzVEMjyzIOZjMmkwlK13JyeMhuveb+9pbNZoNVVLx4+ZIg8DBMm+lM4WHxKLoIFGYHM5Ik4vvvE96/f49haIRBQBxHWJbRUwB3NHWF0okPX2AlEi26Wq2YHEwxDJMgCFkul3Lh0vWdodELmBrmhzMsy8V3J6RJTpam3F7foOm9+lfT8AKPLMuYz+dMp9NecNax2eywTJvlci2e7kZ297bjcHN7y1/+5V9ydnbWB6eIOyHPJGI62u1om5aD6Yz1ZkNd1WiKdAVt05BWFZppcnx8zHa73b93ACkpXadQ9hO0LMuky3UcdNORzALLphGdIJZts9usSNIcL3BQtBqzga5V+OLFUw4OZlxdXmNZDodHx3z77W/I8wLLsvfjVJRO+Osd7HYbbMfCMDU26xzD0Hj65IKyaomjHXkmyGZdVdE1FcOU7/Z2s6ZpBYL1uHjAHMb0nsN6veL09LjXZUR4joemQIfstMsiZ7djH9Az2NwODw9J0oQ4SXAdC83QePLkGY8Pd1RlQduKsymKtjSxTCg+EvTsHgwjxfkQ193UDaqpkSQJaZphWTaaphOORpT/P9b+rFmy80rPBJ89zz77meLEBCAAEiCZophZmSUps6TOi+ob/dbq67qQtam6uiQyySQTJMZAjGf22fc8fn2xtjuCusqyJs1oRhIgEIjjvvf3rfW+z1M1WKbd/2+2gLUCUyBSXYfreXStfM40x6brA49V3fSrv5yyrDF0g6qssCyP+WxO17XEseQfNE0nTXNMw2Q8HpMke6oiYzwe4Pk+p+fnLJYL1usdjh31PIf4iF63bZt4v+Xt27d8883XjMcj/s2/+Stev37F1dUVn734hCgMub+7IU5iFot75vOZjM9ti7apebi7Yzab9hNdp39OtWRpwmq7w/UC5qeXHObjB5jOq1evqJqWL7744s+qhUVeULctlm0zGER0nXlsBHTqx5v0YZoskwD6g7dzXF/LJfUA2RHvi65rvWjJQSmDNBXw3Ww2xTQNBoMBnuOyWDzI77ltYXbSNEDlpEmOF4prpdWgTlq6pmU0GhMbBk3dYNky/Wj6SVzXZ6noVyNoshL6kA/wZwcCOK7LDwCow/rq8Lk7rOnp/Sug942BPo/Qicfg0HY6vMv+4ocBXdePrGfdMGQnoeu07Y9mtCJLMDS5+TuWgYGO61qMxyOWS9njFWVJnpUo1UIna4Owr/nQKDbbDV1XY7kmvhYAirZsji/Hwy7EtmWECGAZogf2LAdDaWyWK4pUdsWb5YIf1t9RFCWT2YwgDNnt9zh1xXfffy/BQ8vm9OSUpu1oygLLtCRIYppYtsFwMMCxbamA7ffiCbi/4/3VW7IsIc8ES+v6juyxVEVTlRiGTl03PCweePrkGePRhE5J8M/3veMtDOSg1DQ1XV+R1ADVdjR1IwKlTqcua4o8BTRev37DmzdvCMOITz75RMaNsdTulOqYTidHKp1Siqoo+P/+H/+HHKgch7aqSOuaupZd6yCKGAwHBL7P//If/yO7dIdtGdimLuY6w2S/27EtaqIPKHKr7ZLbxS2rzZogDBkNh9RN2aOF7eM6p2oazs7OWG03lEXFZDJhu93g2DbeYIRqFSenj/CDAWm+p2kLXr/+jidPnmI7Nl2Tst1s+PV/+zX3DyKycV2PyPco05zGcTmdnwi8KS84OzlhtVgwHo9IErlJtF2FbXvkRUZdN+I8iCIs0+L8/ITvX74kT3O2+x1N1eANR3hOwGg4wrN8tqsty+UWTTNAB8OG2XzKZ5+96HGuJXmes1ysKIsSUzfkFppniI9chEXPPvmIMs/JsoS6LvBdC62pCD2LLvIJXYuLkzlN3Qp/XtcxDB0v8DFti/Vud1yflEUuNrS2oeua/gVi0nUadVMLyMj3qKsaTdcwdISwZuhYtgO6KUHZ3ZaH1ZrH7jmT0QiaBZ7rMp+OKfKMPDscmD0+/ugjVCeui9vbW5q6InDFbJnlGabTUtQ1aV6SpAmGISn6tmtZrdY4jkuRZwyiIbZpUrUtN9dXNI30z589e8rzwZC2KVkuF3RNy36zxXEdmqZht9/jWg5B4FGUBlVdY9rCE+kaQV3btvy5aDqq99nHcULctUyGAyaTE/a7bf+wzYWVodGvQE10UaPR1i2mZXB6Mmc6HVMWDWXVYHYapmlj2Q6O6+E5ciHaFwmu5xJOxui+y8iOuLu7wvdDGfUrqPvgWtdXwRzHwTR1uqbCtEyW8YosL3j65Bld27HbboVq6UjgkK7Dsy1ss4M2p6wrirLAMKCsUrJ03/szfPK0YLm6l5WgYRAnAolbrh54+fIHPNfHsSt2mz2T0YSqaXhYLejakrLOiOMtKMiLktVqw3QyIfAD7m9uGYQRUTRgdnZG03aslluUYZBkOfntAt0wOT09RSnQNYvBwOPFi2d89c33xPGWMBz0kzLQNB1H146TY9s0qeilY4YhKw1dpiNKaWiI+EkD6fUjWRwOeYLe9qdbBq7Tk/2KkqzI6JoO09SxMPG9CNcNUSg03cSwNfT+5Rn4PoNhwc31NU0r74X5cIirmzwsF6B16IaG6ci7SjcMatOiKXLaWirCqm2xLbNfsX+QF0CChId3Z9evP5RSxLGAy3zfO5IghSZoYfQXMXQdOWhJJVtTSi4LSqNrFYYmfwwdDP0v7CY4/ENIEEhG+4eKkO97NHUDjQTTDE0XQUd/WiuKnP1+349exJNtGjrD8YC2qSVo9/DAdrOj7Rrm86ncNEw5xWmNfEg+BGDouo5hmbh9gK3sIRZVWUpn1jDlh5+mwkMYj/qbuJyM80puYWHPtNbQSONY9oX0PdA+GxpFkXgG4oQsz8izjOvrNziOSTQIGY6k6rXZbZkMR5iW0fMOJOX9+fynTMaCgk2z5PgDtCwTUP3uq/mzEAwIW7/IC3RNZzAaYehi27q+vubm5pZnz54fE+aHKs4h/BhFwnzwPI+rq6vjqbnpw2Z1XTOdzeQmfNhRNQ1Pnz6lqsre/mYzHEakWYKuaUwmY3brmN12R17kDKIBq/US13U4Pz8/NH6E3XB2TpalhOGA1XKNaZicn5+zi2MB6YQh49FIakRZznq1ObLk19sH7u5v+7HZhtlsjmVZvHv7ijdvfiCKBowGEYvFim24YT+d0DQ18/mJkLyiCA1wbOe4q1eqo67lhqeA29s7LMvmJz/5yRFb7fseq73cwi3LZjwec352ju1YaJ3BaDRit0uxHRfHt3nx6Ue8+PRTxuMpo9GIxWLVT2beC59gOJQRdiaTl4uLc6SBpDE/OeF//X/+ryxub3n98iVlkWMaGrZtUuQ5D/d3BEFE2zTstluub2+om4am63j0+JIgkGlElsnDYjgcYhg6y2JJnMRomnlMYB9WBaYpIKAsy1ktl1iOJzbOvGF+ctJDaHwm4wmGatmsl3z1py+ZzeZUZYFCE5JnvaeqJeCmGzoGFlXd9BOIFsu2cD1XHpSmwc3NNaZpkmYZTdNyfn7BZDIW3WxWsLm74/Lyks1mzeXlIwxDSJhtLfkg3w+IovCYpzF1C8s2qWtJ8s/npzRtCx0kcSLBw7oiy3P2r18zPz2hUzAeT1GtCJts28HzAvJcmkJZXlE3JZ7nAhq+G2DpksWoanl5+56LZSjSZEm8i2kVhOFAQFBNwy7eyXPD0kX5XNe0XdPfSOUlZVsWTSXjcs+XdUHTNSgldcLQDLBsnWenT/BcX+yBjU9RJDiOS9uviwxd4/xsjqFrQtAzfW5ub0EzsG0H1/OFAOnobDZLVKewLJ+iTLi5ueX3//wHdN1i+GSC67h4rs9g4JOmCb//lz/g2jq77ZqyKBkNRhR5wbt371guFqAUumFyc3vLR35AUVQ8evyY+4clm92OaDhiOp1xc3NFFAWEYUitZP/tuTY//ewTqao2LaHvozoBSHVtx77niGia9mNgug/ESZLeOE4B2vbHaYGuabiOmA7bTqREh/re4c/RdI26qjE0Q96Tmk4UDKgbYRUcpkqHwKWmCxchjEIJlu9iuk5jFEkTI6uyvgooP19byXTserFAdZ0YG9sapWrs/j16mPTKAefHqcmH7YK2bQWG5/s4jiM+k67rHRDdUYB0eD+ZhomGQrUtyjClVqjkz1d0dP+6s8C//jCQJMnxhSJBKF8CU7bZU52MPtWeEwUhZVFQtvJFWC2XLB4eADExDQZDxqMhhgZ5nrJeLXm4v8dzPTRNp8hzfN9jMByjo0Mrvc2DwKeu6/40bfYJ9T1VVeH7Pvv9HtdzmExmxypKlmVUVYWmGkxHpht1WcnoVTfYrNZEXoAmb2UMwyCPY1K1J94lx6Df/d0t7969k/CkJ/3coigkqNbUFMWPU5LDzuggyajrmv02Js2SYxivaYyeJy/7v0Mw0jTlINPSMh6P+5F7zPX1FbPZCYZh8OLFCyaTCcvl8rhOODk5oaoqFotFPyGQsKBUhayjmOlwuEuTBLOvYkZRyMXFOW3b8Otf/3fevHuN49g8ffqE+XzK4yeXcivbbijyku12i2pbzk5O+pCOQdPv2WYzCQAWVUWWyWRiNB5R9zkRXdfFnzAe0VQ1282W+/t7vv/2W5qm5n5xzaefvuCzzz4jTVPqqmK/2/Hu7Tts2+bt27cEfgjAYiH7/+l0Sl23/b6xoygqPM8/sr+TJKEqMwzdxPUMNpsNRVFwenp6zK50Sh2Dd5ZlHvfFSRqjdQYoncFwSNO2zE5n/O3f/i2GKZOyOInZbjfM5yf85KefcfXuPXn2Yx1VDn6QpRlNXbJZrhiGAevVmjRJUG3DYBD2wUpBJldVQ5qmR5zq4RB8aOJ86Ck/0OIsy8IPQrKsPAaJDuuo3U7yCo5jozWSJO+6ltFoiKEpylzS22mSHMeMebbn3duEQTQiCALStJBbfl1ToI5ypAMU5vT0lOFQwqj7/Q5N04VuOZ0wHA1ompZdnxUIw5A4TtB1jfV6iejLH5jNZhiGxnaTUFU1hlH0eZoh2+0Wz3Wp20aASz0903ZcbNOmLCuGoxF6r/nVNI3Ves1gMGCfJHR1jWubNFVFWVWAjm6amJrCcR0UHft4T9t0QurrR8ZlWaBp4HsBvu9hmAZNK+jx5UrCfkWeEUSRjPJ1DUWLabnQyZRiPB5TF2WPlU6wHBerB1sZuoFumChN9v1VVVGXOzrB8qPrOrd3t9R12Vf4FO/fvyNNYhzXZTo/palqdvsNpmHRtoLiDQYBbVsQ7/f88MMK27FpW/mcPNwviYIhjmWTpgmuaxH4Pq7rkiUbXn7/ktlsRhREmP07ACWXlNnpXMieiwfKVmHYFsPhRKRCHZzO55i2TFAt6xLPdcXUZ5qiau/DcJ7jYhiisi7bhqaTC6Xf5yQOz60PVwqH+vWPgB39uOLtemCQMn4ckR+q67quMxh2lFmJoYm7xvFDbEfq7jLBMHrAj07XKeq6RdTLOnW9kfdTkaFpEPoBjmWjAXVV9eFIg+F4Ql2VVEWBboiZsO0Uh83AjwHIH10DHzYPpEHhHL+3juMcL+OHP0f+WtImMwwD0+gDn233Ac5ZOJf6X3oycEDhih1K3PCr1YpO9Ya+vKBpaiaTCYamU6UxtmlhGya2afLsydNjMKRuGnkg7nc0VUmy3/Pzn31B4Pu8evWK1XLNdr3BtORmXff77AORajqdYjsO293uWMNZrVYAPH36VLSjtouhG3z33bfc3t3x+PKS+ckpumlS1TXnZ2cAlHnBdr1m/ulnjAYR9/f3rB4emEwnGGjkacKrV6/QNI3dbicnM9VRaA2m6aHohBdvmYxGIxzXIYqio0QI6GtunkiaDPoHu9U/3A2KomS/3x9Phr7vU9UVutJ6W2GNadjEcYJS8us4vPjH4/GfnX4PYZP9fn/838NQqjUf7pUNwxBsp6FxdnbO5eUjwc8mMaPRkMfdYxzbYj6bo2tKKIuug22a+GOXrpUKqKlrdG1DkWTc3d8zGA3Z72PSPMMwTTzXxzJsojDC8Vz2iZAWd9stA99nt9nyzVdf8Ztf/4br9+9lB211fP2nP0HXcXpyyna15mGxwPVcTk9P+3DmiIf7B968edefqhVv377DMAw++ugjTk5O0XWdOJZD1IsXn/L27Tt2ux1oOnbfDFkul4xGIzzPY7Va0bRNP2Vx6LqmNzpaWJrNN998T1G1nJye89d//Ssxr5k6umGyWq3Qdfj+++/IspzFwxLX8WQtVhS4nsvLly8JByHxboOmoIgCLE3n8aNLLMvk9GzOP//u99yv71ksljzq/QemZaG1jYC5eoTzoQrq+9KXjuOYPM+FM+8HPHr0hHfv3jEcDoVT0KOLP0Rt66aF77vYtk1d5Mck9vzkhJv3GfP5nOlkAghMCaWRdAlRNEA3dNB1Pv/8897qJ/VMx5Gk9Wq1YrvdSpAtz6nqkkemiFeGw4gsS3j79o2MmWfSbMjzAt/32G4FfmVbNoOTgVjo9gl11WA7DmUj35cnT57hOA5ZlmPbjkwkde14i7x49Ih9HLOL9/1IVmO93TIeDiizjFEUUpYKupaH+3smkxGu59CpDj8IKPOCuq5Is4SiyLi8fCRSr8CjUy2nZ3PaTrHe7siLDMu2ZH9uGpR1xXq9kumQbRFFEbPJlPVySRonGIbB7f0DlmUzGo9pmwbPd+TwW5e4rk6ZC+XVMKWWJ1OLFrtHHb99+0YgSMBuu8WxbHabjUwlyr41oDqyNGa/35KlMbtdjecJUMtxfD7++GPOTk6PToHhYMrpyQnvi5jBcIBt2UfUre95DEejHh6nEQ4GZHlJ2VS8efeWy0uYTWc0VUtXNzw6P6coC3QNkiQmjmMeXV7iONKeMnSNtql7/LFO6AU0XXdsPR1C5ocU/eFfh2r5h+jiqqqOwXLTNOl06fb/j8hjwzDxPB1qYdC0bUNbqmNzATRhTCglCH5kytPUbX+RkfWE3RlUdSM187pB16R1UbcNYTQQaJxuUJUSVFVKgn2HnMOHYqb/EVt8CA0eDjof/rODWHgPBwLd0FFtS9e22LaYGQ8ZA8UhW/AXPgxMJpOjhMi27eNvftu0NI28xFxH5EQ6GlmRU1Ql2Dbj4Yib21uR0rgug+GAzXqFrhSu7TB49AjXsbi7uaZrGmbTCUmc0DYK3dTZ55vjw+bk5ETGRIWc1IfDIW/fvj3eqkejEY7jUhYV+/2esqwZjyacnJxyfn5B0zbsY9EIR8Mh8/mcu9tb6rJkGEYUacrVu7e8ff2K1WqN0WcKNE1nOIhYrVZkaYXZmNR1iR8Iv344HMhI03WPUJXDSdXzPNqmI4oibNukbgQTKzc7GRsdQSz9h3a5XNJUNZbZHwI6jffv32GaNs+fP/8z2dLh5CtyFPMYzPQ8D8/zelmUflxDXFxcsNvJSBNdoWlKmASex83NDc+fP+Pj58/55uuvePf6NZqmaKqKk/kcx7K4v79nOpliGQZVUXB3c83799e4bsCLTz8VGYqho/oPflPJqTbPc3EXaBqmrtMUJXmW8eWXX/LHf/kXHl/KDSJJ12RJyh/++fc8efKE2XyOoeukiZAsLcui7KuRZVnycL/AdURJe31zzc31Hf/u3/3PXF4+Yb1e8ubNW9I059mz53z55ZcodchoNLx///5YHauqCq1XiUZRxPn5qXyJVcdiJW2IMBpzwFFXVYWlmVj9yT6MAl6/fs1XX31N18DTJ8/R+t/3rq+7lXUpn2EFu/WKRil816UqS/74xz9y/3DPaDjuQTAWy+Xy6GwwTGk/HKqKH07rDoa5wUDGngeISZqmdF3Her0my1KqqjjuZ23XoShzkiQmcD2iaMDD7TXDUERkRZ5iGjqgUZXiATg9PcG2HWzXOQJOPE8EYlVVcXt7ja5rvbkvJMtyTFOX4FnbkGViUByNxnQdgtqOY9quZTweYZhS2xPip4OuC0733bt3nJzY/cPZxPM94iSRgJ7jCLDJtGWX3La0zY+72cl0SpoXmIbGcDim61pM06asG0bjMfv9ntn8BMexcFyb9WrF/f09o8Hw2PLpuqZngdh0bcdgOEDX5cbnejZXV9e4vstoPKBuW3Z3W3b7PXmWcDqbo+s6X3/1FacnJzL5q2r8MGIynXJyckKW5bx585r1akWexTx5/KQ/8Nfs4y3X1zc4js6z50/YbzfoesfJyRTbMnn+7GOSuODt+/e0Tcu7N285f/SIZ8+fslg+YGoGtEqemWiYhkUwHpCmBYauM53NqMucMAgYj8fs92um05n4K/qV2QG5q2kanu+zT2KyqiQIQjTToG1a9rs9VV7iOh5VVdBqlVTeGnGD3N7eMhxE2FMBk6lWQpO2LchfwzTp+gPAh+Ad4Niw+vDl+eEh4VBBNAwDQ3USRP2gVvfjgUBesFqP6HaDiOVmfQSuSbBPCQRJ09EwUF0LyCpuNB6y221YLFY0TYttWQK7sm0Cz2O13lC1LZbtYA4NTH1E3H8O2r5NcTjEHG77H7YODv9sh8v34Z/v8Pw2TRO9X0c0bYvrOGgm/UFDJvYSHi9QiP748Pz4ix0GjqrKsgQ4ahY1HRljtB2e66A6xfvrK7I8ZzwZY6CxXq7Yb7aYppiikiRG1+T/19QVoOQl0bWEQcB6LaNHu//hz2az41TisFPyfZ9oNGS5XIrBzrIYjUacnZ3RKdEB13V9rG0VRXkcl1uWBRqMh0M5PFgWX//hD7x++T2r1YqHhwV1XUn4qKzQQw0/8OU2G0UMR0N0SxdNsaExHA4Jw4D1es0gDPvxFUfwiVKKPCt6qJHCcW2qqmO73fe9UmlLZFl2rDBVVUW823N7c8duF6PrBrPpjOl0fhw3yQjJpijKHpihjlMKvYd5HF4GB5jFYUwvY/GOyXTUwzsaFot7fvvb35CmMQYG19dXLFcLXNdmvV7x9OlTbm9vewTsgN1iS9d1PH36hJPZKbP5KRePH7PcrqTf7shJVfUymE6pY++47nMepmHwH//+H/j5T79guVjwpz9+Sd1Pj5TK+Zd/+ZcemjNhuVrRdYooHPQ3zCF13bJZb7m5uRFISc9P3+32opoOIy4vL3n58iUXFxdEUcS+x8tWVcX19TXr9ZrJZAKaRtk2BGHIF198gW2b0kroGpqy4/z8nPUmZrNZk+cZat1QNyXD0Yg0Tbm6ukbrWzNpnLNebxiOhuzjmLbrmJ+eYHYm6T5hOIiYTiZYuk4ax7Ia83wcW0aCu92OoqiOvPddEhMNJLjZfTD21HWNwWBwrC/VdYOuG6zX66M0LE0FOmVZNl1Xkxc5+zTlo+EQ1/fI84KLswuyVPDXWZYSuJaMvvs6q++5RGEovA3NQNMF42r2RsdD26csc9quJs0SJuOxdPctE02DrmsYj6cYhkkUhTiOSxwnbHcbBoMBhqkLuKhrCKMQXRmsV/I9DoKQPBetq+9Jq2iz2eEFAXXTkCQpnuORZhnRcMB0OuP65qZfqwSUTct0PKGrK5L9ns6omQyHuJ6JadvUbYPr2r2Eq4NacMBxvMf3hacikzyNuq3pOkVR5JRVQ9H75a+vruQ5NJkwHA7QdNljH/gHXd3wu9/+jiePH2McJEmZrAmbJqGuW66ub3i4u2K73vCLn//bfs2yYzgYoOkSPgbFdDplubin1TX+5csvaWuoKhFp3d7dk/Y5mNV6ze3tDWVZsl6vMQyD4XDE809+wk9/8lN0jP5FJmyFr7/+mnfvXjEdR0SDgbRaOqFtHgyHmqFjNxVZWdCgJJBsyFSySDNMPeHkdE5be5iuTZ4X6JqG7/ncXF+B0tju9lxcPCIKfUzTRinB9YK8Fz5cZx5uzAcZkVJKpnI9KK7qjX+Hz6DszH/kKxxbW2h0yCqxa8Wy2Kbp8R0nq7myt71qmKbVt/gUSuU4ro1h6Jyfn6NpOj+8FBDfk0eXx5WU47hs9glFKcFe2xKB03qlk8UNRZEdp7cHH8Jh+nE4zBz4AAcP0OHPO+YK+sOA6jryLEXXBHdsmybDaIDrylTT6Omf8pn5Cx4G2l63qywTXVOIBFKhKQ2tVWi9VzypMglkZRnDyQTLEbyv67tsdxtW6wWDIGQ+m5FlGfvd9kfKF5KYf3L5mPuHB9Ik6yccLZZtEPiuSDeUdHDrLOHu7rb/YYGhm7x98440z7i+uQGEMx4NBhiWiUKJSjaKcGwHvYVkvWW9XnFzdc0333xNEIX4QUCH6v85D78ExcX5BV3XMRqN0C3RgI5GQ3brPaZm4ts+RV4TBCNsyyRNEtpWURY5ruvS2b0es2swTFNCR/f3jAcTsjghDEN2/YstiWMeHh5om5ZHl5f84ue/oG074lh237rew4NyDduxJZikKYpOEMqYCs8T1vmh/SGhm5b1ekVdlyg6UC2b1ZIiTSjygniz5vV33x394a5jY+oGq+WKh/sHVNdiWSb/7/+y4PT0hOFwyHQ85ub2mslsymq1oKwqsjQlMoYUWYlrOmy3e2Yncz7++BNOzs54++o1pm4S+AGVUeBYDl3V8umLz7hbXHN19Y6yEtGTVPQ8xsMJWZZjWQ6GYbFcr4nThNF0Stt2eEHAfD5nt9mQZRnDZsj5xSVoGvcPD7x6/VpuHV0rHW6Qri6KODbF6qcpbNfi93/4Z+Zz6X47tk1eVbQolNYRZzFfffUVzz96xnK5QNPf8eLFp6hW6ISojtFkBMogL0vaTjGZzGgbUSfTdtiOj21oOKaO77mgJuz2G4bDkKpqGA6kH19VtVQeDZ2urenairYxaesCTTU4lkFZZFRVg23ZNHVLnMYUpbzMXIVkBXpDnq5DWa0JA0+UrD1cJtmnOJbNz774AsfS8T2Lpi4xHBu9acnSHJTi7n5B2ykMx8Z2XYaDAUHo07RNn1TXaFpFFIXs4x1hGHB+forv+7x/c026SwnDiGwvo+Dnzz/CNXSqLKWwBFizXa/RdQPLEJe73U8VwjBgNBrTdi1393dyOzcMoshhvdlIC8fQ2Ow2eIOQyXwuLg3L4iQUkFHbQNNX2WQ1EfPwcM+Tx5dEUcQwGrPf7Wk0g7xqMS1b9LWmQZKmWJaDY8nLStRCHXrXYgCz0Yjvv/6GoqyYzuciOlMwn0/RAM9xGI/GPH3ylP0+po5TyiLn3ds3xGlCmiW4vsfTp89RqmO1XuB7LtPxAN1Q6FpLkSWk8Z7NYsHXf/qGKBqw2e5YrzbousFiuWKz3VHkBevVmuF4QlW2lGVDlknFdjY75enlMyzL7rXuFVVV88c/folr6mh0mPqIslFYmoWp27RNK/vyrMCwLAI3wECnqhqaWjDsZSWEVqW3JPmekYqoigIDUHVDmaasypL9ZkdeVBRpzs9+9lfomtWHYxs61aGbBpZj06Go6oq6rHDsH3fzBziPdnxRq2Nl70DWdCwb27SO4cNOdTJ50C2xKSIk1ziNQdewLJMgDMRK6jr9SgaaTtYEtu3SNBV5XgCKMIo4vTjl5fcvCXyfIPAlRxAGhFHEarmU8GDX0hk6mo5YcAtNQGxtja5p0EHbdBy052iH8F/DQTgkhljryDNou0aeW0qaAhoyGWiNH6cjrudhGiZlUWBo/7rX/L/6MFAVEi7SVEvdj38tQ0N1UBcldVlhaLoEYXQdy7apm4q80HFcm46G+cmMrqqhblkvl7RdJ2uGuqHUSgLPwzBk/L1cLACF53tUdYHR6QwGYa9xlBfVdrfFskw+/vhj6qrG8+T2UFU1fuDLWHU4ZD6bSZBK1zFMk/VqzXg04ofvvuf25ob7+zt838P3A6q6xmpbDgboUTQg8IOjYCUvCskNtDrxbo9rOwReQBpn+F6IH0a0nUZZSa1JR+/xngFlVdO0NaYmxMX5yZwiC6HuDVQddE3L+7fvWC6XPH36lNPTU0nqo2jbGts2UEqnrgrqSvZcy6WQAwPfR9cExTmajFksV9R1i9I0dCQUo5QIktq2Bk0JPbJTmKMR8W6LZ9kMg4CGjiRLj6rPtmz6UZWFhoRStpsNRZ4znUx49OgCw9R59/YNq+2OJ0+fQaswNYMkzZj4Pm2nePr8ObbjMBgOMVslhklbML3RcMQnL15wffeO//1/30smpevoWkWSpKjOxNBtTMMRrHVZM5nOePHpT0izjJvra65vrhkNhkfvRdO2nJ6d8fkXX/Db3/5WMhYoFov74+7S6m+udV2DrbFcPXD/cMsvfvELdvud5Am2CZv9nrqtcTSX+4d7Ts9OMU2LLEv57T/99rgqOz8/Y7HYEA0jLi8fs1qtqauGLMuJwoH4BwwLTevY7HZoXYPvudRVyXAw4GGxxDQNUeiWFXlTUrfO8UZTlTldW8sBvRVKnOV4WJZLVTV9jU/cHZquMxiO+myB7FodV0yHq+WqbwXZRM6A0PMwTbAcHdM2UTQUZclkOCaJM0HR5hswdCEhtgJ+0XX9GIy1LIPQ97m7u8M0DbZbcS4MBwOyPqld9nAk27Z5fHHO9dt3lGVJEEVcPnmKbdly4NakgZDECcPRgCxNub+/YzgeYTtOL1SSB6dlGui64uzspE/xt1RtR6tgGPg0dSVNo7JE0zV++vnnfPfdt2iGxfzkFMt2sB2XNM0I/IjSqlnc3+HbJqYOXuBi2LZMrFp5+QRBcPQz1GXO3f0D3798SdN0XL17z/OPX3B6esJkPCbe7TF0A9MUEmq8j4nLGtMycVwL13WIhhGO5+CYJlmSousKxzEoigRD19ntFjRNQdd2/NM//TNVVVMUa7mkAdvd7hhGREGZlzz6+RM8P+T3v/89WVZQ1y23t/fMxnd89tmnaKrld7/9NXUjngGLjpPZnPViyWQ85WR6im3bpEVOmmUURUm23nB6eopmudhGi2vbPWhNVsjr1ZqGmlkxIfB8yQFJ/5UoGKI0vTeb3nFx8Zj56YU8K7UOzTDRdGE5KE313AlpC2hwXCGoPlV/wN0f8O2HMHXb19C7phVngBLJkWbo4kUwhQXgR4H8vboWxzY5vziVnFYtNNimkrR+EIQ0TUXXyfQNXWc6P6FtFbc3N2w3WwFcOSYD08I2DN5fvWW5eCCKQmbTCddFSpYXwglQomCW/6z368gWRYemfVA57PNBh4mF4zi0VYPqxUQaYiukUxR1Q93KNKRrGugUlmH+KxMD/zcOA0fgRr+HOdTtdvs9VSXd/wMa1bZtDMs8JpzTLCYMA2zbAstG1RI6bIqCxWJBXdfMZlMMM6Cscm5ur0izmMFgQBSFpPmeLCv6MaGE0pbLFa/evkIpxXA4ZjgYoes9f4AW3wiZn8yFPOWKacruOoZhxB9+/wf2ux1d3bDf7dA0aRwEYYDn+uSZ7CFt28UPAoYjEes4rovS5ITnug6T8QxdM8lS6SrnWUnbgR8GZGmM70mAbDQUU51lmJSq5Ob6BpTspSzTpExLFosFmqZJSl8pfvnLX/LLX/6S29vbYyvgQ8nQIS9waCxomkZRFH3bwzw+rPKipChLNE1nNBpSFDld16L11U0N4VmXZYndKzzX6zWmZ9D1lSfZOTXouonrBr2nvOaA19X7A6CmaeiGweXlI0ms99OFPJdbZdRbGTfbrYzSt3uqumY4GGA7Nq4n6e1RMeHzz3/O999/z/v371F0JEmO60TCujBNwmjA9OSEv/+Hv+fxk6dcXV/zf/5//k9efvcd0XCA0//MQ12cDz//+c+5vr7mu+++YzgcSIjOtHqNqY1tu5ye+pSt3PgMwyBPCzRfp6Tq8wr1kRp4dnZGlmV0bUOWpmy3W+gfVqNegKUhCeyzs1PSNGM8HveBN1GwRpFPFLos7277vbtFR0EYRWi6RhAF6KaOX3vopjQWhKFf0rVg2w6qqijKitE4xLQc4nhB23ZYltPnRyRcud/HfbOkPN4eDtXTQTQAFLZjYZoajmMI68OyUV3C9c01QY9L/uijj1jvNliuy+3dLUmSCNq7fzaormO73Upgcb2gbRv2+x3xfo9nSc0ySQSLe3p6wrfffUVdqR7iJH3x+WxGWdWEAw/Xc/Bch328JxqEcpgocxzHOibN43gnN97plJvbewzTIk0SMAxaZITqBgFtvzttW+EjDAZD5iczbNPENHWauiROEkCaBZPplGy3Ee58/0g1DGHt7/f742ehqiRPMZ1M0D/7FE03SNOCN29eoRvw0cfP8H2f8WjEw909d3d33N/ds89y0DU+/+ILxrNpPyFSBL7HMAqp6xJTgzRpWS4euL+7ZrNdUpU12+2O0WjMYrFiMBgQBCH7fYxhmISBTFA13eC7b7/j5PSEX/3qV8dnS5KkvH79ilevXjKZjiirDMOQMJ/qpLrtOi5+3yzouu4YZD2sXReLheRT6prQMI7/ua4rwkiyKt999x2n8zlffP4Fs9mMtM94eH4ICuqm5rvvv8MLQsJoIEU5TYyqOjJ9dmwLz7IxdfMYcP1wrN70O/ZDe0tuzt1xh35Yp4k6uEFhCA2x/3NsS1YBddWxWq2OVe26rkU6Zjk99rvtM1cGTVNTtz+u5C4vH3N3fdNL4aYoBBL3+PHjXjMvWucoGhLHCWVRUuWFeG8MHcc10VQvLVIthvGjh+EA59tut+z3e6IowvVcAX31f0wDuraTICGdPNObhiLLhVGiHyBMf6HDQBAEx5cPyJfhQK0bDIbs93vSnmTXqQ7Tki+YrgsVzLYt6rrCUFpP7stESjQasV6viaIIx7FYLO4pipzpdNKjeTWm0xmWJeGhsqxYLdfc3NxSlXIDcJyCyVhG4WEYMZyOKNua8Wgke1XD4OLyUvq9jdxSVNdRpJKYPuBEPc8jiEIMyzyiZi1T1gthFPZI4OzoBJhMJkfdZhhG1HXD/f096rZjt98yGQ4xTZ3pWGpZSZqw2+1Yrdd89tln3N3dQafwHKElvn37liRJ+Md//Ee6rjs2JA7VSMdxji/XphFj4cGP3XUiSYqiAWmSkee5hFgMk+HQo8gkrS01QklzG6aBZeoEo0CCO6aM0LI0JRr4xEksQqV+TWOaJpPJBMf2eHi475PPDavVGt2yyMuS+ckZumlSVg22bjKdTWlbJVKcfg+m6zqmbeGHAcvFEs/3icIQx/Nom5pdnGDaLl4QUVQykWg7jTQvCKMhndLwQ5/HT55gOx7L9YbxdMonL16w3+/FRV4W3N7d4vb75fF4zE9/+tNeYpNhGBZlUWKFIUVRYVk1tmOjOtn5jydjwiA8kuB0TXbzXddxeXmJ53kYhsF2vcJzHDJTalm3t7eYmo4fDWlUx263kZSvkgBgWRWkaYZlGCRJwrMnj+j6W6tCp26ELNZ2HbqpoRkQRgFkUFbyMN7vY7oObMuiU6CUdMuXqy1FXtJ19Acd88j2kB2sOmZHJpNJj+q1QEGy3+PFUpf13BG6Jka4y8eP6eqWrpZQ3vura6q2pTtUwzyP7XZ7rBaqXro1GAwII/9IsCyLnN1+I9kfS0c3bHb7LeadycX5U4Iw5Oz8gtFoJDyDHkV7cAPYtn18Eai6oqhKsVbqGvv9jqoqGQ6GNFVJGIV0SqPVNBzTZL/byiWhz1qYQQCd7JSTdcJsNqUphedgWyZh4LPb7xiGIUbXkCc7bM9G6zTs0O0PkiZpmh5pcZPJmNlshuNJPfrtuysGoyG247Ber7FNC9dx2O/3XF9d9TwCG8uwub56L8wG06Rra7K06X8uLfcPD6C6Ix69bTrevHlHmmbkWUmaZuz3MWEUcXn5hP0+oak7njx5SlXX1Ei91DRNPv30U3zfJ00zNsstNzdXlFUhifq2k0mE6xxfQB+m2W3Xxe6fPwdzZtNItsNxbJpW5G3v37/jpz/9KV0nLzA6xSAa4DoOT58+Zblcst7GJElKmuXUTcMPr7/nZ1/8DMO0xP6nOgxdw7EsyrqirTqKqjjyMmxbYGadUqg+x3AI2x2YAx9KgA7hu67r5IihOixTp22Eg6GUMAiCIDi2uQ4ZAMsw6dqWqlLHzx9oNJ3CMjVsx6UqSh49ekxT17x6/YrRWBo8lmXx5MkTttsNui7ti3Fe9M0xRd5kdG2HXtV0poGm9d6GD37dH/4zHwiFTdvgeS7j0Uh+bWVJVZTQH1S7Dw5ITd2g9L8wgfDDRKKu6z3CMyYYRUc96yHFTid7D01X6IbRE9FatrsNjm6R7RIeHh6oKrEYNk3TJ019XNdmPB7iuh4PDw/EcUynNObzE3nRpQWqgzCMUJqibmQaUTdCxru4uECZGsrUjgEMz7H59vvvKbOMu+sbTMPE1A081+3VqAWBH4hNzTTRTZ2yrysahigrXc9j1x+A7u/voYPpVCx7i8WC5XIpI1fbRHUtw0HErt8ff9c2aLouo8/+y7VbbXi4uWO726H3tcWmafjlL39J19+uDoHHw8PwEC6xev/3YSR2+PchD1BVDZph0nYxtuPy9NEjbq6u+wR91WuPIS9y0jTFtmxU21JXNXmWAYrdNqbpGoH3OKJXLoqC7XZPXa2oKjGphWHEbrenqCtMy8JxfcLBsN/12hKKcyTsB8IFiAYDgjAk03S8IsCwLRarFXVVye5LaXzy4id4fsR2J3rbrlPkRUVeVpxPZzx59oTZyQll3ZDudxhbnWcfPefly5dcvX/P3/z1X3N5cUFVlcf+/cXFBfP5nDdv3vD06TNWKxmxOo5JWVRYps0gHOJ6DlEQSR4GHUM3afqE/qH+6TiO3JJGY8oi57LHCmdp2t8gFE1bYeiG7GXRjsrZs7NTqrIkT3ZsN1uiKGJVlli2w8WjS66v5CFdtxWub9NqLZ5y2G5VD97qaBolO9FWbv3jyQmz6RyUwXYvGOQgDI41pZOTU25vbzhIxoIgOCKpHcdhPp9iGDKKLcsSz7HZbWNc1yRwPXTdwHQsTk5O+f7VD7y9ekcYRcxmM4DjdznPMlAdli0399lsRhzvELOa3HYc26JpWwZRxHx+KnkhTadthavQKY7+jkePLghDnzhu+saEQ6saLGVw/3AnYVpNsV6v8D1PqIRZiuP50IGGQZ7JJMK2LFTbyjrTlJ553TQslivCwKdVECcpg8GA07NTHm5uqbMM27KFpWEK8KhrumMVbb/fMxqNOL+4oGlqTMPAsm2++NnnnF6c8e7de548eUKZF3z5xz+y3+3YbDZsdzuCIODs0QV1ZXJ7e814PBaSqeMgAh7r+DzZbtaiStYMlJJGwGAwxPNCdF1nPJ1yMj8hy0q22x2DwZDZfE7XK349z2M2m+F5Hk+fPqUuG5LkM65vrnj16nvqpqAscmgPLw7VV1UdPE8S6k4v0TmE3+QilFF3Qk4UtwC8v3pHFEbHF3SSJKRJwmwyZTKZMhzPmc7n3NzeUdU1RZFyd3/N+fklSsl8yNClsdMpA62Dqu2ONcLDZ1rvb8/wI8znQKltP8gSHCYJcAgJtmhK4dgmGh2u46BrJpqrHWFxhwm4ZRlg6pSlwX4vLAJZlcqKzHM8TN3EQEPz4Pnzj/ju+29YLpecnArrxrJMirKkbjoMyyYMB9iWw07fEu9jqrpGayqxgH7gLvhQnHd4uQOUlYD8TEPouCjQVD/Z0XqEfc8foBPd81/0MNB13TH5KBCV/oFSVpRFefzFjkYjXr9+jRd6hHqI73u93lRY76blkcQxp6enxz5p13UUeY5tSY3H9z0Wi3uurt7Ll7/Tubm55eSk6+sVFlVZs9vvuby8IOuT+vu97JlbAwzXOqpQd/s9i8WCh9s7tLbj7ORUTuKuKyly6BHJUqFcbzcoBWEUkeQJy/WK5WbNy5cvpdvt+eRxduy1ywtC4boeJ/MpFxfnWKZOliaURU5TV/3IvGO33RHHMV9/9TWLhRwgzs5OuLy8ZDqdAhwfNIcX/GAwwOhvkodR2CElfvh9N00TQxf2vmlaaIaBpRvM5id4nntc2biu3X/V5YsSRZJEz9MUQ9d58uSJnCxNjYfFgrIsUMqgKBp03aauGhzbxTRs6qrl5vqWumlwfY82y2jbltVqxXgyxbZtMc1FEU8eP0GheFgtiaKIwWDAu/fvCQYRVV2jWwZdpYjTlKbpeuOfx+Xjp/z617/m7u6Oq6v37PZ7Pv3JTwjCiDhNCTUNw5Ab98Nywexkzh/+8HsWyyXz2Yx3b98SRRF6fxj7h3/4B25v77i5uT0eDA4jRtO0CAchqlWsVxsmkwmu7TCbzVCGiRcE0qboJzFRGBK6LpvlEoDID/jpZz8R2ZFpstzt2e32+H7YJ6bFMKb1YirJG2SotmYwHJIWFVmRUXctneooqxLD1Gm7mqouqeuKrlXkeY3r+MgEQeE4Hrbjkucly+WKwXCEbll4btBTOTesV9s+eNkd60cPDw89udCgqsoevpKQJnvOTk4wTYvddkvMjlE0xHNlPVJ3LYZtUZTFj82XWKqphmlAp9G1HZt4R9e10pU3THTT6CvDkhh33RClNNIsIwgCbm/vGE9r0rxgt5Osxm634eTkhOVyKeHQ3RbDEv/GoUlxAM6MRyM2qw0dSvS/e2lxmIaEdbueDR+4nrzE6hov8NlsNuz3eyxDKpBoOkmcyHMu8LENyAr5bOd5Dh2Mx+Njnc3zPOqmoq51qrrCtC1pJgwG/c1wS7KPmUwmDMKI6XTKu7dveX91RVNVhL6P73mkaSI/c0MnDH2qSmiq97e3JImsTS3TkbqbgkePLtF1g4eHhbxQNIPz83NGowllWTEejWkNWK/XPH369Ahsk5Wg3K4HUchnn73Asg2yNOHt9z/IIalqKMuaoqh4+vQpg+GQup9KHt4FmqZRtxVNK1a+pikYjQa8e/eedDAgCocI+VNWgYZpUpYV0WDCYDhiOpvx7XffUdclq9WCwA8xDxVGQ6cDNPXjuP/QmDm85A3TxOqbLAffyocgrsMh4VC91jQNHQEStSi0TjvevMUc2BwrjIfqcadaut6PM5s5tK2ibToBEXWNhHK1EkPTMPop9M9//nO+/fZb/vjlH/n4k49wXY+kf9d5vi847iwDZNWXxDsMXcOyZDpw+DV9+K8/sxwqja6vF2f9Zc40DDSlRGLEjweJA7XxL3oY8F1PRtSpsPGVUjRtQ13VR5rUgbQWBj6GqZPsdrRVxaLIGQ4HjCNRyhqmiW4YPH76BNux2e22uK5DU8tDIE4SgjDsmQIGZdWx38e8e/+OLMuJk4y3795RVuL+/vTTT4+jq8XygcVuTTgacnJyQtd1zOdzkeVEEb7jHHf4TSkgnqZuMG2T7X5L2ZSY/YFHdQZap0j2MTc3t2hozMZT0jQliaWb/sknHzM/maNrIkryHJuyKKjLShCgpsVkNMR2XLoONps/CPgGjU8+ecHJyVwELAfZRB8smc6mUkfsZMzbtC1KSRIaBW3XUjcNUTRkv9szHPk0bU2nlIzbW4Xr+ZRFjYaB2Qdv/CDEsUzyPMMyTOqywPd92rYhCoeMxyMeHh7YbPY0dUvXaWi6jm15DAYDdps9HTqa3mGa8LB4QAMeP3tCkqcSiLJs0KBpJXNwdnaOaZosVkvZJS9XTGczBoPBsfKm62KKm9g25tzsu8A6vuXxd3/3t/z617/GcRzevH3Df//Nr9nFOx4/fYLn2hi2QRhJL/jzn/2UH169ZJfseVg+kGapILTTREhtsxlf/OwLfve7f2a331GUBWma4HseugZZIhIdP/DR+xzGcrXEtSwmwyGWbqL1KfG6kRBfnMRcXl4ShBHb3Z7ddo/luQS+j6mbVHVJkWeMRmOqsmC9XIlZsK1RjonR6qhSftZd1xEEIZvNiv0+YTQaCoLbNDGN3rDXm+5My2Y4mqJ2e9I042GxolUK3ZJfY5bnWH31r2s7QcDW+ZH/Lrcj2ZmGUQTIvrrtd+G6ptG1NXVV0nSw3u344c1btvs9RVWQ9spmyxKAVhRFDIcRTV2xXCyJwkiQqErR1BpN23D5eC4rujJnu91TFBXoBieaBB1tx+F1HyjUDZ3FsiTrK7pxmrLvrW6hHwru2g8oipzA8xgOI3RNZ7VZU9clYeCy2uzoNA3XC6iKEl3TyLOsh8XUkBkYGPieSxgE1L3BT/Vq3DQpwbXQdYsir/BsnU7J82g2kzpq3VQk8R7XPfgSCm6/v2M8nWFaplSIfQ/Xdvjs57/AsWxev37Fl1/9SQ7kYYBtGH1AM6eq4PXtA6vlku1mRRB4fXVOQo6D4QgU6LrBo8vHWI5LloswqWkaRpMhYRgxnc6ouoYoCgHF+flZPwlzKPIMw9IwHYPV7YrJeIjR99Qno154VtbHaaaAgSSol6YJoBMELugO+2SHbRqURYOBzl/97BcyRS0rirLk3ft3PH/+nGgwoKgK9DzBbEz8wOezzz5muVrhWCbrzZKuU1xcPOKAENcV6Cg0Q1j8eV5R1Vpvj61EQ28cDtcGSpl0vczLNE0GUURdVeR59mN335CKu9bj05WSFSumYOGbthbcr6FRVw273RbH8fD9EK0D3VRg6BhtIz8HtJ5g2IvzLIfPP/+CJ0+e8PKHl2Rpymg4xbJlSimod094HXaK7VgUheSPpIHQoesSeOxUx49g/P4lrwSljJI2lIasik1dJgFKdVI1B9Em/w/Qov+/DwOe7RCXFZZuHrGoDw8PlLWgQk3LYTSM+rF/ia6ZJPuMxpURrd5q2IZ4od9fXcnIKvDQDAgir99jzvH9iCTdY1q2oC358eabxjFxlrLarLA9m9OzGfP5nKfPhEQWhEL9G80nZHV13C3JLcRlNByAEvpfXVa0dS1iF8dCL2qSPCVJk+MusOs6tpsNSZwwGk/wPR/bdmnrjseXjxmPR5yenqAQMI3nOVi6hapbAs/FMHSyTDwO+W7Hu3fXXF/f8tFHH/PJJ5/0O1eH+8Wt1MA890jX6vKOphX0J5r07lul8DzviMl13ZCybHj2/JM+fLjGcCU3YJo2m/Werm25v1+QFjmaguVqyclsStcKipa2wjZDNDq6ruHV6x9Q9DtnyyVOUvxgQFXXbPYZtuVLLzl0ub29kWyFallvN3zxi58zPz0lSTMc1yEcDKjqDadn52y2Ox7uF5RNzf39gij6EehSltIkKYuCsihoaERg5DtUZUkYevzd3/4N//LHr/ACn2+++Yqbm2scx0TTOtA7xtMRruuj6Rb/j3/8T/zpT1/RdMLJL6uSxXKJZduMRhP++m/+hsV6yeL+gWgQkiYJlqFTFjlh4DMIQ4bjMR0iq1r1nX3ViUypbiQnsd2tCQOf2emcfZLgBRHXt3fCkC9r5sMxtmH3MJ5bsiRhNp/j2BYaCtO2QdfoDHG7+4FHlstnz3V9LMvB9yO6tsb2LVarLWWZUTUNjmPj+gGzkxPeX99TNeBHEW4wwLAtJtMZ+92OPM2g6/B7tO4g9EmSHappmYxHjIfy0vBcX8Jl8R7Ltths10RhRNN0KAyy3vzY9vVcz/cZjcdHjsVhtdV1NbomfW2lNMBAdTptrZOkOW3voG/bBse1qbuGtlV88/0PfPrpp3iBYLSjKMIwLSzb4vT8gslkQpIktD1nQrWdjGc1DU111GVBksRYnoNTOtSNiNQs26CsxLuhug56EJHrOJiazv3DitFoiGe7VH2gOcpDAs/GNDVM28HxfZL9Htv2Qel0XYttm2y2W/GaaAZFkeF5NlmaohkG0WAglwPfpuug6BXCX331FfPpjLquefLkkuvra7bbDePxGM/0sXQDxw6orJrF7bcSlrQcgiBiNj+RW3BZi7FwMsH1XU4uzmgaOUjmeY6madwtbjFdkzAcYFlev96864NpMv4uqgLbtwmHIavVEr3tmE4mzKYzdruY2Wx4zIoVeYFp27iOg2UKmKdpahzbwjJ0bNOk0WqRJmUlruUJ3Mvz2O/3JFkGhmQPdvGGtm2JX8m05MmTJ2R5RpoXvL+6Yb/f8tFHn0i91LKh6wDx2diOTVW35JX4GeTGT0/2kymEHwTojWQH6rLEtk0CdyjCMGAfx7IWQDum8XWpSNGqjqoWumFWiLFSty1s36Pu5EJm2TZKA72RS3Gey2FFaRqGYR2dCmdnjzg5ueD29pblckmeraHV2O/i3kJrYTsOmqmjmQZZEtPWFaqRyayuKVQrrQlN0462wiN0SddBaT2yWqNp5fdJ1yV+2apW/py/9GRguVwSx4KolA+C7MvyumA2m1I3NW/fv2O1EgSnYwvvWe/T3Gmayl8jSY+j7h9+eEkQ+Hz66SckSUKeCHRnu92R2Jm8zJTCMp2jX3y9XtMpxaefvuBXv/q3PWVMbh1mPzaaDkKiHlxT1zWqU+J9No0jxck2LUxdZ9/v1969vRJWteOI176sjjuvwwg+z3NmsxOePHlC09SUZUEQBEfjma6DbViMhyOatuLq6j3fffctdV31GYmGX/7y3zCdTonjXf/A8xmNxkh1UNYNsv9S0ustK6QYqKNrBmenFywW9xi6BUbHeDzEMMSRcOBpJ0mGrhekWcrJ/ISqFUx0FAZs1muKPJcTcF1h6pIHuby8xLZtFosFaZKC7mHbLrPpKePZjMVyheN6jKMBrmNzd38NKLr+FFrVFZePHpGk2TEvkGUZ89mcNE359ttvuXz8mM1uK+rXPMMPfGazGZv1+phDOTwot2uRGcVdn45tW549fUoYBORZwna7ZvHwgGnqbPYb5vEcQzc5PTnj0aPHknpfLPnkkxd8//33BIFPnmdUVcn5+Tn//t//e/5f/9v/xmAgL5Ysy6T+WZY8PDzg+T6aaWCYMga+vb1hOpv1cCiRQp2enmHoEiIKwyFt13FxeSkhzLzg9vaW2WzGeDzm1atXEpK1bZpGxthC5EsIQp+yzCl62ZQ8SM5662TJfpcQRiGWaWNZDZ6r4/Yj+e12SzSIGAxGNEqhaybRaMj9wwKnb71MR2O6tmO722B0otV2XbcXbGXsdluaWkbgYeDTdQ1+LwDTdZ3xeHxsCp2dneH7PnGSHHM1juNK+KptaZuWvMoJgoCmhWSfE8cxu53oyNMsJ00TFK1Y+FyHi0dPOD+/II5jZrMZjx6JrGgwGnF2fnb0kAyHMu3Lk4z9dovqFLvdDscRamTXyi44CkKKsibNc05mczabHfskF66C3mthG1m/ffaTj1Fdx26/Y7l6YLtbEYQ2ndIpihbTEFaEaVt0jbwgmqamrEumkzGL5QLLMrFdwaMPhiPqpsWxXbIkZTSyGUQRWqdoqpqvv/6a7wyD4XDA9Y0ECdM05d27dzx69IjZdEalRKNrmDq+FTAcTbBti+12i2GKnG0YDY7sAz8Mmc0kxH1oNwwGYpH87W9/S1VVfPbZZ5yenvZtjELaFuaPY3K5AMjE6/b2jrKsMI0fvQDoOlVW0fbhtSxLuX+4ww88PvroI1lLjCcYRiwhUsMksMUf4rou6/WaxWJBWZa8ffv2uNqpqopHjx4dg8v73ZY4jplOZ8xmJ2Lk0wxAmkqu69IqmbyapnXMCgA9dKvGdW3MpqPMC8LAR+u9GxoCARoNBxR5KdkqXU4SStP7qqrQc9HA9326TtwEpmFSFBVN02IY1vHndpC+0V9aP2wB5GVJ1TQimbMsxuMJu92Wqyutt2VmQoU0dcIoxNQ11nXPMtCk9XDImB3QxcCf5SQ+/Df0EwP+PE/2r4sP/t84DGR5Qlnl7HZyAn3//r3U8YYhri+yja7rxOI3HlDmAhIyTZPNZnMUCkWDAb7vs1gu0DQ4OztF0/S+dnNHVYve9vb2nt1OUtNFsSKJE3aJjIYlIe6SFwVhKIS2pmixHbGoWf1uqikrtpsNjmnhODaDcIJpyeEhTRJaXexXf/zjl9zfL3o9sYlu6H06WiMMBFAUBCGr1eb44XMchyDw+5eIz+xkRlkWlGnBl19/yd39DcvlAqU6Li7O+fTTTzFNC9/3KIqcuq5Zr1colPCllRLqIfT0QEVV1sfDgaYZDAZRTyx08f0ITZMv0/3dPV0HuqHJeMkQpoDvu2R5wuxkThCFPNzfYRgaeZGh0cmOONlTliXLfu+t9Tt4yxDQRlnWPNwvcVwf23JZLldUVU7bVmia3tcdZXWRJImcSDtJrSdZzvBiQNc2/OSzz3joa6SO6/Lm9Rt+9Tf/Ft/3KfKcspB1RRpLoK3tWmlbKAnAKKV49+4tQeDzD3//H/jyj//C7e0NtzcdH714zuxkdsSrJnFCXVbE+z0Xp2dEUYTnu5RVTlkWeIFDFAX84hc/549ffsl8PuXu5qZ31xvousZwN0QzDDzfIwjFvLbb7pjOst5L70pIaD5HM0zyqmK13JAkGaARBLJWmU6njMfjY/1zuVxhmjZVVXJ5eYHvu/0XHTxfxEoHh/kBWzoaTXl4eBBmhEIeYChMwyAII5TSMEwLzZCbILrOdDpl34dQm7ZFU/Sc+fL4sGj6JorIkWrCMGS/37Pdbgh9l+FgiO95xxDWYWolzA2b99fXGLpQHjU0TFvgPJQFTaPwvADL9NjvU6aTOYvlA3mZ0vRVVsM0GboeZVkdp3Ge53FxcSHtnsA/tjYOu+40Tbm7vkW1LdPpFNezybKU0WhE27ZsVmuZCDgemlK0dUNVlniOQxiKQM22bU6fnGEaOnWRs98n5Pmeqs5xPZvtboVhyjrD8z0MQyfPC5qqJvA9MX32U5226zA1GIxGvH//DrXfi0m1FH1tkeY0tcI0LJQJ//4//AN1XXF3d4vn+bx587qfqAh18he/+CseX47ZxXuGoyHj8Zh5r7NerB9I05hNlon/pakZT6d0SH00z/PjLn+z2WBZNp9++qlkAOq6vzD0K13LpEVaCo7j4Ng2qhY6ZZ4VfdCuOkqDAkOjaeuj/MtxHB5dXDKejHEcl6pqqesGw+gnx4ZBo34M28ZxzGKxOO7vD5W/6XQq4eSy4O72hrYuMQyLPIlZNC1pmnH26BLXD8UiaJg0nVgTXcfG6A8UhxdfHMd0fWWwrmuqMsO1Laoyl3WH1qFrcijsdK0/aEDZNH2+RSeKAtIsxTT1YzVXIRecppHpZVVWR0X8kXCo/Yigz/KcttfTG4ZBGIZYwxEnJ3Pm8yk3Nzfc3l5jmgZ5JdOc09NTyjIn2e8wlX5cOxz+uv+j3fDw35s+C2Maolb/8Pf3Q3zzX+wwYNsWadpRFHJ7SVO5rYSDkCSTsccwHPSwEL2XfNS9KU3OJuPxmMl0CppUkvzAJY5jvv12z7NnT0mSmCSOSWJJbc5nZ+i6ybfffstiseTzn3/Ov//7f8fD8l7QkKaB2VcuNEPHcmzqupYQYSu/AWmS8IfbW375y18SBgHoovnVNI37+3tefv89282WzWbDbDZD68Elh5S0asVk5TguZ2c2juMde6OaBp7nkqR7Xr16zdXVO5JdIjW+IuXs7Iwvvvgcx7G4uroCOI6Qoihku91SFDmO6/UPaK2vZhlyc29+/IE/fvwEpRS7nZjclALX9VgsVtRV2wcHdUzLwPN8SZuaBlEUYtkmP7x6iW2ZKFrKUvbGbVszHo/ZbrccDGHyL42TkzloBvcPCy5PT8nykv1+J6CbuqRuSixLqoZpGjMcjeRL6Djkccxut0c3Tckb2A5ffvUniqJgMB4dE8bfffcdT548welzHKLglmBMFEaEQcDN9TXvr6754eVL/uk3v+ZXv/oVg9CjSFOassSbjjibn+CHAZrSoVPUZcFoMOBd3bDd7vB9j328pesabMdis16gGTY/+cmnXF29oylrXNchTWPC0OfZs4+YTCest2uUahkMIx5rj9lu9z3GGRpVY1oa795fMT85Q9PkEGQ7jrjrmxrHMY+q4cMXVkJQXd8wkJfNdDrGcUaUaUqWpuS5ZGHOz88FnNKC54ZYtk9ePOC6woo//LXjJJEgUp6z2e6YzGYCYTFNHNelbUTTq+tab0OT9YzE7VU/+bL7MGBB17UiDfJ8yv5n5bpSqbu4uCAMQ7759jtsS9wBbdNRVbVwROhQSvgTRV6R5xXPn32EYVqMZzOatvqxahWFPHp0ge/5dG3Hw8MD33//fY+4fspkOuXAbD98Z4U+WjKfTvE8F0VH09R0nWR1giCibmpc2wZEfa4hMC+9dywEYSDuA8cmT2XaaNs2H330EUrJMy7N8l6H2+CYJm0j1V7bsUnikvloyGa7Ak1jt98JjMpzafug7iAcgYIsTrAsWcE4lkNRlIRRxNNnH/H06WPOz8/4r//1v3J7e8tut5OgXCcvoCAKQNcwTZu2rXrKqs75xYVgquuKpq4xbdFtH3wVL168IE1TNpsNmi4Hl/l8jlKK+Xwu3+O2pmsbaOUGHG+3vHr5kjItefHJC0ajMZZpMxwOsSybpqmgp0E2jbgdTBOKvCaOpVXgBx62beN5HrZj87Bc0vXJf03Tep19cazoFkUh8KvVirZtef78KVVZcX19w+tXLxkOx9R1w93Dkk8++wmz+alc1PxAVsi9vOtwuD18J+q6pi5LmQhkNSoMcHvuieq7/LoOvuf0azCNqm363FaLqcs6Quh/8jyUG7ZM9GzbZb1c9U0B67i6PbQZDMvEVB1dLyeiB74dnCbT6YzxeMR4POTm9roPpzZ0Tc1kPGG3WqNojxmypmmOor7DweDwxw5SLl3XaVCYyB87TCngRzbQX+wwcGDXp2lGXYsedjwaUnQ1jx5fAsLiR4e8zAUcoYuOc7fb8cMPP8hJ3vWYnQjGdrl64OHhjrZt8H0X0zSYTqfousVyucG2PFbLDavVlroWUuHDwwNNV5FtYoJohOnYbLdbAZXUcsOwdFOCW1VJnqTcvL8iCkI8z8V2LXmYvfyB3WaD7wfstjueP3/G5eXl8cYvH3iTPC3RNan0ua7bM/EHmKbB+/fvWa2W3NxcsY/3rFYLDHRO56f87Gc/w/e9oxzIMOQ0Lj8gGa/btiV+bs2QNDA6TVMTDCJ0vaTp25xdf5PK8/wInVEK0jRHQ8dx5CU+Go2ZTMbs422vc96i67BY3PPwcMd0PKYsc+qiwLYtLs7PoW2ON5PDWNiybB4Wd3IS1zTuH25RQFGWRF5EvM85OZ3z9Okl682S/X7LeDLufRV1jzKuGYQh79+/Z3G3Is5S5vM5pmWxXq9Bg5ubm6Ozu21buqal7FXUaZKQH9TTfZrYdWx+8fOfcX5+xm//6Tc4jsV2s+Hu7pZPohdcXjyibRVxnGCbFqPhiLu7O2ZzGbOuVhvQPDRdYSgoCvj885/y3/+v/6ufHBSsNyv0t+AFHp9/8TkCoJH2RJ7Lz8DzfXzfIwg92k717AkxnA2HYpEsqwrPk5f2zc1NH3Ay8f0ApTSSRMKVy+USyzKYTsd88+23FP104vDyu7x8jGo1gmDAYrWkbTraWl7sZVVIXztN2O9j/DA8KptNy6au5PuwqzZ0Tct0NqGIM5pGAoJJspcDtWmRxClt2/TOEBdDU8cKl+xFfxz3DwYDyrKmLCvOzs7l0N07DEzTYjwa4jgeRb7i8eMnXJxf0iqo2pqua7m+vsJxLC4vH3FxcY6mpJr4ySef8Pr1axzH6fNIAoA63GzKsmQ6nYrop3ek2I7cRNtWYDl5ltF0Enx1XJfdZotqO4x+7DoYDtCP1TENy3S4vHxMUeQcnPaT8YQ4S3n1ww+s12ssy+Tp40umkwllkbPd77Bcm061+L5Poxos2yYYhNRlTV1UtE1DVZRYhkWRFdiuK1U/YJ/EGIbO2XzMX/3VX2HbNv/lv/yXvjFzhev7/Ntf/TWmaUsY1XHJipLBaEhdWgSudzR/PnrymKKXVX300Ud8/fXXAFxeXuK6LlXdSnh6OJRwdhz3L+YCx3O5Xz0Q77bc3d3xcP/A2fyU09NTHMfFNCwsy+aTTz5msXrgYXlP09T9xLCibcSz4nkuk8kMTVNsd2uSJKbdt+imRd5XbQ/Pl0Mj42B1zfOc6+trdF3H0GE6nfDo4pw//fEr9ltpqCjT5uuvv+FFq5idnmIYUsFT3Y+2v8O0QhpZCr0nre7zlLLQ6Ro5NBjWjzt94f3XdK3qgXUmHSLPavpwIuhiwDUMmrqjaTqyLD3mWj70Jdi2TZIm4uAxTaz+s1tVZb/vV33I3kDTXF68eMFoPORPX/9JDuCpIOkvH1+y32/Z73aU/cT9oDT+0GD44aTg4ClB6X82qTg8O/+ih4HNbkdeVnSA7XqcnJ3i+T675QO3t3e9kc9GKWEt04ND0jTl7Oy0//L2DzdNo8gzXNcjSzM61XF9dc3jy8copbFabYjjhDA0ePv+nShI6djud7y/vmI8GdAh8hsv8FGdcKdRCt/1sAyTwPN5eFgQ9gTC3WbL73/3O9Iik2BJ32nXNZ2TE1G1DgcDqkqS0G3bEvgBnhtS1y1RFNI2HUkc8/7dW6DjzZs3vH33lqoq8DyXjz/+iNFgRBQOSNOYPM9Ee6xabMukqqVOovWwFs3QwdCPt+eyLDEtR/5+4RDPi6SPnheUZdVriTkSqcIwwjBMDMNkPj9B0wRZvF4t6VRDEPikWUpVFpyfnlGVJZZhojsujmNzfX0t3HClOIiNNE0njCK2+0T8CXVD3ZYCcek6GstiOIpwXZtXr36Q5C2HwKHFdrvn5PQM2/WwLIfVasOTZ89YrVcoDeK9TA00HWYnM9lBukPapiHLUvkiNjVNU2GZFuePLo6Hp7oqjhTAn/70pyRJ3Dc7UlxXxsllKQAoIchNeP36NcvlA9OZHFZWqweRrWgWs9mc2WTKL37+c7775lsYjUgSUQG7ni2BUNtmuVrTduB4LmlWYdoOYTSk7WqRsOgi4zE0+T00DJMkTRmPhzRth2FaQq9UMt7U+u9GGAYMoxDLMDDQZefedqhOMZtOcW0Hrb8Je36A0yf2Ow2iKETT9GO1qu0qdvsdE9Mkz1IBm7Qtw+GAxZ0gUaXPLztjNKibhqpuj3tPTdNE/22aoDrOTk8p8pwwDHn1+hVN2zAeTIRoqVuEkeyl01QmB5qmiZZWKeqmZTKbouk6judiWjb7NMayTH4y+Ez2wrOJBMA6hTcYsNvvmc/n3N8/ANCo7pgfWK832LbTr78CRj1Fsu06ZtM5bdf2az6D0XhMlpWYhkmeyog8ilzausENPJquowEJlyYZw8EI03RIkj3D0ZQ0TVguVr2R0CfwfUZ9wr5pOgaDEcPBiKKUAxJKsV5vuXh0Thh6LJJ7mjIXyJmh0DUX0zDp2o7xdEraj+1X6y0oxenZBf/pP/0jv/mn33B1dcXD4oGr6yvOzx8xHI0YjUdYts12tyRXLWcXF6yWK27u77i+uWG7j3Fdj+l0eiSPtu1hbdpRlAVDhli2xWq1Yn4yIy9K8rLEsRzer7c0ZcOjR4/xbZ80zbFMl+FE/BgPDwvKRkQ9WZ4wGEaizU4S9omg0A3ToK4r6qbG911UrUiTWG6ouibP+ixlu9sy1ifHenFdN0eSrW1AW1fiA3HkZ50XOcFIUNp/+uOX/NzQmc9P0ZSBY1loXScuFsWPL0UNTN3AMk1c1+2nLS0oheM5eD3FtCwrVNdPBDoBLzm+J2l8ZR6tgJZlYxgmpm/StaDQeLi7p67qfi3QcrAduo5LS9e/kPVjldjQ5bsBogPXNDBNocf6nsdms5Zmjm0RDQY4rjBaVosFi+WSJE4Io6iXs1VUdSUr7X5i0TVdv7IFEBWzPJfN47riL3YYuF+uaZQGhkWjFHeLDdOpLn3zokV5Go3qyJNSEpqdjuhHfWbzMZ9++oK7uwW7rWBRkySmKDN0dPa7HVmSYFoeddVwe3uPrhusNitu7q9orU6wjx89pVMNcV4QBB5K74iTrQQ8dKjLmqZqyKqaTbvEtm2mkwlFlrGPY+rCRDUNy80GpZQEdmYzXNthGA7pqpZROCTyQqqqYjSe4AUDsizn4f6eV9+/pOs60iTh6vpt712H2WzGf/7P/5nLy0vevb9G1w3mJyfc3l5T1xW+7wprAAlTWq7NcDzm/uFBTqJdi2XaOJ4vVSvDIslLAj9gt1wDUNYNRdUwHo9J44Qo9AV92d84x+Mx9w93Qi3UFIHv4zgWSbLHNC2mwzH39/fM5+f9ONKgyCsMQ9YNddPQNDVoOkVRite9k5OwZUrgJfBcbFtRlBn7WKAbeS4HHM/3sCyLwWjEcDTGsB1cNyAcyIPe6kflQntsCSPJepiWyT7e9Yz5Gt0A2oZW1TRVTbEuaXXFYDLkJz/9KWVRcH19w6NHj9F1naurK/749Z9Yr7cMRxpBGGG7Pqv1munpCZ98+gnffv211IuSnLurO9abDZ4XMB7d8fzZR/zbX/2KMq+J44Tvvv+GJIl5//4tbuAQRSOKoiKvCpKiIhiMCaMRuuGw3kkt0nbkgZPnOa3q8D3h5muGRVZUoBl0cuxC001c28JzHGaTKYam0dQlWZzg2B6e4zOIQtIkZjoZs99tqcuSupaAaOC7VE1fI9I0lAZKU4SDAJRitbyX33/DwrYt9tsNhqWx3W8YjiMGwwFJFrPebEjTBMexKauKMs8Ig0BALJ2iaVvKLMdzbe7vxP7nuh6dpuMGIev1VnbNnoNpm8cwWBAGTOdjirwky0oa1fHq/Rt03SAahezSEte22ScbTtsJNzcrZsMpbW2RJSnD4QgvEBBYWWW8ffuWs7NzxqMxWVagaxbT+Sl1XTOZj9nvdyx6I2peVtimSdt1WJaJicbzy8dcXV2jdw1VluBYYgs0TINBOEDvNKqmYbXeCDQqK7FtH0N3Cf0RTx5fYuha37ZoCdyIdJ9xf7skz1M2mzXPnj3F9yPKvKVSOaPhlO1my/XtHYPBENOs0G2DNEsZT0aMopDFcommB6KKLgvSvGZ2csEuydnutnz55ddYlsdoNCIaRJJ1MjQaOvKmokbhBCGGbRGGQs/TNI2nT59y09saXc+jrCt+eP0DTdcwHA7Zp3vs2CZJcy7Oznj/7j3JJsfWPMbzMY7jobDQTZfVZi+haMdGNxWGqZEXBevNA+PxCYZpsdnF5HWOazgUeUFLR9nW+L5HvN1imx666lBtzenpjDjZMz85oW1bkkzqtk0jMjXH1tnvtlR1hWaAFwQUXSd7fqVI05g3P3yHiSIIQjwvxEDHUIIwrsoCrT+MFm2L6mFMeZaQJ7KXHxjS/DjYDutavveNAs8L0DqbwPWwGpuiLGmrCtcQtXKpoCob0DSGUcByvaZT0Gf2pJ2lKfROAuDIL10aL2jHA0Pb+wNMUzDJBjpN2RCEPkYgNsK6afC9AH2mMxpNuL297d89GZou8KSilKmL67pS5e46NKWhNEWnGjql8Fwwtb9wtfBgSbq7uzuGBQfDAY8uzjFMk81qTVXXffrSYjaeUhUFZ2czfN/j/v6O7XaPadh0XXvsfFZVzXK5Yr/f8fW3L1GdaCA/+ugjnj59zmK55M31W8q6IstzRmPZNw0GQ8bjAfv9jsAPKIsCWiVVQUsOLHVdk/QJZd/30XSNumnQ0XEcl+l4Ap2EBF3HPd6Qh8Mx9/d3/VjX57/9t//OP//ud8S7HZoS/vxkMuQf/v7v8Xyfm+trbm/ueHhYousG48mE7XaLZVmcnMzRdFgtFxiOhh8E2K5zxAmnaUZLQ90qdE3HCwNMw+qTt9Wxxvmhe2A+n7NZr4X41ktfVqslSRofe7NplpAX4LqiC37z5jWWZaJUh2lKIMu2LQns9NyHQ82vrMojwUtY5vFRK9r0JLBDY8B1ZUzm+34P9gmxHYfheIpSGqPRlFbJgenARh+Px6K+tqVloqmO/W6HjiJNEoLQA00YDW0rP8cizyWQ2nPGDysNkRm1fPnlv/A//7v/gOd5eGHIeDJh+fDAfH7Cl3/4F66v77i7vmK321AUJU2loSmDb4pv8f2Qi4tHvHnzhjAIWCzviZO4D3muMS0H07IYDEU37TgOb9+9Y3YyxbEdrB4edKBEHlopV1dX/UjSIIoGxPFe0vmTCcl+z2q15PzsDF13QAlQq60b8r6/fzCuGT1oq2lbLM2k7KcjmtKFb2EYlLmYMaeTMYZpUTcynmz6Gl9Z5WJW64FVm836CKMyTZOy3012XcduvcE0DB6dncvhDI31ek3TdURt1ytjux5aFR0x1VVV4fnSia9qabCIZdJGdL2phBbrCpTi7Zs37Lcb0ume8XhKWTVUbcNsftqntePeTqcd12JKyW7W8zyqSr5D251Y3LIsQzkOhmHi99ke1/GYTMZUdU3Tyq+5bhpMQ+f+4YGg7+bL5zwhy7Jj4EskQyM2m/Wx1pWkGQp5UYWDEMdzGfVY8iRJ2SxXPH/+nJOTE+7v7jENYdkXRc7p6YmEjEsx3zWN2PQOeZ35/ITlcsXt3QNVteW//fdf8zd/8zdouqwpsywmDEOKoiQvCmxH2j9hEB4/L4d/LRYLprMZ87NTxpPJ0ZMRRRH73Z67m1u26w0319d0bcv5ySnj6QTbchmPx4zGQxYP95iWISFSVWOYitFoRFkWZHmKZbuYhs7d7Q2T8RDPcdB9hzzPuL25wbUEQb/dbRlNxoy8MWEo5Nrz84veGpmz2+3IshR/PsHoRU6aYbLbbqlKWbk4joula6wXD3yVF3zyyQvsUwvXC2hMjbpVdIi85yBuqqqK/XZDVRWkcdyHrw2aPkz5IRm1U5qsj5oa0DE0HceyaXWtR6gbPbZZvDq6ISG9A5LfMOWiqam+36/UURB04ARIdk4Mu01Ts9ttqJvqOPrv2o5OIQcypWirRoBitsXJ6RnL5YqHhwcJiWsCJZPvogQfDdNE15VY3/pMWFXk2Mcs2F/oMDCdjGQ0tN9hWcYx+Tudyshnv9+TJDHL5ZLPPvuMuiopioz6YHpqKqbTCZomtLfdfst6vebVqx+E9W3Z1EVJ1+tlu07hOA4ff/wxN8tbPn7xCc+ePaVpa+J4L6QpJWMZQ9OxTQsv9GjrDb7noVyXxWLRI0wl1KLruoB6wogszcgzYSDEuxhVt8fQzaeffkpV1Vxf3/DHr77lN7/5DZZp8vTJU2bTKY8fX2JZJo4jI1rTkMZEVVYyZu3/fkq1sh+nYzgc4nsu+/2ed+/eSvBRKTRdp1GNSItskyRLGY0mAsrZx3iuCENM02S73YqtMZM2wuEgINyBQkKNuuymumPKVIRDh9BZmib9flQOCkXX8PTpU66uro5jtjAMSfugaF3XR0Z8mqb4vvjjfd9nNBrJF/vinNFo1ANAjOOOK89lbNgpjTAMhQWfJJIPqDvevn3L40fn1HVJXRWgWsbjEWkqgbi6kjG8UvL71+QFVSWinTjeC2BlNKSh4er6ijdv3siI0Q+OVUtdE17+drtD1200LBzbRKkDXlTn1Q+v+fSzT/udpnS1r6+uefLsKY8ePcG0HDoN8qrt/Rstg+FQfp/7VK+Id06PASmlhCQZBhGdEmJZmuZC9evlWKZpSnXWstjttwwGA6qypG0k3CVmxlJG7HFOUVSEg8FRPOJ7PkbdkMYJWVWD7fSfi4LxdEpdN3Sd/HWGwyFlWZDsZbWS5zmmqffuc/m513XN9fU1tmFS9m730XjIRx99hB+GVE2DYTkkSULTdEfGAHDMtOx2AnLSdeEL3N3does6jx5doFDsd1t0DZL9jqaWxlFgy2e87SArcqqmYTqZMp1Oe7GWYrFYQl+xnYwll5FmCVXV4nsBd3e3uI5HGPoCdmkUdSn7YMu0aLuO+8VS+BGagWEr6qqizHOKXFoSURRh9rvnON5j23I77Hpz3ng0YrvZUrctnq7jhwFlVdL07RJFRxAKt8LpxUmWZYMmbQTXdfqxMTi2ievaND3cyDRNTk/PePz4CdP5KS9fvUJ1HXcPK27uFpzM50RRwHabEoY+49EUxxXcc5Hn0jqYz1n3lwTVt3Daqpbqo+2QpSlZknJ3d8dXX30tVeS2xbEdptMTGrn1ySTAMGjalrqtCaMQ33VJ0xjbsXsnSstquWC3S0B13N/dousQhAGT8ZjHjx6RJTG7eE9R5jKpMWU6tt/vCcNIDphNw+npKePxiKpIqYoCPwhFNqRKyiLFthyqPCMMItpOsVmVfFOW3N/d8vT5x5yfn5PmhVhwlY7SoVWSrt/v95ICR1gPbzZrNA2Gw+HRdDgej+Wzl+XUbYNpiMhIo4cRwXGFWtXVMZBrOy6W46D64ODhpa71f/7he3UI0BvoKBSaRv8cM/E8l3gfM5nOaNqaOkvRdBPb8ShVgWWYjPpqr+m4BIOI9WpFXRfH57PjOH3bpkKpFmUZaI6oYDV+PJT8xQ4DbV2TpynTyQjbFsCP6jrauiJLYixTR0cReC5PLh9RlRWxpZMkew4GtKoqsG2ppzVNzd3dHb4fSg2kqVHENE2L43iMxyNGoxH3Dw80jTgP4jhmMhmLK30vXHfHsTEsh/lshqEZ1IVU1+7v78VL4EnCdTAQK5agJC02mw23t7cEUUBZVOy3e25vbkjSlLdv3+PYNkmWUXcdl5ePePr4CZcXj6S6liTUdUVZVtR1Q56XTKczfD9gtV6yWq3627zcmN9fvaXrWhYPVZ8KNY/SlE4pijxH7/XPh8rXIfzhOA5AH2SS5Gqp6OuTJePx+Bgq1HWNtpPpjOvaNI2GpolhUu9riFUltxLHcdD6g8RyuUTTtCOg6XDAOLgQDp1aXZcdnqbpxHHcn1J1njx52iNZf8R5pmlC28J2F+P2daSqD7RZloVh6FB0tE1DmReYhkLTFE2V96sVr69AGYyGU+I4JmsbDENjtVpQFAWTyYTxZMJquyLLMhaLBW/fvmU4mR4rTrPZnL/7u3/Hb//ptxjoXOlXdAqqspYZnoLlcs3FIxGvZHl2RD9fXV0xn58xnszIyoLNPqWqWgxDXqKeLyf4Q70oy7J+1JzJoSGvWK+3fTjKYDyaUDcVaZ5h2xaaZvZ0PR1N12Tn2zSslws26xjXdfrAkc14MiXLcnExJClaXVPVstNWXYehizAniWM0XWe9WmL2mNYg8GjbmoeHexxL8Mp673D3PIf1akXTtlKTBJlOpGmPC2/xfJ84jinKCgyzJ91V/erAPT704jimrhuquiFJ5PMhoUIDEOqgrmlMxkOePX3McvFAU9dsNmsm0wmuH2I5No2CLM9o6oaqagiCIdNpgGXafQOnPB5S66ZG122huVlGb4XMKKoc0zekx63prNdr8ixjNJkQxzFnwwFJmmLqBpPphCRJ0XR5CTj6j7bNeL+jLHNyP8CxLba7LWVdyU13NKRp5WUr38dC1nO2fUSF7/Y7Tk5OaduG6+v3jEaj/ubakRcpVVMRBgN03aCuGlSn84u/+iVKM3nz9g15LryTJC0YDceMRwFJEhMEUos+4N6DIDiaZQ/NgcPEbrVaicpXQZnnvHr5A7ut1LxN08INArwwJK9qRqFLmmWYluCjt9sduiFo9el0hOMGmKaBrht4nkzJRNJWcXt7Q10VBL7DcnGH20OKHFeaK64rORw0ycHMZrOj9El4+hrBYCAXjqrk7OQEupamFmqtaWhomkzhsnjHbrdhs1nh/4e/JwgGNK2i6APHqhNC7mAwoG1qFg/3PfNGmhcnJyfHcJ1hGJho1FVJ24LrWMRpRts0dAo5hGp6P+GLaOoGp+vEfNg0FHWFpVtCLdS0D6ri9Y+3/q5DdaD3JEX53pgYpqiQy6YFFKoPXzethd5zHrJSWiNZURFnBXXbkmcFGgZtU5FUWd9s6DN7reQwTEPHNHTJVPwlDwN5mpCniYx5hwMuTk+oKhlB2o6F6hT+UwfHsQl8F891QDUsV1KFKoqcplGAhq4rPM9mOp1QFDWqg6puesvTU/76r/+nfhxWCRwDuL6+pqpKnj57jG1bQr4yTZqqZv54ju96rJdrQj9gu9+R9P+/g1nN9310dMq8krWB69PWLd988w1xHBPH8XFM+stf/lIAMa7LcCKUtfFwyPxkzsPdPXkPh8nzhrIs+1Rsia6bKLQjf8A0jePDsqxKhj0jP12vaDqRKNm2w+n8hP0upi1rhsMR6/VGDghofWhQ9ZIbYVCnTcOzZ894+fJlbyFLj4eHIPCkSrffkReZpM7LUqQ7BzhF/4HL8xzXto+d9qYPmpRlSdXvtTzP4/z8/Jgmb5oW13OO6fHnz58zHAxk7OZ5mJbVJ1wFmnR4oR1YBqPR6FjDacqSphb4kWpbVFfRdSWOK6PEqpJD5HQyw3FsbrYbTNPA812qqqRuKhzH4pMXn1B3LZeXl3RKSbCmh+UEPR+hqTrSOKMuFe/evWO/z/A8B91QxPGef/7dP+O41nHN1HYNi4cH1qs1w/GU91dXtMpAYRKGHmb/90CT8Z5pmsf6oK7rDIcjJmNLRrq51NQOY+toFGCZ0nVeLO5p2wbXtnBtl4fbe5bLB1xHyIW77ZYwEiWz47iMpyH5u/f9l98TuIsfcHd7h2WaJEmC5djYvisJ91Cwwp7vMwgjmqrFtIy+jtfQtrXQL4cDzs7OaKoKQ9Opq0pesI7DZrORqU6agG6Q5wWz2RzHESPkYrE4Tnx8PyAy7V5yY6IbGk1TUdcFURgwGY161KrGarnAtUUfvd1uGOk6QTTANkzSNMN1ArKslCpt11BqdT+u7ahrgd8cuBigCQyqk1tgGIZEQUQap7T9euCwzmiRg4tSijTPCAcRQRQeH96b3Q6th4wdPCGmZZIXOYZpYhsaZV1zc3crqGfVcf/wgO+KlTDvMdDDwRDXcViuFpycnKCUYrla4HmeQNJsG8u2j+Ne3w9Yr7f4gyH/09/+HZ+8+Iw3b97QdS2PLx9j6jqoDsdp0DSd5XLNycmU4WDEq1evjrTAw8s1SRLevnnD1dWVvJyqms1mw2a9xnN9htGIumuo246qaXF8Hz8IRKqzXqHpEA4GLNcrWQOXBZp+iq4rLMsmGoQ0dW8ZNGR9OZtNqCrJhSRJTGRIWLxuKm5ur1mtlmi6L6rlMOzXDmU/5jb6kO8K1Ta0dcV0POL9+ysCM8S2DIIgpCpEhmXqiiJP+fbrP/Gzn/8bDNPB7LHm4m+xMUOdrq0xdBnTG7pcjiToa/x46WkaodNaFlmSyG2/a1FK2ASC1a5pGiU6es9DN3RMTcNSCkMXTLWcpuW9c6j2CT1QCWqYQ+Dw4E3oCMKIx48tbm5vcD2f5XKBbmj4YSgHnyxnu93JerRpqeqWpvnRRipTiRajh6Q5rkPbm3i7FjD+wm2Cuq56Fas61kMsS3CKXdfRqpbReMhkMhFqFTrGdIJuiL1Nw8C2Tb799huyLMexXVzXY7vdk2ci5BhNh7x48YIkiXtfeHtUDKPBbrfj668T8rwgDF08y+LJ5SUXpxc4ltx0m544mPaVloNUqeu6423c9/2jyS7LMi4uLmSkG4ZcXFxwdnbGfr9nsVyyXC7QNJ3a9wV81HMTDpWO0Wj0Z/9ddV0/Rm0wTdHFnp6eyoela/vRr1SNkp3QD/ebrYyAg5D1csV+Fx//uXe7HWdnZ0J0axo2mw1FWfLy5UvKsjyO95XqCCKPuharom1b/cm7kLoJ8nA7VLA0XePi4oJkvwc4/lxd1xUgjK4TDQby4Gqa4+0XTQ4oB7nPbDajaRss05H9dV8jEq1tRN2IbfBQgzF697nrOFy3DW3TUuQZ45E40Ks6J05zwnBw5Dm8efuGIs+JooCHu/teqiP7yKZtePL4MePJhMVyzXqzoaoqqaDpBrrSMA2Tjz95weuXrzk5OSWJc3TNYX4y5eHhlsooiOOYtnMJw4i2K0Hv2Mcxt3e3BNGAJ48fg2Hz9u0NcZJgOQ5DbYBlWscJiqxGcp48ecJquUYsfXqfgpeQ13a3YbG8ZzQIOT87peumvPrhJZVj4U08RuMRaRqz326YTsZomsZ4PCEaDGhbdfRmvHr1ijAY0nWybjiZzRgOh3RNQ1JkpGWOpmvH32/Hsbl8fEmeFr3Frz5igaMoYnxwIDgOTSmWu6aq+5VCcVx/tErosAfF7YGdIN/XGseR/y0MI/I8ZblcMRxJu+Ptmzd8m6VYpoHrWDiWxclsxmQyZTAc4bgO48kYNJ13797huSWj0YT3765wHJcnT55iWTbb7U5aJElC17VEUcDp6Tm77Yo8k1xG08gzqihL2kY+80oThPouyTAdB9O2CMJQ1lumge04ZHmGbui4jkPTJ9t1FPF+z2g4wLIsRoNxzwnZcH9/TxSFfe7A7v/eDV3bcn19Lb10x0KplpOTE/b7GKU6HhYrhuMRYTSAHv6z32UMhiM00ybwI6JwyLOnz9nvpQ6o05GlCZ7n0LQlnusxHo9ByeFnuVwehT6apnF/d8+3X3/N/f39EfDTtS3PnjxlODphu9sTZwmf//xnDEcjNEMyKG3bYFpCQOy6hiAMKfOS8XjaZ2JybFsqhqZpYPQ1bl2D7WZ9BHc5rk3bk1qLuOTEOkWh8D2xH+Z5znq9Pk4LPd8njELJxvQHH13XcSwD1zKhbUl3OwLXlZaTEh32YrHgm6+/5tOf/AzH9cXYmsfC5NdMlKExtmVNWpX5n312XVfomaqv1e7ilKZuSNOMoqoIogG6bmOa+v+vvTs2chgEogC6CN+pCPVfkHpxcIMAOUCyU8fHexUwQ/JhZ3evO+5xj4PveZR3lrxEpBS9jtJMzvm9KfAuEZw5Rz9L1FJifBZ8tkOOfQmP2LYtjlrid/2Jfd+vB/Hfe0/HmsdQvccS8ewtWnteHS71KgmOrqtWj8gpxdlblHZEXb4bR5zO+7QAwJS+6zkAAP4tYQAAJicMAMDkhAEAmJwwAACTEwYAYHLCAABMThgAgMkJAwAwuRcujgV433OrmQAAAABJRU5ErkJggg==", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "none\n", + "Referring expression not generated: ape is ambiguous in this frame.\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "none\n", + "Referring expression not generated: ape is ambiguous in this frame.\n" + ] + } + ], + "source": [ + "video_id_2 = list(data.keys())[46]\n", + "# image_captions_2, valid_obj_ids = getCaption(video_id_2, data)\n", + "categories = list(image_captions_2.keys())\n", + "\n", + "\n", + "ref_expressions = {}\n", + "# 각 카테고리별로\n", + "for cat_name in categories:\n", + " if cat_name not in ref_expressions:\n", + " ref_expressions[cat_name] = {} \n", + "\n", + " # 각 비디오 프레임 별로\n", + " for frame_name in data[video_id_2]['frame_names']:\n", + " # if frame_name == '00090' : break\n", + "\n", + " if frame_name not in ref_expressions[cat_name]:\n", + " ref_expressions[cat_name][frame_name] = {} # Create frame-level dictionary\n", + "\n", + " caption = image_captions_2[cat_name][frame_name]\n", + "\n", + " if not caption : continue\n", + " else :\n", + " # 각 obj id별로\n", + " for obj_id in valid_obj_ids:\n", + " ref_exp = getRefExp(video_id_2, frame_name, caption, obj_id, data)\n", + " ref_expressions[cat_name][frame_name][obj_id] = ref_exp # Store ref_exp" + ] + }, + { + "cell_type": "code", + "execution_count": 122, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'ape': {'00020': {'1': {'ref_exp': \"The ape reaching out with both hands to grasp the top of the other ape's head.\",\n", + " 'caption': 'Two young apes engage energetically on a rocky surface. One ape, facing the other, reaches out with both hands, grasping the top of the second ape’s head. The second ape leans slightly forward, turning away while twisting its body, creating a dynamic posture. The first ape maintains a firm grip, pulling gently. Both apes exhibit a playful stance, with limbs in motion, as they interact amid the textured backdrop of the rocky environment.',\n", + " 'cat_name': 'ape',\n", + " 'file_name': '00020',\n", + " 'isValid': True},\n", + " '2': {'ref_exp': 'The ape leaning slightly forward, turning away while twisting its body.',\n", + " 'caption': 'Two young apes engage energetically on a rocky surface. One ape, facing the other, reaches out with both hands, grasping the top of the second ape’s head. The second ape leans slightly forward, turning away while twisting its body, creating a dynamic posture. The first ape maintains a firm grip, pulling gently. Both apes exhibit a playful stance, with limbs in motion, as they interact amid the textured backdrop of the rocky environment.',\n", + " 'cat_name': 'ape',\n", + " 'file_name': '00020',\n", + " 'isValid': True}},\n", + " '00075': {'1': {'ref_exp': 'NONE',\n", + " 'caption': 'Two young apes wrestle energetically on a rocky outcrop. One ape grips the other’s leg with its hands while the second ape attempts to evade by twisting its body and jumping to the side. The first ape shifts its weight, maintaining its hold as they both teeter near the edge of the rock. The second ape uses its arms to push against the first, trying to gain leverage to break free. Their movements convey a playful yet vigorous exchange as they tumble and reposition themselves on the uneven surface.',\n", + " 'cat_name': 'ape',\n", + " 'file_name': '00075',\n", + " 'isValid': False},\n", + " '2': {'ref_exp': 'NONE',\n", + " 'caption': 'Two young apes wrestle energetically on a rocky outcrop. One ape grips the other’s leg with its hands while the second ape attempts to evade by twisting its body and jumping to the side. The first ape shifts its weight, maintaining its hold as they both teeter near the edge of the rock. The second ape uses its arms to push against the first, trying to gain leverage to break free. Their movements convey a playful yet vigorous exchange as they tumble and reposition themselves on the uneven surface.',\n", + " 'cat_name': 'ape',\n", + " 'file_name': '00075',\n", + " 'isValid': False}}}}" + ] + }, + "execution_count": 122, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "ref_expressions" + ] + }, + { + "cell_type": "code", + "execution_count": 24, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "describesHighlighted: False, describesNotHighlighted: False\n" + ] + } + ], + "source": [ + "frame_name = data[video_id]['frame_names'][0]\n", + "caption = image_captions[frame_name]\n", + "obj_id = '1'\n", + "\n", + "ref_exp = getRefExp(video_id, frame_name, caption, obj_id, data)" + ] + }, + { + "cell_type": "code", + "execution_count": 25, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'ref_exp': 'The giant_panda is dipping its front paw into the water.',\n", + " 'caption': 'In the image, two giant pandas are engaged in distinct actions:\\n\\n1. The panda on the left is climbing up a rocky formation, using its forelimbs to grip onto the stones as it ascends.\\n\\n2. The panda on the right is positioned by a water feature, dipping its front paw into the water while looking towards the left panda.\\n\\nBoth pandas exhibit movements that reflect their natural behaviors, with one leveraging its body to navigate vertical terrain and the other interacting with a water source.',\n", + " 'cat_name': 'giant_panda',\n", + " 'file_name': '00010',\n", + " 'isValid': False}" + ] + }, + "execution_count": 25, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "ref_exp" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "#### (3) 전체" + ] + }, + { + "cell_type": "code", + "execution_count": 26, + "metadata": {}, + "outputs": [], + "source": [ + "def createRefExp(video_id, json_data):\n", + " video_data = json_data[video_id]\n", + " obj_ids = list(video_data['annotations'][0].keys())\n", + " frame_names = video_data['frame_names']\n", + "\n", + " captions_per_frame = getCaption(video_id, json_data)\n", + " \n", + " if captions_per_frame == -1:\n", + " print(\"There are more than 2 cateories\")\n", + " return\n", + " \n", + "\n", + " video_ref_exps = {}\n", + "\n", + " for frame_name in frame_names:\n", + " frame_caption = captions_per_frame[frame_name]\n", + "\n", + " if frame_caption == None:\n", + " video_ref_exps[frame_name] = None\n", + "\n", + " else:\n", + " frame_ref_exps = {}\n", + " for obj_id in obj_ids:\n", + " exp_per_obj = getRefExp(video_id, frame_name, frame_caption, obj_id, json_data)\n", + " frame_ref_exps[obj_id] = exp_per_obj\n", + " video_ref_exps[frame_name] = frame_ref_exps\n", + "\n", + " return video_ref_exps " + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "video_id = list(data.keys())[10]\n", + "video_ref = createRefExp(video_id, data)" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "metadata": {}, + "outputs": [ + { + "ename": "SyntaxError", + "evalue": "invalid syntax (1811414900.py, line 3)", + "output_type": "error", + "traceback": [ + "\u001b[0;36m Cell \u001b[0;32mIn[10], line 3\u001b[0;36m\u001b[0m\n\u001b[0;31m for i range(3):\u001b[0m\n\u001b[0m ^\u001b[0m\n\u001b[0;31mSyntaxError\u001b[0m\u001b[0;31m:\u001b[0m invalid syntax\n" + ] + } + ], + "source": [ + "all_video_refs = {}\n", + "\n", + "for i in range(3):\n", + " video_id = list(data.keys())[i]\n", + " video_ref = createRefExp(video_id, data)\n", + " all_video_refs[video_id] = video_ref" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "riscy", + "language": "python", + "name": "riscy" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/mbench/gpt_ref-ytvos-revised.ipynb b/mbench/gpt_ref-ytvos-revised.ipynb new file mode 100644 index 0000000000000000000000000000000000000000..50f95694bc939b7d2496b110d015d05818c0f273 --- /dev/null +++ b/mbench/gpt_ref-ytvos-revised.ipynb @@ -0,0 +1,931 @@ +{ + "cells": [ + { + "cell_type": "code", + "execution_count": 2, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "'/data/projects/yejin/VerbCentric_RIS/ReferFormer'" + ] + }, + "execution_count": 2, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "%pwd" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "metadata": {}, + "outputs": [], + "source": [ + "import sys\n", + "from os import path as osp\n", + "\n", + "from mbench.ytvos_ref import build as build_ytvos_ref\n", + "import argparse\n", + "import opts\n", + "\n", + "import sys\n", + "from pathlib import Path\n", + "import os\n", + "from os import path as osp\n", + "import skimage\n", + "from io import BytesIO\n", + "\n", + "import numpy as np\n", + "import pandas as pd\n", + "import regex as re\n", + "import json\n", + "\n", + "import cv2\n", + "from PIL import Image, ImageDraw\n", + "import torch\n", + "from torchvision.transforms import functional as F\n", + "\n", + "from skimage import measure # (pip install scikit-image)\n", + "from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely)\n", + "\n", + "import matplotlib.pyplot as plt\n", + "import matplotlib.patches as patches\n", + "from matplotlib.collections import PatchCollection\n", + "from matplotlib.patches import Rectangle\n", + "\n", + "\n", + "import ipywidgets as widgets\n", + "from IPython.display import display, clear_output\n", + "\n", + "from openai import OpenAI\n", + "import base64" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 1. 함수들" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "metadata": {}, + "outputs": [], + "source": [ + "# Function to encode the image\n", + "def encode_image(image_path):\n", + " with open(image_path, \"rb\") as image_file:\n", + " return base64.b64encode(image_file.read()).decode(\"utf-8\")" + ] + }, + { + "cell_type": "code", + "execution_count": 31, + "metadata": {}, + "outputs": [], + "source": [ + "# Captioner\n", + "ytvos_category_valid_list = [\n", + " 'airplane', 'ape', 'bear', 'bike', 'bird', 'boat', 'bus', 'camel', 'cat', 'cow', 'crocodile', \n", + " 'deer', 'dog', 'dolphin', 'duck', 'eagle', 'earless_seal', 'elephant', 'fish', 'fox', 'frog', \n", + " 'giant_panda', 'giraffe', 'hedgehog', 'horse', 'leopard', 'lion', 'lizard', \n", + " 'monkey', 'motorbike', 'mouse', 'owl', 'parrot', 'penguin', 'person', \n", + " 'rabbit', 'raccoon', 'sedan', 'shark', 'sheep', 'snail', 'snake', \n", + " 'squirrel', 'tiger', 'train', 'truck', 'turtle', 'whale', 'zebra'\n", + "]\n", + "def getCaption(video_id, json_data):\n", + " #데이터 가져오기\n", + " video_data = json_data[video_id]\n", + " frame_names = video_data['frame_names']\n", + " video_path = video_data['video_path']\n", + " \n", + " cat_names = set()\n", + " all_captions = dict()\n", + " for obj_id in list(video_data['annotations'][0].keys()):\n", + " cat_names.add(video_data['annotations'][0][obj_id]['category_name'])\n", + "\n", + " # cat_names : person, snowboard\n", + " # 1. gpt에서 직접 action의 대상이 될 수 있는가 물어보기\n", + " # 2. ref-youtube-vos 에서 제공하는 카테고리 정보에서 우리가 처리하고 싶은 카테고리 이름만 남긴다\n", + "\n", + " for cat_name in list(cat_names) : \n", + " image_paths = [os.path.join(video_path, frame_name + '.jpg') for frame_name in frame_names]\n", + " image_captions = {}\n", + "\n", + " captioner = OpenAI()\n", + "\n", + " #0단계: action의 대상이 될 수 있는가?\n", + " is_movable = False \n", + " if cat_name in ytvos_category_valid_list :\n", + " is_movable = True\n", + "\n", + " # response_check = captioner.chat.completions.create(\n", + " # model=\"gpt-4o\",\n", + " # messages=[\n", + " # {\n", + " # \"role\": \"user\",\n", + " # \"content\": f\"\"\"\n", + " # Can a {cat_name} be a subject of distinct actions or movements? \n", + " # For example, if {cat_name} is a person, animal, or vehicle, it is likely an action-capable subject. \n", + " # However, if it is an inanimate object like a snowboard, tree, or book, it cannot independently perform actions.\n", + " # Respond with YES if {cat_name} can perform distinct actions or movements; otherwise, respond with NONE.\n", + " # Answer only YES or NONE.\n", + " # \"\"\"\n", + " # }\n", + " # ],\n", + " # )\n", + " # response_check_content = response_check.choices[0].message.content.strip().lower()\n", + " # print(f\"Movable Check for {cat_name}: {response_check_content}\")\n", + "\n", + " # if response_check_content == \"yes\": is_movable = True\n", + " \n", + " if not is_movable:\n", + " print(f\"Skipping {cat_name}: Determined to be non-movable.\")\n", + " continue\n", + "\n", + " for i in range(len(image_paths)):\n", + " image_path = image_paths[i]\n", + " frame_name = frame_names[i]\n", + " base64_image = encode_image(image_path)\n", + " \n", + " #1단계: 필터링\n", + " print(f\"-----------category name: {cat_name}, frame name: {frame_name}\")\n", + " response1 = captioner.chat.completions.create(\n", + " model=\"chatgpt-4o-latest\",\n", + " messages=[\n", + " {\n", + " \"role\": \"user\",\n", + " \"content\": [\n", + " { \n", + " \"type\": \"text\",\n", + " \n", + " \"text\": f\"\"\"Are there multiple {cat_name}s in the image, each performing distinct and recognizable actions? \n", + " Focus only on clear and prominent actions, avoiding minor or ambiguous ones.\n", + " Each action should be unique and clearly associated with a specific object. \n", + " \n", + " Respond with YES if:\n", + " - The {cat_name}s are people, animals or vehicles, and their actions are distinct and recognizable.\n", + " - The {cat_name}s involve clear, distinguishable actions performed independently.\n", + "\n", + " Respond with NONE if:\n", + " - The {cat_name}s are objects (e.g., snowboard, tree, books) and do not involve direct interaction with a person.\n", + " - Actions are ambiguous, minor, or not clearly visible.\n", + "\n", + " If the {cat_name} is 'snowboard' and it is not actively being used or interacted with by a person, output NONE. \n", + " If the {cat_name} is 'person' and their actions are distinct and clear, output YES.\n", + "\n", + " Answer only YES or NONE.\"\"\"\n", + "\n", + " },\n", + " {\n", + " \"type\": \"image_url\",\n", + " \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_image}\"},\n", + " },\n", + " ],\n", + " }\n", + " ],\n", + " )\n", + " response_content = response1.choices[0].message.content\n", + " should_caption = True if \"yes\" in response_content.lower() else False\n", + " print(f\"are {cat_name}s distinguished by action: {response_content}\")\n", + "\n", + " #2단계: dense caption 만들기\n", + " if should_caption:\n", + " response2 = captioner.chat.completions.create(\n", + " model=\"chatgpt-4o-latest\",\n", + " messages=[\n", + " {\n", + " \"role\": \"user\",\n", + " \"content\": [\n", + " {\n", + " \"type\": \"text\",\n", + " \n", + " \"text\": f\"\"\"\n", + " Generate a detailed action-centric caption describing the actions of the {cat_name}s in the image. \n", + " 1. Focus only on clear, unique, and prominent actions that distinguish each object.\n", + " 2. Avoid describing actions that are too minor, ambiguous, or not visible from the image.\n", + " 3. Avoid subjective terms such as 'skilled', 'controlled', or 'focused'. Only describe observable actions.\n", + " 4. Do not include common-sense or overly general descriptions like 'the elephant walks'.\n", + " 5. Use dynamic action verbs (e.g., holding, throwing, jumping, inspecting) to describe interactions, poses, or movements.\n", + " 6. Avoid overly detailed or speculative descriptions such as 'slightly moving its mouth' or 'appears to be anticipating'.\n", + " 7. Pretend you are observing the scene directly, avoiding phrases like 'it seems' or 'based on the description'.\n", + " 8. Include interactions with objects or other entities when they are prominent and observable.\n", + " 9. If the image contains multiple {cat_name}s, describe the actions of each individually and ensure the descriptions are non-overlapping and specific.\n", + " Output only the caption.\"\"\",\n", + " },\n", + " {\n", + " \"type\": \"image_url\",\n", + " \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_image}\"},\n", + " },\n", + " ],\n", + " }\n", + " ],\n", + " )\n", + "\n", + " caption = response2.choices[0].message.content\n", + " #print(f\"{image_path} - {frame_name}: {caption}\")\n", + " else:\n", + " caption = None\n", + "\n", + " image_captions[frame_name] = caption\n", + " all_captions[cat_name] = image_captions\n", + " \n", + " # final : also prepare valid object ids\n", + " valid_obj_ids = []\n", + " valid_cat_names = list(all_captions.keys())\n", + " for obj_id in list(video_data['annotations'][0].keys()):\n", + " cat = video_data['annotations'][0][obj_id]['category_name']\n", + " if cat in valid_cat_names : valid_obj_ids.append(obj_id)\n", + " \n", + " return all_captions, valid_obj_ids\n" + ] + }, + { + "cell_type": "code", + "execution_count": 30, + "metadata": {}, + "outputs": [], + "source": [ + "# Referring expression generator and QA filter\n", + "def getRefExp(video_id, frame_name, caption, obj_id, json_data):\n", + " \n", + " # 이미지에 해당 물체 바운딩 박스 그리기\n", + " video_data = json_data[video_id]\n", + " frame_names = video_data['frame_names']\n", + " video_path = video_data['video_path']\n", + " I = skimage.io.imread(osp.join(video_path, frame_name + '.jpg'))\n", + " frame_indx = frame_names.index(frame_name)\n", + " obj_data = video_data['annotations'][frame_indx][obj_id]\n", + "\n", + " bbox = obj_data['bbox']\n", + " cat_name = obj_data['category_name']\n", + " valid = obj_data['valid']\n", + "\n", + " if valid == 0:\n", + " print(\"Object not in this frame!\")\n", + " return {}\n", + "\n", + "\n", + " x_min, y_min, x_max, y_max = bbox \n", + " x_min, y_min, x_max, y_max = int(x_min), int(y_min), int(x_max), int(y_max) \n", + " cv2.rectangle(I, (x_min, y_min), (x_max, y_max), (225, 0, 0), 2)\n", + " plt.figure()\n", + " plt.imshow(I)\n", + " plt.axis('off')\n", + " plt.show()\n", + " \n", + " #cropped object for visibility check\n", + " cropped_I = I[y_min:y_max, x_min:x_max]\n", + " pil_cropped_I = Image.fromarray(cropped_I)\n", + " buff_crop = BytesIO()\n", + " pil_cropped_I.save(buff_crop, format='JPEG')\n", + " base64_cropped_I = base64.b64encode(buff_crop.getvalue()).decode(\"utf-8\")\n", + "\n", + " #entire image for referring expression generation\n", + " pil_I = Image.fromarray(I)\n", + " buff = BytesIO()\n", + " pil_I.save(buff, format='JPEG')\n", + " base64_I = base64.b64encode(buff.getvalue()).decode(\"utf-8\")\n", + "\n", + " # 구분 가능 여부 확인\n", + " generator = OpenAI()\n", + " response_check = generator.chat.completions.create(\n", + " model=\"chatgpt-4o-latest\",\n", + " messages=[\n", + " {\n", + " \"role\": \"user\",\n", + " \"content\": [\n", + " {\n", + "\n", + " \"type\": \"text\",\n", + " \"text\": f\"\"\"Can the {cat_name} in the provided cropped image be clearly identified as belonging to the category {cat_name}? \n", + " Focus on whether the cropped image provides enough visible features (e.g., ears, head shape, fur texture) to confirm that it is a {cat_name}, even if the full body is not visible.\n", + "\n", + " Guidelines:\n", + " - If the visible features (like ears, fur texture or head shape) are sufficient to identify the {cat_name}, respond with YES.\n", + " - If multiple {cat_name}s are entangled or overlapping, making it difficult to distinguish one from another, respond with NONE.\n", + " - If the object is clearly visible and identifiable as a {cat_name}, respond with YES.\n", + "\n", + " Output only either YES or NONE.\n", + " \"\"\"\n", + " },\n", + " {\n", + " \"type\": \"image_url\",\n", + " \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_cropped_I}\"},\n", + " }\n", + " ]\n", + " },\n", + " ]\n", + " )\n", + "\n", + " response_check_content = response_check.choices[0].message.content.strip().lower()\n", + " print(f\"is object {obj_id} visible: {response_check_content}\")\n", + " \n", + " if \"yes\" not in response_check_content:\n", + " print(f\"Referring expression not generated: {cat_name} is ambiguous in this frame.\")\n", + " return {\"ref_exp\": \"NONE\", \"caption\": caption, \"cat_name\": cat_name, \"file_name\": frame_name, \"isValid\" : False}\n", + "\n", + " # Referring expression 만들기\n", + " # generator = OpenAI()\n", + " response = generator.chat.completions.create(\n", + " model=\"chatgpt-4o-latest\",\n", + " messages=[\n", + " {\n", + " \"role\": \"user\",\n", + " \"content\": [\n", + " {\n", + " \"type\": \"text\",\n", + "\n", + " \"text\": f\"\"\"Based on the dense caption, create a referring expression for the {cat_name} highlighted with the red box, corresponding to Object ID {obj_id}. \n", + " Guidelines for creating the referring expression:\n", + " 1. The referring expression should describe the prominent actions or poses of the highlighted {cat_name} (Object ID {obj_id}). \n", + " 2. Focus on the behavior or pose described in the caption that is specifically associated with this {cat_name}. Do not include actions or poses of other {cat_name}s.\n", + " 3. If multiple {cat_name}s are present, ensure that the referring expression exclusively describes the {cat_name} corresponding to Object ID {obj_id}.\n", + " 4. Avoid ambiguous or subjective terms. Use specific and clear action verbs to describe the highlighted {cat_name}.\n", + " 5. The referring expression should only describe Object ID {obj_id} and not any other objects or entities. \n", + " 6. Use '{cat_name}' as the noun for the referring expressions. \n", + " Output only the referring expression for the highlighted {cat_name} (Object ID {obj_id}).\n", + " \n", + " {caption}\n", + " \"\"\"\n", + " },\n", + " {\n", + " \"type\": \"image_url\",\n", + " \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_I}\"},\n", + " },\n", + " # {\n", + " # \"type\": \"image_url\",\n", + " # \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_cropped_I}\"},\n", + " # }\n", + " ],\n", + " }\n", + " ],\n", + " )\n", + "\n", + " ref_exp = response.choices[0].message.content.strip()\n", + "\n", + " #QA filtering\n", + " #QA1: 원하는 물체를 설명하는지\n", + " filter = OpenAI()\n", + " response1 = filter.chat.completions.create(\n", + " model=\"chatgpt-4o-latest\",\n", + " messages=[\n", + " {\n", + " \"role\": \"user\",\n", + " \"content\": [\n", + " {\n", + " \"type\": \"text\",\n", + " \"text\": f\"\"\"Does the given expression describe the {cat_name} highlighted with the red box? If so, only return YES and if not, NO.\n", + " {ref_exp}\"\"\",\n", + " },\n", + " {\n", + " \"type\": \"image_url\",\n", + " \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_I}\"},\n", + " },\n", + " ],\n", + " }\n", + " ],\n", + " )\n", + "\n", + " response1_content = response1.choices[0].message.content\n", + " describesHighlighted = True if \"yes\" in response1_content.lower() else False\n", + "\n", + " #QA2: 원하지 않는 물체를 설명하지 않는지\n", + " response2 = filter.chat.completions.create(\n", + " model=\"chatgpt-4o-latest\",\n", + " messages=[\n", + " {\n", + " \"role\": \"user\",\n", + " \"content\": [\n", + " {\n", + " \"type\": \"text\",\n", + " \"text\": f\"\"\"Does the given expression describe the person not highlighted with the red box? If so, only return YES and if not, NO.\n", + " {ref_exp}\"\"\",\n", + " },\n", + " {\n", + " \"type\": \"image_url\",\n", + " \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_I}\"},\n", + " },\n", + " ],\n", + " }\n", + " ],\n", + " )\n", + "\n", + " response2_content = response2.choices[0].message.content\n", + " notDescribesNotHighlighted = False if \"yes\" in response2_content.lower() else True\n", + "\n", + " isValid = True if describesHighlighted and notDescribesNotHighlighted else False\n", + "\n", + " print(f\"describesHighlighted: {describesHighlighted}, notDescribesNotHighlighted: {notDescribesNotHighlighted}\")\n", + " print(f\"ref exp: {ref_exp}\")\n", + " print(\"\")\n", + "\n", + " return {\"ref_exp\": ref_exp, \"caption\": caption, \"cat_name\": cat_name, \"file_name\": frame_name, \"isValid\" : isValid}\n" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 2. 돌리기" + ] + }, + { + "cell_type": "code", + "execution_count": 13, + "metadata": {}, + "outputs": [], + "source": [ + "with open('mbench/sampled_frame3.json', 'r') as file:\n", + " data = json.load(file)\n", + "\n", + "vid_ids = list(data.keys())\n", + "all_ref_exps = {}" + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "metadata": {}, + "outputs": [], + "source": [ + "os.environ['OPENAI_API_KEY'] = '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'" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "=====================captioner========================\n", + "-----------category name: penguin, frame name: 00045\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "are penguins distinguished by action: YES\n", + "-----------category name: penguin, frame name: 00070\n", + "are penguins distinguished by action: YES\n", + "-----------category name: penguin, frame name: 00110\n", + "are penguins distinguished by action: YES\n", + "-----------category name: penguin, frame name: 00165\n", + "are penguins distinguished by action: YES\n", + "=====================referring expression generator & QA filter========================\n", + "--------category: penguin, frame_name: 00045\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "is object 1 visible: yes\n", + "describesHighlighted: True, notDescribesNotHighlighted: False\n", + "ref exp: The penguin bending down, inspecting rocks directly below its beak.\n", + "\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "is object 2 visible: yes\n", + "describesHighlighted: True, notDescribesNotHighlighted: True\n", + "ref exp: The penguin crouching low on a mound of rocks, settling into place.\n", + "\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "is object 3 visible: yes\n", + "describesHighlighted: True, notDescribesNotHighlighted: True\n", + "ref exp: The penguin crouches low on a mound of rocks, settling into place.\n", + "\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "is object 4 visible: yes\n", + "describesHighlighted: True, notDescribesNotHighlighted: False\n", + "ref exp: The penguin crouching low on a mound of rocks, settling into place.\n", + "\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "is object 5 visible: yes\n", + "describesHighlighted: True, notDescribesNotHighlighted: True\n", + "ref exp: The penguin crouches low on a mound of rocks, settling into place.\n", + "\n", + "--------category: penguin, frame_name: 00070\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "is object 1 visible: yes\n", + "describesHighlighted: True, notDescribesNotHighlighted: False\n", + "ref exp: The penguin bending forward and pecking at the ground.\n", + "\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "is object 2 visible: yes\n", + "describesHighlighted: True, notDescribesNotHighlighted: True\n", + "ref exp: The penguin bending forward and pecking at the ground.\n", + "\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "is object 3 visible: yes\n", + "describesHighlighted: True, notDescribesNotHighlighted: False\n", + "ref exp: The penguin bends forward, pecking at the ground.\n", + "\n" + ] + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAgMAAAErCAYAAABDzICRAAAAOnRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjEwLjAsIGh0dHBzOi8vbWF0cGxvdGxpYi5vcmcvlHJYcgAAAAlwSFlzAAAPYQAAD2EBqD+naQABAABJREFUeJzs/dmyZcmVnot9Pvtm9c3uI3Y02QFIAIUCT7FYRZZEycQLSkYzvgHfQqYLPYXuRdMbUMdkRl4c8hwT22IBBWQCiczou92vvpn9dHdd+FwrIiGaEccMZueiwmGBiIzY3VrTffgY//j/fwittebj+rg+ro/r4/q4Pq6/s8v63/oH+Lg+ro/r4/q4Pq6P63/b9TEZ+Lg+ro/r4/q4Pq6/4+tjMvBxfVwf18f1cX1cf8fXx2Tg4/q4Pq6P6+P6uP6Or4/JwMf1cX1cH9fH9XH9HV8fk4GP6+P6uD6uj+vj+ju+PiYDH9fH9XF9XB/Xx/V3fH1MBj6uj+vj+rg+ro/r7/j6mAx8XB/Xx/VxfVwf19/x5fyhH/h//7/+39hsNvR6PWzbptfr8e7dO9J0ixCCg4MDbNumrmuklLTiEK1qsmyF7wuGvR6O7eG5PtPJjPV6zaeffEYUtfC8gCRNqbQiKwoWiyWHp6csl2uefPcdnajF4eEhcRwDUFUVd5MJWZHzP/z9P+PRo0dUVcXLly/5xS9+gRA2ruti2zZFUfC73/2Od+/ekWUpliXodrsEQYAQAtd1CcMILwhxXBfHswnDgFa3RRSFZJuEy4tLJpMpWoPvhYRhSOC5lGWxf+1aa8Iw5OzoiNevXvHk2++oypLjw0M+efwJP/zyx3QHIyQKy7ZRAqq6pigL8irFs2xiL8CxLIq6QgO6klhCIISFEKL5BZtsy/MXL/jq6695+fIVeVailcYVLkIDAizXQiKp6pJaaZQS+2dp2zYAlrBxPtgCWpt/sywL4SiEMP/t+z6+7wOgFGilUUohpaSua/O5KJRW5ucWoNBUVUVVVwSew3g04t7pGZ1WG9/z6PW6RJFPstlgCc3ZyQnj8YjpZMJXv/qaNM3o9Tq4rkNRFCwWM0bDAx4+eITrukgpqaqKMAzxPY88yyjqCuG62K6DG/popbm5usH3A/q9ofmZa02eF8ync4IgoN/v02q1aLfbKK2Yb9a8fvOaxWKB53nUdc27d+/QSnB1e4MGPv/iczrdLkVZMp1PWCxnJNsErRRIRbvd5sef/4B+q8tqm7JYr1kuZqSbJcN+n3a7R1bk1FoikWgL82y1g+f5xHFMp9Oh1WrRasV4oYNt27iug+u6tFpt4jjC9UIsy/ybbdu0Wi2Ojo6IozZpWrBer/nqq6/4N//m3/D111+D1mhZMxqN+fM/+zMeP3jIerniyZOnvLp4x8XVDavVllpqXDdACxthWQjLMntCQF1L6rqipkY44Fg2AgFaU5clQldYVPuPLYuCqqqopcRCYFkC3/cAjec5DEdDTg6OOTk+YTAYNHtJYwmLUks0EPrmXAgNvuOySRNuJ3dMJlPevX3Lm7dvSZItWoPrOvv9bds2nU6HR48/odsbsl2vWc7n5FmCrCssYDDs8+d/78/4/JNP6Xa7lHXFq4u3pHnOYNBnPBqCUrx6+YIn333LajXHtgSDwQDbsrGEoK5ryqpkOBoxGIxYb1PyokYq2GwSnj59zotXLxGWhRYCYVt4nsfh4Qmff/Y5vV6PzWbDdDphMpmy3mxIs5SqqpBSsjOJFcK8f7szuvt7rSSgsSyLVqtFVVXkeY5SEqUklmXhui5W8xyDIKDVanF2dkYYhti2zY9+9CP6/T6TqyuePnnCYrVEWBZSaBzHQdUSWdccHR3x+PFjTk5OTNwTAtf3sC2L1WzO86fPePfmLcvlEixBVZQcHx/y+aefAJrb2xtqJRFCkGUZvu/T6XTYJlts12W92XB1dYXjOJyfn2NZFkmSMxofslqtiOOYTz/9FN/3qaoSKWtub2+ZTCb7GOX5PmEUE4YRd3d3fPfExOKf/exnnN874/LigtVqhWVBGPq4nsN2uWK1WmNZLkVV8+2T50zuZlxe37DebFFa7eOkwMRheG/ea9s2juNgWeJ7f29ZFo7jYB6V1TxHC9u2EUKgtQJMrDXP19p/juu5uJ6H73t4nsuf/dnf4x/91T9kNpvxzTffMJ/PSdOUn//85/yLf/EvcN2A/8//+G/5V//qX/Hq1RtkrTk6OuLhw0f8y//X/+O/e8f/wclAGASoWpKlGZYlyNKUuqwAqOsaz/MIgoCqqlgulxRFyXDQxXNBiBrHcVFSMZ3N2Gw2aK1ZrdZIqen1HKq6Qgk4Pj6m3e6wTlOklNw/P0fXitliTpbnJMnWHCqtsWyL7XbLs2fPePLkCa9fv+bp06dsNlu63Z453GXJ1dUVy+USx7HpdNrYto2Ucn+hKKURlk0cRQyGffwwwAs8lJbkeY5lWfT7A6qyoqokSZJwd7MGNI8ePcKyLPI8p91uIyyLe/fvMRwOSLcJqpY4roOwLFzPxbEEtazJs4zlasXd5JbJ7A6k5N7hMZ9+8gmO7ZCXBbJqDrJjUVUVq/WaNElIq4zpbEZdKwaDEfP5gjwvKYoKlEaj0aUGG4TQKG228G4ppRBCoLSkVuwTjV3Atx0Ly7GwbRNEfN/H8zy01kip0eYLIqVsNroGoZFKIpVCodECbMfBrV1UXbFYrEALOq02QmuCIODoaIzvuci6xHdNULBsh+FgjCXmlKW5VHzf4/z8IcP+iCiKmwAJYeg2Qc4mjFt4SiGFRqERWAhbMBofUJU1b96+ZT6fk2UFm/WWqqj45PFjtklCXVe0221GozGrbMurV69YLpeMRiP6/T5xHHN3NzUJgu8xm8/RgO3YdNtt+u0WQpgL0ULguS6ddhvLtvACHyfzCKKY7WpBmmUEURvVhAwhhHlegG2ZYKG1RgjRXPIOnusTRsE+oO9es3leAsdx9udPa8022SJrjePY/OhHP6SqSrIs5fLykrrICQKPVhwRhD6uO+AfHf4lo2fPWf1P/471aoNWqglSoKQEJVBCgDZ7R2uFsE2gE80lrbVJEC0EYDeJF9SVSUQd28fCwnFslFQoLamqgjy/YTlfMZnN6PV6eJ5HVVUURQGWYDgYcu/sjHYc49jmoo/jNieOT7czII7aWJbL69evKasS17UIwxDXdQEIgoDxaMjDh5+AUmw3a+azKXe3N2gtOT46otttYVmauipYrVck2w0Hh4e02y3QiqrMcWyLH3z+GZ7nsFwuuHh3wWw5BaDT6YAQJEmCUuAGEUEQsFpv8H2/CfA2lm2ZvWlZHB4e8sMf/oDj42Ns2yaMAuJWRBAGXN/cUlwXlGXJh27xWqt9Um+ewy5JAEuY85gkyX7vaK2xLJMkKPX+MquqiiRJuL29ZTAYEEURl5eXRFFEr9+j1WohtUILuP/gHNtxWC2WpNuEQX+AkpL5bI4lBI7nMV8tWS2XlGmOY1k8ePgQrRSO7/H0yROyPEMDcRThBz4qy7Edm6OjI6SUKKUYDofYjkMUx3ieh5QmYYjjmHanh++F1FWFkpIiL7AsC1lL0jQhTRJcxzH3T1kS+D6+44KUnB0f044i3r17R56kLGdzqqLEFiYpjcKQIPQJbBelNGUlqZRGa43neYRByGabILRoTul/fwkhvvfn3Xl+/ywVWtMUeSY275KBDz9PWNY+sQ2CgFa7jW07SGnuhTAMKcuSb7/9lv/8n/8zf/73/4If/vALvv32M969vUAgsW0LIf6wn/sPTga+/vWv0FrT7w/o9XpIpairkrIsWDYZ27179/ZBybUdZK3YbLZ4nqAdx01lHdA57eA4LnVVkyQJRVFSK4W2LUbjQx4+esTrt+9IkozxeIyF4Pb2luvrayaTCZ7nMR6PkUryN3/zN8xmM9I0ZTAY8Omnn+I4Lr5vAmNZlhwdHTGdThECzs/vU5Ylb968oSgKXNel3e4Qxy18zyNNU+aLOQqF57noWppNEUaY+GixWq2a6qKmrmtubm7wfR/HcUiyFC0lfhCglWa73jBbLPjdt78jfPsG23VRWpPXJavViul8xmq9wEbQ8kO22wQ38My2s22UhnWScnl5wa9+9StW6zV//pf/gH/6f/ln2JbD7d2Ef////Q/87d/+mkyY5KOWVRM4TMUghEA3+0xrvd+cQgj2/xMWlmWSB8excH1nnwgEQWCqg6ay3iVSu4Cjtf4eMqDQVLKmqipwHARQVhXL1RqtIPB88qJks90QxyGH4zGW47FaJ6A1x8cnHB4eUVUFUtX4vkF5bMtDWDauZeP7Fkpr6rqmqgrCKKIVt5BCU8qaJM9YLVdkac52m5gEAkGSZpS1ZLFacTefU1Ul795dsNlsGB8cYDs2ZVXRbrXYblNev3rDYrkkTVLSIkdpxc31NUmSEIQhjtBEvkfgmz3vuA621BRZhohCvDAgLGsUGj9uo7Sm0oBlm0sUECiU0tRaYlm7IG4Ou+d5gMCxXbqdHq7nIZpgYdum4th9/C7JLYoMJc1lHcchX375I6Ssef78Ga9fPOfs7B4Hh2N838MOTBLmhyGTyYQkTZlOF9RVget5zaYxiYDWGtUE71ppHNvDsWy0VtRNFWtZFggHS4Bt2biuhaM1AoFtWVi22WcajVYSpWqyouLtxSVv3l28Tyosi8B1uYuuefPiJZ7v4dgOkR9guyHCcrAdB0tYdLtD2q0lUlX0B216vR5lWbJer7EsiyzLsNH0+12OxwOSwxFX3Rbv3r6hSBPqIscRUJc5i9mE6e01nU4LW2jSZEuabKjLEt9zEdqmE7U4OToiCkO2SUIURYzHY6JWzHQ6pygKwtCh3WpTlDVlWWJZojknIOuaXq/HD3/4Bf3+gM1mzWq1RgiNZR3hOG5T2SvyPOf3x8fsztyHS+4S/Ob3XXL/Iaqw+10pRV3X5HmO4zi0220WiwVvXr/mYDAgDAO6/R5VXVNLSZKmbDdr0u2WVhzSaZ9gOw55lqLzjMu373jy5AlxFPHo4UMeffKY+WyG7br86Mc/Zja9w/UMYheEITQ/X1mWCCH26IcfBIRxvEc3tNbYtkMQRKChrkqkktR1SVUI8/wti+FwiJQSgMrzcGybxXRKlmccHx8z7PWIg4AkTXj29CnT6ZQoChkfjGi3IhzLprYEeZ6zWm8pK1P02o6NH/j79/EPG+MjGnTg+8/r+8+CfbIthMXvJwN7hOCDRGIwGHByfExZFsxmM7bbLZZlEUURNzc3/Jt/82/o9fr0e0e02zGtdkRVVtgOlFX+B/zc/yuSgc1mzXq1piwKojDg/Pwc13NJ85zZfG6gsrJkMBg0sJLCEoowjAgCB4ENWmHbJkstigJZS6TUBnoWUMua6+sryqpCKbWHb+u6ZrlecXVzbap5WVPf3TK5uyPLMk5OTjg/P99/b8uyqWu5h1zyPMfzPIoip2hgy36/v4d2giDAsVws2yYrUrI0BQFuU3FVVY0QFp7v7yEez7VJk8RAoE1r5Pb2FlD4nsdwMCRNthRlQeD5rDdr7mZTdPPAgzjEDwOOjg7pDbpYSmNbFjfX17R6HeJWGy+IqaqKt68u+ff/4T/w9MkTpFL89Oc/5/j4HoeHR4xv7nj+/A3iV78B28G2HSztNolabmD7/QY0m3H3vmil329cYX5ZtoXt2HiuRxiZCmuXDABoBVVVo5TaVydKmUpPaQWWRS1rRGWqk0pKattuyheLqpbYjkJoKMuKNM1JkxylzMHotNs4toPjCMIwQCmJsEwC4ng+Wosm4YBaSsqyRtY1jqfwECgNZSW5vr7l5atX3N1OqKuKh48ec3R4TFlp/KBitljyt199RVVVbDYbsizj3dUVnus1Ca2P1posy6jrmiiKaLVaFGXBdDplvlggLIt2FBDa5v1qxTGHBwc4cYuqrhDKw/MsWt0uwrLZdrbkaUbdVAUmERAITGItZYlSal/h75ZSmqIo2Wy2TbKg8H2fKBZYDWQMUJalSY7KCtt28TwXpSw6nTY/+cmP6XRaeLbF4eEBnudQ1yXYDkWR43s2P/vpl2w3a375q69J0hzBDkFSCGigUoVWEqk1Qto4QYglBL7rQRwjy4o6L9BaobXAtt199WOxS0A1ti1QwuxDqUrQmgZTwrIN4mFpKJKUPEnNpYHGgLAuVaXMOfS8poXi0m93GY56xHHEbDbbV8jpNmF6e41NjZaSMs/YrhbURYZnaSLfpR2FVFWJ71gIVTO9uSJrxZRFDlJi24K6qEmKAiUVnuMy6PXZJglXV1dIrXjcfozruizWC5bLDVWtWK+3rNfr5jkqpNZgmYvQtm3a7RZCaPI8N8/BdxmNR5RVheM43N3d7REC855+iBTofULP7yUA5t/MPtud0111ahA+g3ru4PXtdsvV1RXH4xEnR0ecn58zPjjg7dUFNzc3UFcm6fVc0ApZldxeXYJlE/kBw34fhMDzffrDAWVdcXNzYy6sOCItCrzA2ydqVVWx3W73iEWWZjieh9ugAr7vmxag79OK26haUuQZRS6RVYnwPVphQCeOWa5W5HkGCOIwNG3MssL3XCLfxxYQBT6uYzMJI8qiRGjN7fU1yWaNH/j4nkcURnheyM1kSl7kWJazT7Lrusbs3N37v2sVfH9Z1q6dK/avzbJMW8h1XeqmgHxfkH0fEdjtE/OczfNyXY/j42PiVou7uwkXFxdMp1Narda+CH3z5g3/8T/+R/53f/V/oNdv4wc2RZHhujZa13/QHf8HJwN//vf/jOViSV7kuI6F59q0Wy1a7Ta9fp+XL19SFAWDwYC6rrm7uSPNcgI/JI58dF03l6pAamWqRi3wvMBsYAQIU0EuFgvsppdUVRXPXr5gsV7x4PEjfN9nNpsxm81wHAchxL4HtutfS1lyc3Nrsk3fpyxLbm5uqKoS27aQUjIYDBgMBiZgWDae7eIHAbEMCQIf27WJ4ogsTbm8fInvB5yenpFsMxaLBXHo4zUb27ZtttstNzc3xHFEdHhgkpeLC2RV8/jho+ah66byLJnPZ5ycnRKGIfPFjDLLSZdrNvGasTxEAm6l2CYp/+m//DXfPX1GXlZmc7o+nh9SlDXrTcJ0tqCoJFILfN/FMqWjOfhKInWN+m9ktSYpEAjAcUxiZA5hgBcYnsCOMzAYDPB9nyTJ2G62+yRo37fUilrVVFKia03dZOqO42DZjjlYJtugqiWWsAADgaVZwdOnL5hN5/zoB1/Qa3gF5kLG9Lq1oK4kStF0PMwBclwX1/VAmERDOBZ5UTKdL1iuN8wWc1arDbfTOd1OnzCMuX//Pv3RiMl8QVqUVFKBbaObZKKqasqmBWagyjaffPqY84cPuLm94fWbN2y2W/qDPmfHR9R5znw2QypFu9MhjmNqatMitASeF2K7ARrBerU0z0MphFb7wFoVJWluElUAz/OIosi8t5hkIM+LfXBXzffqD/oEQfC+Am4uSATUEhBN0LF0s/8ssjzFtgTKqdFSsZhNKasCqeDTTx5hWTZPn79gMplRS4ljmTMj0KBq83Vs2/BuLKtJZyTCPCyE2FVRGpOniAbKFlhCIJXkw2rIxjGBtkksTSUlCGwL13X2PJRS1ntY2bJBU5MXFa7r4jgOVWWqpvV6tb9ADZxc8erFM148/Ra0wnUsLKDdihmNhvS7bVzLfI9+p80PPvsU4ZiKrSp9losZquG/IAV1pVCAss3TEbbFar1mOp3i+yGj4QjPD0izguXyaXPazOUglcK2nOa1SrSWeJ5LGPokiUBK8+xPT0/3wf7y8rJBCN5fQB+ieyZ0iv+/S0Xvn8f7XvSH1aeUkjRNWa/XHBwckKYp282Wi/oS23Wp6grf8zg7PWUThaSbDVVdkWw3KKXIshSl4OiwzV/+g79gk2zRlqCoK6J2C3vuIuua5WqFkjVJsqHdihn0+tzd3e0RoB0ColYwGA6J47hBbNtEUYTneKTbLQJNkWcEvk+WJsiqQlg2RW74Mbt4H/g+rShCCIHn2NiAsC0s4XIwGrFaLimKHCUr0iRluZiTZRn94ZBef0iaJGw2GzwvwLadZm8ZpGKXC+z27u+3AXaX+C6+Oo75/CiKGI76gGCxMOjR+2KKD77u+68plcQRDkFg4q+SklevXvHy5UuSJKGuaw4PD/E8j9Vqxa9//WtOT87o9To4jqCqc/IiJUns/87tbtYfnAwcHR5yMBoZIlBDQlFK4vgBru/v4awdNH97d0eyWeN5gkG/TacVo7Qm9HyC0GQzWjYwibBQGrQl8IIA23Ghqe7fvXvHV7/+ykA2vs9wMKTVanq0SjOZTHjz5s1+M5iMM2GxWOK6LicnJ8RxjG3bRFGXIPCZTqfkec58PjdZm+sReSFSKhzPcAeELZBVzd3dHe/evWM4GPGjH31Jp216alHgk2w3zObzPRmxqips22YymbBer5nPZsRhxHQ+2x/MTr9HWZVcXl1yc3fL+GCMVJphr8e9w2MCz8cJPFbLFe+un/PkyVO+++478rJCafBdnzhqIaVmvd7y7Nlznj19QZpkKC3BAs91sBwbq3aQatefYl897DadbVlYiOYCMZeqH/jYjvO9/vVgMOCf/bN/xmg85s2rt7x8+ZKbmxvW6zVlaUg8RVmgCoUsCtI0JUlTU1HaDp4fmGq/IVztKsRd79+2baq65u27S7I04+zwkM8++5QoCtFamItZwK7XZgnTe95l7QJzydi2DbZFUZUUZUGn0yXwDYloMplxeXnJeHxEVdX4QYjn+yZQgflv18PGwNu7Xp3j2JycnHJ6esqnn37K+YNzer0+7y4v6HS7fPrJI2yhefXiJUpKHN/Ddh3iVhs3DKiUTVmZsx6GMUop0iojT1OU1Ki6Jk9TVqs1WZ6TZllDJptydXVFHMeEccTBwUFD+PL3XJcsy3A2DnVt2m2mLRDvz+yO5AnsK8Ek2SBQ+I6DqitULamKgqIqDOIjFefn9xgMh/zmt7/j9u6OLM8M70QqNBIhwLFdqrKkzAus5usLDUJJLFTzPN7DojtEQAhN4Lk4jk0cR+aX4+M6LrawqOqKqjJJbzsOaLdjLMehrCveXlxw2SCHZi9oLFugkdS1pKoySM1rD4KAIAjwPQ8hoMxz8izFEppOHBG1WhwejDk/v0+71UKgcW2L0bBP3InJisIQQgkZ9joINErWyEKjlaDWiqyuGB0csM0yVpt1Q5TUSAVKCzqdDg8fPkAqzauGlKq1arg2Nl7DazAXh/iAVGb61cPh0BDJXMOJKIr8e73//eXxwYX/IZxtflf7RGC3pz/8XKUUs9kM3/c5OzujzjKqquTu7o7byR3Hpyd0uz222w11mZvWoJIoKRkNB9SVYrPZMBqPODw8ZDKfcXN7Qxy3GI1GBl1LEyZ3t9SLijTZoqVsyNyWIWLaFovlkslsRhhF9Ho987odhzzPmW0m5GmGJQxBNE235HlKVda4rk+/30eAQX2Lgi3gWRCGIZaO0K5JNi3bodNuMx6OCKOANN2yXC6wLYtev08YRlRVzXQ6ZbPeEEaKIIwbtNnan6Xde7dDAXaXukFcDKdmhwbsfgVhQBzHHB4esNkMWa1WrFYrkiShKiW/X6uZQs58nV7PkJzniwVv377l+vp6/3E7ZCyOY1arFV//5is+/cTETqVq5vMJaZr89653E+v+oI8CLMsE7cD28H1TiZRliW1BHIU8enDO85cveP7iGVIp0mTTXDIBGp9auWB52H6IF/rYlk0tNUoqw4hGoLQF2qEuFUmacDeZ8Ld/+7d89+13FGXBk/gJjx4+4ssvv0RVirfvLri8uqE/6JMXFUVZsF6tUUoRN7Durq/d7/cZHxxwe3fLu4sr8jw3RCzbVDbduMuDB+ccHR9gWYaYuNmscAT84LPPAIEsK7qdHr7tsF7OWM1nuALacUjgGwhosVxyfXW1h4XSLGOdbIkiQypyXY922+HkWLNcr1AKRodH9KIQ1xbYQiHLgtuba55+8zuev3hJUeRgGi3EfohWiu+++5bLy0v+5//5f+HZ828py7IhsNU4BE1fuaGm6V32ap6lbduGzGXZ2ChsR2A7FsIGqWuQGlGxJ/F4rsvR4SH3759zfu8+P/uTn5AkKfP5nOvra56/eM7F5SW3kztEnqOkoi5Lc7loTW5l+8DseR6W45pExBJUlQZLNxm4x9uLK24nUyoE5/fPkHXNdrvGc126vUFDMPKx9A4eNclkBVRSUVc1r95c8OrVO4SwODu9xw9+eEDn8oon3z2hkhXL1dJUWQiiKDKHKTIM/k4c0+108H2TNBZFwZdffkl/0Md3PBzL4eH9ByipmE1n5ElKp9sibneYTqZcXN/h+z7HJyeMwg55mpJmBXVtIA3L81B1RSk1qpLURUmaFpS5YaSXVcFqveT69hpLiCZBC3lw/pCiqPms4cQIYc5OlmZUZYUlhAk8jkvlOFiAti1kVYIQLOYLnj17SqUkSZaRphlW00NyHRvhaJTSSBRCeLTbbb747DOiMOD29oYsS6mqkrJsWkLUzfdtGOqO4XBUZYmwNL4f0Ioio9bxA8IgIopMpTcYGN7R+GBMp90msG1sDZaRqlDLmtV6RZZuzH51XITtcP/4lH//H/4TF9M7pK4Q2pAudxWmRmA7otlnLoHnEAQu/W6Xo9FjRoM+ttCUeYrvuhwfHdHvHeC6LpWqkRq0Uobs6xtCmVYKKRUIC9e38EMLy3LwAh/bdZBaU0nJbD5jOp2yXm+QUpKlW6qqII4DHn/+GC8O+N3vfsft3S0WirLIcYTGUhUWNUIYspdlOQhh0A/bthgOB8RxhNaKV69eUu+URrv/26EC/82YLdD6+6jAvm+Nea11VSGAm6srLOD07IR7D+7jBwHXl5dQK2RR0ut06LSPzXtVldze3pGmW4qyZrXN2H6b0hv06Pb7KGVUTI5jEwUhP/3xj5neHXJ9ccV6vWRyd4PdKCqqKiMM2nQ7MavtGmEJ8wwkBE5AnmWUaYGsSqLAx3Mdkm2ClDV1VZFnFZ1Wl0G3R2JvqauCqirB0ghbo6gpq7rhcUQgBI4rGI0GtNsPubu7Y7lc0u50iFox8/kcWdV4tkCoGlvwPYXG+2Xe8R0qsEPrDFJjGUWT52I5NrZjG7TZEoSex+HDh6Rpyu3dLRfvLpjPV/vmg9baoLhaU9cVjmPR7bYpioLZfMZquaauTDJpYdQaB6enPHr4CFnXTCYTnj59Akh8z8a09Yo/6I7/X5EMGAmNQQV8lFKUpTn8uZ1zcDBmvV2TpAmO4xDGEe24RStu4XkeTpMFe76NFiARKK2xXZNcFHlJXSnyJNvLbJ4+fcZvfvMbgigkjmOWyyW/+MUvWK/XnJ6e0u10mUYzXNejPxiQZZnpqSrF/Xv3+OSTT8xjE8JkiZbFze0tUinWG3NoXdfFsRw2iy2+7+H7Lr7vMZ/PmC9mhKHH2dkZQths10vqoiJLMxazW7bbTUNwBK1qpKxJk4R+v8/Dhw/RWvPs2TNWqxVFUXB4eEhd18StFmEYcnJyQtRqYdseMt2wuLkx8qftllfvLpgvVlhaEQY+SgtkWeO7LldXV7x9Z0g7FxcXZFlmqg0hKFHYAsOm/rC/qDTCEghrR04xv5yG6ayVpihLLGWqYsNGN/DYV19/zf/zX/5LhsMhQRAwGAz4kz/5E3745Q/5yc9+yhevX/O3v/oVv/jlLxsCW0FVliiZIpQhnRVZRl2W6CjCjiywd5IbjWyCue04+EFImm355a9/xeu3b+h12oShj9Ca69sJvf6A4WBAf9DH83yDKAFFXVOUJa9ev+Zvf/0rJpNJw7at93tHKslms+bV61f4XkCv12M0GlJVFZ9//jmdTgfXEigpWSwWgGI47HN6esz9++fUdcV8PqfTbvHw/jm+47Ddbuj22rRaMXVV76WyrVaHxXzFdDojL0s0AmE7CMumlBUCYUi4tQQtsB0XS7lIBVWlKMrK8CVEjpvmSKlZLBdMJnc8fPiQMAzRWu4h8lartZdc1nWN49gIYdpoWZZxcfGOuq5oxW2yLGM+nZJsTJU26Pfpjzq4rmcY71KipKLf7xLHn/PwwX20rlFaUZY5aZpQlAqlLXzfo9/v47ouRVGSpBsEmlarRa/TwbUNyW84GBD68b7t1Gq1AEzyoGocAY6yTBCUIAjxHcyetGwQFu24xXg4oBSaXEoEUOUN614qQGI5BhkLPZdWK+JwfMAPvviC4/GYOAyMDE9LHNvGcxy08iiryrQgVA2WQRuEZYPQaFN3IyXUUiCUoig31I30zvU92u0Op2f36Pb6XF5cMp1OSbOMsizwggA/DDg+OWaxXLBYLvYXhwXYtkUtTfAXlsBxXKTUDaJnEIJOp4Pneaw3KyaTuwZa1vtWoIlx328BfNgi2LcTeN+f1k3LQiuFrGuqhlRdq5owjjiKIkajEZvVmjLL6R30GQz6WA26sF6vubm5pWy4WWVVMJlOqZUiL3KGwyHdTpciz/Fdl/FwRJ1XJg6otJGXwsW7t4Shie++7xH4pogKvQBZSzzHYzQast6syLOcuqr3HBHb8UmTAlnXuKFPp9NGyZBaVkhl2kdRZBKposjJ85QwbjMY9AGaVpJoClaLutZobSOETRTGWE3LreP6huMm5ff4G+oDJdYOdfEDnygO9zJHy7JwPY8winBthyzLODw85Pj4uIH+FXlekabZPrFwdgmu/b51myQJeZZzdHRs3oe65LPPPufo6IjRaMTp6SlCCJ4/f87bt29pxS0++eSTvQLpj5oM2La9h0N2MJ7jOJSVZL1agSU4PDxENjBYkZeEjcSm01RaaZpS1wWdbhsQ5Flh7ithoTBcgvV2w8XlBRcXF3z39AnbJGF4MKbb7e4lMM+fP2exWJieaa+HZVn4DYvUc13KotjLLvI838P3tuPQ63Z5cH5OnmXkec5oNKKuaiZXE14+f47Qmvv3z3AsG9d2CIMAC4Gsa4TWbIo5m/UWrSRxEJBnKXmRkZdF05c3BziOYw4ODhgOh2w2G16+esV8sTBQcJoiEIyGQw77A2QpWS2XLO5m3N1cczubsU0ybNuhG7dN1asUtV1T1RVff/U1ZV0xnUwMPGzbpq8u2FcAO8b/h+ShHVS4gyN32a2UirqsqLXEdszlIm2FKEuKomA6m3Fxefk9nfLjx4/5p//0n/K//8f/mE8++4zDoyMePX7ML37xC/72b/8Wz/OYzWYkSQqofQBMU4PjiijG8j0czzCn67oypMU4QiHZbjbcTe6oqpIH52fYls02ycirW9I8o1JyfwnVtaSqJav1ijdv3jCfz+l2uzx69Jher89qteLq6oqyLCnLkmSbEPgBjDROw8B3HBvXsbm5vGCbbHFsh5/+yU84PTmlLEtev365J145jkOrHXH//j3SIsG2BXFsEBulQKmaJNka/fN0huf7hjgqjFRQ7H0eLBAChaZWiqpSVKWR4tmWi8C0QuIoYjjs0+/3Wa9XPHv2dK80EMLAoefn54arUNdG31+WgEkKF4sFd3d3HIwPcGyb65sbkywKGm8NI1X0PA+0ufiUAiU1UejTaUd4novnOQgLQINw8IOI0WhIr9dHCMPG3m43pGmClgpZVSxmc5IkIfJ90CWXb6/IsgylDDkzy1I8C/rtNo7WWALCOKQ/GuL5EbYdUkvJNklJ04Tj4yPOP/+Ee48e4jkuk7s7fvPV17x984Yiz0Ao+t02J8dHHB8dcnZ6wngwxLMN8RLL+GvYtg2WhdQKJRRSSXOGUSBAW0Ya67gunjCcF4FpTUa6zXq7Yb5corOMoqpZrNYEvk+n22ObpKzWBtVwHBepjPzz7OyMyWSyJwUWDZHOqDB2FTzGh+QDTkAcx0RRxOeffUaaJOR5RqVMrNm1yXZn+kPI2lSqvy8dFnuuj/ogPuzixc3dDd9+9y2H4wMe3LvPF198QRyESKVZrNZIadRVfhgRt9vEwsJxfWzXRQsQDWKcpinj0Yjtas16WdKJDNzeH/QJwiG+75FlGUmS8Pz5c4ajEaf37hP4voHHbcskgkLgej5+EPLu4pIkSWi32yZx910cJ0AqzXQ+w3MdPMdpkipDRvR80y7y/JDNdosf+HhBQJKkpt3UhEEjFLNwPI/D4xPTmioraoX51RDkd0oMEz/fP6Md0Xo4HBLFwZ64blnG/6Pb6RJ6zl7xE0UR/b7h+3hewIsXL0nTdB8njRxd7MmLSZLgeR737t3DsgRFnvGTn/yU8XhMlqVMp7Pm3mkxHI4IgmTfavJ2qqA/ZjLguu73oCbbtvEsgeU02adt4wae2XCVyXikUuRFgUI3KgFJlpdYlkUlJWVRUVU12yTjbjJhMp1wdXnFq9ev2Gw2DJte1E4Ks3th2+0Wz/XwPSNRzJKENE3pdLrEvZD5fM7l5SVpmtJutw1aEYamX+QHnBwdEzYEqLev31CVBdNJSuj7hIGPZQsOxmOGw8EeFfE811SYsiTyPWM4oiS24zAY9Gl32ihhY9suo9HIQOO+v4ePqoYh7Ng2upbYwqITxBRVQqEF/TAkPjqhHUTM1hsWWcG2LKmlxLVtLNeiLEou3r0jL4s9QYomcPB7/cLdATf73HpvKNRsYKkkSG3IUFpRa4XSRjkhZbnvTe80r1IpkjRlvdmw3myYLxYslkv++T//5xwcHPDzn/+c8/NzfvCDH/Ddd9/x7NkzXrx4yc3N7V4qBSYjdxwjw3McB4SgqmusncFRGBhuitAUVUFRVhwe9ok7XbIsp5aSzXZD1PBQ1uuNqbKbQxZHEYeHh4xGIwN9N+2O3fti2RZFkXN3c20Y0J7Hm9evuH//PrZj47o2BwdjfvCDLxgOhzx9+pRff/UrFosFjmMbkyrPMKPjVtj0cg1kG0UBtu2yWW9YLeZsVkuCKMayzes0lg+OMYSpq0Zrn5EX+Z5g6zjuPpjHccQPf/g5R8eHJklrKn8pa+raBPgkSViv13t0wHUdLMtwBhaLBVdXVyilTCVSVaCU0cRrTa/fpdvv4bm7fbFr39RoLVG1RtWKUtU4VogfeIZZLzVFtmU2qUi36+ZcarI0o8gMOcrwIRIcIZBVQZElWEjqMjVtpZtbpKwZ9NoU3S6+ZWMLgZjBfDHBdiMUpv3geT7tToeT02O6hyO6wz62ZXN6ckAnDhC65vr6kuGgxw9+8AVHhwe0ohDHtlB1TlHqva+DaPq5wjIoCLYAR6Bri7KqqeoabSnTdsGiKHPKosK2HULfEIzT3CTJUavF4cmJ8TawbMAw6h3X5e5ughbvTYJarRbD4ZDZbAaYHneWpgj7wyRdfw/W3/Wqfd/nYHzAsN9ns3X3MWWv8vlAQmhZVkN4e28c9mGiUJYldVmi4HsFg1KKLM/I8oz1akWWpNy/f58ffPY5WZGySdZUtaKWBY7r0+n2TUs4y6nzHNtzcW0LtInPZVma+FfVbLdbsjSlLEqiOMJxzMU4Ho/J89wk2ZZN4PnYjdJGC5jMZ3S7HSzLIQgjirJqzpKRFPp+hJKS7WZFst2iDRENYZsCs6hqbFfR7vbwo4jZfMZ6s9nzDRCAJWh1uvT6fYIw4Sd/8ifc3tzy7t07FqsNquE17Uh77ytt1cgfTSLd7/c5OjzED4xZWRSa1xnHMb1el8BzjLdBFH0P8fE8Q9Z+8+YNm81mj55JjB9Lnud0Oh1Go5FJNsKIxXzJ7e0drVab9dooQYQQtNsdwEJrSJKU0WjMaDT+4yYDRVNtf5h1uq6L7zQ646bXZjUBPtUljm+IXZbjGDmgyb+YLxZobRzK7m6n3Nzccnl9xe1kwmazaYJgzHnz4o+OjthsNliWtZdmZFnGZrVmcndnHAnv7ijywvTY2m2i2PQr4zhuLgVl4NGGNHh8eIjjuhRFwXAwoEyNZ8LOuGI8HnJ0eAiY1xqGgSE/BQ5hOKAdxviuh2xg7rKujKuZY+8vfqWMauLy8hLP88jynOlkgqglrSgmW2949u23+Fi0XYfBySmyLInDCNuZsnpzQb7eUgrQrmOgZi0QWmM3ciJrJx1q+obfJ7O89wP4vunFjqDCXsVBYxdj5DQOtaooqrIxoIFK1ntJUMPi4+Lqkn/1P/6/WW3W/J//6T/lRz/6ksOjI0bjMT/72c94/fo133zzDb/+9dc8efKExWJhUJEmEZFK4QiBZVtUskaXYDfscdf3sISRW67WK/zAp9XtYnsORVmCbVHKei9hcl2PTq/bIEH13lhFSsVqtdofyiAIjCtec4EbiDIk3a6Z3F5zdHTIaHSPyWTC3/zNXzMcjri5uWa1WrDZrMhz03/rdNpst2uCyKOqq723xdnZKT/58U9ZrzZcXlxwdXXNfLFiOpuz2iUtNeRFxnazoarz9y5zjaGP7RjULQwCPvvsM372pz8hDP09srHZbBsplSFgVVVFmqYsl0vquma73aC12ldeQgh6vR5hELDcpviu4QQY2VfM2f37aFnxu2++IdluGY3HRGFIWRZQCWogSTZoNMPBgMOjA4LANR4YqyWXF2/p9np4nouN8U8QGrQ08L/rONRFgdKKuBXj+u5exur7HqcnR8S+j8wLks2G1WbJfL3E90pqCZ4fMByNOX/4gDCKKbSkrnIs18PSkkG/y49++DnDQZujgxGHBwfYAsosodQK2wLXtvf8EqVM+8F2HKwGMRSOje0ZAqkSNkpItG2TVRWTyZTFYoll2XRaHTqtDrd3t2zSnKSsSOuaOAgJG1kqQFHWWJaD1gbxTNOUNE33sK+UksV8juNatBrTKtdxKYTcJ/e7Cvv6+tqgLusVjm0z6BlZ9K4NWNUVtdrp1t8jAGEYEMff5075vs92s+Hi3TvTzmjM3XYJCxZIJamKkuVyyTe//S3jwZDx4RgpNQizZ4bDIbZtk2UZT58+JUlTsC1krqhqgxzf3U04PTri7OwekR+Qbrb85jdf8+bNm4Y8alQDDx8+3F+eURDSarVxXJ+irIhasWmhWYKze/cZj4v9e7lJUjr9IXEY0u11WC8XFLnxB8ASpKkhvhZlafxJPJcojtmmCcv1CttyuH/v3CRFShPGbVw/oNYaqWG+XLHcJNiYyt/zvD38D7pJyGt836fb7TIajQijCMcSBJ63JxEGYUgcRfT7bY6Pj/dI+Y5cKITVfB2X9XptEiXHYZMkFKUpIMfjMUdHR6xWKxaLJbPpnL/+67/h6vKGuBVjWzbrzZq6VoyGIyxh/HBevnjNfLb44yYDu17wftM0F4rDLuuUhmgjDYwcRSEdNwDeQywa2G4yFjNTta/XW5IkY7Vac3N9gxf6DIdDgH1lvbtMB4MBP/zhD1ksFnz33Xe8ffuW7WqNlkZvjDTJSZHlZHlOt+4xGo0MnOS6VFVFHEYgFbZlNnFdVgwGA0b9IYFt1BHjgyFJmpBsE969eYcQmoPDAzzXQStp+vdKYgtBp90mKzJu7u54/vKFcfQaHfHpFz/8nl1vFEXErRZ5WTC5zbCGFq7jkGy2yLyk7ftkVYlKE5azGXeLBZuiIklytFQoDIxZ1BILk/EaFvH7tbMhhvcscuMHIPcVxI4Vu2sjoEFpsBwHz7UbmMwcPFWaz5NNUrHzUjDEJnsfrC4uLvjX//pf41g2Z2f3GPT7DRw4oNVqcXp6yieffMa3337L119/zYsXL5hMJqBpEgK5h0SVUpRVSS1rc1lYNpZjU1Y1t3e3rLYJXoO0uK5LGEWGwa0krcDfu6rlRd5ou02Fu1wusW2bfr9PVVVM7yYIrY1V7aNHnJ+f0+/1AU0tK1bLJZPJHZuNqXiPj4+4f/8eZVmy3SZNlReb5FTVTGZ3ZFlOsk149uypger6A06OjhBCMByOOLt/j+++e8qbN2/ZbnOWq4X5GS2F7dgGJWkMnzqdDicnhsV9794ZnucQRSGtloFat9ttY0Wa730JpJQsl8umNbOlqkrTt+8ZJ85Op8NyseT1q5cslkscx9m7vm3ThNj3UEqxWq9Nz3w4oChKKMFzPcqi4N27d1wGb3m0fci9+/dBwOWFcXYcDAbmvLW7BG5AmRfIqsKxbSxMS0BaZpM6rsfp+QO6wxG2beF7DjbgxJrhwdjIf7XEFm7DnYCTs3sMhiOqWpJlG5LVxngTSIVAce/eKceHI4SWxo2vqhAorEbSKJpCpK5r8rImyXKk1rQ6bfqDAVqYQsbWoEQNWKR5xmJhbGqPj40qSUvNzfUNs+UCy7YpyprNrUEULQVJktDtdgHI85zldkNalEyaQidNU6IooixLvvr6a/qDLlEcIWyLsoYiN+e2bHT4OwlvXdegJF6D0FqAahjntZLf88bbIYa7lkCWZTiOQ6fT4fj4GPf+fQ4PDnj79i3T6XT/PWzbRqHYJlsSvUXKmmfPnlMWJaf3T00/PIpot9toIIoipJR0ez2iOMbxPcrKKMnKsuTVq5es5nM+ffwJ90/POD45RqP45ndVI0s06Ibv+7TbbVCC1WJJnpdErTbdwYC422nIxkY6u9lsUAKsBkYH01bxA5+41cL1DHoWhBHCdsmyFKkgzXJcKWl1OvQGA6K4zdOnzynqigePHrHe5tzd3TW8G41tm8TBsuzvearsEFanKYK11ntb8ziOcR0HxwLX9Yx9uOfhuW5jwd6n3W4Tx/H3kB/fdzk9PSEIPMqywrItFosFF1fXOEVJt9vdJ3Tr9Zrl0ijlwiDk6uoK13W5f/8+nuuxXq3RShNFEZ1Ol+12y7ppWf3RkgHbsQ2sLIzbFWiqusKujfRFWAKt4fb6mtV6jeOGJJkhW+wg4SIvuLu94ebyEqU19++fc3x0zPX1DUWR0ep1CXy/cQ4DJSumd7dk2ZYo8gCJ59rUVUmRZw1j3/RNtdaMRiO6XeP7vifKNdlZHMd7Ilsrium0jYGMkjXZNjHwuAV3dzfUtXEMq+qKQb/HeDRkMZtRlgXtTouqKnFbDodHh4RRxMmp8QuwhCAvFJfvLljO32djQeAThCH3To7pxTGtMCL2A6gVcRCg05Srm2uKNCH0Ax48fkzU6XC32XI3n7NKUwpZM1+sWG82lHXduCEajwTT5NwZjAjq2tgGS6kbbbKN23ABbOu917xugqnl2Niua+yDPeNqZ9VlU9nUGHKrMAdDGztVpRRlUVBXNUjFs2dPefPmFd2usXtGCFzfZXwwNgTPvlFrPH36lN/85je8fPmSvMhRsgalcB0H0OjGw94cPBtL2EaqVUlkmlGWNRrI84JkmzbVSc7N7R3bzcZwKBCkSYqsJLZw8G1vr8XPtxkWFpbt0esO6Hf7OAgC20D3F5cXJNstp0dHHB4eMByOjAmVVASej2gJc3F7Hr7nYdmCVrvFYrHgt7/9hpubWwD6vT5CaSzbRggL3w/40Q8+4eH5GbPpis12y8XlBdPphLIqGyvsDq12izAI9gns8+fPECg63S7n5+d89tmntFoRWkvqSiKUptX0Y5VSJriXJZvNmvl8Dk3QXq9WrNdrXr5+zavXr+kP+ibY2TbLxZwH9+7x05/8jC++MCStLM+Yz2a4kUO/20XKGq0Vl5dXZGlOWSlc32M6XYKw2CYFeXHD/TOXcBiTlyVlURjkyjJQv6w0UlZ4nvGVv7q4IQgDxqMe7ThCC4VwHDO3o1QoG6J2F8cxgdn1PZJsyXQyZbNdm0TCdXEsi6PDA4LAZzGbouoaWxgvgaosKYscZZn9JKkbprmDIywsxzWtgUoiNViWi1Sa+XLFze0Nb9++JU0ytBQcHx1xcXnFb377W2Ny1O+TFTlFUXIwHtPvDyjkhotbw3W5m5jK29iYV41U0WBwVVnx4uUreGWUNJbtoLFAWwjbagig7y87rc1cCSUrirrErd6HbqV3LRCwbcd8BwFpmpJlJja6rrtPHNvtNt1ej08a1QvCcKLSNDUEx+trqtKQClfbDV/99rf89rtvG0Je2Fw0nUYh5GPbDrZt0ev3OD45YtDpsVwtyKucV29f8ub1Sx6en/NnP/8fOD45xPV+xpu3b6jKyjhX1grbd7A9n802YbWdYS1WHCtNq90xjPpOhyiKmDdS7l6vh5QGQUYIpALhuvi2bdqIecImTSmLgiLLicIYx/awLKeRIw7wfY9f/vIXHBwc0h+O0cK0YcuyQmuIohjHdUizjKo2LqJSK2xhXBGDIDK8G8fGdgx3SWkNlkEmjHGnQiGpZEma52zTlErWhIGR0xrqlsb1bA6PDpsWCEit8WczxqMRp6cnhGFEUVQ4rs/JySmtMOT8wQNurq+5ub3FsQWddpfNNuHu7g4ppZmVc3a2J+v+0ZIBy7aN1AYwxAmLhk+D5xsk4Pb2lq+++prLi0u2WUmSGcKF4zgcHh5ydHSEqmuGQ1Ox74xs+r0u8v49Sq2YTiaNznOF0JDnGUqWXF2+w7YEAot2HPPFZ583zFbjCb5arRiORibr2tr0+sYQaDewCNgnM0FgYB2tFGVeGAcxWTaHxmY4Mpack8mdIUHNZ/uhGlEYIbSNZdvkZUFZV5RlyWg45E9+8lOSvObi8ppXL14ShCZjXC+XdLodzu+fMWh3jLWp45osUkOWJlieg++0+eTTz7h37wypNe7tDYQOY23RHw1Jspyr62uevnrDxeUlSpqBLnto0LJBN6YoSoG28FyXMDDe9mEYGt/8btd4KVhmKJKBlrd7AotoFAamF+bv2wumqsqMyYw0rnRCa/Is48mT7/jq66949PgR3W53L2PEEsStmHbW5vhY02oZre1XX4149uyZYcnmuUkudy0IbSQCVVmhbINE+I6PJWy0NqhRq9Uiz3Nub2+boJft99o+6wZcy5D08jQhSzPSLMV1bDy/TVlVXLx7x3a5JEu2oDWe7fDFz/6U0WgEwGKx4MWz51S1qeDzsjTV5GjYGAOFDAZGo/z06TOWyyU3NzfGJEU3bGLXJY4ivvjiCw4+/5yqhul0yrt3x6zXaxPUWhFB5BnbVtsmTVNevHjB2zdvUKpmPB4z6PcRGDg58ANsIcjSDNlq4TfBHqXodw1k//r1a16+eMF6teLLL78kjmO8MMDxXGOLuzOdWa3Ityn6c5rv0zIXhx/RCgL63S51XfPgwUPiuMV8Puf58xeMD49odwwCt59JUtYopUkzQ9zdIRNOU3GtViviVsuQ195dUEnTm293u6xWK5bbpFFDOLTbMcpyWCUJ2nYQrstqs2G5WFLLGs+1EcokEGWR0+m0CIKALEmNhMxxsYSFVBrHtfCDkAhBVNe0SmNQJiyjcb+5vUMLo2iZL5estwmb9YbbG2PRHIQRVV3z3ZOnvH13geM4LJYrwjA05kBBiBYWrW4PKSUvXr1kulwawqxUOLaNLaxGDtu06RoSr1Lv3eq0VqBAKWvfj7aktUdfdxr2Wtb/TaWAYbZb7NqbO9nlDsoWQuyRsh3aN2hQvLIs6a8GOI6L694wn88pq5paVtSlmeFi/Bwkm01i+AdFSVVWOI5Nux3z+RcmfnX7XQLLZ9DvMptMefL0W9CSf/Dn/4CDg0M6vT6rxZKLd++YTSZEQUwYhXiVppIQt1vGPjxJEGjjctkUiru4LqXCc316vR5aQxCY1nBZlTx7/ZKXr15xe3WNqjWPHjxmPBrSzTuEUcjl5SW//e3vePHiRWOjLugNBgwHI5IkI01zEIKyqg1fSiucpmDCMq1v2/EaVYFu5qgYPooQvklQdE2tKtJcwUZT1BVZnhJHIXEY0u8Z3xupJFpKXM/D9X1qqQijmFbcot/tcjg+YJOmXN/cYtsOjx4/ZjTsc3BwQLffZXxkhgRGYUSWFSQNf66u670K4o+aDCBsLJu9HG/Xc6yVpNProbVmvlwznc3ZphkaQ5jZsepPT0/3yUCRmf7mZDLZQ7eTyYSLm2tm0ylFntPrdAl90/M6ODogSbZ88/VvjBTENaZFjm8c6nY8ACGEsSFtBuzsCBpaa25ubpB1RVWWJJsND84fEAaBsbZVil6v1xANLTzfpd1u0e/3WC+WVFW1z0Z3LNE8z3n16hVpaqrT0WjUqCnsZqiGuWBDz6ffNRK2Qa9vZDy2kdSlWUaZpliq5t4nj8ylXBdcTG6J220c18ayjG2v77r0en3O792n1x+AVLy7uEBpaZIk+707WS0NQbM/6HJ2dsbBwZhW+726wch+Oriet+cC3NzccHNzw3K5JEkSpvPZfubDjsRkLlxjTKOUxNJmkI2qJTc3N/yX//Jf+LM/+zPa7fY+AROwl/gURbFXI/R6PR4/fszbt295/fo18/mc1WrVmBjJfR+9qqr90JkdynRwcMAnn3zCYrFgsVgwn83MYBTbRlhNf8/1DMJQK9DgOyFxEGBZQ6I4BtumKgtc12E8GhgyqTDmWrvpeTvmcJIkLJbGv0KiycsCLwyMudJ2Q1UWzeUZcHx0xOHBIY5lMb25pd1u02t3zHve7qCVJEky3rx5zes3bxgOh5yendBpt6hUxTbZUhQFnufx4MED2u02vu/uzWG+/vrrvRf7ZrNhPluYNlQjn5xMJgRhQG/Q4y/+4i9Yr9dcvHvHixcv+MmPf8Jf/dVfce/ePabTKdPpdJ9AgTlH6/V6f3aiKCIMTKtvNBrt4ciyLLm4umK9TTg6OuL4+Jg0TVmtVtS1IdTajmOIw1pT1TVBFKFsRVoV1Al0u136ByME0B2NsIOAcrlikSSG53Nygu96WMJG2DbCtlhvE7KiRGmTQNgNSVIpwwsxZFco65qqMANrXMfBch28IMDzA7SwcDQIx8wGefP2Bdc3N6Rpju26xjK7qnGCgFa/Sy/PkDO4ur1hupyTbrO9FO7evXu0Wi2urq749nffImybv/qrv+Iv/vIfcnt3y3/5m//Kf/3rv2YxmZqEepcEmHoKy34/xU6rHRdr93Fqn+Tv1EDGyv1D6SDfSwQ+hJ5/X2bY6XTo9Xr4vs/NzU0jDTfvwfX19d5vw0zFbNHtdsmybH+piA+Sjd08gDAMWS4WFHmBEDAY9Pjii885PT2hrEq2mZlo++DBQ6Z3E6azBX/zy7/lwQPTlsvTjCwruJvMWG9Szu45YFmkWUoQhyglWa9XWJYgCPy9k19d16zXa7abrVG8NEiFEIKiaWd9/fXXfPX1b7i9vsF3fF48f0W/26M/6jIY9lkulrx9+5bFYmnijdZMZgueCyM7llJTVTW249DpmSm3uxb5zsALbdQfO1VBWeaUZY6sIjzfx25iclEaBLSuaiLfJQ484zWRpwhV7/ldFhrPNS2zOPDoxDFJsiXPTJyZTaeMxmNa7R4SzduLiz0Hy/Nc1smW9cIkS+12G9d1Pyi2/ojJgNUwmXfyi7BxOtOFsUgtqwLX9fjyy5+YC8T1cN1g389MkoS3b9/iOQ6hb+wTnz59yuHhobHADQLarRa2EPQ6XTrtNtv1hixNGQ4GnB4f8+bNO7SGIi/Zbre0PWNZvFqtGp2zIXcFfvDe17phWN/e3uI4LlmaslquKI9LY1qRZnv3Ldd1Gz//9+SrHekmjmP6/f5ePjWbpQbiLUvCMDSGNZ0ODjYnx4d0WjF3kzu00sStmFYUoctqb3W5cxZzfI+6Upw+Oqfb7fLq1SsuLi4YW2A5dlN5OAg0s7s7wiBk2Ovx4N4pi9mMrCiNdtq2TYTBmAu1WjE///nP+Yu/+AeMD8ZErYhut7uforZjL4Nhyv7kJz/ZB4iiKLi+veHbb7/laTPYY7lcmuetJKXMkVIhlWkzOLaNrGqePnnC0ydPOD05odNUIbvhCFEUNT4Q1p4tOx6POT8/5+TkhHfv3vH69WuWyyXb7XYf3MFMWdv1NXu9XsNJiRovAGOag7b28wTC0ECZFhbZNqWqaj799NP9mG3LNgY1woIoDglDn/XKJEFRHKG13vNcdklImqbUssZqXNF21UmyzRj0enTaHc6Oj+k3dsR5mpEEa3rdHvfv3ePevfv4vsfN7R3T+ZIk3VLXJdPpHWY41BlHp0dYtsXV1RWdToejoyPu379PEHjM53OePXu2N0JKkoTlfEUYRJyfn/Pll1/y9OlTFosFdVXh2y7j/pCj0RghFc+ePeP48Igf/9Q8553V9G4PVLmxEvc8b+8mWhQFG6Womj2+myjoOA6dXo/Xb9+Z9991OTw85O3btxRlYSyZ2y3evHnDcrXi8voKYVnUCIqGYZ7kKZVSRFGAEwZkVckmS9kWOX4rptKKKisI/YBOr09ZlSR5Tq01QRgSeJ4JvGbs5n6f2LaD6/mGtFxVSFkDAkfYaMtBIcjLkqtmdsVkcmvIplmGsG3iVpswjGn1u7iey2g8Nu9DM7/CczyipgLVWvP69WtevXrFNskQwubhg0+I/k8t/uE/+oLj03sUecl//U//0RD1lMQW74d7WR9Y2xoelkAITaVqdrLBDwcPmV71BzH59y79D42FdknS7nzvOCVa6z0rPk1TNpvNfl4LsCc47obgVI09vG4ktTtEYfd+OLbNvXunnJwc8/DRAz777DOGQ/O8lksj6U2zFNtxCSKDrL189YYomuyLicFojKxrVuslvh9QVTlptsWPfGpZkW1SfM/BdW0zQMoWGDMdxXpt7pFer8dyueTu7o4nz57y8vUr8jzH9wJUrdluUlACbBq5X4cffPEj4ugt88WCNM9RQBhEtFodHNtlsVxyN7ljPp8ThuH+vZZSst1uyDMzJtrIyV1cz6WqcmSRE4ZB45IqsG1BGAV0WxGtyDfzL7RkcnsNaOIwJPBDfMdGlQXrzZbtYonQkvlsyivbphW36LZjup02AFdXV4Y3lBh3QdtxaMUt2lF7P9tkJ4EMmoT+v7f+4GTg8PB4z+LMspyrqxtjldqKsTyP2A8YHR6hGtg6ywqUMrDg27dvSZLEBB9LcDgaURTF3pLx008/ZTwa4wU+lxeXxFFsJICez93NDXVZIQJTddm2w+3tBCHMJCc/CLh///6+evc8jyRLTYUUBNR1zWg0MvpMAXmWmT5rbIYA1U61J4bsFAdVXaK1GTh0dHa2H6m5OyyO4+I2A2N2KMkuOFhoAsehNRrRjWMzAVEp2mFEHEb4jkeWpHsiDmjSdENWFAxcl+F4zNXNDYvVksFwQBSHuI6LlpLVbEYRBBzfu8/PfvITZtMZz1++opJVI09yGle9gC+//CE/+9lPGQz6tNoxfhhgObuBQWbghlRqn/HviIE7OWTcbnHv3j3+5E/+hO+++45f/OIXxkiorkBKZGWc0CzE/tdsMuV/+bf/jsPxmC+//DGOY3N9fct1Iy007H65hyzb7TbtdpuHDx+aSrHf31eYu8lcvz8pbDdWeNezPT09pR2HaCXxmj57WVVYAuIwJPaNTLEVhxwcDI0LGXB1dc1yvkDQw/Us7uZTtus1gecTBuEe/dnN2ygryXq9RjhGRlQ2ATTdrOl3u80o3O4+gL94+oyT42MDW6cZi7nxP3/56hWbvKCua8IwoKpKttsNk8kdUSvi4cOHKKV49+4dq9WK09NToigkCII9HL97/Xla8ODBg/1h/+KLL8iyjFcvXyKApJHfRqGZ6JhuEy4vLri9u6MoCkajEb7vM5/P8WxjXDQej9lutywWC2azGXNZ046NSdYOvj8+PiaMW2hhcXNzw+vXr/cs6dvbW95evKPVarFcrfjtN98wnUxwfY9Wp8eDhw/xGoMiSwjqWpJmBdvNhqKShFELxzXSPc/26A6HnJ+f8/btG+Zv3jbDy8zApdubK5Q0kt/dhVdLk3x7bsh6u6GUBiZfblPWV7fkRclqvWG53lCWJZ1ul22SMF+voZbYToXt1GzXm0YqauRh7bhFHIb0OsbsarVa8c033/Dq1SuyLKMsS4IgJopjfN/HdTyOj445Ptq59lW4tnGlsxvIf+cDoJVxOASx9wn70Fb4+1X/e6nih5f/h4nBhxPydl9nh2DuEr1dO+39iOX3/gDb7XY/7+Xg4MDE+SDg9OTke0Q6y7Jot2IODkb0el263Q6+74LQ9LpdDoYHtOM2T54+ZbMx5N/B6ADHsqjKCo3GcR1OT86Iopg0TVgsl/i+ty+6Wq0WYeBR1xW3tzf7tofr2nR7XZQUvH79mn/37/7dnsx3d3dHmmbEcZt+e0hdSVDGrTJJUmazGYPBkM8++5S//Mtzg5ItTEtkPjeqESNb901rpJluuzNJq+sarbRpYzbJQFHCcDik3WrhWU0LpqrQQtNux/S6XQ5HA/pt83oEGmRFkaWElkA4NrLIqZRkPZ9x8eYNZS2RZUGyWeM6DkJrM0ekrplMpnsTpOvra4qioBW3OD9/yOHhoRkH3zzf3cyeP1oyELfb2I6Rfe3GZdaNh0CsNHE7YjQeU0vJbDbj8uqSZJsaFn8jRdFak263e9OL8/NzsiwDMAeqKNms18zupqxmc46Pjzk8PMJxbKqyxHNd0yPqdPc+92byV3t/CIqiINkm+yEbu4QjjmM67Ta+71MVBUma4jV8gh1UanSaBp7fZXW+435PVlIUBWVZIFWF73t76MrzDBtbVma6lbQstps1VZEThiHDQZ9+t487nTKfzwh8w8yVqsYSmsViwXplbCnbrRZhFOGHPlorqqKizAviMMD3A1zLojUc8MnjRyyWS27nc5SWCCUI/ICjoyPu3bvXwIrSaPjrGruRO37Pc0DTjFh971Jl2YZkuJvtEEXRvj3y8llKDnsveauRN1qujWPZvHz5kn/7P/1bLi8uqcqKZ8+fs1it9xf7jtX8+PFjPv30U0ajEePxmOFwaBjvyyVZYwi1d4h0nH0LYWd2lec5URTx05/+FEtLnEZPLZU0Gv/VCseyCQMzynOz3ZgsWptDvN2sWK+WLFdzxDuL1XZtsv1txsMHD/fui1EU8dlnn3F6eo/rmxu2aYLtuSRZitKaKAhZLZZcvn23l0pJKdFKcXx4tN+TZV4gEAwHQ7w8I80yPnRGWy4teCsYH4w5OzsjSRKyLDPBMAwImsFRu7GzWmuWc7O33717R1EU3L9/n9PTU1aLBUIrZFmRFiWWhtPjEya3t0a33TPs5F2y7DouB0fmORjFxJbZbGb8CYoC0STBrVaLH//4xwZ1CyOCBrK9ubnh9ta0RG6aZGA0GnE3nbBNtniB+bndLENVFduyot1p02q1kHXN9PaO9dq0YXbwc7pNcXvGzCaII2zX43Y6pZQ1436XupZ7eFVrg05oDHm2VhjTIw2lMu/DzdUtby8uyPMSy3aNo2GnTeBaFHXN0dExrh/guD5VXZNs16i6pi5KBJBmGcl2y2A4otXu8OrVK549e7Z3/7QaIq/vBaANES3LcqazOUmSsrOZFcK8l7IGlLEL37kFsvvz7/nUfwjP241z54eeIR/+vvv4D9U/YJQNuxkqYDztd+2hD41zzHRX0/bK83zfEum029y7d49+v78vHNyGjySEoqpK0jRhOtUsV3M6rQ5H42O67Q737t1jMBpRSuOMGbi+QQJWK9IsY7le47gucRzR7rbYbHvc3t1SFBlaS6IwxBIwmZoptb1elyAIsSyHNMn37cLdyPU4ivG8DdPpjMiPiMMWvuubFl2akxdm8qjruhwfH+8VPwiL1WrLm9dv2c0ZsGwbxzYeNTsZ73q1QimN57pGVi8r6rqi3W7x+PFDPnvwmO02ocgz3r59TVEVDPsDOq0YtMK2BK5jczAagu4RByECQZbnlFmGZwkcAaVWdDttgjBAoHBdh7wwQ82oJJ5lYdsegeXg+RbtyMw+ubu7IwgCut0urutyd3f3x00Gpre3KG1MKYRtBgqVVcVsMSeIIoRl8/rNOyaTCbKWtOI2nmtkeDt3QCkr6iIjaeRZZ2dn5Ll5mNtkSy1rHNdBVhWr1dKgCIeHFKXGdjy8IMSxHbq9Lp2eCQhKaVzPRSBI0wRZlByNRowPxmgMsWO5WDBZr5neXBOFoRlXGUWGidzYtrqOQ5omKFkTd43D1R46bi5DIQRhEBAEIUlS4Xs+lmVTV4oirw0DuqxBaaSqybPcaFvDsDH0yKnqupnDbg6gYzt4cdwkIa7pH6KpZY2URq9bODmtKGI8GiMaf3vPdXn86CE3d7estxskRgLW63Y5PTluNk7ObDGjqEu6/Z75eo1BhuuZSxZloDpoLIsBx3UaZzPj0Nfvdvjyhz9gNrmjyhKywYBOq82gqVB9zyNuxcSNt4OUklfPnqM1hL6POxpxeDDGb9o6u7HRVhPABv0+fuM8lmy35BYgzFCcJC32sLysjdzREoIiy4wZVFUjyxyrqYQ8zyNwPexez7hIOjaCiMB3KMucebIxUxMtM9o3zTMUktGwz2jYJ7CCvTTT930ODw8JgoDtZotj20znc2aLBZvlmv5gwHg4ZrtZMZ/Pub29xbItDg/GDAZ9uu0O2+3W+Mlries4BIFHLSTbZE1dF9SyhNr83DdXV7x784YvfvAD+r0+rVabTqfLarUkSTakSYolDLN62O0jj2suLi749u6WN1FMkZp+u9DKTGuzBbJWeK4hq06nBv04v3+fwaBPWVbIqub83j163a6xmL29YbvZUBYZx0cHHB8eIqXit1//hvl8QVlWvHt7geu7dLod+j1jOTudzthuE6aTKaPxkFYc8/nnn3N8ckxeFKyWK+qyxrFtyqJA1xIHwXabMJncgjAFQVWVdHs9FsslVmP3/PbVa7Jky2I2Y7VaUBUH1EXOZr1ivVrRbbU5Pjo2nB7XtAHyTU7RyJk32y2bNMULQvwwRgPD4ZhHjx9zdu/ESACXS5bLFcvlktV6xWo2wbVsWq6P73uMe32SNGW1XvPtb77m6vqGPC8atY4DyEZeXbNaLcjzjN9+81uefPMNaZIg6xpLmzMG7+/798CXGSq0/31vEGpsivd2IE3eIBoF0Q7NC8Jgn8Du2lvmpjcfq9EUpeGiKGkGRrmejVRuc9ZUo09+XyDtkgiAIs/Js4xHjx9zdHi4d1B0HAtZFpRVies6FHbBYp6ymC4pc0kYRYaZH4S4UmLZFt1ul267y3w+45tvvmGxWhuinlB4nvF+0LBvR8w0jMcjBv0BZcuoMjbrLdPpnKvLW5IkMehdWVEUFYPhmE5/yO9+9zuS9RbXdomCgFrDemuskHv9IcJyePnqLev1itHhEaenZ7ieMYyqqtooq8TOItjm+NCox+bzGZv1pkF3W8YuHc1sNqEqC8Iw4NNPHgOaKPL5zW9/w3w6Q1cZrm1xMB5xdHjQcJgsHGE2gnIcKoyssdcfUC2XOL63l3RajkO1WFJvK0OcFcLMTohMa/OLTz/j+N4ZV1fX1EVBkaXGhMz+I9sRX715SykbD28BbuBhuy6W5XB1c0NdX/Hs6XPevbs0jORep5moJHAtYSrczJi97GDpHVGq2+1SlAWLzRLHtSH0oZYslwtAMzgc0wkDLM8hS7P9w3EbyNtppGgugipN8R0L37HxPQ8dakLH3o93DcKgkeOBbQlsz8USwjiV+R5VZSQSWipcx0W6LqI5DMl2ay5t28YSFmEQ02q1KfLSSPkqidZWY6HpmolXtoG0kjQlzYsmmTIGKFVZ7hmonmejsXE8D8uxUUUOJYShjcCiLksD3cUxhTSz4k9Ojvnxj780JJGyII47RK22YRMLDRZs0y1lXVDLgrDxO9BIsDVSS4RSe2UAGhzLQkvdOBJKVAM5uo7Fw/N7tENDpGm1WoZgFobG7KiWyLpGKsV8NjNTJFXj4uZZhGG4bw20Wi2quma9WmEJQb/bRbsuSpphLVqZgLprK+x9EmyDBgmtKPMMLZUZm6skRVmSZdmeT2CqJIVjOc0EtDY7d7+6luSVoqhylJbEnYgg8k0PsDD2zEopOh1D/CuKgiJJaQUBst1mPp0RugGBG7BZG01/GAZ0ux36gx7dbhsUpOl235MuysyQ8sKQMPYbm98Wl1fXzBcLkjQh2ya8ePaUfrdnsn/LJkly0jRjvd4yn83wbAdXOHiuQ+A6fP74EUIIA+vf3bL1fUBjexaOJbAd0x8djw/wPZ+nL59zND4w74nl0GsbomCyTbi+uqaqCobDPq57wGq1pEZxdHpMmudcvbukroy2vawTpC4JwhYPHp7T6Xa5ur5BaU2ZF3iuh+cL0izDE4JOD2TZtDhsB0sbMyILTZZscTyXqixYr1dIFOv1GvvaxrdsJheXRnkDppoctJB1CY5NELcoasXN3Yz1NjPn2TL7bTw6aGKNwPMi7OayXCwWPH78kC9+8BndwQgh4OrygvV6hWODpQ08G/gBdZrgKYXfblEJWN7cML28pCgKhGVjWw6WFkhdgC0oqpzvvv0d796+5a//+q958fQZdW3GnBs1wXvSn1JNMiD2qUHjvGcSAI1BN5SWZjaJ1GipG+MxU8mj4GB8wD/+P/5jhsMhb9++5enTp9ze3rJer6lk3XwvZeSdjZeHToxZjjFSC/ZKBSX1nuS70/ZLaSRz2zTl9u4Ov9HVR41e/nY6ZT6bGf6X61PmFctswybJm1ZUgJQ1d5MJAPfvPyDdmgR/sViz2WyaWOLSaSrhsqwo8orpZM7N9Q39fo/PP/8cx3HJc+M3cHt7x3K1NFLYrCBJUhzHpao0rX4bxzUGUMbq2XCL8rKkqGskU1MMaailJM8ryqrGtl0GwyF5booQITC+MpZFu9Xi7OyEo4Mhy9WaujZDns5OjhkOeyTbLbPplDTdUFUFQRjQahtlzps3bylGfR49OMd3AwIvxLEFdVVR6QqhNbKs2G4z4z6bJCy3CU5ZEXe6+FFo0KAVJOm2mZuhEJbA813CMCQvUpbTGe2G36Aro+wJnPfTMf8oyYBCo/WO+SpJtilJlpLnFUEQ0W61uby84he/+AUgcCwIfI+jowMe3Duj22kb+YTrcnxyaOCW9XrPxNdaE0YBNsIETM9nvVwhleTo+ADbsVitF6jKMFuVVOhSUxaG+LJjP5+fn1PWRuPsNy5Qu77fbnLgh8Qcy7JM1i7M19gRpwyhRjQTGt/DaMDefjKOjb90WVQkSdZAfAbmcz0z8EgIDCKiJZVSSAF+HBqosMkIXd7bie7UDYEfIHwfx7ap45LNeoMtBK4b4EU2jutiNT73eZ7z+u1blNz5CELg+4wGA9IsM/BaktDr9xqts3H2cz2PqjSVxE4mKKt63xOs65pkuyXLDGQfBAHn9+7hNk5rUtYUebG/2HcEwc16TZplBuJvXPV2sOVqZapopYw81bWN+VKeZlRFiWvZe+SgrirjNoipinzPNbpmy0ZoIzcKo4jI99msV98jP9m2bTwksryRWrHvk5qZ7Am1NENZLGFRlTVSagTWvh9qiEJbkiRpfO91IxftcXJ6Rn8wYLtdIdC02jGtVgvHMQziPMtYLxfIqkBLiZLmTHQ7LaJ2iyAMqSrJ1fUNv/nmGy4uLimLnOfPnhGGESenZ7Q7EXVVNkzqIek2MdB/lpJsJEWRMej3GY/N7I7ZbNboi4N9K4Em8Tb2p22CRgq3g5KrquL29pZlI4MLAuNOeP++8UAvlaaqJd3BgMlszjLdMBwMETakVYl2CnrjIZbnMFnMEALqsjLWsAKuLi+pZL2XryVJgmPZOMLatw8rWZIXBTpoet8YtcGuHbTNjTPo4cEBagpFXuDYFq1WG7fnoWpjoOV5PlE7JgxCY+QDbLcJw9GIKG7R6XSoqopf//rXbDZrNus1tm0maM5v71hNZ2hZs7ibsLi7wx+NqKREKk2hFZvFgvV0SpVlOLaF4zooHIyiUyLRvHnzhrev3/D61StjOyzftyA/JKB9aGTz++6gynovGd65mCqlcC3HmI59QA50HIfT01P+0T/6R5ycnLBarfZW4N9++y03d7d78yJgb0J0u1oim6Fpw+EA1/Uoi+q9Gybft6DfEXhvb00lPh6POTk5YdjvstqsWW831EpxO7lrZi7UBGFE0pgstVotM+dkOuXp0+d798Md292yjAz65PSUB+fnhI2hUVVBkmRMJhOyLCcKoyYm6Oa9KcnSnLu7KbbtMB63SdKEQpagjPPjarkk7Q+4d/8eBwcHOK7LwcGYoJlRcHl5wXqzYj6PUErSarVQSpIk1T5JKhu/Csex6XY7dDpttNLm8k+2tOOAYb/PwXBk2pNRiKyNZ8rh+ICiyDkY9jg5OWE8GhIGAUWRURQFWlV4jkNZS1arNXeTKcssY7Zek5cVRVHy6acu3W4Xzwvo9XsEfmiUaVXFo08/4ez0zMRJYSMb6/5tklAWJTtDgD9aMuCHEa7SlLLCqioWkzsur67o9Qd88dMfYNsO//W//pKyrBpiRY2FZnJ3x8Wbt5ydnHB2ekzcau39naMo2rPM1+s1oe9zfu8eQWikeUprkjRhNp8iZU0UhgS+z3w6o8gLIq9lqGtCMBwOOTgwlUBVVyjeD+DY9ap3kjZg33sOgsDAakrubULTNDWZvzCe/bI2fuVmWIuRKnb6HaK4Ra0USsDoYIzreRR5aRIVjK3sTvNbVhVZmVNriWtbBGHYwPQaz2pcxRy7AQq10bRaph/vOC7CssmSlNVmgxs4TXUtETY8fPSQrMhJtimu49Mb9HEdm+VihlTGrGS92FCkW8oiw7bMlMXNZsPd3R2OZdPtdHAsm5vra5bLJTuHwR3k2O12efz4cUMCtfYJ2Xq95vb2ltV6vWecG9Mn8xpdz0zC25Ewd31NzzNBONluuLu9pqqqPRGm1jWOsAhcD6FpyDg1mTRtHM8xDmyWsMhTSZVnFHm+D1q2bTcmUJ6B1xvy1IdOjEoptmlKmiRstxss1ybwA3z3fbJY1zWLxaIJpBocC8/yGI+GRHGL0WhM1e+Q5xm2Y2EJ0HWNsAW+Y9Nttci2WyxhEXkerrBYz+eslnM6vT69/pD7Z6f4rsew1+fu9par6ysmtzd0Oh3G4xG27SJp5pV3OiRro7PXSmIJYeSrjZql3++zWCzeE2GrGs/zQQuePHnC7d0ti8WCX/7yl/T7fXZ++TsiEuZVcn19jdaKH/3oh5wfH1OUFe1OByyL2+s7lCWIWi2wTNKKZcxVOp2YKPTIki1vX78karcAyXI+5eTokNPTU54+fcpquyTyzZjtXq/HeDgiSQ3BLGq38BsicBBF+JZLuk2MOY1S2IFHWeXEccBwOKbT7jYDn8yezFLDN8kyw9GoKkmrbRRFu3Pd6XRI05RvfvsNspbkScJ0eoeqa0bDIbqqaAUe436XT+8/pNtqE/g+2zRh1Ony9bffcjGdUAqBFO/nXVRVya9//WuqotwXBn6TCOzajLsW1C4ufUj0273/6PeW70AzZ0BjO9b3PnZXoFxdXfHLX/6Sy8vL/d8fHR2hgf5wsCfkzufzpto1fi1lWVMv18ha7Rnou++74+vsbIx3LqRVVbFqEv/lcsnZ6TH9rnFxtCyLt2/e8OrVK3r9PuPjY2zPxQsD/CjEyVKqZiLoZDLZ22fvkqSqUkwmS55899zMKGmIxnlmlDfbTUoUtthuEubzufFkAFqtDnGc4jgeo9GIxWJFkWa04xadzz83pEmlcH2fVtv01TebNdPphMXCIJDCchqLb+NnsDNYUlVNnqU4AnzXQSiJ0jWu6+E4Lp5jsV4uqLKUs9NTAt/H8z0812G5XHJ7c02n0+Lo8DGomtlsxmphJp9qbSZver4DQYjSoBC0uz2iwZDxieb69o5XL1+z3ab86Z/+aVOEtnEdh9vbW2azGePDQ4ajIa7rURQl89mc5XrN7e0Ns9n8j08gLKWkro1E8G5yx3dPviMIQv70T/+s6WuuEcIiCmMKq0RWZeOSVjKdzFmv1qTbhG6vixdccXZ2RqfTYbFY8M033+D7Hg/u3QMBizRjvlwgLJN9F2WG57kcHh6DNAQxgSDwAjqt7n6Iw25De4FnxvV+wK7dVYW7ivf71pIOQr+X2+3IgJZtoRqZ4WazMZdJ42YYRWHTH9yw3ZrN1HbdvfcBaENCtE0Lwi4LtDCnXSnVsFU9sxlsx3iaC4HEQPQIYxlcyQrXcfC8ALRgsViyuV3i+U2wDEI8z+H45AhZKbrtLn4QYLsOluPg+h79TovlfMY2SaiynNV8zs11vpcVdXs9U4XXGe/evuX2+ob+oG+kZlFk1BBxjGubQTJlUVDk5vMnd3dM7u6MjbFjk+YZaTNQqpI1dSYNGzkMzbCSLKPf79PtdkApisZzPS8KLi/emYFGQr9/LkLsg2NdVGRb4zAYBab6dZqWj6zNNLXdkJY4jvECQybaXe47GV0YhgbCSyPuZjO2SYpWIG1FLervBdu9f7itkXVNkZf4gY/r2KTbDbIqQSsc20Vr2fzZwXZc3FaMbqaG9XqmJ//s+XOwBCf3KtaLJVgWnW6fLz79lNNjM2WvqiVh3KIqSypR4UcR4/EYLRUvnz03unA/IGyIebe3t/u+94sXLxACDg9GDIdjbNugG0VRYtk2jx8/3n9cWZacnJwQBAGLxcLIZKMA17W5u7MYDm/o9QcILfBsh4PxmNl0znQ+Rc5qolbI559+imMLFvMJceDyyaNzXr14zXQyoS8lURwyn8346quvEMKQfYvMMNp3U0Vpeumb9boxbTHwqUKgXfW9KjqKIkbxkFbjuW/ZLrU0hjCtuIVYLJgtjTeI7xsCorANwpCm6X54jusanhGyRqiaTruN69hEYcDh4YjYtzk/OeVwMMJRxt7YqmpOx2Pu7u6YLhcUZYkSCq3EHv7fFTEW7E3RduvDYVm/nwjs/s2kVezJBL8/kGj3dx9OG/z666958uzJXkWwk+52Oh0+++JzfvzjHxMEAS9evODZs2dMJhNUrSiKshkAVyB3tu7o7yUtwB4V2CUnu9+XyyVlmXHv3hn3792j1+tRlCUX11cEUUSv12M2n2PZNoPBgLjVYnww5mB0wOHhId9++y13d3fvJc4WjalRjWXZFIWZw+G5Fr1ehyiK6XS6LJcrfD+gP+iZEcl+iO8HaG1+1mS7NSqHwwNOz84IwpCbu1vDP1jOubm52Zvx7OYyeIFxQO102vT6PeIopqxK0vWGq4t3hIHHcNAnDAOyNCFPtkahgGbY6xOFAZ4luHjzivVmy9nZGUEYkKVbfM9n0O/h2hbTyR0X797y/PmGqsyJwpDTsxOOj45BW0htxmYLYdNuxYzGR/hewNOnT/nq119zfn6ObBCR2+mE2WzOb377WyazKb5nDItub++4ePeO6+trVmtDdvxD1h+cDLy7uCTLMq6urnjx4gUozc//3t+jLCuePXvO5eUVlxdXSKlwHA/bEihpmPXaspCyNnKu1YqDowPa7fZeU27bNidHxwS+T17kyMZd6+T0lEePH7FZrSgrI0VK1luOD08J/AAHu3Gl03vpoud5WI6F9QHrFtjr+j/cBDtDGUsIfM/dQ6e7g+s4DrZnNwNN3s+Y9gMfy7aolfECCMLQkO5ch6oydr27RAAU0pxxXGFhuZ6pcIRl7FCFwGlYsBqomv63lDVlZSQsnucjAMt2iOIWeZk02mTR9BsV/X4PoYTp+WEUCV7D67CBfrtl3sNGKSBWChUGdLttfM8wbW0B49EAlGFXx614H8qiODae8XVNnhWs12vDUPZ97p/dQzsWeVWSFTm26+B4LlK9nxa4k2BWVWWeQS0RWmE1ycVquSRPjXOcQCM0+x5rVZtZEI7nkqVbVvNFI10a4zoWVSX3MOyHUiolJZ5nBhTtnrm5EApAmcl8Upq3sHFu1KrYV3K78dsI2KZrVIP2RHFIstpyPV/gubaB/oOuCUZSUkpDInWw6DQzDHRd49k2vuuySbbkSQIiIy9K8jSn2+vjOQ5npycMR2Nqpbi4ukZqzWHnmOPjY2zL4tl3T1iv1/TPuhwfH+M4ZpradDrl+vqayWRCqxXvR6rWtWnlSCkJopB2q02v1/ue1LOqqr33eVmZvr1u3EAv43eUeUVZ1ySrNa5lI2yXWtvI0iRn3apiKBWyKol6PfoPH3B7d4eUFWpdIpYLLt68Yez6/OTHPyaIY5LNFkcpbCGw0AwtC+X5yCSh3G6xXQex2WJ5geEXCGFaHgV4KFytsZRGCwtZSTMOG4uorPCzHKuucRsPjGK7ZbtZG58Az8NzPVy7mdKIotPt8GDQAy1Jk4RKYHTt2Za75zOWdzPWiyXrzZpFVnCxXJHXNRJtnBGFbeRonm/sl2tT8WmtG6LX+8mBwP6S3SUBv793lVYI3v/dLhZ5ng9K72cV7NAFqSRyKfdV/O7fbNvm8tp41/+Tf/JP+PLLL3n+/Dm//e1v+d1vv6WuZXOhG3dB0xJ4L7Pefe/dxNjf9zKQzWjp65vrffwTtnFxrGRNt9cjzTIWiwW9Xm8/oTQMQz755JN9cfbe3TXG9wMcx8W2LdI0YzabopWk2+3R6XRRytg3Hx0d8+Mf/4jXb17z5vUbgsAQFefzJev1mjgIODs5ZXxwgBaC2+mEbZqYPn/jQhtF0V561+72GI/H+/HIYRgaY6/5glbgsZgvWC3m9Doxsq5QteRgOKbbOydwPZLthuVihm0JlosZYehxMD7AQrNYzFjMZxw2pm+e63B7c83Fuzfc3U2I4ojx+BDfC4jjNpv5nO1mwyiMCMOITz/9DBBsNhuePn1GlmdILff+F9PZlF999esGzXw/FGunHHufaP6RkoGvvv6a6XTKemUugXbcZnI35ep2ymK5Yr3esFyZ4TBCGIjMXHQO2gJs24wzbqRRg/7AbL7DZipgEFDlOXVZE3g+/XsD7j04J/QCltWC1XwDWhkTkq5hpMuqpizKpnI0hEStFZa0cbwGCRBmbOyuCinLct+m+DADVkruxxy7DZSsEew8+R3XQ6kGOnMc46xWmXGZltV48XsueZ6S//9Y+7MmSbL0PBN8ztFdbTfzNTw8tozITGRtQAEgwCZ7pFtmaf6BuZjtd83FyPyI6ZnpETYEJEiQxEoQqFwql9jDwzdz20131XPm4lOziER3kzUj5ZCSRFZFZoSbq57zLe/7vIXYTCT2t97vQW1dUWbtS+W6WKWw2sGqmkqVEiEMVJXsUL3AJwjCD1GrStHvDwhChyxL2SYp88Vqz253HAdXC43Kd7WMtMo25ChLMRb6gwHdXo/BoE9jDEY7mLphu16TJFt6vT5RKGI/0wqHsBZrrCTKlSs2mw97vk5HRJRpkbcrEtm7u44niXDtJKYoin2U9O5i9h2NUYKc1lgePXxAEEpamRRthjRN9sCTMPBQ/R5FssVzHYEMGSkokLsCpTRKS7T0NksgMcKwDwJMU7eHnKEuChpAKdEZGKuwxlDUTesdlqTOoizFnmlLlILID/G1SxQGuJMxWlvCwKNudSriHDCYphFhWVPvKY4HBwd8/tmnzBYL6R5dCTIpqpztdoW3i9U1DcPBcCc/4awdP85nc25ubxkMBty7d4/Hjx/hOIqrqyvKsuT8/JzDw0OMqRmNhtR1iULYHjIGzbm5vRF7m+PiuA5xGMrI05fOOssSXrzIKdKc6c0t1+8uubh4j+cHnJ6d4bUhZJ7viiL9h+ec/V//b9wvivYyYz9qFtqe5X9fVTSNwbu8xfuX/+P+IgQJEWqrb3lX239GzhHYddatvAbLjy/Qts7eu0xiaxm1l+3Hh+DHl9s/Phx3v81Odf/LWvbECplY7JgAGMPfBiH/98FAij2tKY3Q5618Mx+lIyIdmTFS3CoRUVuz+x4/4gfsuADt50f7+8ozrXAcVwSZnkdT19Q1e+tb09TiPLK1pPUh6zvJKzBcX1/yJ3/yJ2w2G/67/91/x89+9nPu3bvPoweP+eu//hu++uorVqtla5muUEpyQnYrCGPawoQPMCOtFKaRgkdrzXq94fnzF2y3Cb1ejzCMWC3XLBZLjo6OmE6nbDdbglBEupvlhn6vh+u4jIYjJpMDJpMxo9GoxZ/L5OHduwvmizt8P+Do+JgwilhvNuRlQX8w4OTkhKLI+e7X38p6sTLc3NxQlTVFmXN9c03QCQUVbeWzGo9H7frN2a81m7phfCgo+7u7OxaLGXXdk6lpt8tPfvITnj//oUW2Z4JXH3d5+OAhk/GI9XLO9Oaaqizpd3ucP3hA3Ikx1hJEIUEU4gc+Bpk2HxweMhoJMvxuOmU8OcT1/DbGXdM0hrqqJGCsbZodR9gH282G25sb8iyVX1fX1O152rT6lrqRPBnf9drp1G95MnB7c8N2s6UoKqxRFGXNd9+/IG9VnI0xKFfj7u16FhyLtgqrHJSVyE2LZTZb8Ob1G46PDsVz6TiUWIpc4CPD4ZAHp2fEjs/t+ytoDJPBcC+K2lfZAUSBS+D5eNqhyDLKvMGLA7TVEqHaBp+UTcU2SanL6kc++yRJuL2dkuYZo9GY09NTRqMOru9jUNBA0yis0ZjGUNFQFGskM9pS13IUKC3VZl0b0iyTHVeSYq2h1++gaKjKnDTdiFgyCjGNsNNNEVB5JUaBdTTK1TSmwbUNIGMzWu80WLQKqMqULKlYzFYorTg81AwHfVxX4bsKB4O2mroqyfKUrMwYDIb4vsbUJUEQ0htN8OMes9mcm+s71tschSbq9iWEo+1UdgdomqbM53O2my2g8LwA1/XxvIrFbElRlDRNm22gPKyREJVa1ftR9g6GYawRNXV7YEa+hHaUuQQMKWPQ1qCaBgeL7zrEgUvkd9FGLJamkUtG4WB3rgh3100JlUtZqOqSKktQ1mBbBkBV1Cjt4IeiXTCtg6BuaizSHWVFLjwBY1AOxHFE3uQY5aCNJfI9XF+jXLmIQh1SlZq6qlDa3UcSN6Yhy3Pc1Yqx6zIaTcSmWxY41hDEEbVpRCGP4vLiguvraxzXYzSe4KKoCxF6PnrymOPxhNgPwVrSVBwUz549JY5jlssld7Nbev0OkR9SJAXz5QrP9XGxJHlCWRSYusE0DYEfMBqOCKMO020CpmEQdrBeg1sJX2Q46nN7O2U61XS6XbJkRRxKzsXo/RUmL/jy//J/JC0r+Z6NRbuO8Nu9FjGbZ2zWCdYYwjCi0xEnShiGLJYr5osFjucyHI3wQ6EjqkaRbBLev79kuVyzXq3J8pysKrDKinXwo0ve9XwUDmEUMOj3GA77jA9G9LpdFIa6EiZBkmRst0nbBIi+qSxyxqMRR4eHeI7GNqVYs7RDoBTaWA6//Z4vfnjBL37/F7y/nXE9WzLfZNRljbG1QEB3EwfHoVEGqxSuVvtRbdMIrEtpaQTcQEK0Phbq7UTMu0bF1S5aOaJfMmI5ltG9nA2NrbG6prFtYbETR7seVhlubq/40z/9U+7uZvyf/g//Z372s59zOJlwcnLE48cPePnyJc+fP5f1QVPK5BCLqZvWvt0WSkYaEuU4e+djUxtwNNlqw91suecSLJdr/uov/oo//uM/xnN85rNFu4Z1cXyXujKsVhvSNBe0vXJprKWsq/3U4ermmvV2w9nZGYcnxwAkWYoXBCjXoaoFiDXs9zHWstlsaKoc7WiGBwPc0KUxNdZW9LsRJ4eT/bpw1xDudBH9bkTdlKyWM/nflHTYncBDWYsXBPi+RxB1mBwc0+l2yE3DdLHk7uaWu/mCyHOJopi+Uni+/FwfR2K3DloeQ93UYA1BGDIYTdCuTxx32G5T1ustaV6yXCwkJwPF5ftLXr98xfv379lut7Jq3W5p2map2RfIWu5aA77yJJtDO7jalbXzb7MYcBzd/qbSgYmYRIRd8scxmFqsDo4jwjlpmHdWvQaMRWtFnue8ffuWMs8ZDvscHR7sRVCHR0cUZcEm2ZJmGcrRdKN4PwIDPuz+acRa4XkErkcQRTIajiQr2lUKV2kMoDyXqmmEQNgmgylgvVmzSTagHOKOEMSiOEa3O/umHcvJnq5hvd6wTTZ04i6+J2JC3/cFclLVRGFIHIWYpqEqRUiUZzm2qqjqGouS2F4DjQWQl8txXRGszRc0CDvBWCvJdMgo1dYSVWqNwvN8hsMhVVXtL2jfc4nCENeVljLudKjrigaDH/n4vqxJrKeIIhfP8xn0BywWS4H81E27X7cU7d5/J+TZjQv9wMfN2mRDLZ3vcrkUTYWWdDpHyxMhHmifXjfeC5J2qODVStTrdSWdtDKyb2+aWlYqWpOVBXWR4ViDrUpM7RBFIgLNUkEie54PaKqmpGoaNFA2NQboB2IDVRjyNMWYun0Ojbw8CkxTy1rGSA5Co9gHOhWmkK6waWhq0b90wgjreBKFHWsCz8fxdjGnVpj8bXyvtrLaOT49IwjDtgCy+72gdlywlsOTY8G7Jlu0dsiKku16jbGW+WJJXRkePH7MgwcPGI/HzG5uWS4W1KaiaTn9sULsiUVOFEftisylqrYk2y3DgwPiOMJqeR49Tw62nV3TNA1lnmMb0UzUTU2aVljVEHkeZ8ey2os7nfYzbRG5rT+6PD/H9Xw838daSPOMbVmAI9HAruvR194HglwUoX2fWju4eUG42VA1NUW3Q4ZAuK4vb3n75h1v3r5lsxbdQ10ZqqbEKrvX/aj2XdVa0OisLXo6xfMdhsMBR4cHjEdDhsMx1sKqrGkcj8H4gOV6zvMXPzCf3aGx9LodQt/nYNTn3vER56f3GPW6+EozmC8ILy755R/8Ab9TW95d3fDdi9d8/+I188VC9u6+dGNu+/koR/JFLNK5oRD8ehTTH444Pz/nyZMnbQqfjH7ruubu7o6LiwsR57ZobqcVK+5SQ3frgtrWGOrWQiciQ8FNu2itWtHfUtDJb17z6Wef0okjvvjiC+7du8erV6/46quveP36Fav1gs1mS5pkZEVOXRvqpqFu5P30PG9/gSolEeeCtw6Bgvl8vp9UXV+KGPnk5GQf39ztdumEHcbjMa7ris6gKHjz5g2u7zJpA8A2mw2z2YwoigDJzfB9n7oRbVBd13z/ww+iIXI9RoM+3V6fu9mcsirxg4DhUALT7u7u9kFmuxXibDbbw+ZGoxHKESqk74vT4PDwULIujGW1WBLFchZGsY8fOKzXS25v7zBVRV3k+K7LcDwmDAN0ssXCXpxb17VMWRGMses4DHt9lJaViRR/UoQFgY/vB8zmc77/7jvSLCfLco4PjzgYT8TZlKVULawPR87xy2txjewAYaOW3QIfsyx+S8XAP/tn/xV3d3d8+eXXrJabdnQmoyzXc6CBsmWBWytBJY7TxuS2v9Ya05K65Gs4GvL0kyccTiYtjVPGuZvNRoRkGFzX2+/BPsZg0o463Raeo3SrE1A+xhqJJ9YOyhN1u9UKpUWtbypJmPK7Pt1ej6KqxF86HtMfDFr7WQ1WRGNlKXjiXYfsui40hqLMCNsc8zovyTZbHD9sbWlCdqvriu1mQ1UUsj/0XPzApzMYYBrpVsqmoa4Ui+WCTZLQ7fdRSvgCu0vXNAI0ERypFAK+73N0dMRisZCquGnIixLX89GOSxBFpIuc9XpL1UjcsOOIENP3C+bzBVkpgTdlW7jAB4HSTmX+sdhyNBziapftNqGuG4qi3GNVwYqn2hPef38woN/rEvii5N7lPIzHYxQwbT3M0O5Wkf/d27koNNi63AckZVkmnabSlHWN4/iMB0MaAxXblkVvcByXTtzF0Q51WdKUkoaoUXiBjFsl2S9gvUm4vp1iGktVlVilCPoDULJaUkoRRzFpuqUqSwiiFimriWIJJMmKlOViSZ5lEmOdZtjaoNGS2lg3DDwfPwoZdHtYY9imCbaSadnBeEI37pBliTAFNlfy3NWCRzVGgevghQGr5YpXr14RKIeTe8eMDsZ4vsdmvWkTEKX7Xa22zPI5dV61Y3FLFEpmRz/u7lP0dvYk09SyxioLFvM5y+UcpWA06EPbqVJWqHayJhoDF+r251c1KG0kBKjF7xaU1E2DbS264gGv9jqF3Y68bqDb66Mdh7KueP32Db/61Zd898MPLFfr1p3Ujt2tsN53oKydQ0XOmWp/GZZlTVlqirzk5maK5zp0Ol1GozFnZ+d88skzhuMRf/E3f8G7qxvyLMFRitVW0ivfXih+ePGKTx494vd/7xc8efCQsNfH8zzGR4dUVjE8OuLh02c8+P4H/uZv/443b99SlqWcD7rtmrDUjfj7rbV0u11+8tOf8smTJ5zeu8/9+/d/hLEuS3mfdhfW69ev+eqrr3j//n1La92tUD5EtKtatUFHgjN2XReFpqlMK/yu8X2f1XrFzfSaTbImCESg2+lG3D8/I4oDzu6fkuUps9mCb775dbseUqC1rNiaD+fAvjkzZt95djodVOtw0VpTFRWvX7+Vz9/zyLKUupaCvRN3ePz4MZPJZF8oxFpQ4TsdQbcrSPTtdsurV68YtGfzTtPw9l1Gut1ijWWbZERRyOTwsHUa2L1G7ObmRlbRO/hbe5F6njAp0jTB2poojhj2ewz7PTpRSGIEDuYHLvfPn3J8fNTah12++/Z7rt+/B2PpdCQro7GmjYH39xTV3TMKVor3djIJkqHT1NI07qyYTusca4xls16xXK9p6obDo0O63S7DYQ/fdbGVWA6H4wOG4wnPn7/izdu3VEXO4cEB5w8eSAbIdvvbDyr65S9/j1evXnF5eSndfVZSt/7evCiwGAQhIoI2R+v9Jb4bSZq6lvx6fIzj7hPoxqMhq9WSTZqSlxI25HjuvkNR7UUM7MUxWmu0J5qAujG4nZhhG7V6O71lNZ/jtj72oiwJex18zxfSWZFTNTWdXpfxwYSiqlCOS9SJcX1PhIWZxOJWeUGy3dLpRPR6XQbDAQPboykNGgh3gAcriWN1lmFqCdHwHAdMQ5nLuM1t09yCIKC2SDhGYwk8jclzrNL0egOiKMYLRAgpu9JGOm1l6XRiXCciz+XQCEPBD8dxTJbnNNbi+j5FbXh7ccntzQ1llcsIE0Uc+2RZznp9Qd0YrJb8751qGf6noSe7fdQu4KZ72uP6+ob5fNkGwcjkaJd1IAdvTBj6bDZrZq1oc4dA3dkM5cWwaKcVS7WaksI0ONrB9dxWIyH2z6QqyMsKrV2CqEMn7tLp9ciKCr9uMCiqqkRrB8/1pRCoqj1Z0dGawPXoxB3iMMRxXXzPkY5LgwZQug3BKaExBFFEHEY4CoypCfxAzmOtqLFoY9imOVleAIq6sYRRB2UsymhwFGGnhxNEWMeltG3HoDXFYok1lrpqi8Ky2n9Og9GYqm64m81J05Rvvv6GohG+fVVW+L7m4OiQew/ui7ahqnDyDA9LVYgNqy5LukGEH/kURcp6reh2+oRB0HIlJOFvNV/QtF72NE8lrMs2onlpanzPpdfp0JQld9fXeI5L7Ps4sbzxWDB1ieM6mLomTTKZ7JWFrLysbWl1Plmb97CzeFoLjVE4rktRV7y/vOSbX/+a12/ekLRWr50mZ6dmrZtGRtZ8yNX4kV9///xqaUIsWNPgujX9/ojHjz/h6Pie5N4nGUVZY3FQWhDkYGiMYZuW/PqHlyjtMjk4QXmi6fCiUMidVc0oGPD7v/+7dPs9/vRP/4zXb9/sL3W9uzCV/PnCIOSzzz/nD/7wDzk7O2M4GO3TAj++3K21dDodDg8PefLkCT//+c95/fo1X/7qH/j+u29bdoaM3D3PkGYptmpjand0QgNNLRe4MQ2VqknThLcXb1luFoxHfZqGPR1Ta4XnuUwOz7l3dp8sL+j1+oBmOr3j4u2bdnKl926CnfahqWsqpfYwMqGJWqyhtScLrlcipYURkuf5vjvfBbftOCGj0WgfprRrdFar1R6pvHNLeO3F6XgORV1j8oKHjx/zybOnDAd9er0edV0zGAxYLpf7M67X63F8fIzruqzX65Z3ILbr8Xgs8Kvlgvl8Ll358fE+UC/PC5QqcbRD6PltczMkTRPmyyXadViv1/tiZjcJE2cUxK1OIY5jlBXqprFWcO0oBkMh3B4dHWEVTNqslsPDw/3EpKlLdDulb5oG1wn45JNPOTo6Zbm6w/d9ojgiLwpqK2uc32ox8NXXX/LDDz8QRQHn5z8jCCLZJzVG3ARXl9RJu291FEorykJEe7YxsipokVtKgec43N5IIlRdlnIINTUohe/JpWF3lXJl6HQ6H4qL9gN2anCteH3jMGLQ67Far1FA1OlQZ7kwqMMAVboitLCy48/LgvV2I9OA4YAgjAjjCIsly3NhxxtDkiQkSYLnScZ8TEQUh0IMtJLmqLUm8H2pRNMCTI2ywjYwdY2rHVQYtsmCYJUG5RDFHXlZG+l6HM9vK255ocWuZj5yMXgMhwMcHVFV8x9VuFEUSQVvRW3bNA1plsluatgnSdZo7dLpdDHGkmU5Vd2I0+EjNfOH7AL3R0rUj61Qnu/tCWVS9aqW7hignS5xp0NeZCxXkqDnud7eJrgTcjqtBbCqaxyrcLFtOqHszV0XVC2CG+36eNqlG0Y0tkErFz8QdfV2m5I3Ml1prAU0rutjDe3zJrjlpqpBgXVlNJ9st1R1RZaX+L6LZ+V7aazs/7GCYna1xtGaQa+H57l7R0JjDap0KJqKLC8wiOCq1+lK7G9lCb2QsNshGnTxohDrKIy1+I6DsZC8v8J3XabTOxaLOdqxe9FWXkhx0ev1UMrl6uaGm7upjIuNJXA8xkcTjs5OWK5X3ExvRTOhVYu7dnC0Iy4TR7HONtT1Dl6lCYNgn+/R1DVNWYod1xi6ccTBwQjHc0T/YC3dKKZIMu6WS+IwAms+PJtaEYQB2yJnuV6TFbU4JuoKLwz2dlPXD4nieL8fF5tvw3KVcPH+knfvL7idTknyTEKRtNvG/CqsUYCs7aw1+2dx92xKZdAS/AwonBYW1r5zKDw/Iop7WByBhAGe9tE42EYuRK3alsY2KKuxRvP+4oY3b9/zk9pIlr3v4VkJlymrhkC7fP7ZM9EipCmL5YKqaaiMTD/QwtUYH0x48vQTur0ugkov95fgrhD42HXgOA5xHHN0dMSzZ8/47NNn/Pm//Td89dXX1JXkIRgD2+2GxWK2n75haGmoFltLJoJVNVme8+bda65urzi/d4rjC60SLHmeURQ5YXgkXXYc8b/+3/5vCIOYN2/e8rd//Vf88O2v98mwe8GatRRVuc+r2VFJRUGp8X2BHWktrBHXccAatpsNy+WS62sJvBsOh0KHbb//JEm4urpqY6nVnga6c8gYIwXbYDDk9PS0jT43TCZj+r0u2Ia6lvjzyWQij0critxZnXe6gV6vhzWF6Nc8j6YqyepKJpV+QK87II57Epfe8g2aoqbb6e4v9qIsSPOMsq72IL2jo6M9XMlaI3ejatfaQUC6TcT1E4hLJNvdlxbKssD1PcLAxx2PGA0HDAYDFmHAfDZl0O8yHEzYphWz2RzPD3n22WcY/QlJkjCdTrm8eMft7Q2N+S1rBv7Vn/4pZ2f3+cUvfpfz8wd4XtBSmWoODo94/uIF17c3LJYL0jTdM7KrsmxFYq3DQEvmtKs1d7M73r+/JHj0EGNsO+p3JF1PK5TSoh5Nsn206mazodfv47kOHgrjuBgsdVly8eYdWSEBNsPhgHybivBFCuV2ZyciKKXk9yqrCs8XwUVRylhzejfdX355kRPFgjJtTENeFPihj1USLOG5Ho4r3bUuCtJNSl3W9HqSXlaUkukuQJXefoIgl2LWFlQizjFGPOq+76G0gFS0IyI74aposjyTYkOB77sojXTnCrEYWSufRy3qYseNSNOEsmrodCIc1yPPclarNWEU02DaF1C3q5DdYfRjL/T+oELJTt/z8NvPzdEOfuARxoFMJ0wNVavKb7u5HX5aCiuPg8lEfLFlJfkC1lAWBSjQrkeDpm4aHBTaiOBm1I0EHZoVGAtFJdOptGpIspymrsQqqBTj4ZDR4BCaimSzZrWcf7jAmoaiErZ6WdVtwVChAcfzyDO5jALf349Dfc+XQ7ztQMumlnVUWmItBGGEozSeF6AdV9YIUYeo05MAHD8AzyHuxNAIttnz5Jm+m83YrjcMRl0Asjwn1FoIk0qR5RnvLy8lha8sqbKcpqjY5luyphDnhzGtJbUh9AKGwxHKGomSdsAJHcqqxpRyKHY6MZ7rspqXaGh3wtDvd9GOEtZDu9IKPI/A82nqWlwH/R5uGFBjKJtKDq+mYrlZkSYZRWUo64q42yXsxGRFztu371Cuz8NHjxj0PTabLUVZcHs75eL9LbfTOxHemkY0PkrLz6NdlTWNgdqg2nCf3XMlnfe+KmiFevIsoyQUBiuuldpYlqs1b99dcHZ2X9jycZfQDUiLGltLQaGUQlkNDa2TB57/8IIrR3NSVtxcTwWkE0UoDevlmtU6pdPpcnJ6QpImNIVoWpRSkm1wJF2+1nrPdMgiEdQGgWicdvt4KQzkHdy5X4Iw4NGjhxT5P0EpzXy+ED2RUpRFxU0cc319TZbKs2swLc5UPh/TGOq6kkvi/XvK3/mJiEB9nzAMqKoSY0XweHV7w8HRIc8+/ZQwjDm5d49+t0vguvzw/AdWy6WsEKuSujFUe5eOFNBaa+F21KJqD8OAk+MTJpMJjWmwdcVqvSIIfDbbLQeTCaenJ6RFtr/8j46O9rqIfr/PvXuS3un7PmmaCnBnPicIQz799FOU0uRFLg1FWVCXBXkuo3rP83j48OE+tlv0bhJ6dnx8LGvsKtu7GCTwSLQvVa0YjQ7AOigcFK5MUwxS9CsRh04mE1BWnBgoRqMRDx8+pNvt8uL5C+qm2utsmqYRiqbr0uv1BJtvLMnVNWmWCkZZ7SK5xaa+0zOMxyOUEsv02fl9srzh7//ha+Y3K84fPmR4PCIrC9Iy52654PW7d+TFb3lNMJnc4yc/+V1GoxHWOlSlxIUarTg8OWZ0OGE6nfJ3f/d3vHjxQih8jdhSUGDb/5i2OqqMIclyrq5uePjgEWEQUdv2knO91mLRML255mY6Ja8Er9g0DffaIPDA9/F6MXleUK+WMi4Djg8neComCj2OT44o8oLlasN6syHNC+I43gtYTCP51h93rXkrnttutxR1SWfQxboaoxS1UuSVwZQFWZpKYqL9UIlfXl2hgLDzgKKupWNF4VgN1mlXLKlAmrR0PZt2CuHqFhFcl2RZynA4oNfrUAN1VVM5GsoabSrqqgSlqWtLWYm9JK+lGKjbPeHHWQFRGBEA0/mc73/4niiKOO+ek6cpURTunR5ycTdUNbjuzovttlYo4aJTi0vEdV2skinMeDSiKHKmdzPJi/C8/ff38aphvV5jrZXDJYjks0exTTasNsIAqJrNngZ20kYDd3s9GiOWQ+06lEVJZWQkbLRGOR4Yy2q5IktTCR2KRwQqpBcF9EIX05SUTYGhomgMVdO0E39NYw2h7+N6LpgK33Xw/IDGtNMS18cLYnzfJep2qaqCu7sZ602K7wd7DLJRDkUNnqMobI2pMmLjoLVPXqQoVxF6ErrVGfRJskxWaFrTWAWOR6fXB6VYbzfM5nOWqw1v371uBU8eZSnJma/eviXNS84fnHN8dITjSAZG7VV0whAv8MnrqiXiCV9duYYoCohjudzLKqNqcmpKfNcj7oZstluyQt4Tq6yENGnpnnvjEZPjY+J+D6vEbYCShLVNnpKVuYgjfYfesIcXhnSrkntn56SVoTaa2WrL5eUls9mM6fSObZbvuxezc6+gsMpSm6Zl8YOj5K9KOeLxbwN9duY+ZWwbACarSnEKyMFtcVnOZ1RFznq1oK4Kzs7u0Y1DRv0+eZq0hbqcU45WbSqn8EDWsxVvkxWP5wv+X/+P/zejw0M++53fodPvczudcXs3xSK59VEcyp9BKXr9HkcnJxwciEg62WypipKVtySKewyTlG6vR7fT2ceHe357AaBxcKAyOEbWHmcPH5CXJV99+RWb9RrTGALfw/Pv0xjD1dUlVWstsxhwPziCmrJmO9vw4pvnXH7xM2mqAp+IDlXT0Ov3ydr16CdPPhE3TtuVf/47X5Bst6w2G4GXNRVlLbZbWmhUXRnyXMtUBXn3Hcclinp0exG9fizrxKpkm6wIQo/G+FR1QZJuqJsP+3zXdVubrGljxzWr1YZOR9ayKENZZeTVmuVmSlWJoF0pR5xBpWQLXF5e4jgOn332WTshsEynty2p80MqqqNcwsCn1+sSxx0AyXBoRL69XiypywpPO3ihiwpC6kr0ZEo7dLu99qKXxixoJ6eduEMUBGRZg1Lt55SXrKrlHm+/3iSskwTlaRrV4HmWuHXGaO21rgeXsq5wXYfhZMx8Oecffv0VVWm5md2wWaf8+7/892hfAupWqxVpmuM4Po7zW54MnJ6dcXVzy9XN7T7OMWwPHIvsPK6urvZ89F061+4b/sDTtihEC5DlOVfX10zv7jg8PCCvMlzPpdPt4Qc+y8WSF69esVgu913PbtSzEzGNDyYY7N4CZoxhs17jaiUWpriDVi7zuSQQGvshqvNjh0Jd12xbscVuTJfnOUo7uK7PLlAINOvNlqYQMiBolBI3QFmWzBdL2UVtE1brTbsHi1BaU1Yly9WK7XaL53n0406rtxD1vXY1w/GQ1WJBVVcorVpxJKzXK7Iik+pYa5I02e9EV5uExlhwXbGktOSzvCi5ubnh5uaGhw8eMBgOSbKUbZJwcnraFlwV1gbiPXddHO2KFsTUGOPuKWp6Nz0wIhTdpgl1OzJXWmMGA9Y7sZcSHUnV5n7v9mQfCxMVEHo+k8mE0XjM2zdveH91LTZKRAS4e26CICAMAxZLEdhFcadVOMsqJvDF0tnUkKVbnDCkrkrKPMP1PXzXYdgfYJqSpNiySZO9/appapqmxtQGr+3OlOPgOB5BFLPZbmmqCtfzsUBelKAsRSmCRhnzepRVLeM+7Yi9SynyqqQwDW7o03H61LkwB4Jea2caDMjTjDiSDILVUjrG8XhM1dQkadLCnQq63W6LGK72u9qqrJjd3VGVJdv1ts2ej/E9f/8MX9/ekKZbjo8PBbdtDNttIg4XY8iKArQi7Hbo9yU5c3Z5SVmV9McjmrpmuZziuS69TpdOv89oMqHT62KwhHEESgSCvcFAVjVK0en2CeKYqmooyorxwYSJH1MUJa9eveL5i5es12vZ/7ef2c46vHtGrBWtB1YKNq006N3bIicJgGnlBGr3921hsQOOWStY8brOybKUzWbNarWkLHOODw44PT1ukwbzdjom0d6OVoS+j++66DYSWppty93djPLrb9hmOUVV4XoeRVlwfX1NYxq6/R6TyYTJZCITBPUB753nOdpxSLKcvMgYFhl1PSSuYqI6IjJ+68hyWlhas1/dua7L/QfnzOdz3rQXHkZsr8fHh2w2K4p2KqEdLa6Lpi3yG0OaJHz55ZecP7iPH/icnJzs0wGHwyHXV9cMe32OD4+wjcEo0ToNB0M+/fQz5vMZ2+2GN29fte9Og9vGvO/WHaIvqvG9gE4n5vj4iMPDAwk3iiKydMurVy8py6I9gw2LxRyLWCMFBa8YDod7MFCWZdzd3eI4ikHrEuh2Y/Is5euvvxK9Td0Qx13iKMLTDtvNlsV8TlXVMu2rKu6fn7UicIcgiPdaKOU4+GGIVZptmkqD1zQIh8SlE3cYjIYftFSNocxl0llWeasJc0TzE4Qox+HubibhZxZZuypL09R7YBuI66NuLOuNhHWFUYAXeFhl0bu7xXFaiB1oB8nkiAJevnjNl1//mjTJGY8PhD2zTOn3+9y/f8bBwYTj46Mfp1j+NoqBn/zsp0ynU+6mU2bzGXfz2Z7TP5vNePv27V7FCe1Y+aOd8x5vaS16l9KlYLXd8PzVS/KqRGlaQExEEEZMZ3Pmi9V+vBxFEVmW7fdMuyCT3T56t5eSSlJhGlrxirCkh4MhbhDQ6/V+NJIrq5LVYrHvWuP4g6pVKb1XqJsWQJLnolD3XAkLiuIuQeCzaqFLeS5Kfd8XtnYQxlgMSZaKXVKL2O72biriPUQfFXshru9gMISx7M/YjdyMocxzsQoBWSb8/7yo2GxTtOPionA8ERZlmYR3zGZzoijaW1gkhOYBg8FADoz2EBa7kAh8yrKgrg1KGVylETymCJsq05CWBc9fvpTAnCQBpSjzYg95EpCKomnEBvYx/XEnDNJak7guSZ4znc9YLlfkpRwCgevts9eVUntBTlFkFGVBVTdthoNG+z6O1viei2o8Tg6PCD0XU1XkaYJjfNAOgaMxjSVPC+Z3C4z9EGBlTI3d+addQQm7nqyCKmNQrkdZNRSLFVmekucpm80Gaw2PHj/BWsX79+/FLdFikpumbhXehrKqiMKQvCjI8wJ6Ell8cHCAqWo26zVx3CFLE96+fcfxyTGD0ZC40+Ho6Igw7FDVNW/fvuXdu3cfBLRKutemqpnf3VFkmWBQJ5O9Kj0KQ7T+EK6ltEOyTQRPjML3A8aTQ8I4IO52SLMMJ/DF5qkVfhQTdrtkSQqOIzHifoh2PRwtyF0FdPs9upMJV9c3zBYL/DCkNpayaQiiGLTDOq948eol3377Lev1uhV06dYS5/yoON+HfrWX/G5agDHItMlIW6E+hHNZaz5MFtRHYCGhB7Uah4Y8z7i5ucZaQ3J+n9Vq2VJPaUfF0tc6WhEFQiu07aTNImssXJf1dsu7y2vW260A1Yqcqqwkf95xWW+S9nvX+8IE5GehW6ZFUeRst2u22w39fp/hcEBVxfvzaRcJvntPHUeAVw8e3Gd2NyXL0rZRgcGgx8HBmCTd7qFgptVX7J0bdc3zH57z3/8//3tW6yU/+clPWi7AUkS6ScpPf/ozAj/A1DW1Va0AVzMcDnn27FPevHnN23dv9j+zOBarYNTmrRRFQZ7lHEwOePzoEQeHB/R7fYRVkjCbzfahZrvL1XVdOp2eZIpoLWRarRn2hXlSZHfQrkHLPGM47PPJk4doDZtNQpKk7WRAUeYZyvXo97v0es+oW0fYarUkCP29q6Pf7wMwm82oyorlco3X4sfdNkbZdSCKY8YHEzzf3ztrTNPgOUK4Xa5q8jyjLAuJm240y9WaeTUnzzIhX3oegeeCctgmGdfXNyTJlqOjYzrdPo4bEAQhQRjQ2AZjhXaKFZ0K2qGxNZ0owvU9PD/kJz/5GXHc5/3FJa7r0x+OJAgvCNqfdYW1pz9+F34bxcCDBw84Pj5mvdnw5vVrfvWrXzGbzcQfnWV7C9peFaukGNipY/eWQGta180HAdHz169Iy4KHD8/JVileENIfDpnezShbH/oOFrFThHY6HU5PT4miqCUP2o/+nYbclFRlg1ISyOE6Hv3+EKvZ204GgwE70Mdmu93/O3b4TWuFvFeWNZ73wcMLQjXMipKgLAmtYTWbUxQ5ca/HdDplOpvT6/foDvpkpfjVN8kWg8VxHVF61lWrOJaDyg88gZG0rgG0oigLrG3wAg+0pbGGIhcrCkq6i9VmKy6FxhJ3HFarGauVMKmfPn1GGAYk2w2Xl5ecnp7y6NEjQW1uNvsJiVT1Ymd0XQ+Uuy+WcBwapCOq6pq6rkjzjOubG9I0BWs5OTpi2B/gOi6dbocgDEnzXDy//odOdee79dsXK81Slqsl282WumnwWoT0x0lvH9C5laBadU2nJ7t4rUVw6SlwfZfxybEUAknCwlQs6hJVN/TiWOxVmzXppt3LhRFREOJ6liTN8KMQ1w8xCiprqfOcqjE4nsN6m4C1lFXO3d2M7XbNeDLGAK7v0en3pCuSmal83567V197nicFQZ79iLkwHo9ZzOcY0/D06VPSLGW9WfP4kyeMxmPR0WiPLJc42IuLi/274FiBpsTtIbxerXhnTJvgKIS10UiU1Hmecf/8HMf18fwGKHG1Q+gHeJ4LTislU5rBcExQFjQGCltTG0ttLaWx8hxoWZmVRYnTUjhRDigHNwgw2qEwFqdp0J5HLwyZzZf88Pw53333HevNRtYCO/cdH1C8u5/5vgNrIUbKqn22vGptoqbFEtrdX9t11Mcsf9WuDWSlYFFKcL9Vbbi5uWIxu93/c3sqqRVhbWMUeZGBqXC1ojFiXZ0tV5TGkBYlWVlRWyUFqrE4rkfTGNbrDbPZgtV6Tbcbtxf9cO/93p0jTVNQ16Uw9ZOtrMmqwV5DsAtT2xUGMokSJPpg0GM+mwr4x0pc9cHhhNV6xXQqglBrNKrd5+/Or6IoeP3qFUmy5ZtvvuHx48dEbZrl8dExcRQJnEtplGqkGVLSnQ6Hg/1lI5yAPkdHJ5yciCYgCALpfLOMg9GYXrfHZrNhOZeLMUlSyqrc468/Dm3K0hRTy88hzzPKvKATRlRFSbrd0OvEjMZDut1O+58YrS2DXpe6ElF0mkgY1WgwEMFhJNG/1hjSPCdt3Sy7c2+XzJhlssMP2lWN3APNHpxVNTX5ttg7YHZNz04bJ5CoRjDsSta6WZqyWiwp84I4ihhPRriOQ284pqgNy/WGtxeXxPGa4WiE6wfczZY0tmFyOMH1fZJky93V9V7Qfu/sjE7co67l818ul7x89ZIszTg4OpK44xaj7vseZVn9SGz7WykGXr56xWazYbPZ8O7dO95fXsqFsxvnKfWjF9nRGtdxf/TfS7VvcADlaFGx1xW1Fd91WTUox2O12VJU0lGEcQdT7X4IUtE9e/aMo6OjvXXjR2jStnLLG7HzWauIwph+fwAaNskW1dpgdoeFdj7kd+/+nHmey7jRildZKwecXYei0Y4nXPTGslxtWMznIsJqD0WlHYxVzGaL/e61tg1uIBej3QNZpJO3xuD6noiPTI0femgc6kYQlWEUtdCaWgA5dUNZFWIxBJS17YPvsd1KpTyZTIjjLmUpl+l2u20FQ+H+e9+RGHdF2y4Xwg9CwjBorVnCvK7rmrJNhDw9P8P1PN68fk2WpsIwUJpGGYLWOnh9eYnre/T6ffI8byc03t4Z0rSgjW4YURclRWGJgoAg8H/kZNiph3cgI906HWRaI06VsA1lCjyP7WrFdrUi3RiwNU5jydYbPM+jNg2e64tFrxABVNOCn6yCRkm3W9cNeVlR2zYuW4td1HE9Or0eQRjQ7XVZLJd4vk+n2xVEq27tmY5wAVzXFUGstURBSB6EVFW1xzIL1CkkTVI+ffaUf/pP/5h3Fxf7uF9JhBTR5S5LYPe+OY7Q5uq6bmlwtYSTrJbEsSCl4zjk6uqKzUY0KqOD4xYUpvZ71rqqKasc1YLFtNJoNHkm4Vr5LjRIKfKyYpVshQBXloQti+Lvf/UryvbX4LoYpXF9oaA1Vc2biwtevHrJNk0Ecd1+TqL+b9qVl9k/j/KeWfn3adrcDSkgdiW5rAkkx8KaDyl/H399EMHado8vpbxSoo0pijaREmga9oWodRxKW2PyhLxSBK5LXlXUTc3V7ZSsrrDKwQsCjELQ1tr96BySycV6sxF+RXt57mxxO4++pR12YNAagkCU5js//M5xEMcxQejLu9HyIYTpYrBWXF0AURQyHg9Zr5dU1Q6W9sEKuHvvG2NYLpd8//33XLTP29OnT+lEMevVmjCIZNpmhelQY9Ga/TkhQUg9njx5zIMHDzk7OxMxXDvS36xWpJs1r14+5/Lqsr0sJbyt0xvw6NEjeR/brj1NRXhtjSXLMmxjKGpZg8nv2XB6esL5/bM24G7Gt7/+BlOX+H6A43osFyuWi7W8g48fMRj0UYT4rU1d1iZqj6TffSZKKazSBFFHAGGt/mfXhKR5TpJlpGnKyckJnU4HU1ZkbQTzLmsiDEN6/R7aD9huE7abhKKsyDJZI3i+TxzFJEnG7G5BkopzQDs1QRBTNzBbrDg6PiSKu3iuy2aT8ubNJa/fvMFxNHd3KyYHh/ierF3X6zUvX74gzzPeXV4QRDGD4ZDPP/+c4XAoq/HftpvgT//Vv2Kz2bDerCnyoh1DfgjkgA9kQCkGnP2LvXsAQV5EVynQEmCkfeH8162N7+HDh/t/h+u60il6H+KHj46OuH//voSqtLuQfafUvshZkrJdrWkaw2AwYjSeCPIx2ewJYLuRGcB8MWe5WMrL1CYfVnUtwCMjQpidGEYhNkClEJCKJzQszw/RTU1dNwyHo32VvFqt2GwT0BbtioZi14kA7ehWPsMk3TLPM3zXkYvPdbBWRoDWKLmIW0KcRRGEEYPRBBynZWb3xXPeTh0cx2Gz2VBVhVhkTnsM+n1s6+1tTLMnlBkjCu6m/sCU340Yd3s1ay11y3wYTSYcHx1zfv8+m/Wa3o5m50gU8HK1xFrDcDAQu9V8LjqJwYA4jqnLijLPxSvtOERBgLIQBcK+34UcfVzsuZ6D42osYqHcQYF8R3QDvutg65q6lO/f9zW+6+JoGTULV134BWEY4voy7rXawWiFctr1gG4dHK6DBlzXJ/RC4rgVEK7le/N9jzTL8AOfwXCwj2NVSuHVlThe2mTKMi/2O/C6qjB7waGi3+txk8j41FhZp+V5zna75fb2lnfvLhmNxwRB0MYpy/PYNA3aCOSodl2wEu5UVxVl6bDdChXy8ePHfPfdtzx//pyjJCcIAjpRRJ5mzGdz6qpqxasejbUCQWkdD6OjA45P7smvcTTa89rJyFZirxNJAnz58jW9gwPu3b9PXlcUdSVhPibh3cV7vv3uB5atCh0lGgBpDn58zuwO5/Zv9usB1RYFVtt9U2EkXKItBqRY46PG40NHZD/6i907Ymy7bOKjS3L3ZZS4ciwyHdRWU5mapjFss5TKWBwvwBEnH0ppoljwyk3zYbIowVw5q5WcU0mSMBgMGI1GhLG/n765nksUh2LP1ZL5Udf1fvpaliVh6AvwyhH0+nYrhUbdTiV2n2ev12U0GjKdykUaRVFbNtGemfK5eu30ZLVaSWKkUtRFSVM1/PSnP+fk5BTP9dusg2YPkdutUh8+POezzz7j7EzASR8nKy7nc26urpne3tCNY44ODimKnPlisQfIHRwctKFZGW/evMXFEW1Kr0eaZrx9+5a7uzvmqyV1XVEWGVVZ8ODBfRpTkacp89kUx3EZDEYSyFULpjlNtuRZSrcTtzkmobw2jnAAiqLYx38bY8iznE6nuw8pKopi3/lPp9P9XTOZTNBaM1ssWN3NxR3RuhCCIGA8meDHHYy9RrsOfhCwy3WoasP17ZQ3b2Sl3u12ODw8Ioo6OK7P9e2UbbLl7Pw+RV7SuJb1OmW1TmgaecZev7lgOp0zGo4YjYf7z9txHPr9Hsp12z+PxXE0/X7vP3+xf/T1GxcDF+/e7cVLVVnuD2ndWvQ+Btb4rlS3ruu1Xt8P6VumzWFH7d7BVgxVVeR5yaNHj2XEGUfQpsRFfkAUdjiYHPDo0cO2UjQ0tqIqBTLj+hJOFIUxs9mCvG7odvut8rkr+7Ayw48lW7toKlTtUpWlVO9FQej6xEFIluVQiwUtaCtkT0tGPcguEe3RH47wXJftaoPvQmUULg6uL9yBMPRRTp+L9xc0piaOY7TW+5fb9/2WrmawpsE2NcoYwm4HVVlcT0agxlrBXlbShXlOQG2gE4ecP3qIcjSz+YK6qlks52TZtmWYC73Pcz1Ojk+ElqhkBxi5AvJxHAdHCbEMpeUlywvKqiQIQ7kUWjGSjO4NsqVVWEcxOTzg6OgAr51uCBNB4XpDPE8O/TLdQl1iFYSeh6OUdJuNwdEW0xi0ks/Mdz18x0H7PmVVUVuL9jw5BNvRnhEI2/4A1FZ8yYs8pypy6rKQgBDPRRuLsQ3K9SRZzXGJOhFHRwdMDiZYrSlNLSuNuqE2ijQVNobSmiD0hC/va45PDgkCKd6qqsQCgWlDf5TL5HDCeDJkvV5zc3tLbcQKh1IkWS4rCdejbCp2UceVaVDWYuqa95fvubm+Jk9S/uAP/wBfu5ydnnI3nZOlKVXdUBspTpRVNEWO6yuMUeR5RRD4DAY9ykq83ev1hvcXl3z66TN+9tPf5fr2liwrKMpaWP/rNWmSSMfUrjL03qYHWZpyN53y+eefMZqMUK6m2+9wdX3Fy9fPefzwEYfrZUsi1XQ6PU5O75FUOdPZjJubKa9ev+H91RXr9XZ/aH0MCKrrFre9mwa0k8WmabCNkomcAnRbJLTnhm1tf2AF8rWzK8FOMcieGiq6bLHboYRHYHcnT72PO1e6ZfsrqFtGBVoLSdRKSqkF0D6B7+C4ki2irCUMIgLfl9/fgO8FLWG0xlhJ3izLUix8dcnp8SHHR8Lb32ViFFnB61ev0Urz+7//+/zuL37Bar3m66++4t3FBU1ZSeiUUmRpynK5JN1mUqwoLVMWa9FG0+/0UUYRdbp02sTRLPsA+inrQkSKOFhlcbTDZrHm2813XL2/5vnzFzx6/Jj+cEgUxQyGPTqxOLfyMmvjiE84OT1jMGzRt9aQpQnL2R2b9YptmhD4HpPRgDAM2SSaNCvwvABT1VjTUDcll9fvycoEZQxZknHm3ueTp0+JezH/8A9fslgvKU3NfLkU54gteXD/jKODA/KNCMd7cZcHZ+dYY1kul3K27oFxHZQWxkfdVCglF6UIJxNWqw3GGrrd7j6ueWdxFGtqQ13mspJsKlbLOfPFTCzUfLB0V8aIjqktIiwyIVSenI3rNOftm3dkecGTTz9HAdO7O+q5EHeXixmuhvFgxPHRAdss5cWrV2xWGwLPJwojuTe0Tz/uoxqNpwOUcinKjG53wGA8Ft1VEOF5AX7g/6jI/a0UA2Wr8NyhFHX74jof7/esbdOgwv1OZvfXD90leO0udccjaFrVeRhGnJ8/wHU1d3dTGtMQxxHD7mDf8VpjieKQuqnIioTb6S1HR8cMBkPBOzYC6Dm5dya/t+eKgn4rO+nhZATAZrVks93uO97AD0T1HgSkaSZjVaUJg7Adj0EYypinbhqsExDFnRYlWVGVNckmIc8z+oMunidM8jAK6HRj0jTZ7/6qlva2zzxoGhylJZ1PKapSMrlD18UPAoyypHVDlqTcTm8ZDQ6YL5b0y5JHnzxBa7eNDW1wPIeo18EkW2oMYTdiPBgTd7tsNx+AM74fCNvf9XBb0E3Z1JRVRZYLZtn1vH0h4LTI551P3zYKaqgchaMMvbjDoD+QaOe6pCxdfF/22N04JoliHN/j5PiYujGUhewNozhCK73nrIfR7jOSzzXLcwyWcTQhjOL2AmD/+W23W/Jtwnq5It1uMU1FFASEgY8xYlOtUZR1jRsEhJ0OnV4HP45wA5/aGGwtk6VeGFI3iiIvcVs/clmW1KbBdxzSLGGzXe9ZGlmWUVc1nuuyWERsNgM6nbD1KNv9n1W3k5vIDwn8gDKvKMqCIPZo6oblckG63dIddjmcTLhrDKEve8uD8YTjgwMur6+5a5MlQy8k9kIa+0E5XxQ5dV2JNc2TwkdrzevXr7HW8vDhQ8ajMe9vblBNw2AwYNDusB3H4fb2mrdv34rLIwjoxV1815Ps9e9/4LPf+YzeqA/K0h/2ub2+5vLykl5RgoJer8/Tp884OT3l1ft3zGZz3rx7x7uL96RZDuxSCNlPfKQokKmN7wtiezgc4nke8/mc2XTRisLk/UOrVh8gXRKN3buW9l/WglV7m6ycVSIEVlrtxct7UZ0C1IfJ5u7Z4qPCxHF9KX9bC7LjOjieh26JhSIsrqkbcTBJMt4hWmt+9atfsd6sOT455uknT/BcSRb9yU9+h+F4ws3t7b4oX61WXL2/YrUS0XSn0+Hp06f87Gc/Y7lc8u71G5qq3uOchcZqyNKMTtyh0+lydHRIFMW8ffOGLM/pDfr7Z6JpGi4vL0UTVWZ791QnFtrhzvo7n89xXr+mrEqS1sESRj5Pnjzm9OQeYRhydnZGHHdaloJGa4cszXj+w3Nmd1NBfg9HDLodPLed9PoBw9EhnuMynkw4Oj2iaqr9VGQxmzGdT9mkW9zA5/7ZA37xe7/g6OSIJNmgsDR1SZ4lLBYLxsMxDx88xBjDZDLh6OiIIAiYTqdsNhtM3bBciBPt5PSUqqm5ur7GcV26vS6qVeu7rktjJZNkuVwCtJoN0U2NBkOqohDuiZbJW7/fx3Uc+b22W9HMgawTipzNet1OQES02hjDZpuRZClhGNLtdbm5ueHdxQVJKomRtqlwMbz47nvKZMvdYsGLly/RWtPv9/GUg+uHHIzHDIfDNgvG8PDhIy6vrpjNFljlcHR8RKcjLJ0iL/dT6P/S129cDIjqug0ZaUfdtO+R8PKd/Q46CPy9fa/f7++ja3cxmWV7ge6sUsVHtLXT01OMaXh/+Z4oijg4OMCzDsl6Q56kkiDlSnzqZr1ltVrz6NGT1n4WMZvNieMOg8Fg34HvnAye5xHHMdu2CEhTUeK62pERmFZo16E2Dcv1mm63ixcEoDXadfFDmSp4xtIYsaWtVkvWm6VYTUqxJfq+3x5+Sryq7Z/Z1JKXrvkwosNYPC3rEBV42EZigxsjiFrPEd41RpGst7z4/iXGvmKbZhyfHnP/4UNOTk9xXY8mFyX4g8ePSNJEQos8D41mnW5J8xTPcXEDH2MbamuwZbn3eDeY/SHdtJ1MUZV0Wh63tbbFm8potaxrlDJomhYq1b5EvtMKlnZaDst4PGZyfMTBwQHvL69wHYcgCgnb3HCraGFTmrqp2SQJZVVS1hWL1Qo/DOiPRu2eWw7CJBHrXd2imR3HleQ/iwRYBQGTyYQ0Tbl4945NmqBcRwpCBZs0YbFcsm1BSMPRCGMcdtTCIs2YbzYAOCim7SW2Cz1xHIfVYtkiT3vEsfDfh8MBvX6XxXKN73tYY7i7m5IlWw6O5JLYrDc4th1n7yYqwNHBhNv379mulvSGAzphyOFkQpqkOJ5Huk3Ik5waje97aP3BvrvdbtlsNm1mgk8URUynU7788kuur6/pDfqc3D/n5z//OZ9//nk70hZB5/Pn31MWBbc3N9RlRaFEje47Hsk2YT5bEMQRVVGhUXQ7PfIkE3eEhboxDIYjHMfj6uqG589f8vbde5I0w+xyBXaNO4CSZDzP9+h1+pyennJycrLnf1xfX7NZ/wNZvkJraMzueZKJgKM+EDP/l752PyPbijoB/nGTpJQUDvJsS8bGzuHgaBGXeb7fnnM7bLD8x/flHfb9eB/BuzvDTk9Pqeua+XzG+0sJpAmCgE8eP8aamiAIBbndCmVHIwktGo1G/OVf/AVvXr/mr//yr+RSqWo8x+X8/jmO1nuxtjGGxWLBxcV7atMwHA958OghJycn+KHP3/3d33F3N8X3fTotx8BxNGXZ7IWWOwLf+fk5AJvVmouLC5LW/vz07Iz1es3V9XtmszmPHz/hyZMnrNcbXNfj9vaWu7s7RqMhd9Nb/tN//I904ojJaCQri06ERqZsUdjBapfp9S23d1O0pzk8PuD++X1ubq6JghClHKbTGa9evUZph36/z8NH5yLOcwQdfnN9TbJZ4/kBh8cdwiAgjCKCVgtVtWsIpRR5UdBYw2a7IWydCk3TtJoxTa/bl/9fSXO6WW/QWlO0ZMheR1aDxkimQtB+lihYLVekWcJ6vaKqKzzfpa5E3F0WJVVRUuQFVVVJ2mBRYay4Wb777luurq5ojCEKfLQFqyy+q2mqirubGxaLJXWai8OiNlhVtzoIxXazJYwjXM+TkCtjuG2LoOFwQNJmbJRlKZPu3+SO/41+FeyTonYP4f4lNDvbiwi6dkSrKIo4Pz/nF7/4BQ8ePNhfwt9//wP/8T/+3d5ittuzRlHM6elpu8//wMr3PI98taXb6fDwwQNCP8DWDVVZUBQlx8enTMYH1FVD5chLVlVGPvws2/OppboNP+BkP+Lx19aiHNEuOK5EPuZFzsnpKd2+UAM7cYxyJIq1aYzEMiMXV13X2MbsK8kdbrUoSjaZWNAcR4RUSVFIJ+R4+ymLqx1818MLXFBy6GnXIcsKgRM5DqvNmvl8SV03LNYbiqrGXCtevX7DYDTGDyNM27VqLYJDx5VchqqosLXYrrQrY1cJkNlx26UT2u2rtday62ovv11gUV1L/KbC2a+I5AUVl8d2uyWOI5T+YAvcjcy0dnAdd680boxEPVdV1bIM2m6tKDBNTZJs0Y5D0a5xiqqkqZs9hbIoin3ojeM4RH5A5Xq4DgRuS3FzNVG3QxBHvLt8z2q9orh4S9GUnJ3fo9/vsd5uxLYatghVPFlDWBHkKWuhPYC3reOkqgRzKpMel7oW9oDrCX/cdR2Ojw8FAFSVOFpRVjlNXRLGAVGvuy9mXC17Rdd1cbE4WExVUqQp/X4XXyvJAVAwHgywdcPlxSXZdkvpKJSj90jUnQ5mp3AOW5vjbmz68PFjfvrTn3Lv3r19AeE4gizu97q4WvNX/+EvefvmTatKkD1ota24vBCYzWfxZ23YU0BKjlWCPx4fHKC0w8XFJa9evmF6OyfLSkyjhIGhxGoqegHpoPv9PqPRiOOjEw5a1GzTRkm7rrd//kw7QZCpvcb5SLC8u9D/sYj4w1pyd8F/dJjtpArK7v9G7HO7NElwrESrh0G4Z2SoNp640+0waIPCgL1dt9sVPK3YdmuWy4UElnmeJLW+eUMY+IwGfeZzyzYVSFrTNMxmM6y1XF5eUuUlx8dHREHIm5evWC1XgAjURqORaABaIdyuybqbzwhCn812TT/vY5VlvpyzWa05Pj5mNBoyGg05vXfCzc0NX339NZvNZq8rur6+ZjweE/g+Z6enZEVBXYorJ21FaKJjSYjjDvP5og0rkzthuVxwc33N7fSWk6MjFkqRbBOOD8Z4jkxlulbx/vKW169eCe8i2TA6GNEfDuj2OgxHE9Au2vHI8ozb6S2b7Zq6KlHAaDTiaHLIg/OHJJsNt7e3WNvw4MFDaeIwaKWEOVPXnJycYLWisRJSdHB4iHZdWeHVNYoPhYGpK2xTE7RiQ1vXoDVNXVEVOUU7XV7Mjxj0uzTGsFovKIoMpS2uq2UtrAWOFXg+VVHIxDJJuLm9JWsnwiCOjs1mQxRGRFGPftxBW8Ow3yHyPeIo4MmDR7x8+Zrrm2vyNAWtiaMIx5M7J0tTlus10/kd20S4L/2OZPBs1huw7IXjv9ViYFfB7oKC9sE21rQAEAfX04SRj++7HB5N+K//V/+MP/zDP+Tg4AAsTO+mXF5ekiTJ/kCnPXAODiY8ePCAMAwpS1GeZ1kmcBRr+fnPfs7pybGM41rM5fHRMeODA3w/IMsKHMcnCBy2W7GX7HgCu+CPPXWw7Rh2BY52HJTrEHZiRgdjrqY3KM+lPx4SttCLIAgklriu0a5DmubyOTQVShmsMq1aWPaYbgsVKYtS/nnXw1EON9c37YsdyMWLTDZVKy5DSa5DZQyb1YLVaiWKagW90YifjcfcTufiq21qlqsN76+uGY1GmNrQjWLRYBQlpqqpq4qmNnhaMJnKQF1WmLrGQUhnjiuEwTwr911vv9cjimOCKNyjnOs2ydF1XBq7w4/6dDoxjgZT1wgv3ez3tbsD0xjL3d20TXCT2OeqHcNrrSXit7UZqTY/wnE0ZV3hBT5hJMEbu9CS3SHk+76ID40lTbYo1QrhGvGE44g4yw0DemqAtZbZaonfDYl6nda658gFmi+oaoXnhyJuVRJRrF13vzbZ5czvxLGu5xIpcV50uzFKycg+iiP6gz6LxRzQQu5sPsSZ+oFPXdVYGhE/+h5lloMf0AlCbFVB3eAo6HditLXkSYKnNaHrUjYJRWt9WiwWDIfD9nOWz74sSzzP4949Ges+ffqU3/u93yUIAp4/f87t7S2np6cyefN8OmHIH/7+H2ArmYhUpRzARRCz3SQsF0saazk5vcfxvWO8ICCMYnr9PoEf8PDhY9abhG9+/R0X765Ik4K6suKsaS2B2lfEnXjP2x8NRwyHA+IgpmkMd3dzFvO5sP2rSvDETSMFsiOqe20NxuzIAh/U7TKZFIfA7uvDrnSnH9j9D+xhRkrkBWi7mxKIW0HvJoY7S3SrKfB9nz/+oz/k/OFD0jRjsVjQ7/e4f/++qPSbhiTZslyuePXqZVsQNJhCfpa7jlxImi7dXm9f1O44IKenpxR5zsvnz/F8n6aWaGPVotwPDw9xXXd/FqOhN+zhhh6NMlSmYjqfYpWh24txXU2nG9PtxZyfn3N4eMBsPpf3rhVTK9VmyWwT6qqSOF4/YLvZkiUJD88fUNYlf/u3f4PnBlRVLaLljqQPxlGENQ1aWRylWC4W3E2n1EWG7zn4nk9ZNZSluAps22S8e3eBc3PNz372E7rdIUEQMz44YLtdE0YhfuBR5AlpshVrY69P6MsE4fLyiixP8AKfe6f38LXCasHZm3oXu6zIywLHdSj6fTzPJYpC6lYAUtf1njVSlcX+75VWhIFHv9fFxBF5lvL3V5d88/XXmLqmPxxQlmVrQ4SikGnNYj6jSGXaXRYly8WCq6sr5vM5pallqtWuzauqxnV121A7RL7H0WRML47A1Dx88Jhu3GO9WfP+5hrlOOA6POz3CcOQ1XrF+/cXzFcrLHIWduMY33XpxDFxGHL1/j2vX7/+7RYDO7/0ThuwV3kjIJ5d96u1VM6//OXv8Ytf/JxOJ+Zudsf09pbvvv+ev/zLv+D29paiTbJzHUmqGg5HsiKwZq8juLi4YHY35dMHT7h3ctpy+OUtDlwPdxBiDaRZThx1RIeQCMZ2F36xS8KK4pjxZMRmu9lfULtVx85XqrTkE+R5TqfTkeztboc4isnznLLdFYnN67ItVuRA0Foz6PYwxjIKhrieS7Ot90Eko+GQOIhZzuas1is5ZNrDB9UCjhC6X5GXoo5uLG4cCpjIWuJOjLGWe4Ecwr1eX+yS24Tbuzt8DZ1QJjBNUaI9D6dVTntK+Oy2qqkaqaB3wi1Haz4etn7sS935ukEKQnEbyK+pigprGsajPp3Ql2yA1oJkrQCkdiFFFjnIqtoQhFHrTUf2sFpTtBoBx3FwsJKP7ohrIIoiPN9vrWDFh2p+Z5lqn0HXdXEdAYZUVUFZCQ8hjCKiTkzYqr0dVzOaDKXTa9dbu8yInXZDEgQlnyBoR5BN+3vsnC7yWYmrYDSSTrEsc4yt2l2olnjv2tDvd6UwaztfrTRGyb41DMWpkGxX+I5i0OtR5hnz+UxCkvp9unGISTKifoiqDaNOj9I2uO1UIQxDFosFWRsLbVuB6unpKZ988glffPEF48mEpCxZrVZcXFzsC/Jut0vUienEMZ88fsLVxXvevpH0vbN7HVzH5fmr58zuZrx6+ZIkS1FKMxyO6KSZTJqM4f27dzx/+Yqrq5t2v+pikc8q7kQMJsPWJx3T6XaFb2DVXjh3cXHBarXcH6xVI5kV8qhodmEvxtj9M7l7Xvd8E61+9Az/2FHwPy+k2int5TxrLbdapl4aRVM3WL2z4mqGI0kbFDFe86O16XQ65fvvv99T5nbPvHYUncmYbqfTjqgt4/GET55+sp+8VVUl4ura8PrFS168eEGe53s1+3A84vb2dt+crNq9dL/fJ+pGhG3WwE4NPxj0oQ37Wi4XRFFAXVccHh7wy1/+kr/+679mPp/T6XR49uwZjnaY3dxy8e4dt9fXHB4fo1yH2d2Mxjb89Oc/paoaXjx/ief5+H6wt3df39ygMHz67BmT8Zj5bMa7N2+Z3d1A0whNsNNlMDrgYCzFSG/YIywl3KffHzAYjBgOD7DUvH37hizbsl6vqOtCVs+uw2KxQBlFU1uSNGW5XvD9Dz9Q1TWnp6d0u10ODg9Z381ZLZfczu6omppHjx/h+YFkirTCY2s+TPmUsVR53nL85TlJNhuqPKPTlWAuRyvupjf8XbLh3v2zNqROGrsg8MmyVNbARosIuyjwPW8f0Oc4H6aAQSDx867jsp0nqLqhzDPWyyWx51JkKck2IYwjBsMhl3e3bNKE3NR0BgOqqma2mAsLoV2tNk1D2boklFIcTCac3Ttjdjf7je7437gYwBrxxbbqZz7y7jpK0t08xyHwfO7fu8fv/fznhL7H//D/+R/4j//p75hO77ib3rGcryiKCscKPU15Gk+79Lo9lFa8ePWS589/4Fdf/orryytsVXNweMzk4JA8z0jSRIAPjqawhrrduQRRTJIk5FUBVoqBwA+pmkqEiK6ialGQwL6z2yWDNbXs6OezBevVBtfx6MTCzzcW1pttm8wX760bTVWKcKupaErx/fd7fXrDHllRsNluCcKATtTh+OSU0A+4md6Q1yVGyb5UHhItljlrKXPxpVos2nPI8oyr61vKouLR4yf4fiDiKTS9fp9ur4d5/17IfGXF9PaG9WrF6ckxnVBwnVVdgeuhnZb1oJE0OJEf7y1vrnboRDGO6+C1PtaqKMXm1+5ptee16mVFXYhf3XM9CeLRMinSWopExxV6YqfTI80SsA2uVgSeRNLKmkS3aviSoszwfMkq2K1ltHYxjXjhHS26C0c7hGHAcrkURK1jyLKE/mBAtxtTZDlZlZMWBdskw3F9Ot0+ZVFg0SjlUZUNi/mSJNm2liwN2kMpTd1YyroBNK7vE4QBVVNTViVx3MH3PLxWQ7FZLymKjCgI6EQh1jY41tKUFbWByI9Iy4Srq2vhlEcx2iisVWjtym66EzGajHm/WZAlKQfjEYv5nMs3rwnjmMFgyNFwwKDbAeXQ9X3KoqI21V7caYylLBJWS1FpO77HcNBnPBoxnow5u3dKGMewXnM4GWOamixLef3mtcCxHn+C1i79wYBnn31KWZWsV0vuHd+jN+ix3Cy5WyyY3S14+eI1ytV88uwZ0WLJ52nGn/6bP2eR5lxf3ZJmoqR2PR8v8BmNJxwdHzM5OaTX7cl0STs4SrNNt7x5+Zzvv/tO2B52Z2kVtgNIEqNqI7ix7Th/p7NoHQVqRzXlw+rg4+blf/lLfr2IDOW/0VpIntptcb5W8hE830XXmiTZcHFRMV/MKIuS2fyOX//6K5pK3t2rq2scR9gAGEtZVIShz8HBEePJIXEU0ut3efLJk7224PXr13z55Zf0ez0+f/YZhyfH5FXJer1muVkznIx59PgR09kdt3e3NMbw4sULAD7/4nMqapI2K+Xi4h2L2Yxe3JWValXjaYduFNONInzX4fGzRzihw7/+03+9L1qiMGI8nqBQvH79htVixb2zMx7df8BitWSz2PLowWM6cY8szci2CU1RMr+b0e106MQh2ioG3S7PnjzmD3/5Cy7fXzC7u5OV6TbFWMP4YML46BClFdfXV7h1ReBFTEYTDg4PyYuUIs+4u1McHR5hG6E/djs9irRgOV9iGsu903sUZcZiPsd1HPrdHp0opt/v4XkO0+kd62RLEIacnp0zGo/Jy6LFFC8k4llXNFWJrxQOFm0FUFVUJeu7NdO7a3r9Hr7r8fDRQ2wjXio/8LHaCN9ByzO2yBLSNOfs5Jwwjrib3XE7nVJWNcPRmE6/I9Nq32cw6HN+fk5ZlHz991+znM1o6op3lxI777kuRjtMjo4YHYzo3nRYJ2vqCu5mtwIhQgw0uxWaMZab2zvmiyXdbg9rFcfHx3z+O1/8Rlf8b1wM7CEXpgXBttQvhWppg3KIx1HM5599zsMHD3h/ecG//fN/y9fffkdZ1uItL0qa2uBaReC4hF5AFEa4rsO/+bM/4+WbV7y9eEtdlJiqohuEKNfhJ7/4OVVd88P33zOfz6mMkSAPzyXqdGis4H6NNS3coYPreaR5ilEWPwzYJhvSNN27H3bgoV6vj6NdVqtVqzMQdKbremRZxjZJyPOc4XBI1e56izyjaWpcR3P+4BzXdZnP5/R6PZIsZTabsV6vOQqPiMKIOO6ICMV16XS7Mi5sDzKUhGJkWUbWpuBVTcX14paXr16RJhknJ/dwHJ9O3CcIvVZ9vCbLcpKtRFa+ffOG+eyOzz/7lIPJIb7vYuu6jdyVrla12Dej2cNasEi0c6uWDVssJrAfYSr7UV+lVCvqqgjCDnEcCTpT+8RRROC7ZEmC62oGvSEazc3NFWUpNihb1yJgNA1Ke3h+QKfjUzWt1dFxUW3n7wcBySLl6vKaMAio61o62TBi3sxbIVlD01Q4ngbXwQ0D4m6P7WbLZpvgByGdTpcwjFoGQ83sbkHdlDhOG3NrXcllr2S90O11BVaiIC9L0iwDrRgGvhQ/7fi4E8cSWjSdEoU+UehhtUbVhto6jMdjep0e3377LaYtjGhAKwerdnobh6grbos0zzg5OKDb6bCY31EmW9Z1Q8fz8LVDkhc4WFxXbKxyccrKpN/rkA0HDMcTfueLn/D48eO9I0IpiU512wK404kx2y15nvH24i2jwZDRYAhaMTmYcHb/DO0I1fHk9IRPP/uMwfSOyeSAX3/3HW/eveLv/9N/Iqxq/uuq4uXrt+RokqygrIWREXY6nN67x9Gx4JW9MGSXRrhzkFy+v+b58x/YpptWue9A06YUmgZtG3T7f+ojO7JSH/4qF/4HDzy0Frt2mvmf+7KtiFNrmQw6jsL3PVzf2+trRDymCX0fryoJwgDHdTg6Otw7Wt6+eQ1NzcGBqOWfP38BxpImCbUwYomimDCMGAwHnJyecHx8jONIt/v+vQimz+7fZ7Fc8KuvvuSbb77BtpMx7TqsthuJRm41Idv2LCvKSsTPKA4mB9xc3XB3O5UVkPIwTbXX/KRpglUWtxMxbP8cTdVwdnbGaDiEGvLTjE7c5fr6Gt/1+MXPfk6WZ6ySLdv1ll6vz4P7D7h+95672R2+7/O7v/g52lquLt+TblPcExFd37t3irWWm5vbdoWS4oURniP6qoODQ96+fcvf/NXf8O7de37vl7/H0dEhB5MJyXbLJ588ZTIaClkwL0jWW/q9IY7SOI7C8x2+/vpr0q2cuYAgfWlosIwPD9DaZb1ug5DaILqmEYu7qWs2iyWekl3/oNsFrUmzlLIoqGla6FuMqQ39To+H5w9xXc18eScrS9NgsJR1RRhl9AY9irwQKmwQcngY0O/36fS7HB4eiAAR6Ha6EMPB4ZgiT7EmYH43Y7FccnJ8TNE0pEVGd9Dn/vk5WSk4902ypdEu49EIP46oZwbHGAb9Pr1Bn6auSTN5r7WruX//7De643/jYmB3KfxIPKh2A7YPX91ul08++YQwipkvVqzXG/K8oCprmqoWNXpjaIylsTAc9Pji80+Z3k351YsXrLOEyhgcVwKCjNLkTU1/MiHuxCjf46svv+Ti/XuCMGAyHO5tOdZKVLA2uv3nRdCoXdn9rheLPalrhzl1XZdupwMo5vM5wJ6z3TQNi+WaNE0lAMb3yVIZBVlj9wLHbnu5x3Hc2rRuSdN0H7ShlGK1WlG1aN5utyuUxFwiN9d5KqNIq9huJdFwsVxyO5+SFzmeJ11Fv9+n2+3S63XRWpj9r1+/5uXLl1xcXLBeLjk7u8fxyQlhKF3qYDjAC/y9wnbnFpAPQUbsdSN+Wa01yn4IidkJIX/UZbX+/rqq6MTxPudAbEiSHxFHgj/O85KCCmOs2Gm63ZbGVWOsrEgcrdouz+I5LoN+D60cCYlCIERbRyyKTSQ/A087aAudMGLQ61OV8hnVVc1isaDX6TIcDvcMjB1RcpeRsGPiq1bU5minFeSUVK29Ea2pGmGE0yrOPddr9/EF27xod/8e9+/fI0u2TKe3RGEoqzOrcUPZjQsiW0A0jZHV2C74yXHbS06DcTXrLOX1u7espzOaquDo8EhyGqzYloxrqByXpmrAcbBa2oIoDhkfdHn4+Cmj8Zjf+Z0v9uLNm5sblJKs+eVmQ1VXe7EsjsRiv3//vi3sYTyZyOrINhIf7vl88uwpXhgKttVzUcqhMYaiKPdF4k7UiQI/CDg8OuL84UO63Q5eEGB3+6N2zTSfz3n+/AcWy+VeV/AjWp6xe4DZRw7A3aO7/3W7FcH/3Nf/5Mz6R1/yfMvFIsWI+iir40OegNaaKAjQWUoYBHhB0AoFG5bLJYcHY2gaPM/nbjqXznq1asWTLlVdMZ3ecns7xnEg7oSkqYgmd4r8fr/PbDbju19/y1dffsnd3R3Hx8fcv3+fqqr49tvvGI1H9PsDjLFEUcywxe46rsb3PMbDEdvVmrOzM9I0Iy22gGWdJlxOb8jrgv6gz/jwgG2SooB0u2U+m+MoTbpJ0Gg6nRitFW/fvcVxNFEc8/riHbfzGd1+j0+fPiOOY6JERKqD/gDT1DR1w/T2FmjQWqYSV1c3eG6Ao12SJGV4YPeT2R3t74cffuCHF8+5nd7yx3/8Tzg7u8dwNCRJNnTjiDiOiKMOw/6QMiupyoqyLHj6yTNZNRUFjvZYzFc0psbQ4Diu4LZdn8Vszs31Ddv25zcej8V2XFaiBWsatIaydXIlWYpWisANiMKYZJPw8uUrHtx/wLNnnzEc9inrksYKTbexBj+MW7G0i9IOk8NDLAqvtQmHoaxw9nyXFoX89NkzTk9PqcqS6c2UN69f47TnzzpJ6PX7DMdjousOVimiXo/xwRGPHj3CDwK+++470jRlMhnx5Mkjut3OfhV4ffOeXj/6z13t+6/ffE3w0ddOtAOg+PFLFsfC4S7KkqvbW9bbhKKoJDmrkbQnrCBEXaWIfY9steD23Vt0VdIPAgr5pWAUrh9wfHqP7mCA4zgMxxMmR8d8/e13DFtS13K5lIfBceh2u9RlQ1lVeKHfduEV87s7lrM5pk26s9bioPDa0fh8udrvo+M4Jooi1us167VYbLqdLp7jkiQJWbsn3QmBNpvNXmC5czEcHR0xHo/3FsYsS0Ws1+JId66GHclRRGQh7y9veP7ipWQXWIO10u3uXBpgSNOEJEl4/fo133333T4gKopjzs7O9lGdpmno93rEnQ5lW8zleb63JjlKo2qoW9GV1gIhUa16vmrhNTt0rgisNFVTUuQ5w6NjhgMR0mRFu6s2Zs+EyLOUzWorawVt94dsaRps3QZwWMQ7bZu9qMtxZF9rrawj+r0eVRCKqGu33tFaKI++z7oU1jhKUeQF/XYf3R/0ETOAZt4KpnZVueu67e0iwJodmlZ7Gi8IKOuSvChA61aV7+A4iu12Q9PaDhVwcnLAaDSg6XXYbkTBa+qGsq6o+cBB3wm+qrLCCVzQAjRRbV6534SEnZhk7TFfLpjd3lDnGf1uj4PxAZ24g7/Z4nmZBCjVhtwUOJ5Ht9fn7PwB5+cP9wAYpZR4rT+6BKM4ZnJ0yDrZkpUFkefiuE5LVJuzXm8IQ5/hoMeg2+OTZ0959/odaZrhumK9dLyEk3v3mC3mNNYw9EOYiUiyyCuqFrs6mow5vXePbq+7j7NWrS7GNIY8y3j58iW3t7eYpsH1nL0bAlpRrZLPSH/kGpDu/8N584/1LR8jd3eF78cTgx85ELSSiGDAcSTJDtVeVOoDLM11XUmELAuYtY1RK/bbETpDPwDTMJvNybKMOI6pqppht0vcG+A4msVizjfffEWWbynKDGstT58+5fRUwmRmsxnv3r3j9vaWLMs4PT3liy++4OjoiOvra+5mc4aDMd1uV1agJzVRFBEGIWBwtUOR59zd3dHtdDg7u89suZQMkTxvo4drXr17g+d5/MHv/wH/7X/z3/Dm5WsWsyXv3rxFK8Wzp0+p6y7Tu1vKquDlqxckacard2/Jq5K42+VuOmUQdQmjkJN7p1R1zXox593FO5kuRj7WNu3Z6PPpp58xnd7x7XffE9zdMZ5M5M8ehozHY46Pj5kv57x79xqlLH/8T/8J3W7M999/y/Pvv+dgcsB4fMDBcEwYRC3hVvPgwQMODw+pqro9i9ekWYqxFb4v0xitJHk1SdM9f8Ox0oQ4SlPWDelmQ5YlFGVJ3QKIev0+ZVOjGsiznM1aiJ7zxZLVes1qvUBpRZ4ULFZL6qaRZsXxqNu1YqfX5fDwWKiqddUGrkk8+HA4pCxLom4HPwxoqpowiqhMzdvXb3h7+Z7BcEhvNMLxfbww5Hg04tEnnzAaT+j3+6KtqSsWiwWbzYo03XJ2dsr9+2cMBn1ev35N0zZ7/6Wv/5/cBLsiYFdp23b393H61K6brJoGpV2qqsEYpBioDaqxeMqh47mcjEfESrO8vCSyljCOqZQis5YKRVkbut0+jx49wfN8UZIv19zcTLm6vGK7XTNsVZ1N0wgXwPNoaktR17hlyeHBhNVyzuXFBXVVidBQCZtbWSFvuVrvu3SgFYKVgvItylahKR7vNEmE7d566tM05ebmhidPnhDHMdPplOFwyHg83sfOJkmyVzPr9qKdz+fMW0Tv8ekpYRhxdXXDzfSOspaQkD1hBY1WDlmWMRj094XADz/8wN3dHU3T0Ol0OD+/z9mZwJbqsqSuKuqqwqoPY9MdD9xaK+sAx8cYK6On9mdalSWNcfYpZLsDWux6HlVdEwQBo9FIyFnzBVZZojjCWvYitrquWa6WmNoQRT6NEaqX6zhYI922uM0VxgogKAx8lNXg+R/+zM6OcdCwWq2Y3d3tLZx1XVMWGVEs4qmiKtsDXwkZsOXBL5fLvdo7iiI8z8dxoGkq9jZ0pYTL4ArNrWoasAbPGhylKKtir7J3UC1/wZF1SeCj6NPUDXVZY/IC27pvoiii0+kIV6OWzqExhjD0cTyPMAzQjuTGr25vwffxfJ/1asF3L58zWyzFTua6GBRRHHMSxbx8/44wCLl//oCjk3soxyUIIw4PD2haN84uNne9XtM0Df1+n+OTEyrbEMcdok7MZr1mtVizXS2ppgXXNy7Dfp/DyYj+YECWFyRZys10ysHhEZ+NhqRZymg05tHbd5gffhAOe1GC0vQHA+6fnzMcj2Ts38KCdDvnt8Ywm93tATjOR6K/jy9wTQsQMgaF2jtYFB8mAv/462MU8Y+mYLsCoP1n9th0XOADE0O30cG0v9ZxHJ4+fcovfvELhn/91+iLC87Ozjg7P2cwGHBxccHV1RVR6DMaToQN4gZ88skn3Nzc8ujxYwbjMWma8PbdG6q6ZDq9Bex+5XVycsLjx485Pz8nDEMu3r7dX5DD4XDPZGkaQ1kKIdRaS78vQWuL+RJsTbfTQRnLix+ek263PHv2KZ3+gNgPmCdbqqKg3+0QDUe4nsvx0TG//N1f8oe//ANefPeCf/j7v2c8HvGzn/2UOI4IAo8vv/yS9+8vxdu/TShNQ1nXDPsDhvfuY63l9uaWr776kqadQvW6XTbrLcbWhGGEaazwQOpmL/TePZcPHjzg4OCAo6Mj3l684XZ6y93slq+++hXHx4cslwtCP2LGnOVixXvvAt8N8FyPw4MDut0OnU6/dbpVxHGXzWbFcnVHU9XkZHTiHv02RA0FVSUaBBp5BvI0ZbtZy+rXGIwSTVkcRZg0I09zTGN5+OARDx8+oTKG27s7Vqs5ru+Jy6nVJmjHo6xz6qqmNxwwmRxwdHgsd8V2w3q14uDggG63SxiGzGYzkjxrz6aau+WC5WrFYr3CWOiNxjh+wGA84dnnX9DtdxmNxrLGVZLXcXLvlMnBAe/evWE2mzMeL8Qh1Ony9Omz/4Jm5v+PYmAX6/ux8lWsZR+EN7tiYCdo6nS7uJ5YY1QDNBangdj3eHr+kC8eP6LfjcDW3N7dslhvWZcFWikKNJ5VTA6PmIwm/PDt9+LVffuOP/+zf8O3X/8ax3eIwpDj42OCINhbBXcQHWMMnusKErRuiKOI0BckL20scSeUCcBms8FxnX0WfJbJD6jbkf10medstluKosB1HGECINzvHdQjTVPm8zn37t3bj8B29Ke6Tftbr9fc3sr+zPd9YVn7Ia9fv+Grr77h9vZOulmlsUYutTiO94K+IPDJc5lg7KYhcRzz6NEjPn32VFjfWU5TlZimYr6Yy6H2URzq7kAMw5DQDajrhrIScI8FKlO3mgl3X9ztraTtnyeOIrTjsFgu24LD4pQO22SLNSIs3I1Zm6ZhmyQ0TUkYRCjl4nrerv2jbkSI43oO3bhDUxtSY2jqWjzpQSDg2KKkqUQEuhuzSaBUTbffBUdIg3XdYDyx1zmt82AX/iLPqSYIQiElFgVZVtDU4Lk+fhiiHQfTrkl2F9kuFKZpkxV73R6j0ZAoEkSz6zoS/5xXuNpHOS6V/WBh7bdhTXkuIkbf83CNAHH80AdlGfcGXCmHJM/RnovfjSmahndXl/hBQLffxw8j+mGIH4m7QTsufhgJzKuq6fX7rNbrvaZhFxC1bSlpYRS0rgrZXR+dHDObzZnfLSiqEt1aI1+/WTC9vaETdcgLCSyqm5oXr17iOI4EKK22hLe3rQe9wFrwfI/DoyMGo6GsV3YdNjuhqqyHVu07t/vvTGP2IU/7L2sxdbOfwjmOMOZdz9u/7/+YMVAUxZ49//F64GOnwI8yVHD2xYDjaFzvAxbZcRzOz8/5F//iX3D//n3MyxdoRxgcRVHsC8zBYECaJgSux2A4oNvt4/vikDo5PWU6m/Hq1UuSdEu/3211KpayrPjmm2/45ptviOOYg8kBm/UaYyyDwQDP81gsFmy323b6B3leopTTnrmKui7JsqQFfxl6nQ5HBwd8N73j+fffMxiOJYvD9ajzkvViyem9U87O79PvdsmzDM/xODg44OTkhCxL2WxWSNRzThzHnJ/fJ81ynCBgk6WgFZ9+9hn/1R/8E4oi55vvvuVv/uZvBZB1eMjRwQE3tzes1yt+/rNfYE3DmzfviKKY3/3d3yXoxmyThBcvXtA0Daenp5yf3+d3fvI51zdXvH33hvV6xeXlJUHgMxwOCIOI+XxJmRUtPl2RbLf7i3Vns8zznPV61YoKXaIoJiMDw34a2iBFelak1G3ioLIQhxFBFLZas4w8z7l3fMLN3R11WXF67wyrFMvVhvV2KxqBTsyk12MwHlG1zJwd2KjbEw2dRbguu2Zs5zDbbDYsFgvSLEM7mmSb8OLVSy7fX8oEptPFKMiLgvHBAZ1hn812S2MNtFO2xhoRy48DyrLkm+mM7TajKBqscXCdgN+wFvjNi4Fer7e/FOq63r9stoXuuK5Lr9vnyeOnhH7ExdtLvvqHr1neLWiKStChjUErl/un9/j86VPOjw9RTUmRbxkPemRVzjLfohxX9sLaZTQckG633FrLYrHgz/71v+bLf/gVm+0W7cL3333HyfExvW4X07S2RGNwHQfX0ayXS/IkYTQYigDNNFKctIhMo2GxWlAUqQjXlAR37IAeQeADlqIqKcqCosghCHCMsy+CDg8PCYKAr776isVywfHJcavIl2Cjuq7IUgkWmc9nKJCxY6+Do13ev7/k66+/5eZ62lLOnPbwEnLXcDjm7Ow+Bwdjmlo0F/1unzgUUcujR4/44osv6Pc6bZKhADSstdRKRIKekS5Lkg93tMCAOJK8BN/3WKyW0oEUjRQFVfUjsWVZllR5gXYcJqPRfn8+GA5asllBkmz3McSBH+J7HlmyoTH1nsGwy68HRVk1rcitIIp7YCxFkZMm4t6QUbrTEh4LqrIQGFCrV9GOJup0iDoRQeDT7ffwAx+jLHXTkOclbkvSq6il43MlkrlsGrK8JM8LwiCS2GhHVlm78bBhF4trZIahhSY3GA7b1EQpkHw/wvMDVs2Kpm7QxsVWxf6z6/f7TKdTkmSL43ntc2XEjaNl3dbpxtw7P6PIUpRWjI4mFHnO5at3ONrB9wL6wxEGxe1sgXV8uoMx2vVRrsvR8QlFWfP27QUOSJdjodcfEHe61EbIkpLwZ9u0TKjKiqqqxaliLUlSMV+u+O777+l2ehwfnZBmOfPlmrcX71mt18ymd1RljVvkshrBgnaIOjG94QDlOtTWoI3B1W2WBRbtKIypW8tYiZXQZ5Q1qMaRIlghRYSVlaLveXS6XQ4mEyYHB/QGXaJOJNqLnQOgnaTVjWF6e8urV6+4ubkhrZMPKzElIjutZA2g0WhNK4hVe1vibg01HA75oz/6Iz7//HOKoiBtmv0k8erykrIouX9+n0+ePOEv/8N/4Ptvv8MPfFzHw1o4PDyi1+uyTbcohVA3/YDz+w84OTnB9X2KvODVq1e8ffOGyUish3m6FQuz69BUFdv1hmSzpdPrE/geVSnrJ6UQhoYW/oIyDZHn8cVnn9EJfFarNdoXPr2jNSjI84zb21uZxjSGX3/5Fd1Oj/FoQhD4bLcrvv/hO1zX49e//hrP9/n8s894/OQxRdWwShKurm7o9rr0hwPG40cc3zvh3/+7f8f3337Lw/P7TA4OuL29BSsUx7Ip8VyXT5894+DwiLKpePnyJa+A6c01x4cHOL7L0WRErxNwdnLI3d2M5VJw1NbCcrkkS4WgGoVOO13IWS6XeyJpXYuOYLtdo4FupyOFmRdQlhVVLY4ka0QQvCtGA8+T/BPP5eD4iDAIuLh4x83VVWunrrDWsN2uWa5XJGkuMKS6YDQec3J6QhBF+GGw1zTlmcSfNy3ATmvxxe+w7lVVMZvdsVjMqTHUTcNmLdHe/X6fMIyIOx2KouLlqzfgeLi+S1nU+B70uj2qupYk4fUa13W4nd5QFmJN36y31HXTnrm/5WJgl+K0y2HvdruyC2/FdGEQ8ZMvfsbnn/2Et28v+R//5E/483/350xvpvvDW7e+8TRNubm+plwvoCmoqoxGW9ZlQVHnNI2L8uWlvLm54l/+yb9sLTMXvH79iqxIqeoS1RiuLq8ocknlK4pCEuGaGsf3UMZjMdtQZDmdNiQI20CbfeIGHnlTkqWpKKdd2R1nWYJqqXpZkQub3vdpbENRl1gNQSjjdaUU3W6XPJcQkG6vK7ak9uLLspTZdEqeZLiex+HkgLjTwY8CkkxerBcv3jG7W6C1h1YycRD+QA1Y+v0+p6f3CAKf+XxGU9aM+gNODo/YRjGffvKUQa9H0wgt6+MdqW5FW3XVoKjav9+laDVYp/XJeh5ZlpCmW5qm3nPvjTF72t5O/FJXFcbUWDRe4DEYDej1e6yWC/IsQzWS8oar8RwNqqbTCYnjLnXdtOsPQ5IVpGna7tQt2IZN68+uioJGa1l31HU74ako8gzX1URRQLffo9vr0R908YM2X6FdaTS2wahWtd9YXO2KJVM5FHVNXm/IW6iN5/uSNa4dkjSX6FcFOG0WQ2s3Aovv+bK7nBxye3tLXUlRMrNrDg4OcAKfRpVgapq82RdU4/F4LxRTgO+7sg/H4CqLtjU4MDk+JC9yfvj1t1RZRlPK7jPwApRy8IOIVZLRWM3PfvFLTs/PKApJGVzsdC9VReB45KUU7A8ePuTw+AjX86iKmu16i2kMoR/iuz55mrNcriiLkul0yna7bRn1M4aDEeiAm9spz1+95W42Y5OkZInEzJYt3Km2BhwXLw7x4ojGsi9IHNpdvZKIbMl3mGKowDY07erQ07IOs238cxiE9Hpd+v0BvV6PXq8nzpVA47jyfCor6wfhAgT0ukNOjs/o94f8wz/8PZdX7yXMjI/cMMaIyAtQemc/NLI6bGOIj46P+ef//J/zR3/0R4RhyHK5ZLPZSveZyvdujSFL0pZd4eK3uNuo05HJWuDiRwGj4YAnbWTvwcGBiNeCAO27BGHI0dERr75/zruXrzmYTOj1Y6LAw3WEEtmUJelmK1qnfre178rl57o+URAz7A44PDhg1O9y7+iAx+f3WK7WXC9EM9A0spJ48skTPMehyRPy1ZJtkmLHNY8ePODk5JT19pSLiwsRNA56EuGbJeKA6A2pGsWgO+Kb737Nn/35v2EyHhFHEdPbW5IkYT5fEIURVVnT7/Y5mBwwn8+JoojJeITvySSm3+lyPDmgTDNefv8djqs5P79HmiYsFkvRnmiX5XLF+6v3oAXZHMUhvu8BCmVF59Hv93BdhyxLyfKUpimoCxH8akSjspjPKcqS/mjMcrXmdnpLWdcSu+x5GCyFsTRKURuJtErThJcvn9MfDlDKMpve0FjwfJ9uFKBUSFUUfPmrL3EDn+PTE05PTonbibC1yNTLCvTKGtuufy1VUfDmzWsW8xnK0URxh24c8/lnn9E00oBUZc18vuR2esv11TWDkayMAj8k2aRst/9f1v6sybLsPNPEnrXn4cyDjzFmRk4AigRAVpGmpsQbtdr056Tfo25VieqqAgFWoVgAEonMjIiMyeczD3veey1dfPucSLYuRFnDzcIikYjwdD9+9lrf8L7Pu6XMC5bLBfP5jPv7O5SxWa2Wsrb8ke7lz1oMrNfrtoP02hwA4UA7bQbBo0ePefzkCV//8Y/8L//L/5M//PFr8iL/CCey2j1s3fD2w3turt7T810mwy69foyxFDkarSywbGqtqXLxit/e3hytMbKeaH3+jSBwZ7MZ5+fnx5H8Ifq4bAFCB3SnNo3sWixPbH2WYtOyqJUScEjRBlL0uh1sW/b0B+XrISDEGEPajn8dxxHrTytOm06nxFGEbVlkacp2s8ZWSih9to3ji5gqTTOurm/4/rtXLBe7dmLeVintXtRSYDs2Z2enDAZ98jwTm5OlaFrOw2g0YDqdYIwhy5LjGqA+ds8fk+Lquj7qPg4K+yxzUO3UZrVcsUt2KNfBbr/fLMuOk5/T01Nsy2K/24vlW8uBaLeTBduRzg7VJtG1KwxrbR0zK2xboxtDlhXc39+jtabb7WLbNmmaAh93xweR5QGbGngeXssh7/X79AZ9PN+TX+0KBCOKdqNFz6Icha4ECWsZjRP44NhCt6tr6dq7XXr9PmmaojHt5c8haB4LRa0FbKRc6HW69Lo9Hu7uydtp0Wq1ErhVGB4fvh+jr+NY8jKWq5VMatp8DVM34ndu48DDMODR40fM7u745us/kicppqyxbZeorggnY9wo4KvPPuOv/+ZvsBznKDw7ZHE4SuEF8mjneX4MVdJpCu2zcJjyHYic19fXvH3zlqZpGI1GGGPYbLbsNnu22z2r9Ybrm1vSPKfR8hrp1gUCMn1q1Eflft3UqEodV4fyZ+T9sttsJGe9aYQYqMDY4nVVFpJUGoaMR2OJ+g0l4Mn1vI8JngC6fU5QNEqhG9UKdR3Ozk7R+mcEocftzQ1FnomTqK0ITGvplRyCj7yRfr/PkydP+Nlf/Bt+8YtfHtc7s9kDTZpQFDkfrq4IWj3NYrEQYfNgwKi96A+C2yRJ2GzWrFcrrq6uGI1GnJ2dSTbKbkdvNBBeRbt63e9FOT8cdmmqGkc5OI5LVVZS0JclRZYThAGO74Pv4/tuy+aPCKMYLwhAWWjdMJ2e4AYR6XbHZrulH3UIHV+4HWXNPt+TFyWzouZhcsJ0PKHRGi/wcT2X559+wg+vX7ParLEci/Vmx2abkmUlvV6X5WrOy++/JU1THNthNBpRNw1v3r5lMZ9zdjKlLEuiKJJCvSwld6WRBuPZs2fYtpJpxeyOupbVS5IkdDp9Aj9is9my3e44v7zg7Oxc8kfaFWmZl2T7nKKQeGdhvpSSOWNkzSjaBNFt7fZ7dknKbD7HAL3hgDCWJlE3Fk1TsdsnLIuC65sbFEYu1Ubj2x5u5GI7LlHcwfUkqKppGnznjqubGz4kGaHlYAaDlpxp0bgay27adFjryHkRd1FGVVY4geDTgyBoJ3Yu5+fnOLbD99+9ZL1eUeQZeeaTpBJ1/s03f8RxbM7OThiPxziOzXA0wFEu0+nJMQ/hEAb4Zy0GDrnYh4vvAPwI2ilBt9/nP/7n/8wfv/mG2cO8hYd8TG77SIvTNKahLGuSdMsm2zFIewRxhOXLuFPZhhpFVooFSg4N6So+qo0lDaqqKr7//nvOzs6OmdqubWHbEhVcVxKsUhYFYRjQ7/ewHQfX99hst+i6Jg5C8qogTTOKojxSvA5dnd9Sow42wsPhcdj7W5bFw8MD3W6Xp48f0enEBIFHlqQ4lmI8Gogdr6lRjo3RkGQps4cFu51MIT4mponCXT4a4ihm0OuRpQlNU6MwlGXOw8M988WDBIwoTVWVZG0i1kH0d/gZ6UZTtNa6A33xx7kBSa0xRzuhR6ffBcumLCu5INsDvixLWV2oj4mLgjHNqKtISJQ0MtoHAZAMesyXQWvtE2qZjMpKwiAgiuOju+MgdDsk6R3yAJbLpegvWipkb9BnOBoRxhG1bqiaWsbUbWRsVZQtTEnEiQdsscZguR7Klc/dtBTBqBNTmYbFZkVd6aOdUIiGjsQ3K5u6rjDNYewNRZ6z2W2Q1YfFYrE4esd/bMk85AR0u10816OuRdjpWHIxZ6kcCrpu0E0DxnBxeYnnSkjQ7OGB5XJNYRqyIudkNMZ2XXb7Pb1+n5OTE2zb5tWrV1L8OjK983yfm5sbdsmeh/kMt31fd3rdoy3u/fv3/P73v2e5kNe42+0yGo2oqorlcskPr95yfXtPluXiZ0fhuJLe2dQVVpq2V6tpsyOK4/fbtHhp3a7t0HKhLeZz9tsdygjkRSKF5Xm2W4qg7Shc38PxRIOEJcRK3ar3hZ8phYAEx4KxRDRpWcIG6PY6/PSnP+Xy8pw0lVCrJElkelI3uJ6L3wa9nJ+f8+zZM05PT4XlPx7i+R55If71N29/4LwqcRyXbqeD3TZB4qG/p9ENfhiy2WyOFuM0TXn5/ffc395xe3vLfr8/2pD3+z29UZ8wDFCN4fx0CpVM3ZJkT6hD0GDHztGCNhqOiMLwGLnsui7DYU/cT34gBUEYk6Upd/cPLTclPybxdcOIUb+PbSnWpma/2bLf7qibDX9Sf6QTd/H7QjnttDjy9+/fk+V5+3zmvHv/FsfxGZ9M+ezzF5xfnLFebxj0+oS+L1S/omS5XPD6hx/45BMBK93d3ZHnOefnF3Raiut4PCYIPNIsZb1ZkSQpq9UapWzsdkLT7XQZjyf4vjAqjuJSy5JwtrJmt9uy30smSF23TI02n8S0XfkhN2KfyLNWVOIa8IuMXr9P4Hg4tmjdbMehaQtN23KwUXi2g+N5OJ40I3KXge04PL54BI1mvd6gi0qmmVpcSnUtQnrLFry5rE8dXE8u/Ml4jLEUSZJye3vLer2RmPUwJApDiiLD9xy26xWbzYqqqQGZWj999oTT01PCMGA0GtA0NZ7rE7dn6v39Pa9fv+b1dy//vMWA4whRK47jf6HSreua2XzG6x/ecHd3L/Gvjiu2nXb3bZAUONPuFo0lD7DCoi4qsvWWsK6PoSeu76GB6pgiJuOWuvl4wQFHJO379+/5+uuv+eUvf0kURdRNTZoWZFmGoyyqNhApikI8x8NgjjacuqqwogijpXN2XVdEH8YcKyqp2PSxOAiCAK+t/n3fZ7VasVgsGI/H9LpdgtDDsRxyBGRhbJuaGgtJmCurmsViyWq1QWG3+/MDLKWU/aVSeF7A6ckEz3O5v72hriuquuLrr//Aq1cvsW2b0WjI+/fv2vjRDa7rCuAijo+K/uViwXq1ZjKZMJ1Oj4cLGEnVMuDa0vk37c+nMaKdOHyPlmUJvbGp2k5W4/s+URRR5jlZnuJ5Dk1l0+4YMFoTBD5nZ2fHHPWqqgh8F9/zOTk9PR6chwS2+/t7drtdm7Amjobnz5+LX9+223QwV2BARS5rASM/I9UYqrKmyiVgSGPQKFxLkLhGgXIcsWy2l3TTNNzPZzRa8+HDB+Kw017artgcLQsbC2yF77oyYlaCkq7Lirqs8AIpCA9TjMPBEwQBRYsHPTgzwjDANIayKNEWNBV4thIuelWhWo7DdDrl5OSEuqzYbjY8PMzZ7lMGowl+GLOczfn1YsnJ2emRi3+YBB2YBlEc0e12mc3nKEteOz+QycNpJ+b9+/f87ve/5/r6mjiOmE6nUoI2Dcvlkt1OGCEHUSZIjoNtu7ieLZMrW7QfP1bvH953B/dG0zRoW9gQ++2O66tryrwA07S6G42EkymaxsKmFWQ6VlsEqNbdIZMc3U7PbGVxCEM0SnQHVVW0QkSZHp6eTnjx4jkoKMqCNEnJ8oymrqXo92T91e/3GQ6Hx8lnWRYUpdhwHx7u+fbbP+HOZ9R1RZIkxK2t8GDjfHh4wGmFxJPJhEHLPxlPJiJaawT69XH6pUn2O2wMcRhxdnqCQxuOFAXS0eYSFlTnBZaGfreHFwhS2HZakaNlMRqOCIIIz3V5mM3bRLuYLC+Zz+ZkaXZ8Vk9PT7EUDOKQNE2Zr1Y02nB3f8fX3/yRL/7NV1iuQ9xO684vL9ntdkRxyOXlBY2xuL2d4bq2QIvOTkFZhGFEU1bsd7KWPV2dcXP1gdevX9PpdKiqitvbWwAmTcPZ2Vm7z5Yz/mARV9g4tovjSOfteT6BH2G0Ik1zHNtpCyGfYT9GaWQy4ci6drffUBYFriUwvEPDEgQBcRxjtMKxLJK6Jt9uId2DbREOp9gI7CwKfeJuj2S/QxtDWVU4nggL3XY6adk2eSEOtMDzOZlM8RxX7puilHZO2a1GxYizoT7AwTr4rkOv18NSiqgTs09Sut0VTaN59+49//zP/4yrLBbzOXcPD2g0lmVL4Wu7WLbzL6blSkmjXZYFVSXTmMlkTFkWXF19+PMWA4eH+pBqdxibL1dLrq6v212yxrIl9c+x3LZfkA8D6BYfLKp12bfXBorGoIwlh0WSobIM23Fam4/V6o8/2okEPaqOFqMsy/juu++YTCY8f/4cuw30sG2bKAjZrjdyMfk+druu0E1D3Y7fikJgMCBjWtfzqCvplCWZTvLVsyw7rgbiTkc801qz2WwAjh2teJQ1lhJ0KqZpD7uafbJnvljx7t0HttudjKdqGf2j5HDpdCL6gx6j/oDLy0u6cURZFmRZIruhuxuKIhO7jGOxWMyPJLPZbMZ0OuVnP/sZnuexWCxYrdYSPNROO4qiYDgctjHJBXVR4tounTimPx6igbK9UA7KV0CIXEWKbmpc1yPwu8RRiKWgrgqiOKLMrVZPYNCmQbfWOrEhlpRldbwoDhOBw+vc7/dZLBYy0alrptMpl5eXx/hXZSmyPKeoStmHG7EsGQPnp+d4LYynKSvQmsYYtKUI445c7raFcSyKLGvxxhaW64BlCRuhrvCaGrt1xCgQLoal8BzBEhutaaqa/XYnSv2qEjFby5k4aCAO/PiD2FbeWyFxFLPbbKnLUoBBjhQVq+WSZLfDadcdtm0TRuGRY7HZbPGDkMgPKIuKMsuw2zyCsiyPRYDneTSlXFhY6jjOLtvX68C9+O7bb7m+uWGxWBDHMa7tYds2d3d33NzcsNlseHiYyYWjbCFWKiUHUfvsH1gAhw9lKaqyPPrsrR9NBauypMlLPnwQVK5pmrbIly5eK9CWotGqteCKxuiwztMtgljOEWkkUAcCqgQNSbqhxm5phYd1pue7cia5Eb1eB8uyZYdrwDLWkSmw3++PKYC2q1CWrFnevXvLw8M9aSYBaD/88AMn7STyMPEZ5iPW202b3BkdkwVHoxG6qvnrv/5rTPt+tW1Z/RWl8Ec2q5ymqglDn24bNVwUJbe3d9zc3LJcrHAcl6sPH3A8+V5+9rOfYFsWq8USx3GZnJzhej5ZLjHx0+mUi4tLJtMxm82Gu7s7CbtxbBzbpsx2VEbjBj6Dbo8nT55yen6B43nss4QsTYU3UlVHEqPtWPi+x+eff8bZ5TlFmXN7d4fj+PT7A1b7PdvdToR+nodC8dvf/pbdbsfJyQm+73N1dUVZN1xcXBBFEVmW4rou3W6XPM8ZjcZtdoBYALMsbzklNkVeUrcjd8uyCYMQXcs6pmnkHAnDSNasWqZHByGw5/lH/RRGqI7KdbB8F8fzsGybptbYBgxKLvkgJOx0aOoSYytqZXAsBbaFFwU0FpRNTaWb1iIcoCzVrqMUyhKhtqWk6KmriiRNpYjuiK6kyAuCWNg8vV6/FZ32ubm5YblZsVzMKbJUzjtkamGUTaOh0U3rxhCOgdYSJCeQu7XoIXyP09OTP28xgNGUZS6XpSu7rKurK27v7toKRUvojBKpjtYGbdE+dIZGy36wPviIhazcIkBtGkTpbkC82u2eurHkobRbBrv9I3iJQvj62hjWqxV//OMfCcOQs5MpSinCICCOIpLdDmVk3O/5nkBPykK86lVNU2sUFmEQQYtJPey1et0uCqjKUhS5rU+6yIvjZXYIhOnEMd24A6Zm36o801TiPzWaNEu5f5jz/v0tm/UW3ej2zS6H5mQ65rPPPuXx40vGkzHdMGqZ6QbPtXFshW2B+/O/YLGcEwQhjx4/5tCZ+b7P3d0d680a0wr/wiBgMpkwbEObDqN4y7IYWAOM0eRFSWEk2aunNX4QUJa15NZH8XHstN/vaGoZYwe+RyeWbkRrTVkUWLYczBqD1rXEf5Ylq/WqBdd8ZFVkWU5jwLIkI7zb6TAcDLAti/uHh2MU7GQ8Fv4BhtpolGtDo6hyiQMtioIkSfEcl36nJ2N2kJF0U2P7Pr1+H9f1KOqSUjcy0g0CIidGKxG5lXVFEAT4QYDrSGYARpwgDRW44Lb72UY33Nxcc3d3i1GGIA7xXFFsKwNlXtAEIY6SaOqiLDEtMa7T6ZDsdpRlgYXBiQNZfWnDbrMhCENqLYKnJE9F56EVGEUcxfJ6rtbkWcHZZITt+2IHLEvW6zV+EOA7LlmeM18uqNrYVKUt9sme71+9RFmK0WTC6dkZL168EArmaoMx0Ot2Wfj+kZ55dzsjSXKavKCqGlAaXRlQuh3Qf/w4aFXSfSKCXaC2HUqlKBrNw801L7//jixNj7wAEYLJ5zNK7IWNFhV2o6V5UE3TTsss0cpog9K6TZZU8iZCfjt8PZZti2Cyrtnv9mhkpee1ugNo7buI60QpRaObNv89xVgygVyvV8xmDzx9+oQnlo2XvqQ/GGCMYbfbMZvP6HRiTs9OWa5XFEVxBFx5nieJdfM5v/j5Lzg5OeH29pa0zRBoNiW77RYLRej6LWcjENGmgkYblusNQSEF9GK9QmtNEAZsdzsGgwFZXnB/P8P1Q5q6odGaqNtht0/ZbveEkc8XX/2E0XTKu7dveVjM8X2P19/9iTRNuXz0hJ//4pd88umnVI0mLVNqDJbtUOQ5s/mc/X6P+/QxK2vDu3fvsSyHxWrBarVklyZMpqfEsVhQV6sVGHEM+YFPukz4/uX3LFdLJpMJT548oTY1WZkTxgHaCMVyt9vT1EaQ4XlB08i/3+9S2am3a9nDdHG/36NQdMKo1UTV1HWJ6zkEoQ91jdFKZD/GYr9L+PDhitViSVlVTM9PwXewfQkicyyPvMrkflIWynHo9foEcQAEgCXI4aamTvbUSmy0judSZDlog+O5OI6N63m0b9OW1loek2ctS+ygdSPr0lqnguhvRH+jUHz22QsuL4RqulktWSwX3N3fM1vM2e335EVBoxWzhwfEpFAxGg4wpqGqShHsWxa9biuw7nb+vMWA71l4jsL3bYLQZ75YcnVzTZru0Ros5aCUI1xV7CONjvbSl7PVyMhEGXT7y7at9iFuA0iMgca0pDLJlLYCF2XJ2MiynWPHodrgeYWIk26vr3nV6zHq94nCgMD1kHAcqC2DMTW+79Bo2CY76qrBclxJr2sr0R9PLQLfx/c8kn0C2gja0nVwbYfdXsRYnShsL8yQyPdxLYf9NmF2P2e5XJAkO8IwwPN9lLGwlc3lxQWT6RkvX74m2e/RiK/4y69e8MXnnzOZTgjDEF3UFIWEFnmei+c5xHHAZNwhy06oNViWg7FstIa+sjl/dMl+tz8CoUb9Ib24ewS2HHzvVVUJFto0VKbBQoKcqqomDBSmqqFuiPyA0BWdgWXatQcf8ygOlXa+35OlWwLPbzvqun3Ty0G2Xq9wXZ847mBbrnSDukIZjzDwiMKAqhJwih6NMRjG4xFBGAoa1LZRWqMaEa36WmMazeloQtERn3CeJdBotK5leqTA9T3CbgfbcSj2Qj50XQ/bM0cOQlmWWAZ6UQfXsTFNhe1INkPZVOR5jq9LQb56Dvtsz9XdNbezOxqtsRwb98TG63Sg0TRFRVNUqAZsbEylMbXBdWR1YHuKqipRuiFoRMAXRxHDfp/tbivFiufQNB67qiSvGrFIRQGlLshKETpuNmtcz8eyLfZJwrsP79klCY8fPebJ5RMaY9gme/Eh+z5lXWG5ksHhtqsf03r5qyyn0+lwMh4RRwF5VdLUDd3eDXd3D+3UaUlVFmJJtNv1n9znIh5F4Tk2ltZQ1eA26LIkzXOWywVvXr9ks1q254ESAKQBx8iazFiKRhmMbWhMLeFQdSU7cmMBcmlbRgusyrJk5ajEuWMcGxwLZUnHX1UNxpSAwVFAA7qW1YayLTzPbvfv8vflmRBBZ6MlRruumxaMM+ZT758J3n7g6SfPyPKMNE1xcbFbkuP5+Tm73Y5+v4/WmqurKz58+CBTnk6M67o8urhs43UrPA88RzJd6ryGxnByes7J5JTGaIK4h9/tscskrnw5X4AxPH36lGfPntHrdRmfnDJbzCiqErKUKIjpxt1jpn3c6eH5Irh99OQxSkGnE5EnCd99+z1+ELHZJfz3P/xR1rSeI4JN3+fk7AKN2Cg73TGL+ZIfXr9lv0+O+qP+aMDSXvLQfWDUH/D08RMC16MsMqLAZbvdMJ/Pub295d2HHY5vY3UD/vTD90xHIwJXJlnpPkXXFa7rkTeasqopihLXd7EcWa0enE273Y4kSej3BhColiaq0EYCsqLIxzYhZVGx22Ws11vevf3A27fvGY4HjKdTRpOpNAIYbKdFlDsWfhThhCF+t0+mNU7TEIUeVdm052WNokHrPf3BAAu7nW42aNNgOy5h5OPaDlVesU9S8jIDx8FWBscyNHUlXBcjHiX53BxX4KHv4zkOvV7M9HTC55ZMKl6/fs13333HzfUtRdGAbcnq27XxbIVpLCqtUYB7mFAbQ7/X+/MWA3Ect6jhPnWjub29I02zNlK4rfHVx3/GyAVtHdPGWrFPazni2N23VNh2vOHYEndr2m/K8zyclunstKrkw4epm2N6GciY9MOHD5yfnfLF55+jlJIRkta4rnR7YRhSlOLPTFIJsZHRrD46BY7AHc8TiMVui+3YDLqDI4K0rJd4fsDJyQTXVjS1pJjd392Q7PZkedruwiLc9rDodjvEnQ6242OUAyj2iQSH/PSnP+EXv/jLowiyriryLG1HxmDbroxPbal2fc/DxaIxirr5iHG9vLwUq6QlEw4bERqZujqOk4MgaMVtzb/IJdBas9vtjt2+UqJdEExzLf/e88jz7KjoBY7K6YYaE2lh+Lf6joMrQAoRi0MoTBAGZJnsUfv9HpZls1rNRKfRctY7/T5lXVKZRsSBrZtDAZ7rgS37e98TIFNT1WyTDVVRYKEI4oi4E2C7ilpXNKZCWRrPd1C2cxzhH8SQrudCo8myDNd1ieP4GPRjjCbPc9F8lCVZnhN3OsRxfIy1tlqBk/zssjazXg6LJEkYjMVSZtuujM3rVn3faHzXZzKZsNvLQdfp9egPh/TSlDSVC9hxXYqipGkMyT5hsdqyz8UeaTAkWcZ8PmexXJK2X2uW51SmISlyoc61VDvg2GHZlkXk+JIBoFqyYhspHXcizi/O+Pzzz3jz5h2vXv0gBWyD5KtzEFopGdU3FdvNGse2pCPSmuVqxcP9Hdvtiqaqj/Cff/FhtbkEdSMi0KqmKkuqIpfAolaAhQKltYQdtZMB27al5WjEFWQ7kqvQaNBVg2kadNtAVG3kq+3KWsf3XSxbhLRlVdKUbahP0+AFsmfvdmPOzs/ovXqN73s8fnTJcr3m4eHhmFlyiBI+OTk5Wo2vrq6OAWeuK5enthq0VWPViiAe0u12JYRJC/dgPBrhuYHAZbSMm8eTCV7gMxoOSXYC2vnZz37G06dPqeuah+WMfbqnqRqqssI0kKUZ13c37NMt1q1F3VS4rsPJyYTPv/ics/Nzwm6X+4cZ//yH36GNojcYcHIyYTAckOfijX/06JFktXgBoR/y13/9b5nNZrx79+4ofnVcj7vrGwLb5ez0lMcXl+R5imXLsy578HdcX19RlCVpsme3XaPLgkG3x2Q44mQ6Zr/bIPoRSdS0LFss3CiSfdruxkWfceCfSBFXYrVrrCIvZIGsHKqq5u7ujm//9D37fSqaibNThqORrPCMRhmNZdvY7VRG1rQdHj9+zO9//3vWm5rxqE8QRLiWi0E6eFPJ+9Vq3SgHZ067EMdSImh0XOGNmKZu80g+snoOQnRttKyr2uI8SRLu7u5I0j1xHDFqv95nz54Txx0uzu4py5qw22F6NmU47BP7PkWacteus05OThgOh0cGw7/m419dDLiOi+cF+H7I8u5BxtwaMHYrZHHFu9vG6yplY7Blb6wbOTLay+UwMlFtNaCM7Ga1JR2G04634zCSyzTycTwP3V5AdSsIbKxaKvn2sjnsQ1++fMn56Rl+e3C7rkO302E8HjMajViuNkdFMYGo6nVZHS+3g0PggMxstGY4GjGZTKQI0Zrzi3PCMMS2YLfZsF4tSPc7FArfcYVF3dQt1OSjVa0sK6hqLMfn7OyUn/70K4Io4C//8i959OgC0wr3yvYCthS4rkcUBYDBdiKKDPb7nTwMdavkbprj6mI0HgNyICggCH0sGyxbxlZeu98+ZGBbloVqdRZ1VRMGgVjdlGG9Xh4LpPFozGAwYL02RzfFj1HFxgjv3bIUlRYtx3a7ZTabEccx4/EUY6CpZSd4QOOORmPS9BBgZGT/Fkco16YqNQ1i+ayKUpIVWwGf2wovTSM2In14HxhDYzS90KfTjah1xWa7YZ8mOO0ITzVwd3cnxc+hmKiF4qa1lp/tjyylti0PcZIkR6Hc6ekpZ2dnxGEkozljjnNqIXXm+HaAZSuyPEEpQxSFdLs9LGBf1ZRlzb5JqF2H0Hfx/RDLSqnKGm0yyrJisViyXm9wHBejYbPZSfFqoKga8rIgSZMjSrVJU95dXRHHsbhHbAujFHlVUrWio4PGwW+nX/2wQxiFEj5Ulcf1V7/f4f7+jiDw+Ou//gXT6Zjf/e73zOcLsQW2e3xzeA6bhll2x/zhvt2V0l60heCoabHEWK3oT7BDEhMhMelFnrPbbLBtrxX1OuIZtyWwiEZi1A8XwoFhEvgeuraxMHhOLCurphYst9YUZYHTop79Q/HRvnd105ClKXmWYyu5GMM4wvNcut1YImXbEfX9/R2L1YrNZtu6Reqj7uX8/LzNG9HHnJaTkwlNU6N1je1YVGVNXReMR0Ms2yZLMqqixnZctklCktyTF7JeS7I9cb+D77uEU0lElICnVwyHQ6bTKc96MftcuPpVXpIlGftdgnr7hn/81a8oy4KvvvqCL7/8gl6/D0pxcn7G3/zd/4GHhxkvX77m3YcrVqsVoe8y6PUw2rDfbKmLkiAM0baQAieTCWma8g//8A/89//+32k2DVVRkm620Ggspbg8vyCKY7q9Hvu9BGOFUcjF5SXb3Q6ZHQs/wTYQeR7OcEjg2aw3K1zfwq0tLNtBWT+a2LbOjSRJWupjimfbpGlCVRd0uzGdTsxum/Jwe0dZVPh+wJOnT7CUQxTForng0KhaeLaDfRQGKzzHIQoCuo8eMX944LtXf2K+eCDudPnkk0+I/UComPZH0eyRgtk2O0WRU2QZujZCV9UNtTa4FrSPBJYtxEvLVnJutVj/w7m62WxYLpdConQ8Cr/C97wWzx9hWQ6dQQ83kNVEJwiwej28wGO1WtLr9eh3uyRpSp5lf+ZiwA0IghBjFPPZgiTJ0I0BhBSIkuhZqay6xHGIsmC5mLFar9olAG0xAK1K6KMFy2hsJUmDvusxGAyYTib0ez2cwEMbLWp2ROFtKUXRNAhS/qOfHuDh4YHf//73/Juf/RTPtem0oRC9Xu+IsfU8j7ISohhA1V5qB8vdURXdiGUoimPpFlyXuNelLEp2uy3L5ZwiFc+0Y8t/y3Nd9vsdm81KDhLPlWSsSjywjQbleARhwFdffUkQBUwm4hWVYqcUqJIxeH5Apxsflbeu66Ab8fQ31cfDLPD9Vhwol6pnOdS6pi5KiTy27CP576Pt7RCh6whAJs/R1scJSV1X8qBVFY7jMBmPGA5H1LW4LA6dvwgqY4zShEGAaTTbbMNsNpN8h0rAOUIprNtO3BBHIW6rsN7v22hpywLbOk5HhODnYCkR/Jm6oSwkMdD3PFxb/twhfMlzhRdRVhXKtqmNQRc5eVnSGNk1K8tmu9nw7t27Y7rkwT7qtEXgarU6rlV+nGL343Q8ES8aunFM6Af0e/2WuyB0yqou8fE5wKfyPGM0Gsrqp9HkaUZZVOzzDU1VEUI/W6MAAQAASURBVLgOu/1O7JVBgLJttrsd6/WGxWJJVTWEQYTWhiwvaFDguPhBSF6W1FUpk4p+j16vd+xyRsMRylL88Po1X3/9NUmSMBwOcV1XiJ11TccLGY6GIoy1LZQjvvvReMjp6YT7+zuqqqTX7/Lll5/zpz99x3qzQWnhfhRFRtmI40BswFKYWUfGiIxYnfZ5a7T8s7Jk0qXbgtM0TZuRkcgEpQ16OqCHD8Q4u73Mm/ojW8R0Y9BeO5FoGI5GKMuiQSYCTVli8hxlWbieSxxH9HpdLMtis16z2+0IPZ/p+blcmpZqNVIui8WCaj7Hq0oeZncs11vyrJCvvZEVw2w25/r6+iiKlQtMs9ms+eGH1yTTncDBKuFKTMwAz3F5+/CO1XrL6ckpBnOc4CnXpjENKHlWHEvOxsViwZs3b1BK8eTJE9zQxY1cup1em+4XMhoOUUbz8HDHn775E1gWj58+xfMc5qsFnbqH5dh89ZOf8PTJM/7X//U/8bt//u9s1mvOz85EsAss5nOKohBhW6d3/N5evHjBzc0NN1fX7NYbLs7PCVyP/W7H3cM9o/GQ4XhEXhb88PatiFLXa/ZJwn63YTqecH5yCl9+CaVgwZUjK5tup4tluyKGrCrKoiYIxGGRJEnbWIxJkj1KfySYWsrGcwPWqw1//PpPhGHEv/t3/47nz3uUpZD5tklCnmbUTU13OKA76KOB1XyOazt0olhCp4BBr8/52RlvP7zh6upKoE3P+7iOOp4FB9w2CGtDK0OjJbukKDIa3dpmjUZriciWMxvhjJiGptGte+Jjxk8YhoxGI6IoxrIcse3qsi0YnPa8sqnaCXLgOES+y2jQo6lLNqsF6+X8aKX913z8662FtoPnBTi2S5blgPzgLOWKF9MWG0i/32/FRyEKw3q5aPni+iAfONoSj2lk7eeXcAzZlzitWND3fbzAE5EPtN2XOa4Vfhw88uOL/OWrl/Q6MS9efIJltx7x1i546IiqusHzXBpjjgr3w+c4+NwNhv6gTxiJt7Q/HIiwaLXi7v6W/XaD77l4rovvOkRxKCl8TYWyzNET7Pke/cGAk1NFmpVkZU1dtzHIniMdQ12yXC1IkkQ+n+cdsbVyuGrqukQfbFta7FiW7eKGAlK6vxcAiO97rTBFOjbbFZreIZBFLJsGlEWn0wFj2LVCwKZpaHRNXmQckv1QhsbI13CAqhxRxe00xw0E9rRdb1mvV6xWq3YN0MfzXNJUOt26agjDCMdxyPOcoqio6+Yo7jKAqWrqvMS2FIHr0pQSgmMs2Ys1VU2Nwg1EpJdsdmgMfhTiKUWpG7AsWaGUJSgbxw2OwUWrlQTM1HVNkiQEQSCK3rgj48aWcT8ej1s7pvjfQYrOQ3x0HEU8unzEWcsX0AitEwVhXVHVMsIsqorNds1gOGAwGJJs9+ycDVlRkuwzdF1RteK57WaH2ezoDvp0Ol263QG93oAP76/FXuW4hEGIcVycIGgV93IwnZ2f4To2UcsM6HQ6R1rocr7g7u7umM45HLYJhwjv4O72rkUBg2p34J+8eM6XX33O80+eoRtDGMaMxyPW67XAdrIEP9nyF46NDoK2IC2pEdGspJWK08ByQJlGUNiuTM+6cQcv8CnynCRJRUvSxkqrPMNpGrzKwy0kdMr3PE5GA3q9rnAodjuSJKUT+jx/fIltwevXr6nmM+z7Dq7n4VQVeSaWQz+UxLuiEi/4okVq25bNuNdjOpkwmM/R87lYMft9Op2IZr3CvrtH64bVasHN7T1h0GEwGGOp1ua1WbDdyrTgILrVWi6q+WJGrxPjOTbr1Yq6KvF9m7jT5d27dyxWa2pjJH68EwEGN3BxfRfPd+l3O7huQLIXR0OWZXzzzTfc398TdUMsFzpRh07cxbZstust291ONB22iN9s10EruL69JV6viaIORZJjK5txb0Bgu2xXG5q6JmiJj51IMldcxyVveQNKKcbjMX/7t3/Ldrni7esfCKOIR48eUVaVgJhcm243pNvvcX55QVEW/Onbb1kuF3i2xeXpKePhANvIHWFbFn6ng++HNNqQZBW7XUrdaJTtHMFphzF7VVXM53OSFv4jwugdq+Ua3TRYlpw7lmWRtS6QoigBcbw0RnPZ63Fyesp2vyfZbBj2BvQ6XbI0Y7ff0dQ109GYwHf4/vtXvHn5mtiLOD+/wG05CE1roT4USUoZHMfCtRyqQtZOWjdt9HtzbCps2zoWi/CxwajrmrRd80ZRjO8HYinUB8CXEfaJZUloVVXQNBV5GBC6tjSrYcCb1wuSZC86u/9t5sf/3mLAoPA9+cKyLEey2BWh38HzfTzXJQwDmqbm7ds3NHWN61jC8j9c3gJfklXCYU2ArA78FojiOA62sqibhu1uj1IWUVe6bYuPoqPDOFa1YrbDpXuwjxitub+/58mTR5Is116mVVUd999hEGA5NkWWS4oVHAuCQ96663v/ojM8WL12+93R5xkFPqrt/uoix2hN3YphjDF4vsdkMsHzA7b7hLI2+MrCtk2rZ7CxLI5cbRnjWjRN3VK1Woulat0ZuqEs5IDzglBsLEjWAO3B7jiuFANaH0f3dVPhKlcu97am0o3YUTpxTJnl5Jl4q21HUsBUq5rt9Xo4ji2WNaSgy7P8WFi1bxKaqiFNEubzuUBGzs7akCtFVUvYj+/7TKdTHNemKEqMETDHwY5UVaX8XFHiObZsMpPKBeGC8WRM7NhCPvRsh9T3KNo9nIUETLmuT1k0pFmKFwREcSRIbG2IohivRVgLDU8TBiHe0OPx48dHKqJlWaxWK5arBaZ9nYIgkIjasmKv98fJz3K1pCpL8jRrL33J85D3uiLPMrIsk1WJbgCFbbti1zMK24Zkl7Pbp/QHA8ajCb1+n1qD4/gYY5NmOWVRUhYV2yIn2++patlHXlxe0Bv0pUtwxN6oy5r9ekuapmyWKybjMYPBkCiO5GszUkjHni9ENaOptUzcbNtm9vBAt9djPB7LdMcSm+J4POLi4pKzZI+3nPF/S7bHOGTLldhtrdVRk2Lbwvv/sVZAZwlkycEkfBzbK6Wg4rjykWdd8hRQYH330Q54OEABnH/6R5RS/I+I9RiFiAFbaNm//FCtNki+Zm1k/3ukJZpD9LEEmlmW6Ji+e3pJlufMZnOaesb5WcVgMCaKI6bTE4IgEOS0kkCvoswpi5TNesU8jnFtW5j0yyWe5/Dpiw6Xjx5RNpq7+zuwFINhjygMcVyH/qAnVsCyYDlf8fCwYDgc8uzps5ZIqrFRLGczvrn5PUZLUeB7gWCsPY9er8t+t+PD+/ecX5zS1DV3N3eMBiPmRUW6S7CweXJxyQ8f3rKYzenGHcqiZDqdcnZ6yna3Z73ZtFY9F8/3eP78GerJEx6dnnE/nxEEPkaB73s8eSIwtLzI2azXKMvi9OyUXrfDsBPx1Rdf0IliilzWMo7lUDTALqOsaj7c3IhjYjhiMOpR1gJAO1hAi6LAUYpBNyYIIlbLFWVZcX5+TrfT4fTkjOl0TLJP2G43oKDX7YkmzWhsS3F2esLJ6QlhFGA3kkwa+B5Fnon1t2lwlMXTi8d4lsc//7d/5uHmjpPRBNv3xZ5elccp2MeYbKt9th2U1eA49nGdIOe4al12jWRkOI5MyZCVWpIk7XtatVNV71gEGQOuI49EXctdJu62kjps2mRQKIuch/sHgI/n8/+Pj391MRCGHSbTU3b7BN0YHMdjMBxy+fgZgR+QJDuWiznX1x/Y73cYo3Edu931SVCJhlYvYI4jkeOvdiertcZ2ZFRco9lmCXk7/jQIWx4ajFFYloO2OAq3LPUxThm0ZHjv94T+E0b9Pp5jkyVbPrz/QJ5nooo2NWWRUtYySnGUg+c7pEnKbH7PxcUlTV2SZ2kLeJBRf12WImBstKRdeR7z2T27dI9tC6UNFGlR0R0GKDfifrFuu2W3/RobDjkS+/3+X6w76qrG0AAethMg9kHQ2sIyPkWWUGSaIPRQWGgtIsNO3GexmJMVJWVTs8n2sILBoMuB4uja8mNXjcHGps5LGtej3+0Rtx17kadkSXrcn/c6XdzWx49WNGXDfi/peIQ+TWW1nHgjXX1R0I1C+nGE0lA1FaYWNXgQBXihT1U1ZFVNZQyObQicAGWgQSAmnh+AUmTJXrIVbAk+CaOAwaCDaSqqqqRsSrzIhVLeH91OB8cVlX1a7KmrkjCW8WmaZ1R1w8n4jK8++4p379+SFzmnJ1MeXV4wGYwZDUeEkdAN0zxr+ey57JpDob2N+iM+f/E56+0Kx7HZZwnXLRgqDCP8OATLRlk2DQ2NschLzWab4nsu2liUVUNVa2zbIytqbmdz3rx5w2z2wGleY5TLaQVeGFIZRa0Uy82WxXxJWVZkdYUT+uy2OybTCb7vYxqxX1qOqLBVK0h6f3WNUnYbw/pUxo5FLqhbJLFxv9/z/uoWg6HX77PdJRQ3GYdshclkQhTFrFYrgihkMjmh4IT/u1KsFguiMOTi8oLHz57Q6XTYbLa8fi1Jf48vHzHodwnDgCDwWS6XzBczAt8n9CLSJGW33eLZDr2uRNKudlvmywVpKj7rzWYLliIOA6bTCdPxBMeyefXyFXmacnI2xXLVkaLoug4vX77km2++o0jl2S2OWRdyoX3+xWc8ffqUsizxfZ+vvvoKz/PYpXuyNGFxf8/p6QlnF2dYrs0sz/n07TtmizVvfnjLcr1kcjLh8tG5HM66wdlsmC8WKMvCsS3RthjrmLjpejLpLMuaTqdLGHewXIf72QPa1HR7HbqdDlVRoWtN4AZ0gg537x6Y387oR12CrosbSvCQ49icn54Q+z777V6CatZrwiiiPxry85/9FGMa6jLD1CWjQY/dastyscJWNh/eXzG7m6FrzWq3YD574OW33zE9OeGLr77k5OyURje4niIIoiNPxbYFGhf1Y3pNgbLB9R2ibkiv38UPPLabDbMHWReejqfEjx8TBzbjUR9HOTRljTKKLElZ7BbMFksWqxXr/RY38PHjiKGtMJXcGY8fP5Y7wrbpxTEvnj+nqir+03/8TxRZTi+K8F0H3wqh0aS7Ldv1kigKmTx9TF0bmjJmNJ0w7HeIA5csAUs1bNYbbMuAqTC6RDciRoz8mOlI7Nl5kZOXObEO0XVO07TaLkthlBEGQGXRYKiNhaF12SiDVpasCtoiVdc1ttG4lsIxmqasSXc76qLCUbZYHJWNMQd9gkxpDQrLsbFqg6NsNAptFLVW2A1Ylovj+Gy3e7bb3f+3WPd/bzHw2Rdf8PnnX/Af/uEfqJqGTrfHZ59/ycXjJ+JH/fqe6+tr9vs9h9Zda9mVWFi0ba1cQj8axx+ETB8rH0EYWy0cqG4EUfrjMf7xc1gWdqt811pjlCLqdLi8uGA46JOnSZs1UON6Dlo3bDZr5vM5luPihzZVVR9jXgFGoxHj8fhH1X3IYrFgNmsBLO0OWZLfRIlaVRUWLXTIogUIKdKs3XmVYpOpqrrFan783mk1FIe9ziFq12iN0grH8YjCzkcFqjIYbciKAo2sV4xSrVfa0Ol02O937PZ7/MClqiseZjPx8/s+yoCtZEpjDK3IqqEspODqdrtUVclmvTiq5w9aDNF2mNZ/X7edXPtzbhp0UxN4Hp1Ol8uLS8JA9o771UZ294BWFkUpDHJjhDJZtaNh3/GkoLAsgiDEshSb7ZblYkGW5TieLWKZOMLzXYq0YrPZoJSF54aEUQTKIQhDqkY63LKuKMqSjjGUVcnDwwO6MfTCHhcXl/iBR1kWdLsdAt8jSRL2e7FmNgjFUCYlggjtdrvYyM/u7OSU8XhIXmTc3d3x7t07et0en3zyCVmaUOQZYRiha4Ew5Xkm1LnDZbdacXtzw3q54v7ujtn8gaKUvaCaL1mst7y7uqY76Eka32xOnhW4ni/K725M03590+mUTqcjMdVVzT5NSPOMs7Mz0jzj3Yf3XN/eUDX1kbB5+Bnud3uaRrNarUmylMl02u7twbYd1us1q9Wau7t7mYhUFXG3S1WXeK7L6NPn2OMR7968pUn2nMYd1GjMdp+Q+uKS6D55wsNiht5sGdkDfv/2Dev1ksvLS6Z+TGpZ0O+jvIDS8zHGkJYFe9dlbQyVZRM9fkSWZTzsd/iOw6DXww8jTh2HIs2ompJ3tx+YOA6e69DpdinjDmtg39TkZSUFsQGqClVXlNfXmIGo+gvb4YfVmn6/h3EdorMzukHAbLdjdn3NcDqiNxjyk5/8lG63z5s3b4jjHi8+fXHEv3Y6nVZkuyYIAh5dnNMJBRE7Go0IAp88L8hzybNYr9f0hwNGwwGOK8CjRxcXnEynrBZr9ts96+WaZLtvz9ZWH2EEQb7f71nvVvSHHUb9EUaD79X0un3u7u65v73j5HTCycmUk+lUvOdxjO8EvP3hLbfXV9zcXHFzdUNT1y3QK8e2bW4f7qWwdEUl//y54JqjKDrmwKBhPBzzw9s3MjloRF8ktFZZD0VRRCeOUdqINdWCTqfLZDShzArev3vP67dv+XAzY75ck5Y5luPQGw1YrFaEcYfAD/j0008Zj8fHlUG/2+XTZ8/49ttv8TyXcDI+wuCUJ514VVQog4QR1RUWNk+fPGYwGuFaFrqumN3f8eH9W4m6b2qiOJI4a8dG1/I6i27KpdrvSdKEOA7RTXlk0jjKEdOcEX2KghZFLJkENM1hFC4XeyPWaJmAQlUV7PZ7kiSlKktBfne6MvVVSlIXWyJuo70jVh6goWlBWgASz/748VMeHubstsm/9or/1xcDf/mLX1IUBbd399iez9Pnn/D0k0+wbYer9x+4ur4iz7P2wrao64+jk4N/8vD/ycViHX/JC8TRhnb4pi3LEqZ5I3Y11z101Bw/l604ej1HwyF/+Zc/52//5m8YDvusF3N+ePU91zc35HnKaNA/Cs0O2fRpkn4c90cR4/EY13WPjHytGxaLOVVVYtsOnucSBCGu7RyLkG2bH+84FhaicxB0rqEoahptKKv66FI4vCEO/7tuPhYkckGLl9+2XekyffHgV5W8NlUlSXFhFGGUEqiNApTs3U9PTwFD3IkAmM/uxZpmy6oFI3afpq6x7Y+s7wNrXQRMgvc8FF9ZJtAgz/YoGwHZBEFIFEVit6slYbGq5LUcj0c0dc3D3R11XraKdhvlWC0sKMdpFbS+J1xwtGQL1FqzmM+OABjLssizhHSd0e12iMJAHAHYWJZLWTUEoUun18NxRRi6WW/QRpOXKbtkjxv45KVAXkwDTS7xnuIkkNfVthQOFkWeiyWvnQwURYEXyA7etmzyJEUbQ7fbYbNdc3dzy/39/THJbrNeo4zm/v5OPr+StM4KxWIx5+2bt7x+9Yr3795xd3PL1fU1dS2MheFojGqtSpvtjtliQXfQw3ZsKQRcH2XZeEFI3WjuHu6OOpi0hfm8fvWKxWxOHMd89dVX9Ho9DMJR932/5ffL+zRNU969f8dquSYIQp598gmfvvgULNXuLUMkyTPj7du37HY7ETcqRdNUbNI9VSkugUePLzg9PaOuKn54/Zr5fM5wMODZ06dYlsXV1RW+7zMY9Hj27BlVdS4F0e2NOGZCceAsljv2262sfQCMxrEV49GQ+UKzXMyksEp2VEVOkWdsNmvu7m/ZJVumk4l0/o5Y3f7qr37J9dU9f/rTn9qzRR0726qsWK9WbNZrWREYw363ozQ1Lz79lM8//4KqLHl//YHXr9+gzQ9MJhNOTs44PT3DsT12ux3/+I//iNaas7Oz42vb6/UYjkZ0w+BIwfP9EM8L6HR6fP/tN3zzzTf81V//NZ0wZvEw58P7d7iWYtDpcnl+TjM13AQ3/Oo//WceHhZcXlxyfnFGUWZU6cciU68qfMcnTRJsyyGOxD1VViVFXjB7mJFnKZv1kk7cYTQa8Yuf/xs+efaU87NT3r55JzkgqxW7/Z4gDDg5O+PJ06d4vk+S7Mlzmc5kWX7EK4etHa9pGgEO2aK3ufrwAd3ULJdLIZv2xELo2Da79by11EGS5/zw9h1v31+x2eUox2E6OGMwGhL1Omhgu93ROe9wcXEhwu+yJAxDHj26xFiKLMsEstPvi5VX6xZTbaBdY9qWxeJhjuN6DAcD0A1llgL6uBYQJb8ko9qWrAxyI2vEJNkTRSHL9ZI0SWA6bhs50W4drYGq1YmhjnA8EI6OoZVgtQ4oTMvf0ZWsHLdrkrxAa0MQ+PT7HRotlmZUgzaVnI+1356zZVuUyfrBtkUz0Fg2o+GYn/303+B7wcfC7c9VDEzPTvkP/69/4H6x5PLJE55/+gIvCEn3e0F1toE20IYStZd7/b/ZxVtKWOa2ZQtn+sglUEcF/+H3QyceuLIzOVxO8kaSF92yLTrdLtPplF/8/Of83d/9Haenp5RFju+75Nmeb77+Pfd/uiUKhNZmjMILQqJOh6oW//qhYEmSRJCpqxVgWC5l55bnkmDYNLLTTnb7dqykGLadBNjCrzcKpWyisIMxEhS03++PqujDa3TQIlilorIqal0dkaug8EK/1R2IJXC323F//0BR5mx3O6I45tSyULZw8Y1WREHYBiX52I7FdDolCn1CPxDbS5a3bAZJD4PmX4gB9/s9+/3umMR28L0eLHi4qt1pNfR68bGgsdsi50AdrOuaLE1ZbzaM+4M2a8LIpKFNlbTava5YxzzqoqIscoqyZFNKRoPnenQ7Mb7ncr94oDENSZK2fIGAQX/Car3GdjxsR5jdthE7ZZIJnCdoccaO4+A7Dmmesc23bdKi0DIP/PJOEKG74o1ftlOkoigYjEasVivyNBOXh+NSOy4P9w/kaSZAKtshaglquZexWa/EPua4oGzquubm+or/+pvf8u7De2iFivsWyRp2O/hxLE6EqqLYNFSNxgsES7xcb6hrTdMY+v0BWZkxmz+I+O/u7oibXq9WIubt9djudkynU8q6ZjQeo5Q6FgOH9/t+v6euarbllu+++5b1Zs14OiGKIoJAnD2ffvopFxcXfPfdd0cblee5OLbF9XLB7c0tvU6XMAh5f3XFDz/8IM/kL37BeiVi0nfv3vHkyROqqmI4GDCejFguFvz+v/2O2WxG6AcMej2qsqQsSlCqXVll3D88YNs2/WGfr776QjIeTMPNzT2r5ZJsn+D5HhdnZ4xHI7brNZv1migMOT89w3PltZkv5tSVaEu63Q6fffKCs/Nzvvv2W77707fcXF1JRoPnSY7Bdk+v2yEMQ87PL/njN1/z6tUrxuMpz5494+L8Ebvdjnfv3tHpdDg7O2MwGBCGYZtamVFlOYHvo7WcPUmyJ47lovvu++85PT3l+bPnxGGI77g0RcX1u/cMftpnNBhiWTZxr4u72TA+GYMNju9huzZhHNLJO6CETLjb7bi5vkE3hpPpCY8uH9HrdymKnCTdc3N1g2UpppMJF+eXnJ+dimV4OGI2m1G0jYAfBpxfXjCeTJgvFpJBM5tzd3ffnuOymvU9D0cp3l8JXGkwHKO1lgYsTfA9j2F/gO8K+dW0Tdx6taapNa4f8OSTT/GjDqt1iuP5TE6mdAd90rLg6uYaq3V/vXnz5niXHEJ+FJCXhTzjrYBVG43jWDKJbB0tRZaTb/c4rss8ComzDnmeEsYRRbLHQhN4PrYy1GUhwm7bonYsyjKjrHI838G2oSgyLNsiaoXXq/WapnUyNY1kCDiO3UKrZKJtYbfWad0CgaxjgdDUFUWeUFeFCGwB2zICHsNQNyVuY7d3T4PXTkjTtDwWtpYl5yhKqJkvX76kaRqePXuObf/rrvl/fYTxds8fv/2WuNvjsy++oNcfAIoky1hvNm3H3d7rRtYE5sfOgR9NBiS9ycFqbUYg7Gm7tZQdPg5FAa54/+M2tU7+BnhRwHgy4fLykhcvXvDs2TOGw2Hb3RayrFCSP+16LkVRsFmvqKqaIO5wfnFJEIqHeLNPjnayoijodrskyZ7tdi0CE9vCdmyGwwGnp2fkac7tzR3393esVyviOKLf62KQpCpD2/X6Advtnma94eR0emT9i5CxOQoelZHxv+Kg9LdwXQ+w+PDhmu+++47Xr1+zXC3RRnN6dsq/+9u/5X/4u7+jqEv+H//z/8w3f/gTuq45Ozvl2bOn9Ac9EUt6Po4jXnrHFlKWsq029IPj97xer8lzERB6rnNMWDv8kthQqWJd1yWKImF+26IFUcZQakmOy9I9282GNEk4HU2oWqSsspSwxpXszsqyFNiP0Uf6W5FJmEun0xdgktZEvs/FxQWN0dBAXTXQCL3L86VLrqoabEm4C+OAUpckBSjLoq5qcXm01sRGq3adY0sapPLaCOIISykBUWGIV8tjHsX9/b0E3NgOTVWzWizwPZduJwbPO474Q9/H91wcC4o8w3EblO3iuC4Pd/fc3t6y2Wypm5okz6jqGm0pbh9meN6Gy8tLTi8uCeKOdHquw8P1LfPlmqpqcByXuNvDbYtkx3GI45gwDGVVlyTE7SE5W8yZLeY0TSMpkX5wXHWt1+u2wBXeu65rkiTl9evX3M8eODs7w/Mcrq+vqaqK09PTYzExOZkQhBLY5XtCmtslW/a7Ld24i64bNqs1nuNi2w5v377l9vZWdr29Dt1uh8VShHRZlrLZrJiXFapNa1RtkqHv+URhwHa75r/9139iMp0SdWIm0zG9rljpHHtC5+lTLs7PSNNEitokIcsyrpMElEW3P+QXv/xLeQ+XMvZ1XZeT0QmDwYDlYsHr169588Mbbm9umVxeMhqOmM+W5FmGG3hoC+K4w3K54ubmhnfv3vMX/+bneJ53zGNomqYV24plVmywhjwrWK+3JMn37HY7nj9/xrNnz/n66z/wu9/9DsvAZDTmfHJCXZT87rf/zHa94yc//RnKFux5t9/Dcix2yQ7XcXA9B6MahqMB/X6PF5+84GH0gGnA9wIm44mEbvkuk/EIx7FZLGbS7Mw3FGnNcrEliGLqRlPWDX4Q4vke8+WCYL0m6sQkWUqW5VJEKasVtOnWuQW7/U7eE9MpnU7MPpGkTV1VPHn8iMBxef/uPVUh58p2vWSfJJydnzMYTQg7XabnNv2RWKnDOCYrC9abOdoo/Dbwa7VacXl5yWAwwPM87h/uaZqah9kMPxR90Xa/w/NceoMxru2QKUWdgW0EsTyfz9jvtvSGA4I4YnJ6QpImwq1ACKuqXfO6tg+KVvwn699+v4/rybkp5E3n6NZxPU/WT7aFFwQ0RlNW0uBZ7ZRA1w3KtnEsuy0ExHKLroWIaSMuMxq0LiUnxQaURinTUjOhrqVZkvtV1rVKyYU3m834zW/+Ca01p6en/2Kl8GcpBr7+5hsWyxWXjx8Td7vi39Wa3XbLerVCNx+/YaVsWUbD0df/0fpnYynpiA9xu67rMp2OmZ6MUUqgO7vdTghulsX56RmffvIJz58/ZzKZCNLVcXBDn7jbpdPtHAOFylqy7GvdYBB+gOu6ohr1fHbbDXleoCwb3/dxHZtsnx8nERJQETAej8mylO1uRRTJAer5QqB6mN0z7I14+vQpo+GA3WYt3XDToLNMchqUalGxDk2jCaPwSKjK87xdqVhEYUjg+ZJI5nrHz1PXDavVmm/++C1/+MMfePvuHWVZEAQBzz59xv/0f/2f+Hd/8zd89ZOfYDu2KJzv5/zxD1/z8PDAu/fvePrkEc8/eUavpSaq9ueBFruO7B5lXy8QJsGw/jjj3bZtut1uuxtrqMuPgKdD8efYDsrSbfwuEgWdFyhl0euJvce1RETaQqdFK9LG1oqgyyMMfYokwSAAEGMaCa4xChBcaOAdUv8qsqxsiydbRnK2LXZLNMoyNEjIUFPVzGYzLANlnrPb7QlCiaJuaqFRghEkciZ78KoFf2RZJvCp1glhtAFHvtckSQmDoXDZ2x1wXVWMRyNOplOiKDp2+aaSken7d+/a0KuUXSLi2MZorNwm8AI6nS53Dw9khaSP5XlOsk94eJgJsApJbHvx4jO++skXvP/wllevXuH7/jFYyrIsBoMBL158Shx3SJOUV69eMXuY0W1BRCcnJ0ewlu/7GAcCIxTDrJDnod/vMxoN24PQOhaLd3d3eKGH69pUCFny9GTKZu2SZSmeHxJ4Hve3d/zTr3/D8+fPKVqXymwmPHXHFdudMZpnjx5TVSW//93vKPOMp0+eEvj+MfI1DkPiKOLm9obFckmnHzMY/VsmJ2N6UYciL9ht1lxdXVG1308Yim2xyHMpEo18nf1+76PuCIWDQ5amTCcT/vqv/orf/OY3zOZz0iRhNptRZTmj4YD+qEfUkzXRdCprgq+//pr7+zv6gwFRSyL88ZrzqHxPJCXx6uqK/X6P7/tMphMuH11SFDn77ZaXL19inmvqoiDNEjarNb/6z7/i17/+J2oEYvXk6VNJJ91tj24j3QasGWPYbXd0u11OTk7a6aRqg6wKQBPHESenU54+eUpTyLSxbBpubx+oGg3KZbdPsPKUm5sbVpsN+yzFYNBVGwDlyBmZpCmObRP6U1zXlSjdKDp64IeDAdl+j23Z6Lpht92Sp5mwRrIEpYQ5kmYZtiOFdFUpXF9R1ZJhI9of+f7KsmQymXB+fk6/36fX6zGfz7i5vWG5XmG102IU9AYDok4H1Wi8fg8VR1BJeNli9sCb168Iux0ePXlM3IkoipyqrmkaD8sKOJAtDWB0I4p9owHNeDyk0+9TNzXb3e54f/lhgO2KBqyptdhzbXHnNE2DZ3viHLAdcb+11vzaGHTT4Lo2MQFZWWJZDQpDVRWi71Jg2wrXtfE8cbYURX5s5A4avaqucFwh2wLH0Lcfs1H+LMXAr//Lb3E8n26vB+03UJUFyXZDkaUoc8DN0nqy3WPXq42RfOiWPey4FsPxgGfPntHtdgn8gNFoRH/Qw/MEu1tWouD3PI+Ls3PBqA4H9Lq9IzGu1rUILCxFWcmBjSUM+yovMEYEiMaC7qBH4PmSPOUWEmFbFCRlgW40435fAiYwlHlGmiYSB9mU2Hub8XjMk9NTiizj1cvXvHv9HsdxGQ6HfPnll2AMP/zwA/v9rrV8NLhVQRhFWLZNpxfhB8Lkz4uUfbJvDy0fN5CpR08bVqsN79+/5+X3r3n79j03t3fskz36YFE8O+X//H/5H/n7v/97pidiZfJcl//T3/0dyT7lYb7gw/v33D0sWK03LNdLnj95wul4QqcTozBYLT0Lq7VzKY2pK+q6krGaY2N7Fn7gkRcFm+0Wt7WqNU1FrQtm93fkecazp48ZT8bYjguWRbXXZHkBDYRBTOxHslNzXIl9BmzPxw0CeQgacYEErogHm6bCQSYHZZ6hlE0QRdiei+/6uJ6PNoYsWVJWZZsQ6OF4HrbrUFYltW6omkaKrThuY0UL8l1ClWbEno/vODxcX3M3uyfNMzTIe6+1AJn2AEIJiltXtez5lCLsunQ7XaphQRx0UNrGxqapGrbpRshtE4ELpYnNer3l5vZOfrYfrtimO9IsZ7vfkxUFdaPp9fv0pwOePH5Mr9cjSRJurq/J8xzbcXFdr7X0KrrdiMvLc/7qr3/JX/7iL/j3//7f8w//738gTSQvI4xCur0OeZ6x323pd3o8Oj3lQ1myWa+hFes+fvyYL7/8EmMMge1hWTLu/e7lS5q6ZjWfs17O8XwP79NPsbsdeoMeZV2S7hNCP8C1HT58+MBmuaLb6WC0JtmvAUnp/OH1K6qyJO52+eqrL2XqZUHTZldgDKvlimSf4HkeaZbRGE3c67JPEpIi5+LJY0YnU2bzOa9fv+bm7prFYslysSL0QrrdAZZy2GxWNBiqpsFDgFFZS62sytbzXdeUpWQQbLdblqs1lmXx9PlzphcnDE5G3K3nlEVGst+QpzsWywe6sy6fvvgUSys8yyMKAp48vmyFpQE/+9lPMNrCtgUZLZn0EUrZLFcrgiDEdlyKqiIrSl6+fEUY/Yyvvvwp+92GPE3JiwzPdbArhaahbkp+eP2S9XbPydkZjx9fghZeSqMPyZGGIs+5+3DLbfeW0XhMkUsX3el0iIIQx7HF/hhFnJ1eiAYgLdpgoTV3iwVBGNFozf1sJjqEokRZNukuxfFccSMrsF1xhS2WS4qixPN9RqMBjy8ucFybXr/Hw8M9nmsT+Q4KjbI0lxenZElKWVXkcYjreQRB3CbwKcCh0gVJkeKYCmyL3qCL4zso3dCLorYR9HAcC98XN4jRkOdli3G3sT2PqNsVbUEmTgvfdcFxqIuSTqeHpSzRCFjWkRJbNSK6rYpSmqUDlbUUbsZ6s0UrxbNnnwASh10meTshDfF974iczrKizYKRNaytNMrUWEZEibYliYZVJfkbjVI4rg+mpioblCPslrKocI0F2uAqB9MSTDudLvt9Jk0Z4FgOloaqKDGNrJD6oxGz5YLNfneczv/ZioH1es1gMBB7XSlv9uViwc31dQvBMS3ClrZa8o5oxUNu/EFYM51OePHiBRcXF0elumVb0rEr8dk+Gl0SRaHsmJV1BN1keUajm9Z1IJUg2hJ7hVAMMHVDVeZkmfCs66ZhtliiQHaRVSPZ4a6L53l0fR/bkSCX27s73rx7J3uoIMAoAfVMp1O8n/6My7MzPMfn/n5OWVbSucSiIL5/mLHZbttdEi2W1OA48t+q60ry4cuyffOI7dJgSJKU2WzOH/7wNX/845949+69fK0olG3jelJ99od9JtOJ8LRtm6oscR2HTtzhb/72b/nVb/4L9/cz0jqhKErevn3P4v6eaX/I+fkZ0+mUfq+D73tYbZgPCry2esxLEbA4tnAJ0kSU9VEUYtuOjMJtOYjmizkn0xHDpo/jehJ0YktEal0LLa8ucmHra0XoBmBZeG6Abbl4foDX4j3rSpIeRZgkIRso0YTEnQ69bh+tLOpGkyeJ2Dvrmk63QxhH2K5DrTV5XlDUwlc4IGH7nS6mbnjQtzRlRRiElGXNze9/z9v378jKgtrIn5ejTiiXjuPiehLtLFMFoeGto+iYY98JY4zWxHGHT54/J8tSQEKE8lw8664rcchVWVIUhYyPq7qNkG4I4phPnn/K5y8+4fz8HMeRsfpBpHl6ekqaZrx8+ZKyLBmNRgyHA8lCD0OePX3K2ckpt7e3bUy3wm1pmFmSslmtuDy/4JNnz3hYLrm+vma9XrNcLnn69Cnj0ZiOH0hh6Xnc3d+z3e+Yzx5I0z2W69DpxPjBU5qmZjgaUhQlyV7S99AwmUyZjCc4jkVZCbBpuVyx3+25ubnhk08/ZXQyROsGzxdnT1kWYAxNXmPbNr/4xS+PE0OA+4cHNpsNP/vZz/j88895+vQp0+mEf/zNr7m6+sBus2P/+Rc8ffKc0WDI0yePMWh++9vfcnNzS1VX3N7cSkZDkrFsEcrb7RbHdYlboE6D4cOH91RNw3KzblX9wgb4/PPP6fV6ggB++Qrbtvn0xQuqQp7l4ShEKckgaWqrRXSLujsMIy4uLljOhAQXtryNsiz4cHVFHAX85V/+BednF5JtslxQ1TWD4ZB9lrLd7xkOhyj7IGzcoswJ49GY0XhEXdUkacIPr9+w3+5Ai0r94Ctvaln//Jidst3uOD2LGU267PZ7bu8e+HB1zWg4oqoadrs9ZVW009FJO0mUla+yRfAtZE4HowvWmw3D0RDH9Yg7oehuOh0Cz2FdCr/FtizG4xFqNJJprZakyH2SU1UNluURhDZOy32xHJvNdku+3+L/SO8j4WYiqFssFhRtXPNwODzaQ6uqkqS+TkTpeaweZjzM5wSOQy+O6Y9GTM/Oycocr3V2ea6HXZTM7h9Y3j9QZgXjyZizRxeARZHlvH79A8a28IMYx5avr2maVlDu4/sek+lYsiU8r33O9zR1BbqRECY7xLLkytVG8NhZnqO13EcOgsW22kwDjKGuWhcdCs91OZmeAIr9Nm1ZG5a4FbShKkp2aUZa5Hz25ef0hn2+/+479sm/zlHwry4GbGVhGi3VXV5QVRVvfviBu9tbtJExirgDRNno+x5R1GY2t7a5OI6ZTCXGcjqdYll2q4SGqq7azwFZ5pIkHo4jhYKx2+CRpkabhrqu2uQx01ov2thkozBGoetKRBlFhjaauNNFIYlXFha6qLAasQU2RrPe7dAmIysKkjRlOBxgu67E9qZ7sixjt93z6uVr8iTDse0jPObi4gLLsuTNWRTtON7Csi1sW0bpTWN4uJ9hEH9sGIb4vqxIdrs9799d8fbtO96+fcft7T37fXIsBA6XiXTAPqvVit/+9rf0er1/4U6IoojJaMTzp0/5b//lt2T7vRy0dUORG+bVnN1mzfW7t5yMhlycnzMaDYk6sTgNWmXsZpuiXBtb9SiylCLL5NKJQnE6WBZBGDCZTnDbn3OWZ0SOAksR+C6+51LnOU1Vkmc5eVLgBgrfB8d3Cb0Izw1ACb9ft3t8UUJb7UhXvPKeL7AgPwyoG0jSDYuF2B5F4Cavo207NLpsXSKauhFefF2W1FWF77iAIghDur0e69Waqqla+I+BpsG0MdvaaCrA6JRGN6wcB89y6fdEBX+AY3meR+gHdDsdfvHLn/P06RP2+x1f//EPPNzfkOc58/mcKOrw7NkzHl0+pjcYUv/hjyxXa1zXo9vtcfnoMV9++RUKw+9+9zseHh5I0/QIHNms1uS5rHF8z+Pxo0ecnpwQBhJ//Mmz5/wf/4e/4z/8h3/ParXGQrFeLAlcmaqJhbBhMBwyPj1lOBzy8uVLFu2eXDca0x+2a50ek/GYrMjJskyKP91Q1TVBGFC2Yrk0zZiOJ5ydnfHZ55/j2Da+52FMw7t3bxmNxpyenvP+3Qeurq7lrJjL+u3zzz8V0I+WjATPctltd0ftQlmW7HY7Hu7vmc1mYAxlUQhrxBgeXV6y3a1Jk4Tvvv2O7WrLZ59+Rr/bkf15t8+333x7RE2fn50ThSGbzYbNJkWhcG2HwPfxPJ8gChlPRYj6+OKS0A/Y73bHbI1PP/2Ux48fk2UZeV7gOC513cg4WCs8L2A+X7JZJ1iWvIZB4GO1trrhcCiXc7uKrOuaPIfFYsnbN+/QjxvOTk4YDUd89+2fyPMO0+kpnhcew4oMCkxDU5UEvofSmrLICVyXR+cXWMYiDMPjORGFUWvvkxCmxWJxFEmnWc7z55/hWh7K2Ow3e7arPdPJtA1pqo8WwmP2iLLbTF6DbVmcTk9IogQ/8I+N3gGJ3ut18b0RebLn7uYGx3GI2imSNF8By+UKjKHb69HpDUmznDSXqRCt1dqyLKbjMXmyZ7vdEIZSJM5bp5HjSLH985//nNPTU+7v79lsNlRVxWazJQp8uoMBt63lPStkddmfnhAUGZ1+H4M4fcqi5OH2jt1qjWc5lFlOlZUEcdyi8Dcst1vm8yX28d6S5sd1Re82noz45JNPGI0m8jqWBZYy4jSzbYxVo5QLCLAtTdO2Wa5QWuO0Ed2uLWh/S8QCR2F+p9MhiiJ22/3RAXZY5xpjyPKcV+/ecXt7y7Nnz/jJT3/KeDI5WlL/bMVAnqa4tiPJZEZzc33D23dvydt0PikEQFlGohxtAZWcnp4ev/BOp9OifYV+Vjdy8LZAAaz2QSmKkizLWxSvQbW/G9OgaqHwWZaF5agWr2tjNKKON2LfqGu5BKqqYrvbMx6PGI1G6KomWW3Z7zcsV0vu5zNWmy2fvnjBs0+e8+jJY4qyIMkyUVlrqfI9R3C6f/jD1+RpRpYLP/z9+/fHQyrP8/ahN5Ih0D58dX2YjlR0u118P6DIS+a7Bd9//z2vXr1mNpu340v5+01jZMSmFE0bzJQkCXme8+tf/7rtvJb89Kc/ZTweMx6PUcri7PSUKAhZKxlJ+Z4idF18y8Y2hipJuVoumL19w7A/4GR6QhiGKBS5rtkVKdOLc5rRUJwcvottCxI1CGS8t91uKYuMXq9DEMjqoKrKowYjDHwWDw8kmy11WYOxZJSLiBajMMJzPfJSCkvLsnAdh8gPqapCJj8KqZA9D5SE7ORZxa49pH3fZzQayWvfZl3I6DGgqCtMLSuQqijJrIRS2eRlgVJQ6wbHd+kN+vjzB1QBw3aXXFUF291WHnbbwhgRTMVhhyiU9+0hxEiEhTaWZ1PpmqgbE/VCnuXP2GwXLGZb8jyjqhp6vT7DYZ8vv/AwymGz24NlsU9SxpMp3U6Xt29/ELxsFHF2dsaBgpnuUrIsw7Md+r0+/U6X0PNxlU3dlOiyIk9SFg9zkmQvHSKazWKFZzms1is2mw33szmn5+cYY1pNjLzHr64+sLyfybTIthlPJriBz7t370iS3bGgTxNJfptOp1R1zWQ8lU5OKRzLxnZdmvoj9+Dp02fYlkOSpLK/zDaEvs9mtcF22ven7zEcy/rv7u6OzWbTomMLTqZT+t0evuexXi5Jdnt6vV67SulQFTVZmrFerPn973/PcjHn5HRKWZScnZy1fvCYMBBW/3g0Ag6CMJk8bTZb+Zl6PvTFPeO6LjPbZrfdcnNzQ9M0XF5eMhwOiaOY7WZHkiW4rrzf4rjDer1lNnsgTRNOT8+OHWPg+3z22WeEYUieS4H16tUrAPb7lFevXlMWBVEQ0u3EOI7Py1evGY1G8izHkWgbHBtLGULPa9egOapp0E1DJwrxHJfrDx9QypK9ek9eN1qmySFvZL1eM5vN+fDhhvPzS3QtELLFeonVahwGwz7D4fBonW4aGXW7loMFeI5D4PkEnkfRsg7u7u5omgrPd+n1unQ7HSbjCfOHB9FRlBU1kqAXIpAhDPiBFGNaWWR1QZGIlTcMQ548eUIcRXxI95RVzm63wdBwfXVNGIVcXjzi7OyMy8vLo3MtaymfIlC2GfT7DCZTPrx/S388pm4MURjRc8YMpydEcSiiwiQhSSSauRN3qJqG27tbpqdn9Lo9fvmLX7LcblmuN6yXK7lngDgI6Q96WBbtajNns17x4f076rLg0eUl/V4XbAtUg7IkjXe338qE3XEpypL9doerrBah7KBN69hoyZkHXLoUkvlRBPxjB9/9/T3fv/ye+WLB5GTKydkp09MTBuPRn7cYuL+5Y+2vjmlR19fXpGnCUcXYvjqqFX45jsN0OuHi4gKAI2jHdSQwBrF9mHZ8RdvRWVbdXuJC+gN5HbX1Edjgui4Gg2raGFPkRZMLwGB0Q15kpC3E4c27d9zPZgSeT5Vm5LuE/XpLnudUuma12bDb77m+vaGsKmFVZ2KVLCtBvbq2cNFt9dFGh1JcXV1xYGYfKFEy1nKPawjhI8ja4Pb2Dsdxj77c+/t70lSmDBir3TO1gUJ8tBlqrVFG4Evr9Zr/+l//K+/fv+fy8pLPPvusTT18gme7jAZDFg8zXEfheRaOpVBaSRRso3GVhVWWWGmKWW0od4kk3zUlORpTl+iy4PzRIzrdHn4cioDFdxn4PpvtmiTZEYceBi02QQV1JcmCTV2hTEMYeGjHwVIOnmthWxD6Hp4rCv6qLAXOUZY0ZS3Ka92glcZYFp4lXt2yqmjKimSXsV6vjzbTwzj0wLNwHJtOp0taFLImaJojvtq0KxEN7U465vMvX9DoivvbO9zWSokyvHn7VuxfUcx4MpEpjBtQVzWj0ajNKhB7j7gjDW/fvWW1WRAGPuPxkOnJCU0bH9o0DTc3N4RBjOU4XFxccNpokixnn6TYjstyLrjjv//7v+fp06f0ej2apuHXv/41//gff4XRmsAPiOOYLE158+YNt9dXlEXBZrPhV7/6FcuH2TGFMAw8Li4vsSyLD1cfuH944O7hgfhl98jrOKiNwzBElzW3t3dsdlvOLy94+vTpUak8PTvl7PSU3W6H2e1wPJfBaEwURkL0q4QHYLQBXbekNIXrevT6PT777DOiOCbNtnz26Ys2NlgdE9vKojxGRR/CxMqybImW6ui8Ofy866JkNBgSBhGWUWxWW66vrrm9vm1ZBgGfffYZz59/ynw2Y7lYYmlNr9eTwtd2yPKMXq/H2ckZr3/4gfnDjFpLEzOdTAiDgNvb27YDdZjNZsxmM+raMF8syYucz754wdnFOa7r8eTJE4yB3/3u9+z2G1brBQbNcDAk9GTd6bouz58/F+iZZYk9tWpYLdcsFpIOenJywm6/Z7XaEviBaHyUoq5KRsM+lnEp04TeZIJrSfNU1YYoCCiyXGxuVYX/6afYjnMslA+NiWVZFEXBbHbXRv/anJwM0boiy1LGoyHnl7K+PRQQYRji2S62siXYzUCeZceCua5rVqsl6/WS07MTqqpgvVowHfR58uQJ69UKtGkzWxq2yzVZmmL7Afv9nrw2uH5A2dTkVUkQ+EzGEybjCbvNhsDziOOIfbJnu9uy3qyxbHHRBEHAcrkkSRLW6/VxOms5cs+UdQOODbbD5PyMsjJsNhvcwKeoG4ZBRH8wojscEg96mLIm6nVFIG1ZVHVFx7b45JNP+MR1SNOC25sb9rsd2/WGbrfLT37yJWBIs+Q4gSvzlOsP78nShG4cSoCRrdDaZb9PuLr6QBTF9Lp96jac65DmqYGmqhAkkTqK75umYbfbkRcFruv+6M6Rgu3u/o73V1fiVqtr+bz/SsbA/1/FwGKxoGkabm9vj0ERTaOxDyXSkTool2Gv32M4HB11A4cDu6prVCvuMe2FemCQ16061mptfHbrZS4KSXkCJZdIJbQnZQvhSmmFklOeptaUZc4uEQqfAjzX483bt3iOy6Q/RBlRXjZthK9Sis1mw2K1EiW/rjFtZ23ZLg4K5Qh9z7FkyW61cZUgCn2JMi0py4okSY9Fy8FK+LFokioua/Pu5c9JVKtxBKOslEEpUeYbDkRDPloREQLg9fU1d3d3vH79mvfv3/Pllz/BUk5rIbRRGJqqIjcGuzb4ytBxLLpeSNftMu506XuxiGW6PSpbYUKXQomlM91tieMY27Ja1X9FEIRUVcluv6UTh1R1jzAMyFtVdJFmbDdrXMehF3exlSVrE9dGU6N1RVmkFFUlCt66ZrvZUGQFrm3j+Z6ECbU8AqMMeVlQljW7vSQoHsZlh5GrUa2fGNOmg7WBIJ6PhbgddPueK6uSsqnwbZ/zywvJZQhDtpstjm3TmJp+t0MYiB0njgLOz07xvZDLy8e8ePGCwWBwfF8XZc5qveDu/paH2T1B4FE1JZ1u5+ip32x2zOcLirzEsl3CuAuWTZakrdhUk6YJo9GIX/7yl60YyuHm5kboi2mKruW52CxX/NNvfsMfv/6aQa8n0buZIJOfP5UVRpbsQTeMBkNsz2WyWrJaryna4qQoiuMBcoim7kcxz5495U1rAez2ezx+/JjHjx/RH4lvfrPdctWKLuPNVrIdcuFW9LtSZDhK0ev1iOOI7VbeP+rEYrlcEvg2lxcX9HodEeqWBavVkrqueHiYiUvB87i8vJS/pwXOYqEodHF022x2W/zSx8YmDEIG/QFxFHN1dcX19TWb1ZrlfEEUhHIZ+z4gl6HbgrUW8wWz2ZyLy0fkWcbtvYQ0PXn6lC+/+hLP97m9veXm5oZu68ZJ05TFfMPd3QPr9ZoPH6559vyZrBt8n7/6q7/CGM3bt29J04QoCkUXUUOSJJSt9fQnP/kJk/FEiqk8J08ToigGIPBDXrz4DNd16He75NmeMktZ5xnJbke62/Jwf89+tyMMZJ9ulE232zl2yDLtuWIwHGI5DnbLxrdtG9dxMIGP5zlUZYJlOQwGHSxl2O0T/E6HqBMfOSj9fp9+vw+1IdnuZUK52x+7d9uxj2Lbsiro5z20rinyjPPJhCdPn4pmY5ew227ZbXdUeYljCf21qht6QSRQrTahcjqd8vjRY3k+qoooitCmpq6rlk7qUbWZAIcJKUCe58eisd8boE1DUddsk4Rtuqc2EHR75LXoVcrtjqzI6fd6jKYTnpvPqNKMyPPZ7vdoCzwvODZ7KIXnuZydnZH3+qyDSJITDa2YsY2y9mWVF/qeYOu14POhoSwz7u5u+fDhPf3egLKoMLZNFHfxbRdl22ijJO2wqlFIw3twqYhWqsF1BJZ2uFfv7+95+/Yt+92OuNMRrVxdt3kwf2YBYV2VaN1Q5NlR3IXRaKVRRi6wVr5H0KZsBS3BD2NaG4TGNIiass2ktyxFrds880YLqCFXFApsNEo36E4kXnQMdWVj2aq1O2kJfsACLZ7NsijJ8oyr6yuur2/wg1C6tcFIyHdVzXK2OEZzHgKOUC1qV9ktQQqMlt2/7wU4tiNVsZJ0uKrVHFiW/L2irinrhrIVwxljWt+5wnHFl2qpQw6DoJaxLCzbxrddQV3aFVYlqX51jUQdt958hbx+YrOTj0O1OJvN+NWvfsU3332P6wWkuwTT1K2NUyYMXmDR8T2mnS491yU04CmFbmSSoo3GeA4OFqHrUDmCydR1Q11WbaaEkguzqcjKnH2esk0SlG2znM24v73DcxxcZWErG11VWLaN0zLyDZpktyLLdoRRLNaaqhDdgNIYx8bYoBwLY4mGodZaApvykrLOCSKPuBdjeTbGlj+DkUujLGrZCxpBL/u+h2VJEZXnKUWxZ7GY09QaS9nsdzuKNMO24PnTRyhjuH244/x0yuWjx1RNQ57nhFHE40dP+eLzL4RjobUk69k2XhzRiQI8S3FjNEYZhiOBrGxbQFAcd9tku4aqqUmztIVIbVmsVtzc31OWJafnZ/zzf/9ncSpUFe/fvePVy1fttEVG24Vq1xdYElaVp9S64fmzp3z2yafYwLsfXjObPTC/v6M/GhJ6XtuF1uL4tSwsBZ7rcHZ6wnDYp64abM/lyfNn/Om7b3n9+jXT6ZTpdEK6T6nKGtf1OD09w7Lk4N6t1iwWCxzbJvaFymm5Dt1uj8n0hO12x8PsAaOhLHNGvS6esqEWLUdVlgS2j25jj7udHsPhkOFgJM8MiqooKOuGtCjaAt3BD0S97Xkent9SNbOayXQISvPw8ECWJazXS7q9Ln7oo2wYTEa4nkuSpLhxwHaz5U/ffkvdivYcz6GoSgwylr24uCCKIjabDev1Gsdx+PyLF5yen/Ddd9+xT/Ykuz0rxyEMQi7PLvi3v/y3dMKYJE3odGLqqqDQJUEQSrZGm+5q2w5xFBP4Pt1uB2VBVsnq0XMsRuMR09GEpixZLWZkSUqeJWw2K8q8IN3tmYzGksHg+Th+xNl0wnq1YLlcstsJH8VXAejmeFYcoDee77S2P80+2VPrik6/ix9GRHF8FHbLJMCg6+qoqSmrQlbBjpxfaZ6z3W3EFr7eEoZBa82ziIIOGIs0k8TSqq7RWqZGnu9jPA/X88mLEtuyOT07xVZKRHh5gRcE0Mh7IUtFfN2Je2SZrM4UH/fqdSUuEUuJgFYb0TTVjSYra1a7PRe9Pl7oUVY5VV2QZjt2yRbXtQn8GM8OwRjCro02FY3WVDS4psHSiqbKQddYShMEHvN5yuvXrxiOBGntug42Dq7t4rkeTV2ibBG7W8qmzCvW6zV1U1ObhtpUuMoW7ZPttHHfHFcEWhuMRWsVFQGnbWmMrtCNFrptUXJzfcNyviB0A0zZsLibcTKc/IsG8s9WDFgKHNdtL4PmyKU3Skb+qg0HUpZqgTURtt0G11imHYNLUaCMBtMc6YOWEYwrjZIKUGly06DrkjJLKfNI1OyOjevY2K7VAhek0HAcGZnkeUmaZKw2a17/8Irb23uMQQQ/VU2S5my2AiP5cVSx3MtyUR8y7Q8KXNeVH+qBlXAUbbRFRNOOeFBtjOyhqjMSZ6mN7G/FaWEd1b3HVDTJbcKyVGvNtEVdqixoTLvzU9COC2WN8LEYOFR9TdOwWC3QrSrVVzaqEdaCACwMpixospxVY/AN+JZFYFloBXbgEfW7RJ6NhcGyWqKfJasLwQQLP8CyFIPhkEprNvs9fhDg+xGu42FbFnEcUR+7T7HV2CDsbqBuajIMdaVJ0kTWaUph0EJhrC08Wwheuo3ELfMSMMTdiDAOBAOq2jQ8FKbRVEVF3Xq8D++1qqlZb5bM7++5vblis1yiNVg4IojN9pxOJnQjGUGfnUwIgpAnT5+hHLd1FMjhfXsvFb1uGnQ78nRcB8dW5GlCVRW4ocf93S1FUbFbbEmTHK1NC9mySPOczXYrrgo/oG5q7u/vyPKch8WMdx/e47SRpUWWtVYnWaMp22Y4HnL5+Andbofb61uSLEU5Dn4UiUMFxXQ0pMz3pPutiCHLCt91KYoc08jUxPfFF39A915d37Dd77i8vKTX6x0Fhm9+8IniiF6/zxdffsloPBJxWlmx3+3QlUSuYkwLsZIcEj8IsZKU2XzO3d0du82Gi8kYtGG7El2ANhrX9djnex7uZzRNw8XFJScnp/T7feHur9dc395xfXPL06dPefTkCY4rkeRhOz5Okz1FnuJ5NpeXF0ynIuASfUeAHwTMNwtevnl91JpcPnnEeaPJdhlZnrVAH4csz9sCVsRu/b4gzDebTbvSS7m4OCcIPH79618zf3ggCgJcy2G1WDEej/jJl19RNxVa13z38iWb7R7Pd+n2RJeyefWDTEEsUY0bZSjygpu7G6qq5OJ0ImI5rVCNPCeBH4Fp2Fs2RVmwnC/oBBFFkNHUDVZVE0YRg36XzUbCs1zXxm6ntgfGSBgKKEwrTV0K+rupahzb4fzyAj+IqGs539M0ZZfu5ILV4NtOGzonvWDd1NSFOKGKohRevzZUpbBa6krORd0Y7m7vsdRBRCm2y9HJKd3xiKyu+OHde+JYxJa6BUJ5rbPEMiJgdO0tTuCSFxlVWR/RvlrLOLyuynZtDKZpUJaSot1xqWrNerPl4pFYIFG6PW9qqqwgbAJ8L8B2LcqiwPdDXC8kSRIRNaIxTQWNrEB9zyFBM1/MeJjdc3l5yePHj4njmLKNZM+yTFwHOmjhWBrdQBTGvHjxGcpS2K6L7wRHd5Lkv2iUAd0cwonUESfvuT61EmLLodEpy5I0STCNwbdlHVUkGe9/eIuyZO31Zy0GjDH0ej2iKGKxWLQKRSUHq9UGzqo2jtiP2qlA69k2HwE15gBhNJqm/bwCAZHCwmjdMvgL8lw64zQRkIXr2q2y3pHOoVVRCre/pq4MSgkMyXG8NhBECGBVVZMXJWX1EdLx498tyzruYQ6wnQN57seFg20LrMh2HLTRJElyXAkopTD64x5H6xqDbgl+NQoZ9xwmKwKe+HihH/47riuagnY38iN64wHp3EIrUBJUZAu5UCMxq03TkOuCotYobbBtcG0wjkNDjqsNseMQDIe4cUilG+5XCyKr4XLUYzod0+l1xVHhemgN+2TH7d0D67Uk1gVtBG5VSdfeCWNGkynpfoflOPjKItltKRtxi/jGJwhD7HakX+UFeSaeXom2RUYh8kJgIaTCuqzI0wytDa4nwiVl5H3U1EIUdC15H9St0HK331OUBcpW7PY7dtsN6IY47qLrmqooUVgM+j20HjPsdvF9lyRJ6Pf7nJ6eEbS7ZVH1Omy3O4o8x2uLw6woj/YhhUZXcvjnVUG5abi/f6DJG8IwEoeLzlEoHuZzdsmex08eE4YhRVm0drSaqhHVvus47U9XIr9t2yYKQ54+f86zT5/juC673Y64E2MtHaq6JM1SDCLIevzkCYNhn6ubW5k6NA1n5+dM6ilu4B9JeR8+fOAPf/iDrGNQ/PSnP5UAprOz4+qrrip5PduVVt0q/p3QYTQccnoqlkbXden3+8dn5BBydXl5yXq9ZrNcChgGWfs07Spnn+x5/fYN796/F8tlWZJlGY8fP8YYw2q1YrFYiKNnt2O5XDIaD+W9UTfkWYHRtM6kmqap8H3/SF07QGFcx+d+OyMIagaDIXWtCYKAbtglTVPSIqcTSVcchiKUtdpmII5jGZUDv/7Nb9jt94xaC9l2uwXEKZVlGQ8PD0RRgOd7FEXNZrOhLKVILYuczWrVisw2UNeMJ2Nc32WX7ths12jd8DAzhH7IbXFPmRbEoU83DhiNR/T6XZL9njzLGE8nBL5P07Qr2BaYNhwO8XwfP/Cx1cFaKK9FGIp9tKxKGl1SFgWOZdEbj4mCkLKsSJPkuGu2lUSiWwYCx8FS0uxVtegGsqIgryrCMGA4GGB0w2y2ZDKecH93T9VqWqq6omwF1qHfkTMk9FFKyIu7JKHWDb7r4gQhFv8f2v6s2ZLrTNMDn7V8dt/z3mc+MSAAECCJZJJdWaoqlXW2TK2bHm50p1+pyzZdVquyqqSyzGQmmRwABIAYz3z2vH1e7n3xLfcIpEwSy4x9zGBIJBGIOPu4r/UN7/u8CkdrBnGMo7U4jRxNkgw4XO/7SxB0v/LqVgTdaraoSrTjcLQQtHZn65Vu2el1M1VZ2kmfRxD4tGVBWZUEUYTjuVRlRVEUfYgcCBHXcZxe2P3wIHkLF+cXBF6A48jntt1sUbS4jofTSojTdDolL8X+rB1NGMa4Njq7aWR63rbS9XcCwu57dC15UquW2jSQ5fhBw+n5GdvDHtM6PH32lPF4zKsfXrFar0iGgz9vMdD9gU5PT0mSpK+SK1PQNnV/oHuOIgxthVgVGPMhw7zrhrXRtPaw6V5YrYQ017YNdV1R1WITaxrD1lZiWis837UXdNv7zuu6sVafrhioubq+lo6yKKlrYfFjR+w/Djv6MHb/kGHe/uj/1/39YxGO53v9P3f/e6/w9Dz764SyZhqDVoi2ofvt2g+fSWMLou7S74qB8qN9z4/+zPIL+z+j7v6jxqBaOcBNbVBGrEBh4DJbLHh+eYGvHdqqQpmaT54/Z3a0oKHlt7//Ha/evuHqd//E4u6G48URi+MjJpMprh+w2exI9wcprgDluvhBQNO0tuBCRH9RTDxIiMMAL/DZbSS/wXFd8rKkrErCIJDCqJJ9v7bfV0MrZDUkq5sWirwkT0VkF8chWknWA0rRNlAZQ2u90NvtlvvHB65ub9jv92hH4/oul5eXfPrJc7Rq+ePvfsftzTWOVkymY/EFO5rV8hGl4PT0lNFoTJoXeI5LbWqqvMA0knzp+x40DUWZk+cpu91aLET2Z6Q9D+W7mLqVKU0Qimo6CBmNJsyOjlmtl5im4bvvvuP9+/eSze44dFIfKRhljeb5AafzI54+fcIv/vIvGU3GfPPdS777/iWHfSaHbF2zWq+5f3xATabUWYrvuzx9+oRWa4raMBiNBJkaBr1LQXDSvuTAn4to0LVhRqPRqLcPZ1mG40qi3n6/t+4Pj+FAwmNGo5EENdl3p65r3r59K4LDVlDXpS0qOvyxtsLQP/zxj/zw5jVdQNbvf/97vv76a87Oznj+/Hm/s26ahh9++IG//du/5fj4mCdPnnA8nzMYxJZWCGEY8fi4JMuyPsWxKAqKUqzNji3uNpsd+/01RVEwH0+oa8NwNMRzF3h2/aCg11fUllK5Wq1wrADP8zyeP3/Ocrns3VJpmnJ/f+D4eEGcRDw+PnB3c8P8+ESijF2HOAoJrNOgLAuC0Of88oymbTi7OGOzXUs8eVmw2xxYP65waDhaTDk+nhNFIcPRBNfx2Wz3HJyMIAipG8M+TfF8j6ktVGQ9qT6MidvWjtdTGmOdNvsDSjuUac53j9+SFwWu5/W6HK/VbKsCZRrawAcNnqNtgdv0PnpNS5Gn3N3e8v0P3zEaDHn5x685Oz1hNBowGU/IPOGDCC48YjgaklUl+/2GyUh0NC3gOS5ORwFUisP+wKtXr9BadvadRqA2NRbASJctMhxKPkYYhpLs2kocdxAEH4XUdWe1J8RAYzC14aBTXC9AaU16yNFu05+tm82GwWDQ/1qlJADp+PiYNE37sKbDYc9oOMT3Q7SSNW3baqqywVV1P+p3HZemreQYV4ruNJeAO5k6SpiR3FH7veg1oigiTiKLgBfXleu5HJ+csN5uKaqG84sLBoMBr9++oWkbHLfD/v8ff/3pmgFbAXXQE8/zRARX5eTZwXZNLqPhgPFoQl1XYkvS0r1qLeNDrRSNUVSl2FZoBTjkOi6eZeWbpibPM8qyoChyTCmFgeuJgttxNGEUUDdwOKSUZU1ZiHAvz3NM09gLWNtwEGgaKzL76FL9+NL/0ej+o0PtY5GgZ6s34EOEsf6Qp9D2l7qD49hpBy5VVdIo0I3qlb1Yi2YXTCQTlo7TIN2VY3+/j/9MHSrTGPHmo6SClv+ujPdMKR2350iX99mLT/jJi0+4ODuhbRp22w2H3VbCTgYR0/GY//pkwfzlt3z78iX39/esd1uW2w2nZ+eMR1Mcx2MxP2ZxdEzV1LRacUhTaFoC18cY+Qw91yMeDJmOh3Lg1xW0Iq7c73eYqiaJYxwtF22n1fDDAM8Tb23bGtrKUFaCP6ZpcZG1SV2WuL6y0JOWppZo2rquubq64p9+9zse1yviJObyySU/+fJLfvGLrzhZLNis17x59Zqj4xMmoxHj0ZA8z3h79Z70cODo6IgoimxFv8ELIxFXKgdlVxJZlpJngpcVHGwtbpdaRt6D4QijHdRCdpVtI+6Q6XTO8fEJRVnguIq3794RhRGXF5eUVc0hTQl8H+1oysLu032fwBfs7+Gw5+HhjrRIeffuLTc314CDaVtMa9gd9lxdX1OmKdl2g+dqTs+E4141Le+urri5vSUeJP1I8pNPPkFrzf39A0+tg6H7yvOc9VqCmtI0xbeHKaktVmtxwxhjeHh44M2bNwRB0HMfOpHsfr8X105dcXt3x8XFBUEQsD8cePf+HV9//TXbw77n+Xdpnqenp3z66adiB7Yj6/F4zH/8j/+RP/zhj1xf3zAbT3hyec5nn33KdDJmOBzx+Ljs/fWTyUQU2PsD+6xAa9eSNCMRdd4/UqYywbh8ctmLV7t3//b2lm+//ZY4jkmShLquuXzyhCAI+iyIb775hu12y263p22xbp9HwihEqVbsr5GPoxRawSfPn/Hk/IK3b95wf39Pmu7ZbreEcchwlBDFPpvlkt1mL0AahPaa5QW7/YE0y4ijiMDzSPcFq4NoGTqATTxICMIQPwhw7RRVoDihNEpGpqht1VBlJY4dwStjyPcpWVUQJzGNqamr0jZUhTiQlOiIHK1wlIi5jRViPz4cuL2pePfuLavVkgfnlu16izEVz52nzM7POD05ZrVacvuwJM1TpvMpU6VYb7eUVU3VIH8vS2moGon5fXx44N27d1xeXhJFkc2naUmSAZn9+QVB0KPTkzhB20m1ozVxEveTmx7Zbdevrj1rm6YhL3J8m7zbtA2HLCOxTJHumQB6AJLnebx48QLP83rbqGS4ZERRIAJ712e3S7m7fWA2mXJ6egooVusN292W0XiM50doRwo3pcVyX9UVTitAIVnVtv0EEYXNT4Cyqqhq0YJo1yHdp1zf3jDMRjwul6RFQf3nFhB2Y5nHx8e+m/Z9H+0qhkkiABFHcsS1VmR5RlEVHyyFrr3gtHRxdVVJ12UjeRXguR6OI5GQxkhBkKYpWV7I3jP0mc9nPHv+hOOjI9brDVfvb9hstjQNFEXZj4CUVtRNBUgVS9vFObR8PA34eAXwz8fxXYHQpyfa/INudfBxodBd1p7n4WgtwkaLXzbGwxSlFAN8GPnUxlCbGmMFMB9WJrbS9X0Jv7Cffz+lsMrSxkiugKlrET82MklxtcR5Prm44Muf/pRPnjxhFMc0TU2WHsjKnKKpKYuGZrcjrWuiOOKLL3/GFz/9Gff39zwuH6BVljUeMRwMGQ5HoBVZWXDIUparDVVeEfkxrm+V2sagPY9kOJapBy37/ZbVRoh3GsX56RlxElPe3rFPJW3uaJQQJonoUSrJAjem6VXN3Qts6lqSCR0hdG03W25vbnh8fGS5WnFzc4MTeDx79oyf/uxnPHn2FMfxePfuPYf9jjhKuLy4JPQCHh7u+fab79ltVlycnzOfH/fPY1+0NS21qXBchamxFj8fNwrRGtqmIA48qkJ4CspiictS+O1ZnjMcjCmrmpu7O2hlklLVNbP5jJPzcypjePX6Ndq16y/EoHNsQTRVlnJ/f2d3jC53jw8oBfvDgbI2eIFPC6zWazylmA4GaBrpWrUiLStub29Zr9d95zubzbi8vOT6+poWeW5Xq1XvcqmqitevX/P+/XuECjrti9QPNLstP/zwAzc3N32sbIc5TpKE4+NjptMp+/2e7XrNervhzft3zOdzbm5u+OH77ynqqg8g64qIKIp6x8ZwOOwnCWdnZ5yenrLbHXrXTllW9r2VIqq3I9sp3Wg0IowiNtbXLhoWOFocEfgB9zfXFiH+ofCv65rW+ta///574jjm008/5fj4WHRTrttPDbp/v6rqnieS5yknp8eMRgO7rmhkLVpJYTweDcnmc7I84/b+jndX77h8esmLzz8lSWJcx2E8mXF22tBU4uqpihTlCCDnkObszUEK8SgmS1P2+wPakUYnyzJ2+73snlXTrw5ms5mcd0DbKlTT4DseSjs0TYvvujSqsTbhkrwV2p/vOYTapTU1OAoJzHHRacPd7Q37Q0qaHqgqcRRMJyMmkyknx+fMZ1Na4JAeOD5aMJ29oGga1ts1q9UjR8cnFJnEt7tRQlVWtEYaAGWpn0VR4DgO0+mUJ0+eUBQZRSkrO2/k92djXYt+xdQGV7vUdcXQHwrqezxmuXxktVoxGo0o8wxtM1I6K17bKsrarpmCgIZSGj71AezTvQP7fcp6ve2f0S74Cntpy3muMHXLerXF1DX54Yamkej53e6AwiFJhrIisDZqrTWOJ5bQwPElD8JOizsKb1EUBE4AWiBFhhbH8zi/uGB6ZPrCNRkMQKl+xfVnKwaSQYDnekBNbQpA0bY1dVlRNS2etY4VTU1BgzyXElnZ0qK0xnFdXK1w2pa6FmFYnmfs9wfqqu6Vq92F7bpyQed1SxCEnF1cMl/MWa53vLu6Z7fZkh4OIl5swXE9lLZBDUr4BJIgiHU/fLjYuwu3w+dqe8i51oqjbXEA9H7WkRUAtW2L4zrS2UJftYFFz3peXwwoCaymdnN0+2H/0/2aqq4pKjlEGhuEY0yFQvjUrqN7gWRrPxttUcee45ClKaWpRSyoWsLI5+z4hMV8zmI+x6Hl4f6WPAzRWmEawVsKXlg0GE1dsXw4kAwGnF+c8+TJJYPhgMMhpa4MCi1iQmv/Oez2ZGWBpx2yVLq/KBFamalr/DBCuR5Vq/GiiES3uA8eq51YDxfHRwRxTFlW7LZ7BqMhxrRUlZ1sWIsoTUvk+bQWDey6jqxImob9dsvNzS0//PCDoHvbFj8IOD4+IhkOefr0KaPRiPv7R96/v6ax48yirCkLQxK4DAYjPv30J7SN6W1SplGgXDzXWki1S9tU1EWJ1oIp9nyP0LLIR0lEXZZkKiPLSg5Zxv1yxWq3o7T7/7qS1Dqttc3RKEizgqIwaDdlMp7xxecRq91awoCyHN/3iZOEy6dPWN3eUpY5g2HCcDRivpiTRCG//d3XPR+eVrHZ7Wnqhtlsxmw65pCmNC2cP52zODrmH//hHyizTJ7D6ZSqrLi7vePh4YHGtH34SpZlZHkuRUFZSoHryLupANe+p8Y0xGHEpy9eMLD2uzdv3uC5LkkcY0zNyfERigbXAd3CaDikMYbAD/j008+kyPuo0N5sxKHQORGSQSJxv21Dluc8/+Q5STIQ1O/pKU+eXDIcJCKybVsOWcr3333P3d094/EU1/Wpqpoo8Lg8PxV/v6X0LWYTmjzj7l7WAEfHx71V03VdCwgLePf+fd8snJyc0piG3XbHarnCc31ct+X0dMZoPOaHVz9wd3ODo10c7WGqHN8+K44Wd8SuEptcY2oe7m5Zb9ecX5yigbqoaKoG3SjiJGGUDFFcsNksWa8eqcoC3/Oghd1uS5TE0vkOBrIjtw6lLM94eHygKFK0Vuy2e4pcoGe+5/U5G9qRRq2xUexGS6S7Uq5tSELROzWGqi5Rjr20OjpeLRZo3yZoLhYLPv/8M87Oz4jjBN/35DM97LlfPnJ5ecmzp894eHhgt9kwGg6hMWzXa3KbkRCFIbvthqqsyPOcuq7wfY8kicmyjPuHB0bDUa+30UqRW8Gyl3vSVOKIkDXw0KrF9xwGNkxqs9mQpQfBjKNBu31B7Ps+gyShbWr5DGipyoK6FgG4BIE5UtBbCuEhPbDb7/A8nyiOrNVSGA1xFKNamUDc3d0KG+bJEyl+PbeHpjVGVhIdobauaslrabATCUnxlCyGAtf3ZQKtXbFABj6j0YQa1etHOh7Knz218KuvPicIAibjKXGcEAQRb9+84+b6nkO2J91L4IOcE1JdaqVEYa8VrZIEQZoK3zoTu7jcuq5RKIbRgGQwsEz/hufPn/PlT39KXjes1pIgd311x93dXa9G9j2fwJWQFWxF2V3QTdOgpAyWb6LFoms/8Lq7ytBV2o5fNMrCkbTWuFZo0o0Fq6qy3aqHa8VUH9wVEAQ+o9HoR2uGtm1xlcJRFn1rvzrOd5ZXHFLx0BdFKR7apqHIJePP933ZFdkLvEtXbFtDmWViowGOT4/42c9/xvHREa1paCrZd2emxrMv+2A4xdHWldAKyCArCkLPA4WsDzwPDQSeh4O2FNKG3W5HXdU0tSFyfbzJlJu84LDd4lsugDGG/T5lMBhRmpa6AcdzGU0mRHHE7e0tb96/o9UOaVYQBjGT8YzAj2hbRV03lKX8HkNrc6rqitIqhjs2+WazYb9dk0Q+08mQs7MzZosjlpsNhVVDF2XFw3JJkReMhkO0UmRFzePjmmGcsJgvCIKQ1WYtkwCt0K10Pp2olRYc5YByZSVR5xRZxk6JrqFTNbcoKhRZJbGjSmv2qYBZTCkxpo49RJXjkgyGfPbZJ9Bqdrs9eq45MSf84Y9/YLfd9tbUoixxrZA18EM7dSgpM7Fi+Z7Hxdk5YRjy+vUrHldX7IucJ5dnTCYTPn/xKRdn5yz2ex4f7vnu5bfcXq/YrDfkWUEYRFxcXKJbWK5WPN4/cMhSKvtsB7YQOOz3XF9dySplsaA1DZvlEj8MOT+/QFlNwenJCVEYMh4NeXx84PHhDlrD0WKG77gs5guxMdqDbDabYWh7+luSDCiKivV6Q0tLnCScnsqefrPdMJlO+eSTT4ijuMdRd++67zk8ffqMly+/449ffwu4nJ6eAQ2Br5mcHLHdbimKgm26hxbiMGIyGrHf7CTEydF9LC3A6dlZ79t+8/Yttzd3KKWZz+c4WuyBrusKdng+w7QNt9c33N3cs7xbsj9sOTqZ8/TJExoM7y0oSiEOlN1mTZFlPNzeMZvOmE6n6BrSfUpOiimkU9XaY5AMSJHJyfHxsaUJ3jOfLzi9eMJut+vRs54f0raQ5QfCILSxw5q6FhW6uFOsENkBz9XEUUDrKg77nKoCz/XxtCHLDuRlSus3KKOtdc5hPp3zV/9iRGvPse5yHI/HuJ6DUo1N2RM4WQM8rteMkgGfPHsuQVL7PYGrSUKPr3/zBxo0J8cnhGEofBLt0DZNvx6+urpitVwzGo6t/77E8wOCcGAtpXvGozFNIx02reH25ooiT3n69JLRZMr19TWmaamMTB1rFE0jJNskTphNprR1TZHnaMeA31j4lEPbKrbbA/v9AVc7hEFA20Ka50Raczwe09YGrAR4mCSMBwNbTCru7u+pjaE8HPACn0FR4Pm+tY/LSt3UEuXuWOjUIc+orXBda42qNLr10K0mCQdUjpwv6f5ArYTzEYUhJ0dHDJKE6Z97MvD//n/9P9hud/KAZQVnpxecHB/z7Tff8/Lbb9lsNzLatxWaorEdtFT+dVNTVhVxENA4jh1F1jQNqFaqlyhJOD47ZT6bk2ay/x+MRril4YdXr3sXQ13XluDl9x2F8NdbtP7QfX8syuvG793l312qIN1e6PsorS1rQPU2StfytLvfo9sbNY3pdQTdeH80GvH82XOePnnCcrnkhx9+6AN1xBbp9QLC1nL+xV7o9GCQPM9ZLpe9OraL0O1etOFw2Ktg1Uf7pNlsxr/51/+azz//rOcc+J4HRi7xpqlx/QDX86mKnDxLGQ4G+GEgIT+1kf2k/TO0TYNqW/I85bDPCMOYOJYOLArlc8+yXMBGdcVuu7WqdHjz+jWtJb6p1qUqcnzP5/LiksN2z2674+H+nuFkynA0IkoSXM+jagzGCAFynAwYTyaYWmJOH9crtvaSjOOY0WjET37yE/ln++v9MMBNXYbTGadnp0SDgah2O+VxN0qsava7PUorNtsNh8MBx3XxAx+FtqsCWcNU1rEQeC6u40Cr7A686B0ijuPJmsoWc3Vt2O/3rNYrcZXUhjIvaIwhjmMmcxGCua5D09BPwKLBmGdPn1LkOVkuCYXLx0ey7ZbpeExZSCeEUsymU37i+TTaYT6bCegnjvj1P/yazWZNEosIsGkaXr58yWq5xPc8/uKrr7i9u+f+4ZGqKvn085/Ipe8HMlocDvjm5bds93t5f+xYVIrRuBfQZmXG+/dXRElMGEW4gXQfjlXvdyr+LDv0vPrxaIDn+tTG4IUehzSlNAV1LUCfKIoYjUacnJyIAHG/5+Hhof8+oijqd8K926Gu+0LcOIqsyNnud2y3W0zbcMhS2qYmrlxUozjsDvLeOp5oC1wXx3O5urlCuZrpYm6zQ8RqenZ2xuXlJXVd8/j4SHrIGCQDjo6OWK/X/Z8LpairCt/zSQ+poJMHA66vr7i5u6Y1hrOzM7bbLdvNlvFoxHw+58svvuTm9hZTG26urkjTFG3ETeO6Xt98AIyGUU8QPKSHXpwp77eMkufzeX8mRVHEer3k4uKCMAxI08yeG5I+qJoGU1QUZYpuXXSocQhwHN2LsR3HarrstFPEzbLm9NyAYRSh7U69+3l0U8yyNNT1zp632u7FxYJe1wXGKLJMqKJhFAEt++0G1cLpyalt1nxxSJUVRZazXq7QCEcg8kOxIWo7Qi/lvAwcmWL7gU+eZdze3TEejzk9OyPNcrRSRGEkFNymsW4Uyyuxosg4iqiKzE5HAjKViTC7MpIdkxeic3FkpD9IEox9FkLPpywkjE6jhFzrOJyentomZsvusOfo+Jg4ioiTpL+zaAUUlx0OeMpSKu1KqgvFC8IQV/zYOI6mMbIuaK2GzdMamobJaMx4OCSJ4j9vMTBKEnxr38n3B/abNYMo4bNPn3N8PLcqyZa3b9/y/v178jxDa7kgB4OBsMn3ez5/8SlPzs95/foNy+Vjv3OJk5hWGTzX5emzZzha89133/HDq1e8u7rlwUKCuoetO5Q+Fv51o7zug+0Kgo/3/F0B0L1gHZUr8DwZfdnuTTsarSRgQqpq1R888nuKZqKzH87nc/7qr/6KL7/4kkGS8PbtW+q65ptvvrGTjwanEYGIVAP8aKLQ/dk7RezhcKCqmx9pBbps6i4oJ4oi0t0ez/N48uQJ52fnPbvB1DWF/bM2CqoWCmPwygpT1SLctMWE7/m4npAkS0utqitxYpiqEieEzYVUKHFCtC2NsTRJLd7j0gaBrJYroihiOplIVnkqArs4THj+7AV5lnF8coo/HFE3DY0Go+1FQmAFQT5ZmvLu3Ttu7u9Y77bUTcNgMGAwGjFfLPDDkDzPODk9pTI1XhDyfDzGDSNGk4kAnQLhw9fVh59dY2FCYRz2B1iroC0hr+RAqS0CtygLHO2gB0MZFLSglHQIbattWIomzVM22x1N0xKEEfFwRPNwT17k8uu0Igpijk6OOTk7ZTgY8u7dW7bbHYEfCbRkPOOT588ZxDH3Dw/c3d6yfHgg9n0812U6mVKbWlgPKGauRzQcoJXG1CXT8YjFbMp+v+tV+NoW3uv1mk8/fUESRURJwsXlJU2ruL+/593798RhxItPX3B8fMzVzbWsODyP0+NjhsMhFxcXnJ2d9YXSbrfr122Pjw8MJxNxGsWxFWd1e1aYz+fM5mMGg5DtZkeW5ySDhHiQ0DYtWVr2Ra7nebKbd10eVyJevLq6Yj6XS3q327Hf7fBcr3c9RFFkrb2Q5ZlgWNsW0xjCKKIuCw7pnrUNsYlCCfRR2sENfYbOhLvlI++u3uMFPom1od3e3rJcLhkMBnLhQ48V3mw2fZgUgBdIkZPnGUVZsF1vcJTm4uKCVhsOaUpRFJydnZHEiUx/TMPp8QlJkuAFPq7nUZua1WpN20h+xGQ6tmPeFtqaSonO6N3VlYhYadnu9/hBjHI0rvJRjiPU0Lbl1au37PcpX3zxE4bDoUDgVIvrQFOV7OqS/JDSVAo8ReL5IjZELN7GyPrWDwNQLcPJiN32QFEUmBZ8rcBeVh+H95jGsNose7vjYDDAc10m0wne5TFF3Ym2G7IyJS1TwtDH0WN8P7BI+RLPr6nKgjzPOez3NMYwnQhxUngvDulBAERVWaHR7A97oiBiMJJzVCnFs2fPpIhNc6IgxDh1v393UJi2xdHQNobddoNWIpTsFOhOKwTGvCihVfiui++49hxVjIcjsTtXFa3ryfs6m1HlBZ1CrXMcZbuUqqgIPJ84jIjCSCbRSlYwjtbsd3vyLMeJZa3VNE1/LsaRFANt21KVuS0YW7SyzdzhINNrBbVp2K1Xf95i4De//nuqUjy64+GI2XSC6/mMxgM+TZ4zGk5wHJeHhwdubm7Z7jakhz2nJyecn5/z+tUrbm9u+eyTTxgNh3z6ySfUdc14POLo6IisLPj2+2+5f7hnNp8TRiFVY/j6j1/z+PjYrxM6pXAn4ukevs7q0Sn++5f0IyRwV0B0hUIcx70wjabppwK60wzYYqD7NX3x4HmEccR4PGaxWHB5eckvf/lLnjx5IolWpZDEnj59yvfff09VVb1lzqGLORXLofDzf2xl7C77oqz7qUAnjukq8I75sHFljBZFQs6q8kJARkpZ5rXBKDAK0A5+GOEEIU7b9Je4fN/QWIdEYwymrsjzzMJklGhAGiMj/KZBq5ZBEnF5cS7Ux6IiTXOxg1Y1xSGlykvapqLKC6uhahkmA47nC/wwYltWHDIh6FV1TV4ITjTb7Hm4uUU3LTu7ez8+Pma6mPcXfNUYKhuvHCUxWBdJXVeYyrGfgVwuYRSxLbd9t09rpaSNAHnSVMRZYRRB0/a7yKaR/Wnbyp+9tZAP3xfVfNPUNC2kWY7r+cTJgKKscYOQqe/xjIbtdssgjon8gLZpyfOMx8d71qsl+32KILYN5qFiv99ydLTg4vyCk+MTlqdn7Pd7RmFEawRE5Tgeb65e0bQNk8XCincbVqsV69WK1hjiKOovz9YKMBeLBdOJuHxcx2WxOMYPArb7Aze3t7x5/ZrlaikjSyWR3efn55wcH/fAmtvbW1YrO6GpDSfHxziexyE9CDK5afB8H88RKJAke3ZrrljEi1GnGrefayt6oiRJZF87GDAajfjFL36BaWru7mUt6PsiFLu7u0Mrje+Jtffx8ZHJZMLJyQmOG2PalsFoyHa35827dyjHZTIeo72A1jQYavK6pkJ0N62NF58u5ux3O9brDXVZMZyMubq64uHhgZOTkx9NGJfLJdutPE+O47Ber0mGA2rrDjo6OiLwBFg0nY7RLhhTc39/T1MbBslAOlB7lgWeh7bCatdx2KzX3NzcWNvmUOyTYUiLoSytYE5JISeWXBFIVcbQ5BmFJRl6Qcjx0Rl3dzdU5e958elzJhOh5DVtw25/4PrmlqqqiAeDPio9CgbQuiyXG/b7rVxQyqHVrWxhHcvPR2zRWn1gq3QTm8PhQJ7ZM7usUThEUchqtUFpw3w+I/ADPN8nGUqKqFYQBZ7odxRs12u01qw2O8qikHMuDKVxUgpTGVzHozEt282ONM16EV80iRiPRj2SvuPAuFpyZiSqRJGZzP7/pZuuihyMEDqLPKNIDwS+h27Ad1yUJ+4LY/kESqkP4j/XIU0zWS3aZ5owwtQ1ZVFy2O3RrWhuRoMB08lE0msdB0fpvrH0XU+YD4UI57ugqb5xaUSQ2tSGyk7iXcfFWF1ckWUkccx4PhMx/Qe9/J+nGNisVoL9NQ3Z/oCnHc4vLnFCjyAOSZKwJ+8dHx8znoyoyoLZdErg+aimJfYDPEeTZ3uKIhfQgx6x26455BllXdlIyyH39/d8+/Ilr9++7QMzOhV+nzr20QXafZDdw9gVDB8ibj9QxTrWc/eCa6UERlPXEqLUtChX4fkevo3J7HQMw+GQ+XzO5ZMn/OSLn3B0dMTJyQnD4VBGifcP/PDDD7x+/Zrf/e53fXgGKkBpJTYQ+31UVYWpRZzTfR99weGLBao2RhwVmYz4qkoO2TAM8VwPz/MJ/KA/gGiMQJ2UKM9162CqElO3VMZQVjWR4+I4HspyHDrWgWmkY66NwXMcRoOELCtkvGhtREWRC/azqVEMCQNfELdKQ6s+PLRVzds3b3AdhecoHO0KLjqvwEBZGkpadpstLS11Y3j5/XfstjtGUcI4GRD5AaPxmCiJOTk/w4tDyzOvKOtKJhuOxrQNWZ7J7EJrEl9WR527RClFWRTkWUZjDGdHx4zGY9qWHynC5QD1casKX4uepIOUtECW5pRVge97Vo/aWDCUJhkMGQzHpHZNEHmaaBDz/v17hoMBJ0fHtE3Dt998w9X1OxvkdYLryEWplOKw27Ndb+ye3iUKQuIwYjEZ8+aHV7x/9070ImXJyckJyWjI6vER13UIfZ+qKESJreWCGwwGtE1rk/vCPgfENEacHY5L3bRMJhPiIJSCrCzk8rMF9nK5xHUc5vM5YRjy7Nkz7u/vuXl/RZbl7B4eWK1XxIOBTCHy3FJKRV3fddR5XuB4Ptrx0bru9TFFUVJmBVEY9iCXzr/thz7jybh3OgwGAz755BMCP6AxH4K+Hh8faduG45NjHpePEiwWBOz2e27v72Rq5LsMBgnHRwsGwwGu63H/cM9qs0R5DucXF+RpKvqPVt7PrqCK47g/V4IgQCvN3d0dt7e38t4FgWga1mvmiwW//OUvMVVN6Ac4rqKhpsxzaSpqg+/7TCcT9putdLR1TVOUZEVOnMS4rsP9/R2Oo/nkk2fEcUhZ5oSRqNwdx8EPAjbbLd9//z2gEapfJGZm28Ak8ZCf/OSnnJyccn9/w+3tLXVdsjiaAYp372/47T/8E03TcHZxjvICfD/CdyOMaUnTA6vVCj9wiYYhjutSGduAWSGdCKTpJ5a+79tGpyEIw/4iA/D9AIVit0txHA/HzRiPx1RVQ21aojDC61gtjVBv8yxDI06zx/sHWRGOR0LmVArf84hHMW9evaHICkJffs/BYCDCWiswL8tShIVKCbHUfg+elikwWmFMxWG/l1wjpeSsy3PaugakYPDDCMfxqIzBWPquNAsNppLz+9BIeNtoMBTao10P5oeUOJIpQBhFzKczPFsEVnVJU5u+cR0kA2o/6FdRXTZGXdeyAnE9TF3hKOErBIHP1B0ThjHacaRRnc97ge6ftRjY7vZMJhO8UDjSVze3mBaOL04Ik4ggDFg+rvinf/otnudzfHJM27a8fvOWdLfnu2++5XhxJMKuYs/t3S37/Y5DvmP5uGR3SBnN5hwdH/P99z/w29/+ltevX4tXU4s4zTFGvjHrt3c9+8dXH9jUZSF0s24S0AcGeTLS6VYMHwe2dCrpuq5pAM/3cG0l2SlMJ9Mp8/mMJ0+e8OzpMz7/yU9YHC1wXZf9fs/f/u3f8p//83/mu5cvefvmLavVqs/klr1iiO97vUtB4Eq1AHSaDwSt1lbavh8QJwOCwLNhRU5/0f4InOG5DJKEo6Mj2a2amrqRzkFph6KuyKuasqjZFFtMUZAEAUkQCMjJtfpKJdWs6zjykDnaClZc63AwtIgwVMSfwoFw7GcsCnyJ/K0rKcYOhz1JFDGIBriOQx7lNFUjNqymwYlCokBoaFEYczyf47Ywm0zwtFTIR8cLhuMxYRJhlNQcvu/JSE0poYNZEhn2c0GBsdoHrWWkl8QxrtbMplNGcUJVini1tS+uBwzjhEYpcqfAcR2rA5lIAVk3knFfHCiqhiSOqepGLrSyJH3Iha/uegRhKPTGwCUOI96+fsN+syMKQwbJgF/84pcURcFoNCbwA+v/Fouo1vpH9r7JZEKe5RZ97GHqmqOjI6azKY+bNTe3N8xmMybTCVVVUNclnr2clFKMp2M87fZcBJlSuaxWa3aHA6AZTyacfPJCioTVindX73lcPvbP2Xw+5+RURF1nZ2ckg4R3b9/y+z/8nkOW0dIwKiZoR7PdbhgNZO03GMT4nktZFWSZg+eFVJUhO+Tsd6nAwirRcnQrh44psN1u0Znm/uGe+4d7XMchDAN8L/kAnqJlf9jx+OaRt+/ekpe5/Dot07v9/sDV1RV3D/f4kUQJz46OcC1noTSGLM+pa4M/8rm9u0MBpycneL6P74eMRuP+3SurCq0Uk4mIBmlbgjAkCiOiOGI8mUioTlXTdFHdvsvD8g7HdZlPZ2ItzXLL20hwtERrF2XJyB/1QT1nZ+fUdcVqteb8/MJOOP2eaeK5Hp++eIGjNXd399RVQRuIDuNwSBkMhwR+REnFeDxmMh2RpjuatkJrB9O0AgpDs15vOOSv2BwynjzZMB5NOBwKdtsdYRSyiGaAxnV9OjSu44rLSCttw8FkjK0djYPDcDDA9e3nXBbs97t+qtsqxWa7tyJSWbm8fv2awHU4ms/xfJkaOZ5DoEOOzqacnEuoWHceC2tFzsIkiS3HBlzfk/X0eExhIWdaa3a7LY7jUhQ5lalkMqAUYeBbp4why2QaWrUtvuugrUtCnGHyfSs0Sju0Wok10fP6yarQka1tvpR11HQy7RHps/kClBb6Y9v0TjY/EJsgrQT5lUXZ37sKJWtKX+yfqU2m9D0fx3UYDIcMBokUiUqe+8NB4E9lkduEUodf/TmLgeU243GTcnRcMRlPeHJ+zng2w7SKzWZHVRl+//vf8823f7Bq+oYoSiiLgnSfst7sqMqaxWKGUjWrzZrtbsV4MeV+tSLPK+Ynl9zePPC73/2TPOC15A5oK7CSqBtRrfa3EjKCa5sPlyoKtKOo65KihGQQE4a+PXB/LMr734MQDRLNIElYWJLZs2fP+NnPfsbZ2RmDwYAwDKVI2m75d//u3/E//o//I7///e+F126a/w2zAKCq6n7nKLtr5BLTGhpxXJTWJVDULdoLCAKNdjzC2MWpBIvZKk3dQKsUYRSRDGPiQYQf+Tg6kBCbsiLLS0wNtC6u8sCIh772HPLKUBmF54kmwrGuiTjwKbOM5XpNEieyp7LVflVV0LZWQSviJWNHg0q1aFeRZTmmNviuqHNpIYoSAt+nKCrSvCArBWQS6JYw9KnrAkc3vHh2zmwUU6alsCecloYaL5BwpKo19gUO8F2XuqhoVUujXXBayrqizHI2zRKtld2biZ01CjyctiH2POqqZLfZkNuMikBLVzWOB6wOB/K8ZLvdsD8c8D2f4+Nj5pOEeBQQD2W87vse282W3SGnMA2HPKcsSpKBQxj6uK7HeDgi0j6PV3fcvr9hOpnw3/53/x2LoxP+v//zv+P27t5OrcS54AYuzz55Sgu8ffuWwpTcPNzyarunNbIawtEEcYQfhhyHpxyfX7Df73j9+g27Q048GDOdCdykg/5MJhMCP2C32/Fwd09Vy3omtzG+YeBR111IjoNuGkyeo7QmHCTMj+ZM51PLjWgYT8c8e/EJDbA/7Glaw3CYsDiaMpvOSJIxcRLiOEoGJ6olL3P0VhwDoR8xPBsSRRFay0ohzwQGE8XSWORFjqM9ClNSNzWOblGtQ5FKOI3n+ujGkIQBF+enOK4j6x6liaKY42OHyaQUeNJmI0Cy8xxHubQGyqpk/bjCFBXUhioI2Ww2bLdbprMZA+WilCPCOTegrPegXbQjZ1ASx5yfnXP1/j2vHx5ptWK0mHF8fMx+v+dwOHB2dsa//Bf/gp+dHMm5UBvyNKMuDcYyAgajMXmec39/37uWgjDiL/7iL9lut/h+iDGw32/QrsNgEBP4PqptcJXi8vSUYRSR5wWe7xAGYybjMUEknyNtQ25K6qICGgajEY1WKNMwW8z55V/9C6rKsFqtub974PrqnjQtUUozGA6YzqYy3XE0pu4USQrXkXUSSpxGpjXoVgs3xRj57FrHNl8uQRBKp13XtK0mO2yJoggzbKBRVEVNXdZ4XkqcgOv7hIMhbuAzHAzlItzvxS2mWmuZ8wQlnaWESYhRBi/yqE3D1k5STdsSeA6pFbLmRSnWVtejNQbfc/C1g3IUrg7xlQT/NNbh5nlCWpUmzhZRKIlXVwIn+ljH1jQtxlq/RZcUUdcey8cleW0IogGOX1GbSqa6QcBoNOmnlNlhxWq1skW7R0fmjIcDkoFHm6Ys11tQjhAifZ9JEKE9YSWk+wP3D/cS03xz3TfP/8//4X/48xUDv/jLvxQPsefz7cuXvH33js8++4zpbIJS2EpcDp6iKHjz5jVK2ehMwA88rq+vaJqS87NjDvtDjweNkwTPE/vGH/7wB+7vH/r9k+u6ktLWtj2U5ONIZJBdd93UlK2I2fxAPsSqrmjahrIsGA4HuI7Hrtj3hcDH/52PRYlhGEqc7L/4F3z11c+ZzecsFguGw2FfmYPAPb777jv+8Ic/cHNzw3a75bA/SLCHXVN0EwqgtyF2jOmPUaHacfC07h0WnWjJt5GrnZ1Qih/T//eEDFfx9u1baA2jwVAqVyAvMvaHlLIoUa0Rtn8gCXYK0QbU6oPwspuixHHEcrnqxVMfOzG6YqbPDbffp9KazXbH9fUNCo3nhUi53KKViMgau84xUYRy5fuWEat0vtPpmCgIKQ6FzeOu+6KqtnhmrTXGbVGuQ20kd8JRmka3fWZDF6IjezSxKValjOG22y1JHHNp417v7yUgZzab0SqEbR+6DJoIpVvr9w/Y7XdUZSEBLKahLCs5aMIQN5PdYRBKCI6M7UJJwvM8jk6OSfOMiydPePL0KdtdSppmvH/3HtMY6lpsS7/61a+4uLyUgJPNxgqEKgqtGQ2HzGZz/MBnPBoxGAxpleJxveY3v/kNNzc3HB8fy3MTiyr/cDjw8uVL8jyXcWwpKuXOZlbVhv0hJYwSFkfHjEYj6rri5OyM3U4seNr37C40ldGrFTMJrTGW8avvEMcRSRIzHk+IwtheChXD4QA/cCmLStLntJ04WUGuay2Ju/2e8XTCxcUFjiOduGMjj12leLi57vehVVGhWo1pDL7jsJiMRSOiXcq66YvuwEK7rq6u+PU//kYY+VXVo2nDMGQ+HYnoUWsW8zkP9/c8PDwQRglRPCAKQ1HBF1K0OUjImuN5TGdTNus1m82GyIKTttstb9+8wTQNG+uj/7/+1/+G4XBInmY83N6zXq8xtaF1PpwTs9kM+IAnn06nLBaL3tlQ1zV5mfPw8IiixXM0nvPhTBBVucRcB0EgHILtlsAPLQ2v4v7hgfvHO8bjEeNkwHwuVEylHFarNa/jN6y3a6BlNptwefkEx9HCG7Fr1E5rFUVRf3Z2Z3V3NkmT1eJomTBqpQjDwBI7G7RWPDzcsTg6oqwKqrokTiIGsTxf3fQ0CAJxqSjseS65Ir7n4YSyY2+B7U7cGZ7vUdY16/WaLC9IkoThMLGIbZ88z2haMEpEkZ4n+hYHhWkKm8zbUBR5P73xfZfxZMJ4MhHfvD1vxEYtOi6tHZLBQNbNbUttNVxVVfV6l812S5lXgiempctGyDLhk3ShXB00S/RsXr8arirRmG23W25ub8FROIGHah1qGjzXwVRS5KdZJjqsvKbpLOR/wtefXAxcXFxwe3vLzc0N79+/7w/p4L3P4+MDYRjKCP3ZM8IwZLPe8urVa1arFUkYoTUSMOS6XF4+wQ99tvs109mcyfSI3/zjP/F3f/d3PD4+9iI9GXHovjqScb7q9/ha6Y+CjywQxdoBPx6ldznQruv9KMu9Kwb6ACAtCNPPP/+cf/Wv/hX/5t/8G+ZHix+5FrqvppGo1L//+7/nN7+Rg0YueHpVbTfSd123FwHWdd1DT7piBz6sL8bjMefn5/zyl79kMpnYh7Poi4Z3797x7t07+/3IQ75aPvL1N19T5hnjkRQDQRiKyEVmgaJkVQptGRDCT3BwXNVrKLq9eRRFnJ2e8vi47AM6OoFmZ7nqrC5KCVQqTTNevX7D6zdvGA2naDcgimLiUHabrbI/LwV+EKBcjRd4LBZzFosZm+0GraFsyw9FGnBIU8L9Hu25VuDYSia6X0oMblVjrCANrT6EQNkOojaVPDuNQD1aS6DrPte6ri0qeU9jk8COjmY0zYSyrITRHkVs12turq7Jc9EE9MhoV8JLOvtp0zQ8PDyQ5wV8qpjP5zz75BNubm9Zrld88+23gBScT589tUJYSXx7+vRZH9TTFau+73N0fCxx0q0cDI/LpYQSRXGvoZGMAfk+gtDncDgwHA754osvBMrUNILpbsFxfaazKbvdTgqnw4HVZi37ed+nbgxzuwIrjWhQptOpKPwfH7m7u6OuDMPhiDiOGAwToigkSYQJL+NYKXDGYxl9v3v3ntVuJ7vTPBfQUdtyeXlJUVXkpcCT/DCUFYmpCb0Q33dllQLUZYXnetRZSZlnmLaxWFYtWg/fx/NlRdRxCLSjKYqMOArZbVYsH+7wXU3gOVyen+G5shpTWvPlF19I2NDtLUVVc3J+bmOyJdkzjCN8Da6GwPPRSNTx8+fPCZMYP5Qdr25hOByyWq344+//wPnJKV988QXJcITvhQRhxGazpswz8lJyDmZHi349FHpur3vqeAfD4ZABA4oyJ93vKIucfSGul+FwiO/7oifKM0yaiR7EGFKV4brzvnBbb1ZorQg8n6o2aO3iaNlhP3n2lMEqIQh8KTx9z9qiNZVFfnfq/KGFTHXT1c5x1Z0jWis7x8VOvhx8Xwoq7SiquuLq6h1xHGGMIY4ji3CWc87UssJRVWkjn4WpEXgSWW2sI6gxhiIryAqx4252WzbbHUV5Z89Zj/OLM54/f0acJCSDEaaqqIuKyJcJo6kq0r0UlpXnYcIQhaL2ZY2bVxUDZDVTtTVpVpCmS/b7HWVZslgcMZpM6XJjQs/ttRNlWbJcLsV26ge4jkteZDSNOBnKUgB+juMymYwJrEW3Y2hUltR7SPfc3N7w6vVr6tYwPZ5zHgU0GrbZHh24NLqlweB6DpH6wN/4WF/3ZykGjo+P+fLLLymKgpcvX/a2uufPn1NVJTc3N/2Y6/LykvPzM4Ig4Pb2FoxhNBwx+Iu/4Gh+xGQywjQ1292GLM2ZzY7Y7VNubm4AetZ05wQoio920674vTsVpzFy2WEdAaD64uDjTrbbxY9Go54h3V3WQG8P/Pzzz/nrv/5r/qv/6r8SjKP+8EF+jCfOsoy/+7u/42/+5m94/fq1eLSTRPZFVd0XAx0ToXMw1Nay9c/hR1EUMZ1OefbsGV999RVffvklg8Gg/3WdEG6/3/PmzRt++9vfcnN9RZGl1KZm+fjIdDTGcz1M0+AVKbHdpakWlGlpTAWuQ2sMRSFUKzfQPWHu4yAmOQwCVqtV/zl9bMv82JnRGgHkhFHCT37yUyaTmQ170bStoawrGeVZEVtlO4QPVlDNYJCImNE0VGlJmkm0bJbn+EHAZDa1hZOirmrSNCc7HKSAaTUtimQ4IIpjcAR01ZhWIpGNsWAgMK0Iz5aP9z9KOcuyTDgTLmw2W7ZbidkdDocySncD2lZJcTQaYWrDfrfDD8V/vlqtRDhlD6mqrnlcr3nx4gXj0Qg/CsnLgm+/e0lV1GRZSksr3en8jDgOSdOU29tbDgcJZpExsY+vxfFydHTE4XBgu91yfHzM2cUFlRWkCfchs7tbvw/Tmc1mtK1YftfrDaZpwdFM5wvGk2mfG7A77Pn913+krkSDkSSxiBSHQ0bDEaPRiD/+8Y/c3Nzgui5PLp9ydnYucdU2rldZcJcfyHSpbSFNM968ecs//uNvaVvF6elZf6kkSdxrGAbDAZvdlv0330hIFXBWn7CYT4mDkDAI2a3XmKqkaQ1VXfC4WvK4XFJWNc+eP2N+dEyDtVzpnMpOFGkaBnHE4+Mjr1+9IvA85ouF7IuRrjNwPc5OTuEXf8n/+p//s+Q/3N9yen7O4uiE0XhKFIcy6ZlOGA6HOCiePHmC67i9FbHMCwZxIhjdLOfq6op//zf/Acfz+PSTFwwnY4ajEXmacnd3zdu3bwWFi0wHB8OhiAttp9h9NU1Dg7gVuiZis0qZz+e8ePECx3G4ubnhcPcASvdoM8+KUUVgLYmbINHelTEU+R7PFSeMoSVOYjzPIQg9lGpBNWhtYW21IQzDHhbXke06G6lSwuAQjZTXNzAgWh/HdeyIXzMaDVgul5Rlbs9DS7nkA2lVzj4B+ISBFYJrR/Drpu4v29V6zd3dHZvdlrqRFcwhzRgMBuS5Qmmo65I4TpgvjhgmA3QrLrS6rinSDKxTIQojPF+SKgfDoUQ8Nw0oRyBmj0vyakVlcweOpwvG4xGmtY0I7Y/O626y6zouNNpOBhqqqugptXIWij6tsgj/gaVqOo7i+GhOYSpaDNv9hu1+TxyHeJ5MY9LDnrYxTEZjgijAKzza8gN870/9+pOLgfF4zGg04uLigq+//pr9fs/bt295/olcXs+ePeuZ4sYY8iInTiLOz0+ZjibMZzOaqiYIQhzlMJ3OeH99xdX7a/K85v7ugaqqetpeV2lqrYmCgMB2XV332sehFgVlIUIw0wpStckNOKp/WLuXJ44T4iihbVvpBK1dMI5jfvazn/Ev/+W/5Fe/+pUQzpKEjhbYXYIfs8uvrq749a9/3aezdaP/Hif5EQzlY/yw54l1ZrFYMBqNCAKJlO2466enp71y+2OWQvffm06nDIdDJpMJ/+v/8p/4ei+cAeU4xFHMaDQmKzLKKmO9WUpH3oKH0ORUXeG0A+F+lwVNXvcvczeyNMbge2HPMsjz/EdTlI9ZDp0rI44TLi4H1ndPjxSWVZG4NFzbvaAl+lr+PVvhtzXDoXiRTdnw8PjIerMhiiKiKOJocYTjRyxXazb5WrjsQUjgi9XH9Tym8xmj6RTtORK09LDEtBU0rfABkFUCSuNY3UP3M+uCtG6WD7x681q6f63ZbVPxwcdxn5cQhpGNq72nblp+eP26T69TVt07mkxQSvH+6oo3b99imoaz83Mm4wlFVlIUMdc3Vzaa1+0xvPf3DxKUtN32hWCR5ZLDsJfnLAxCGlqWqxVtK2CcJ0+e9AmIw+GQlg/x2lEUcXFxget6rLcHHNcniiWwaL+XtVltCprGsNlteXx8RD9qyrrm4uIC3/d5//49VVVxfn7ObDZjMT+y6aTGHsrWFpppmqbC9wKWyw3L5Yo3r9/x7cuXnJ6dsz9I/KyxdtL1dsN4PGYwHPZkUQmGaQh8l4l9JqbjCXVecKgE2619F9f3MDQst0vcWw839KGVA7LIXPI8w3NdXEfx4pPn+J7LZvXIdy9huXxgOBjYuFmH6XRCFMWMBkNePH9OVubc3d/z/TffcNjtmUznvNcOnufw/OlT/uKrrwgjideN7DucHVKiMOTy/IK7u1v+WFXsRyOurm/493/zHzF1w5dffEEcRozGEyazMUfHx9zcXLPfHwijiJOTY0xtuL+//1EnLhM4WXnWlZx33ci+OxPatmWz3WNauX3LsiQIAubzOUrB5eUFDw8+jdXeoGzAGdZOWzfkRUZda+I4tNNLTdNonLbpm5jtdvujP9fH4s/dbgdgNVUdA8ZGkrcCFWtbRZJElGWC6zq4rpw7noVw1cbgWqpqY2qUxbo72vkACoK+KezirbfbLZWpEW4rlhooo/jHx0dub2+5ur7h4uyM+XiKjmKM1WGppgYk4K6sSmaLBWcXF9RNgx+EhFHM/pChvvse5XqcXpyjW/n+alNTV5V1FRjRDdhioDtjPNcDo2lbCeKra2UZOwOBPDVdCFErYnfVsN5s+zvRjyIWizmO55DlORenpyRB0HM/qixDjYZEcURe5rZw/NMLAfgvcRNstyyXS0ajEU+fPmWz2aCU4uuvv2Y4GDAaj/rYUa00h/QgwRDrJXEYkuUpN1fXjIYTknhAWdWcnJ6S5jnfffcDt3d38hBYZWp30dC2YplrReXvaKcffbQWHepoLTs41xU9QF3TGtESVPaHXZalfZh0X1AoJbGmX/70S/77//6/56/+6q+IE6nIPu6EPy4E0jRlvdmwP+y5f7hnu9uJF97uIP2PErS6/Vm3yojjmOfPn/PVV1/xxRdfiDI7Sey4WdYb/fjZcboFiFxmfNjPua7LxcUFFxfnvHvzGt8/I7EHiec5NK1Plu25f7gjr3JR0/uhJGC1MB6OGYQJbdvgRm4fv9kRD7fbHUEQWkiJ7DCbpqGqa5RdWdS1sX/Vchi4DihNXpayD1MaPwxxHCiLA1Esmd2maXCsxqBpWwuCKWlb0/tltdZMpjNRZycJo8mYMIxIi4qHh0eiMOT88oxhMuijTkGR5hl5kVNlDXmW0bZN75H3XSFKOlo8yq7nUFVlv0IqioK8KHj17j239/ccLY6IxwMbcjKhKcu+CLq9vcVxXEajMdd3dzIGrCVedD6f95fbYDyRldlmI/kNUcRPf/Yznl0+4/37d/xP/9P/h6oqGSQDBoNYfM9pxrZpePrkCfPFAmMasjSl+kjncnp6ypMnT+zeUXzE9UcpgrIjlJXKdrPFGMPl5QXj8ZQ/fv2S7XZLnuUEXkDbgKlqyqpku9uw2+3YbLYMkoS6qrm/f6BtYTab8vz58w8sD3sIG1PTtB8OwBbDYZ+Su1WP476/f8BzJRypW5l1jp7OLtVFxTqOHPhVbShsBxr4PrPpDKdtuakr6jBAOZrZ0ZzjizPeX1+x2W3Jy5LUAnG6S3A8HpMMBkxnc8Io4PrqmjzPubu5wcwXJEmC6zgieA4FlHZxccFsMeP1u3e8+uEVZZrybrMlL0rKuuLq/TuSJOFXv/olSRgDLVVRMZtNhSkfhnzy/Dmff/45Vzc3vHz1infv3vGf/tN/om0afvbTn6LimMBRXFxesDhacHt7i9IK3/N58+p1j1tXraIxHWlR0uk60NezZ8+5vLwEFE3TMhgMLb5btCDGptnVpiayOqj9Ycd+v6OjS/qBj4S5CZejMQ27VCiNk8kEz5PCrLIUVaU0kR3td5oPedbk/JCLremnHZLa2YrzuGmsC0SgbZ7nWUiaJcVar78xBj8IaFV/AtIYQ1nLs93UopmSHJqWIpfpQhSGtHlObe8HEfZ1EwsJUdruU4osZzNds5jMcOxKMVCyIizrCtNIMdbQcshLxtMZz549wwsC/ChEuZJ7oKwDwFFCXjV2paYU8ncsfwC5ZzCKujJ004O8yIGW6XRMHMeUZYHniQW2bRuKPLNpiBonO9C0La6j+clnnzGbiqD3kKaoxnJnwoiaRqZyvQ3/A9juz1YM/O53v+u73+PjY6Gbac3jwwMPdw+YyrCYLjClwQ3lhf/h1Wtubm5Q2iWva2oF62zP+4d7ttsNTSvQkG+/fck+P4CWEXJeFtI91kZiLK1/3fd9O2burCyihHcT2at1l1meZxgjMcFtI6r9qjQURUkQlpimAtUQhD4vXrzgv/lv/pqvfvEXDEbD/vvt6oBOPwtSsb67vsZxHVrXIa8q8lL2VU3ToIoCZcmEruugdAtK4/se4/GEL7/4Of/23/5bvvzyS2azWe8LbrGiyKZFO50LQWN6nYL48GurRXC1Q1UUFHnGYBASRyOiMCDyXWhqAk+xmI45nk9BSaBJllmXA4rCZFAaFIpQRXiOWC99z6fxxCVQVBXFakljdRrQWptQKZoMFErJy2iMtpespq1rdACuowlD4XG3KiBKYolkrg1eoPuxZ2kqskzwyGmWkcQJh32OHyeMR2MiK+5qGiEfjkcjAf7QsssOcvFZe2BLS3bYsVqv2e/3tEpjGtE6DEZDGQtWFXVtqBrQrk8cBBImVJbotmUySKiyjMkgZjYTzUZ22JOEIZ7jUqDY71Mc16Oqa+7uH6lrw3A45vnzZxwfn+A4YhdL05T9ft8jtLfbLTc3N0R+zHK5ojGSSjdJhoxHI7LNjnI+ZxQPcFyP6XjGbDYnTkKquur39UEQEMWxwJfKsifl3d5eU1UV6+WQKAjss6TJtjvefP9Kupgshaok3awpD3tev35DXuSyG0dEsbSK2WzBs2cSCjSZTOzYv4VWU5WGpi17G6fjWEhXKwFeyvFxXJ8w8rh/2JAXcqDO54tebOz7vgQCOY7gmjuYStNiWomubeoWjOS1B3FMsd+i2kbocsORYKYLwyAaMhyMOZofsVmueP32jaVpVuzTlLQscHyPxlHMT46oy5o8LcQq5mq80KdVhkO+lWCw2iFORvzlL37Bxfk53758SZqmTKdTdmnK+5tr/v7Xf4fjaj77/DM816OpazQtylPU1IRuyPHpMSfnJ3z6+Qt+//vf8x/+5m/4h3/4O05O5gThOWWt8BqPKIlYnCy4u7vj5v6GfbGjcWUVNxwOCCMB16yWaw77PW2rUNrl9PQS34+5urqTM0MragOmkdCu4XhMEAYUZQ6qoTU1gyjE6SCoTYOLg8JF4YBWlG7MZn1gQ4qjffm5q4a6qXDcFq1d/FhCclpX06gG1drLRjWEkd8XhU0rZ0Jd11BJ+p9uXdoafCemDR1oXDQ+jgrxPB9jMrSj8Fw7tahrMIaGErsPBpsL4isX7cj/7bkukR9hqhZNRYWhbQXzlqU5h8OBpm3wwkAucaDICtxW0dY1kacZ29j1lpbV46MQJpXD6pDiRRF+EGBag9KyZmkdWcFoK16umoJWtyhHy0qklUJb7hM5Pw2CfdeurHIMhjTNLea8oMxLVKIt+VEzGiRo16WsSuqmEYbAcIjnyVRAKwfX9YmiBM/xKNMDgyDGbbVN0qz+VP3gn14M/Pa3v+Xp06fS/VoaWDe29lzXKpElA3w8mbBZy841DEPevn3L119/bS82sZ/led5f4GVZ4nmuoGlb2T9rreXiqmrywlKYPuJef8wm7/a+HzsEuv1ttyLoIiiV+gDIWCwW/Nt/+2/5i1/8oh+zddOA/43oQomN6u7ulrOLC7bbLY/LpShs27avxArLj1cKHFczmYyZTMZ89dUv+G/+b/93Pv/8cyuy+sguaX9YrW57lwFKQHmmafo41aqqaJuWtCp5uL9jvV6SxBGB5+Ao1dsrFeBbrOtwOKSsCkwjlpou6bEqSymYjCbLc4I2EBun1hRlQV5V1Na1EIUhymo2jE2XTJIBrufRoqgqIx5sRyBIrqNkF2ZqatPgeg6jyRjX8fD9yMb7HqAxhL5HGATQTqjLksZiSKvaMJlMewvjfr/HCyNevHgBwGq14nA4yIi7LHG06pXudSVZCWXdUNUNs/m8n37sbCqf4wjTf2DDnyQrQGye+53w1EfDoR2J7hnEQoWs6lpU6U3L43LJarVisTji7OyMxWLR7067ydbNzQ3L5ZL5fM5+v+d3//RP3Ly/ZrVa8/LltzRVRRR4DIcDDrsdZVVK6dUY8ixnvV5T1ZKoqLVmOp32RWSapoKBLksRrtl1z+PjI8MkIQxDobk5Drc3N3i+z9HxKWVZSliLMdzd3YrgNIkkn8H3mc/nfPbZZ1YTkPTvQpfPYYxBO6ovXEFqMt8PCALfun5ayrLi/v6eFphOZ73dUxwrUuR5vk/1UfTwx+uo3lGiArR2CKIQ5Wi7s64lmW+1Yrfb4foeh0BWEOPRCO1Ko1BWJWVW03RESsfFVa7Y87QWRoVWjIYDWlMJBbOqyMua45MzLs8vMHVNlmYcn5xQNDVBFPL+/Xt+/eu/x/dFl1EXJYHr9YCi2tTSHTaSWDcZC1Z4t9vy8PDAbDbDcRQHOxm5ty4GWbtZd5Hn44ehgNM8j+FwxH63Z7vZStHUtLx7957lckkQhBbe1VA3DfPFgqdPn9C0DevlUjIAlg8ME7H5llVFQ4urfVmdoVDoj3IZlK2zRYirrIVQqxY/8HG0WC+VbRZa+3fX8dFKclNkpehQ7Pc238P7SC8ldkMRBvrEUUwQBdS1XOBKuWitLO1PBImduLCbkGI1N3EcsVrJ8+97HtpRmErul+7sdBwH1ajeoXMwB5pSkgHLLGdT5ex2W8YTsWU2VYkXRgRxSFnX3N3f9/o1pSRQyPP8D3o0u8YtS6ElhWEkE7e8sJoBaYx6K7sWEBsIldTYaTV8ALdp7TAaD5nNZ2z3O7KiACXPTJoV/co2DEOUUhZ8tSGOY9GGtIKlbyzU7v/s679oTeA4Tv+wG2P45ptvKLKMFy9e9Irzx8dHNpsNju9ydHREVVVcXV1xc3NjfyhuP172fV9yCeKYzXZDVgjH2XNcqwFAMMH8b0ubztNZVZUtJrwfjdG7gqXb2XSXrOM4zGYznj59yldffcXPf/5zjuwh/s+/PhZftMD19Q27/Z7TtuXu5pbNek1ZFNhYP+nwLWK1bRtiV9LFnj59yl//9V/z1VdfEQRBryGQMRY2z0u+evWnNOPUVSH0vFToeUVekO52XF+9R6OIwoAiO1ArLBP7Q0hMJ/ZZb1csFlOqtpUQIltMCczCo2mscj9LKW1SXgNysbuuzWmw2FxawWSahiiKARHr1abFBaIoEGxC01AaObAdz6GsSlzPx/VcOYjalqKuUDXQCP64NTJqD8KAsij7n1l32Xlh1qfUHax4MMsy6rIQ9oClS3YH2u6wpjIt6/WaDiBVlnLxxHFEXZekWUtb1+z2O9L0QG3E1jkcDMiznEOaisBut7PEQ4fF8TFohyCOODo6IkmEk35/f9+PV7XSDOKE6WTCYb8njiQYRWuLzfbFJ71N9/zmt//I82fP+MlnnxEGAavNhuubW66v3jGdzfCDM1Biw5xOp5yfn+O6Lt9+8w3L1SPD0YBf/V9+2Qu4iizvBVJKKabTKQDL5Yp379+x28ulWdUiIptPJjz95DmDoUxPBgOZBnQpab2bhw8iWq0kRa47C2ogsBMxwRPnuK5v99Wa8XhiQ5yqfo3m+yJ0POzEl/+xPU1AMTsOaUoSR6BF2zNfLLi7exCtQ1Xi+x5HR0doV35tXdesNxs835cVoucReR51K/HV+/2eMq/wHI/joyPwZV3lOC5+JBeq5Fkk0MizPh1PODk6lhWiJ0mFi8lMVlK5RHk7ni/QGGv16wrYg+XEb7db+1nIGfmb3/ymt9olyYC2bSQAp5SAmySJ5XktKkwtVjXBG8/Is4wqL9luNqy2G8q6Bl1Jx6sUYRTjBaEdq38grT4+Lrm/vSWOY0vC81FW0FcbbAGD2OgUBFGIdh2B+yAXi1IOnqftekrEyRhL46RrtuTvridrXcdxaMwHEXK3ZuovIXs+u66Anly3RWuBCjVNlwfyQZvQnelaa/xIsM+bzdZOAqQozU35UcKsQMdqY2gVOFool1Eo+PFWK4IowgsCsrKkcRyyIicajjhOElzT9Lv5D7+3/DckuhmB4znK5gPIZ+5FYU899TwputpWCj0H4WvsdltoYDgQ23oQhjJdazRhFPZAIj8Maay9fH/YY2ophCaTiSRdai3x53n+o4bkv+TrTy4G4jjuu/hOPOL7PsuHh56Z33Umq9UKQ0MySPoL9YMn9YPKsvtDh6E8dO5+Lwxq02CMdLi0Hz7c7pvrLCxtaxO4bNffPVidSK8XIEZieRqNhgyGCcfHx/yrf/WvuLi4YDQaWQvjBzjQP/9q25Y0z3j9+lU/gbh6/579dktdlpIN3rQikGkaWkcwnd0P5OjoqN+3dkLAbkfftA2o9kefX/f9VKYmPRxk/21kQrDfbLi9uWa7WaMRtW1VSoKecT3iKOkP8SzLAFgtV4xGCY59mHT3QqJwXekCZDeVSdiQo/EDSdOSwgVbQHgiWqlrGtNStRIt6nkhyjS0KOrGgGksjMRY6xfktoBQiFjGtIaiLDG1QSvphF2tCMIQ75Dz+PDY2+O6z2sSJ1RVxXK57F/09+/fY6qKZ0+f9Pa+zurkug7KEdvkfr/vs8yvr68ZjQYEvkfpOZRZynazpqwqPE8mRvFgwG4vkbBN25AeDoJp9gNOz85x/UAOS1Tv6f/Ynuk6ggheTGdgtRCe6xL6PuPJEM/TJFHAYbfh+uoKzxUKmu+5nJ+dMRwM0a7L5ZOnhIO4R6qGYcjp6SmHw4HJZMz19TuaxvQdeRCI/aqt5QLv3ovRaERlDPs8Z+xNekvraDTi5z//OU+fP7d6kW0v6qzrWpLZXJc8z9nv94zHY/vefng3yrKUz6eu8XzPamgqZrPIhg551FVNXojG4GPWepqmpGkqYT2ex+Fw4HA49O/CerNhOhmBlr3xbDGnaRRt+4Bf+WwOe1twugyTAePxmHdX77l584btbksQhijHAUfisTWK0WDMdDTl7PycSjWsVksK62oIQxEOq1ZT5oUga12PJIpJohjteYyGI55dPiHLMrRSBK6HUbpvTDpk+M3NDblNn+yKsu5zv7q6Yr1a4/ken3/+OZ7n265YumnQQmi0MKi2VXieT2MFoUmSoLQUFkVRUZY1YRzh+kIs3R8OpFnKIA5l6tEahsMBD3d3ouUwNcp15d1TApOqGtF46I7s6mhkp2CzCezd4rqutW8rWtNazcgHMiqA0nJxa/tO04Lq7gDr7PrnmixZg3ZWRGF+lGWBtv/8Ia1V9QLrtm0ZjcaE4T1FXvf2Pk871G2FqSvS/QFXSQdtEAt6GAoHZLvZUNQVyXDA/OSUrMipTE1ZG5TjSGH1UVP4cYS8Ui3awYqSW8IooDYVRWYoihzXEYuoYy3gbdNhz6Upu76+Zr/fcnp6jnIc6lZWQw3ghQE+QnQsSkEva8fBVYh2paz6QrxbuXVnUPdZ9QyYP7e1cDqd9sEjVVX1cZmP9/d9iFBs95iu67LdrNgf9hRFwc3NTf9D/CB66qh8lajJaftvoDYNrd0b2m31BxeB/jitkL7a7AqMbiUwmUx6Zf+TJ094/vw5o9GQZBAzm81+pNiX/86Pv99/XsQsl0uur6/55NNP2e/3fP/dd5YmVtMaIw+3FYy0rYApZFqiuby8tA6DirKs+nVGd8nlZfaRKl/ieau6Yrl85Pr6itXjEkcJETE9HKjLgiQKoTVUpYKmJkv3NnqYPsVNPLALXO8ZSkmIzGg06tcITQstUnwkw0H/PTuui2k1RVFa2E6J67kSQuM7DGxlnB4y2lbGXU1ZkeelUMZMRRD4hFFA4DmEtsMoKyEiinJf07QCE9JaoSxRsaqbvpP6/vvvefr0aW9DHA6HIqKxmQG3t7e8evWKYRLz7OmTH/EglsslaZrih8mPVNn7/Z7l8pGiSDlazHAcEesMhwPiJCEMhyKkQvaCcRyJeMrRbHY7Vps1uzQlDGOiJGEYJ33RORgMeiupMQYFxFHEuQ0c2m135FnG0fERxydHeJ6iNRVPnj4h3e8o8pQ6FBX3+fkpR8cnZHnO999918+O6rq26ZWKNDtweXlJ28q6rhOsutqlqcQxk2VZHw/85fExpX3vwjBkNBxyfnHBYrFgs9nw6tWrD3RM+752h0ufpWF1Q61VP7dtS5aKKKsqSvk514K87VY82+3Ojj3bvgAAmcB0jpWueO+KZJDuerVZc1mfoT2nV3p3trOqrvq1RbcHHo/HfPnFFzRtw/3Dg9jCtEL7HqPRhC8++5yToxN8J8DzPaAhihPaxlBUNcoK9ADaRi4OrTqZG6I+zzKxqU2mEnNdiX31Y45IHMe99x8+dLbdWVWWoqofDsY42pMwHyVR0uKr7wBrjf25i+++rIXDEYchrucyW8wxDWRZieeHeL5PXhXkRYWiJQp8kjiBtpE1ll0nOlqJCbtterdPK4xeVAvGNmDacWhaI3HxrhQp3VrBnpSijbJiNdN0ol0pIjomh+95VkxIH/DU/dW9s7UpaTEfiUmF86NUZzNseluz64poj7ZlNBpxfn5B21yxXm8IwgDH09SVQIrqKqfwfDudE+u5VorRZExZV2Q3N9wWOUVVoh2JtK6MoVFadAKdmN2+N11T0U1DWj6eisgznFrIWdtIlHzb0jeCjWlstsUNl5cXHB0fyTQjzzC1JG4GWnQH2lG9vd0LPKjljunuuTzPSdNULMJWU9avlNsPd+qf8vUnFwNJIiSnsiyZTIQUdnd3R1UU/PSnP+XFixcYY2xoiPzwDumB/X7/o2jL7u/dgSnwlxKlJVq2bVubmifKUddxUHw4lLrLv/s9ur8+/t+6naTv+ywWC371q1/x/Plz4jjCceXD8brI4s6287+jsug+3Hdv38rDEAS8efOaH77/wXrcP3IdWO2Adt3+oZnPZ5yfn8shXu4EkvPRwVGWBYfsQFkW5Jaa9fOf/xzHYlr3ux3393fQNDLKdCXAxrH8dd/TqFZwrY72PuriP0wYjo6Pub5+y267I80yXC3scJSmKA0oZVMPZZdlTENrYLtfslqtKfKiOx5pMcwXc6bTGaZtpJtpa6rKRi1rjalkBB20Pp7rEyUSnlEectI0k/FaEOJkBfvyQOi7PTymKEqMHe3tdrue4DWxVr0iz9ntdvzx66+5vbmhrCoW8xmD4RCUkh2xhe8cDhmuH/WQm06EulgsGI0HjMdCn1PDhND35Rn3Yra7Pbv9XlChw5FwxD2XaDDg8XHJar1huV4x1YqxpVJ2L2AYCi/g3dt3RGFI4PtUdc1uK7viwWjA2cUZoQUD7fc7hoOY0ekJHrDf73h4fMRxXe7u78mynJvHR9HT2Iv07Zs3DAYDkiTkk0+ecX5+ThRFvHr1itVqJc//IGE8FtRtF8akXZcSaBqD63oEkRQeeZ5z/3BvVxE+bSsX9cfFVbfrBWkG6lIErN2l4Lmy/jPdflbpXj+jLfOhK5q68KfhcMhiPufx4ZHtdtvnhXThRkVV9rtt7WgcJWPt2+t79oeDdN0aZrMZg9EQz4Y7TWdTLp884ebuls12S1YU3NzfMR5Pmc/FobHerXFcByeOcF1BYksinAVKKRl9d/ve7s/uaC2WrbYj0WnSg4hfc3tRdwVBp7fogGMd60QrxWw6YTGXkKPOAhr4geWiONZe2farTrBW3srQHvbUdsI3nc9RyuWwz4jimLox5OtSci4s3Odx+dhz/UejkRQDrbFoXWl2WqQY9z2PxjSk+4yoCXFwbCKf6DtA9vjy5+kuQkPT1lR2iiERxhWq0f2eH+g7+e6c7sb+XVaLMYJM7qYKYeTS4FOVpi8su2dR8gQ627ZiMpmQpfKsz2YzwjjkOrzm+vqa3W5HYSddnvX908qEYzwWfPfmccnd/SNKK7Tr0io43u8lwM1qwbqJo2P3/x84CHLm5nlGbhk2jlLESYKpapTWojuxMLGtTcc8Ozvn4uKCDtwmGix5pTqLpHY8yqKgrCrCQSxThjBEq7pftTQfFSuddqCqa3kz1ccS+P/jrz+5GFBuA7qhrAu2+y2D3RC0YraYE0QRm92OLE25f3jgkGW4rsd0PGU2nctecL+ntLQzpbt9how+lf0mxIpio3St1QU7SegIbIoOmFFLjWcLgSzL6AiCURwxGA64vHwioS7zGV7gy1++gGsk2Y+erf3Pi4FObAgtZZmTHvbMphPS/Y7/5W/+hnfv3vb2n+7fb2jRrcZREAY+0/GEX3z1C47nx1R5QVULVrKqKjLL1N7tdtzcvGN/SKmN4eT0nGQQc3S04PzslGGScHJ8zGa9Ji8kx/6w33H9cMt8OiGJI7Tv46OI4pEcxFpbZ4Zhlx5oNDystqyXK1GoDocoN5S1w0EO4c1my+GQcjhktit0UdqhLGuurm7ZHXY2rvmCwI/Z77J+WlPXJRLg59rdoIZWU1ctvqcJCdBGQ9WgqgalDYNhiDNyUJWhbQSb6bkySm8Cl2QQ9QATUJSlKPfTLOM3v/0d767e2849YX56hhsl7LOSNN1hasNoOkF5HkHgoVSEUnO22x2Oo3n+/DnHx0dWf9BgTE3VKrZpTlUdMKZBO5oo8DAWblIY6ciXyyWbzY4sK2iqhvFgSNMaTFvTqgYv8PCMS15mbHbrntuQZRk4LfOjOYd0x3ffrTmkB7H4xRGzyYRAu7hZhm+FsslwwHA8pgKKqpI9suOQZymmrphPpwxi8cpnWc4hzUjzAj+IMLQEUSTRtFrUyUVRkBvRhIjlNuVxKWPj/WFHPPCREQ023loh4BkIQr8/tMsyp8okcyTPcqazKePRWAh6psJxxC1gmoYyzyVJsW1oW5e6bixvQ1YaURLhbn0OWf7BUx0EbDYbHh4eiMOINM2JwxAvcHE9l/npCYVdWe62W8mF9wJc38EPxbfeOD6zxRHRYEReVmRFTRLFZGkhEDOl8fBoshzH0VSFrKzE7QCtkokfqmU4HKBpobHCSSUAr7KQgz9NJee+tBPNbtrpOJookgyT1q7OHK3xXY/IC4SLUkuAlOeLiFRpbb3qTV/Udx1e28r0sSxqily0FyfHUxQuoZ/iBYHNncjEBaShUYpDnqGbFk2Da4WJjhZAVJoX7POSyhjZTQcxAGUtF5DjCghItRpTt7L+FFITIKjxxjZrHQZa2cmBxk4ZbCFkW2dcGwTXtm2vc5C33LFi6g/drOv4aN/QNPLf0UoKMqyVtWO3+L6DdsD1FH7gsjhaECUx8SDh5bcvyQtpRBJXhIlFWbDdbgnDkPFoRFOW0DYS+OM57OzKusgL6trYsbxmVaxYLde0NIxGgx9NK0TQXqFtsT2bjO3qtSQvUrL0wG6/Y7V6YDwZ8+z5M7RWrJZrIjvpUVrRqoZGNaBs0mtZi4XQcYiSRCihdQNKEcQR0SAh6xwzVjAp6ym5V5s/0U7wJxcDWiuaVkKAsizl9evXUi2juLm5EZtTVbHdbnv6me/7PHv6jNlshjGG6+vrXsDU+dSNadBKLrDGCKnOsWuEDhqELRZErVnaClFCh7q4UYDJZMLp6SmXT59wenbGfDFnOBr2O1AURCr8gAC2D6K2I5ePv7qOqHu5fd9nd33Nf/wP/4F//z//z6xtmIRYXZS1QIp4puNxj4ZDzs/OexhHXcuK4HA4iDjqcCDPU3ZboUppxyVN99ze3hBFIZ7j9La045MTxuMxbdvyzR//yO3dLe+vb2hNhaNgPpvZnAhFkRcSpmJHr1Vd4wW+8Kw9SYBsW2s/Gg7tS9WQprntXly7t1QM4gGnJyecOeecX5wzm02lo93teqiFJD/Kz8fRug84kkGhRrVKglJMg+dIZGeepvheyHQ8Zr/fkhc5RZ6THnYEnuboaMFms6UxjRWvKdKi4vrmhofHJbPFEevthsFoxOL4FOW41CZHW5Xv6dkZ7fUNeV72WoJuGjObzUiSpK/UOziLFDa1Ffh5PRo4CAJ0Iw4JWbNoPDelbQz3d7c4jnQ5snZSfUrm+cU50+mUu7s7siwjjmVF1TS1vXBdjGmkEKwb4mFAYi2LdV3RKk0Yx5yenYkT4GhBXeRcvX9PYyoGcULgBey2OzZ7ga6U1iVimkacCTogCSM2m1zQt6GIOFerFVmWUlUljqOJBzFHR0e0LZRlzX4nnbfAXXTvyul2/VVdyxppt2M8mTAcjeSwL3PiwYDpbMZyuWS/20vKpA5BO0SRHKJBENigInnPR6MRQN9FF2XJdrPl7u6O6+sbhkmE64R4rhz0ySBht9ny8tuXONrB1ZrA99jtt9zdPbLa7mhazWJxTBBEzKci3kvTVCy8dqUYWKExjeystdaymtTiO4+TWJwmWgJfsE2CvMuSSdEYudBbZMUGbW9xlumK1QLZi8zRMk3Iy4IgDAh8TwRsvlyQraXSdX99PEqX8Br5/YxpcD0PVG7dPOLyOT5quXt8wDTyLIe+izINVZFRG8N2f0AhxabSouGpKknc7IR/48m4X2l0BXkrxgI75bFduTEi8m46hb+9L5SSrBC7Pv2gE6CfstR1TWsnSYEbiP2xFkiRANRtQ2hNeEorG9vu9edC9zwKwt6xlm5wXRGIDm2exqtXrzgcDnilYLLzvODm+prjkxOZpEURZVkQhgHjyZjpbIayIlbfD6xqP2K73fLy5Uum0wnTqVz2Sql+3aVR5Ls9rf08RIsGVV1ze3/L3d2dRZE/YzIeC/MjTcEYwjiWZrUVXLxSmroSC7g4L6SRdRxZ2VZVJQ6gQOySrufJZMqYXrOmlOJPCzD+LygGRC8gD4J0t7JTCjz/R/vEzsYxnU77QIvOStepgZerpUVR1ri9V1OS6YqioCg/2CaM3bNUddUrdKuqoirlwOxIT52w6pNPPmFxfCQTgijqR7j7/Z4OftGpmXtdwEfVXffVBURsNivevXvHt99+y7//9/+eX//61/bPLoWA1or2o/FXp3z1fb9Xcd/d3ckawLLED2nK/d09aZbiOlo8s55Po2C1WhPF95ydnXF1dcXXX39NmqaCtR2PORwOLJcr4cJHEbvNiuur9zw+CL1uOp199P2l8qCWGs9zmM8nksjVVOwPG8buhNFoZMdgJYNBKYdNA1pZhKnvMZ/PUI4mjCLqUgQ5XcymUsKDUErj+V6vGenWFd0F8nGwkTGGw36P45X9y9K2UtjleUaZG+bzBYPBgPVqS1kWFKXYvQ6HA0+ePAGtyMuCyWRCkiQfqX3FWvj61St++OEVZWVYLBb9z6IrKLtn9nA4EAQBn3zyCSDZD53gsKOpydrC4enTp5bIWHLYywSlqEpWq2WPo3Ycp7c8dqP1JEmYTARAJGK8DMdxcV2JG91stmx3e9oG1pu1iCXHE8aTGa7vM41Ei5NlGevHB5QSloWpa1aPjxLXXMmOsjGG3XbbJ2dOJ1OiaC6pbbuKYTgkGQz68fQHDLXlMQyGtK3iur1hv9+Li4QPWoU0FceJbiVlczAe4QU+y/VKQp/ahiPXJbCMhfv7e/tunjEaT9GOIssOZJkIB8uq7Aswxxa/aSro6uFoSFEUvH33jtOThURuK0dG9caw327xHM1wkHB0NOeQ7Xnz+g1ff/OSFk2cDAmCCNfxGdhn5JDKO6FdjRcGDJIBcRz3upKuo3edljAMmIzG0jw00sXXjazVutFsFIlI8v7xkX2W9wJpKSxlH98J/rTdx++2QnmM4ojAkzAlUdx/GPV22o3ufOv+gg8iNmMEjlMVpbUPG4IkIgwDNFJYVbkitDbL2hYDmVW4y52r6VL3sD/n2k5dwjDs/xzSrbt9B9xa22FjhF/wz9e46qNd9YfGSuyHH089JGZckySxTD7Uh8C4bhVc1VV/Pru+11+E3Zi8s5AnSSLvoIUB+dbN8Mmz54S+z3fffS8Tk6alNYbVwyO+I7b4QZKwLIVHkAwSmcjVNfd3dyQDQZJ3BWuapr2t9mP2v+M4RHEkhZfNiej0dQN30E9aTk9PZU3bQuQHDCKxBnpa4WoXRzloNK0Re67YZENcx7MiRHkm4jjuXXRdw9qt5rrP6+Pn5v/s608uBroKSfFBPNI9kPv9vt9vdTu/tm0lMvXhgclkwk9/+lP5MK1fv2kaQfFGifW3i0LZmKYHJXQPUG2KPlTG87wej0v9wWd5enrK5eWldH32Q+q6/bIscRxHVhJWmfqxxa/9Zw9sVVWs12tevXrFDz98x9dff81vfvMbXr582e82P4gzHJSrMN1+z+7guuyGr7/+Wv7spiQMfU5OTvA8lzTb8+7dO1zXsQSqAa1S3N8/8t3333Nzfc3lxQW73a7/PjoF6s218OHPz85ZTKeMhyN+84//yD/8429YHB3z+WefMRgMOKQZLa0V4sjL4bkeNNK5KDSOY6vJ2vTOC1M3OGiSQcxgOKS07PqqyAElo3zPky6uqgRe4jk4WkaotCICahW4HZ/AfEiI7IQ0bSlakbY1uK50NZPxkNXqkf1+j+PIRWpMw263Z7VaMxyNODk95ZBlHPKM6XQq2gtrqQNDkWes1xuyPCcMY87Pz3sa3Wq16rUvxhim0yknJycMh0Nub2/7wqVj5mutybOMrMxJhgPpYgIZmXuupKbVFghUlmW/13cch3fv3nF9fd2HX/m+z2q1knjpLJPLfb2xB6LDZDwB4OTkhKfWfdJZ+rrnbTweMx4NZVV0dUOeSfeeZhlFWdAqLHRLaHC+71GbktFowP6wJ00zRuMxz549I01TG3O8I81T7u7u2O32aEv5jOOY2k5Odpa02a2GkijGtI3Nm4DNdst2t8O0DV6XspfL4QoiSPM8D893ybKUwyFF26OkMR90RN2EZTweo5UiS8WaZ6wdWasWz3XYbtbkecpoOCDwfXzPo6o8qrISO1erJAI5z8mzDEcpaFocbcmeSouDyXP7ArbjyMvUscB1Plw2NA1lbWw64odE0s7uWZqabXroqXdaOSTx0F4QMpavi5I0zdjvD5JFMhmjXYVSDa2paLVYHF2bH/DxJfOxEKz7ZxFnbnFdybsXIt2OIi/Yb9c0psZXLY3vEUYxhb340YqmathuNtLIaAdtXTilnY4cDocebS2CPbuu7d9n0Qt1k4HuTOzdBOrDHfEjnYB2+u+jOxfkM27orIF9I2gvtaZpqBtDkaZsthum0xmeJ5bnTi3f3SfdZ6NspoJWmuEgxntyiaMVN9cypatMSZbnHLZ7hsnAupAcslzQxkEYEiUJy5UkIE4mk777n06n5EXW6xe6d7QTDQehAL+qWvQCQRgwnc3YpwfyIifwfBEyWodY5Hv2PJHshaZuqS2cqCxrXBS+djGmpW1kIqQU1v0S9kV613h159D/34oBERB6NKbFmFYoblVF2TSURdmrZkUQWPSrgvF4zGw2YzQasd1u+d3vfsdytZKdaBL0f1A5CFwcp+nhN9iL1Ri/V+Z2didB18o4fjab9YVA90B0nX1XOX0sPOwEPv98MmCM6VXVf/zjH/nd737Hy5ff8P79exGh2EtCLjOpjJWres2DVoooClksFiwWC9q25YcffrAXG5yeHfPppy+YTMYWXyv7xMV8ymQ6Q3seV1c3/P2v/4G//bu/4x9+/WsuLi74+c9/jtaau7s7iT81DXEc8OzpM1Tb4rken3+eUZuGx9WS27t7SwgUW582QgtuDbiOKxYfNEVRoVRtX7q2P8QE+NSJWDuxlLDRtdL4jktFCU2LskIqV2k0LW1taLTsJkH2fKV96buHtGMZ1HZXeny8wPclEhRaGaUbEQa5ToExLUVRWnvoSBwXkwlhEtmObi8wltWaokhJD5LpfXp6yosXnzGfz38kLpXxf9oLiDabDT/88AMPDw/9pduJrQaDgeS1U4u1x/VxHJc8X7PZrInipE+X/LiDE7xo2XfSHTlQChwhiKVpLtqaaUyLIs0LXnz6gl/84hd4nidJmH5IHAaMRkPZ11u9QFVVmKqmqguJLc1z/MDHDwNczxN0t+Pg2QQ83/cIQ5/VZttbNj3P6y1JRSWTm7KsaEzLcDhmsViQpRIg1sGauksT67gQ+ZgV+9XSreV53ocndV3xaCjphUWZWStkQFFkVFWJ1j5BEPZpnh/nfIRhyCBJCMNAujorchskCWUmsJY4DGhNTRj4XJydsVlv2e4OVLVhs1wRuqF1z4g7QDkO2pEEzbwoaEzTd8CSzOmjCKnrSkK+UDIVq2qKSgSu3fcomoFUxE7KICFLBoWD1h6OY88fi/XuCsXhICEMfJpGKKsShiOaAtfRVq9T9gd6f+l9dI51l6nne0RRiKlqmaTWoneo8oJKaWrPpx00EvLzz7znEhMcoT2XovqAh+4mAz36vQVajaM98qzsHWSe+4FB0l3ycsErWusc+hgk1UXSA32D1zU7cheo/uzuRXFaoa0leb3ZcMgy4Yp4vk3E1X0TZ4xgrHfrNYEt1mgaQt/j5OiI5d0j26KQz6osWT0+otoWP/RsmqBkemjH4clwSBRFNA39ytp1XSaTCdfXKXVt+gu4K0JqSxzst/RyOeC4LpfPnnI4HNgsV7S1wVQVu/WGIkuFGRMIMr42LU1pqFpDY1q7gm1pSoFnta1YTo2R6PWOdPpxZswHK/+fzhr4k4uBTkRRV9JFNg10ns5ulNUdol2lnSQJs9mMoij4wx/+wKtXr7i9vcULfBtkoaFVRFEsUAYt5CnAvgR2BOQKahPENnd0dMRwOGS33ZBnGYvFgvPz894DDR/8z53dsJsAdP9b98CDjH2ur264vr7m66+/5ptvvuHVq1dcXb1ns1n3e6muS5J/pt8vdlrEwXDIz372Uz799AVRJClp3WcChqoqqOuSqiqZTsecnBzJBMHzGE+mJKMRR0enZLmsSW6urviHf/gHXr9+zfPnzzk6OmI+nwuZzA8ZjSbMp2M0wi+fH8m4UohsUjx1+ybXkXF+WRryg3RKi6M5rmuT3WgtUcunLEpMUZBnKa4nIUBRFAjesrICqSzrDysZh2u0Em9wW7e0Vpdh7N87IV3nX6/rCuVo4jiynTaUlez04yDk4vklnuezWm24v3sgL0qOfYFweDZLvmpqfv/736OVYvmw5O72ht12LYp2rZhOZ9R13TsJukATYwxhGHB+fi6RwI+PPayj+ytJRI0fRRGu52KoqUwFaKpSrIbd9Et4DW4/EZpOp5RlSZ7nTCYT7u/veffuHWdnZ/z0pz+TCZtSnJ6e8v79+/7388OQFy9e4PtBPxlxXRfTyPQtjkKOj495fHiwa4mYphY7l+O5TCdTuehcRTwY4PuePcxqNptDf9B3DpmuMNsfDtSm6qdZouWRKV/X3XeOhK6IEMiK079njRWIdbqhsiyZzWZMJhPOzs76X5cXWe+RzvOc3X6H70X4fqchkI53vV7TGOn2ZrOZ6DGU6vMlTo6PGA0SHu7uhEtSV3iBxyfPn5PEA7KiIk2FbaG1S11UmLYBpamtVa1V1irmev3zsdlsxDY9GxL4spt2tCO7atcT+Evb9M9Rd6GlRUoQ+DSmJT0UdjIjNUJtalztUNp/N4kTwjBCUSMuW211Aob9bovj+iSDsI9bbxqZwCitUU3Td8Rd6p52NWESUhcldVEyTKZURcbd9S2mqinynCxNe4ukaaUwOTk54cnz5zh+SN02vHv3nisLh/u4o+zscI1j0FqmPl2Q1mQs4TidHqf7dW3b9vbEroHq7KPds9eNtLuLtLvEepIsMgXx/ADdNgwdh1YpyclZraiLkvlk2k+BpZCs2W42bDcrNpuVMEMsKK9tDE1tSLd7lFYczyWm21Q1aSPjeM9z6FIeu7PA89yetVFZCz2AMTVxLOTaKI5RQNoIpKyWC4I4El6LdoSgmeWSR9A0DaWNy66yHePREEcf4yhtHR7SXHmetu+ZPB+NtS929uvuDvv40v8vmQZ8/PWnFwOVIUszO06WD8yxPlRlhTHdb6+B1hjSw4H3ec7+cODuXoRAYRTiuj5hGDCdzjg5PsFxu8AMEarISEzZlZDGwRMan2sI/BDP9XHmDvvhkIf7O6aTCZPxWBSZzocErW5U2cEZTF1TK0WRlxz2Bw6HlNVqyc3NLT98/z1v37zh4eGB9WYj3umysLoEybVuahHatE2LUpLN7SjNYCjEupPjY1588gmL2Zw0yxgmA+azuYUN5bRtTZbl0k01DclwSKM1ddMSVBVuUdK2Da6rGQ4T4k8/5dtvv+X27o43b98yn8/51//63zAbLyjzipdfv2R7NGd/2FG3LSrwGc9nRMMBdSHfoxCwGhqrRNZo2qbhkOcywopCHEc62aHdnRV5zvLhnt1hR9VUDIYDUBJMk2claZrbkJ8CreVl8VwR7xRliTQGCtO0mKahaUriJGEwSPqONwh9/Ei6sKru+N0OxtSi/s5zUGL9dD2fZDBgqD38ICAZJPLSVDXb9YaHh3tqUxOEAcnw3EacGrb7PVfX73EdSVpbrTZUlaiwR5MRx6enKNfBCwJwRPh4cnRMaAU5xqq6TduwO6Sk2UE6PNNSldKF1aVhtztwf3/P7d0dUSKCP601cZIwHA15/uJTaGFoI5bzvKA2Yr80TSP5C47DYCACov1+i+t6hJFobfJMnkXHUzi+y3gxwahLqixn9bikaitG/ojhcERtpDiQAtsFNOv1Vg6Oqka7Apvq/lJKEwYhD0sRtE6nMybjKdvthjwv2G62rNcbirK0kyZNVRka0+Dad74qJUVPWYFdd0EmScLJyYnAXowRzHWei9iuI9i01hHUivcdWhpTs99tOWR7TkcnTKZjWqsexw+oiwLahsDzmE0mPD7eS4xrqkgGYxazGYc0J/BzytJQVjVFi1ykSKadAnztEsSRxFtbKmZnxZyNhwReRI1cZIfU5o9o0cYoJWdUXVcURU6aH9CBSzKIUcqjLAxNIx1dW9eYtqKsKpm+OQ5lVckqwnNwPU3gB9SmptynpLsdgyjGVZpGiQDRdX1aDWUl4mmHD80YpqWtW0xphFY4DlnM56S7HU0l8dKHw55kkBAEIbvdnt3hwKeTMfPFgiwrMFnGOBlSjAvKWhgItTG0RpT+xlRUdSMrDCWJllVZMRgkhPEAzw/ZrDeURYHGRhFrMSMXZWFt4lqmWmVpz4Uu1RU5n5SI5+QMECBbkvjWMlmjq5oBmiCKiZIBb354xf3DI0kc4ziK2XRK4Lts65zWwG7bUJcls/mCQTLEmAbP0biOIopjfvKTz9GOWF2174CG/x9tf7YsWZKdaWKf7nlvG4/ZGX2KwT0G5IQqJFCdhFBI6RtKN0XYF5S64QPwXfgkxVdotvCuyEIBVcgxMhCTR/h8RpvN9qyqvFi69/FAVxeyRJIGcclAxDnufsy2qi5d6/+//265omlbxkczwjglX24IQ1htt7DZyjghDGm1wWhLFCfUTYu1EKcpyvPRSULbtASBTxTJxVi6A2J53wYBTVlRlAV1IwVflKRESYiRwwE8yaBRvif6BE8okd3nrt8roLoC4F7oed8B9zyvF0f/2YqB2fQYdaT6v0DTND0j/fLqiqqqZWFrzXa7Zb8VXrgfBOwPB8mablt27sbTEfCGw6G0N6OoT6zDWZq6mby1gh4Wtr3pb6NZKurtTowSOd64xf6ozZQkiWvZepTlistLyRF//fo17969Y7Vckuf73ufcWVb6eVarMW2LaTVxFHF2fsZwMun9+cfHxzx+/Fja0UbaVIf3wmmiKMLzIc/3fP/iBelwwMdPnxIlKVdX11RlSZpmzOdzVqsl3333HUmScHp6TpKm5HnOZrNxUb9GMgNaw2/+8R8pSrm9z89OGTgxVxjFLiBEU5YVm82GtimJgpDj+ZzReIjWjWSm72V2m6UH2kZu1MZowiQmsTKnzQuZLV9f35DnlQvHCFxLXax5StyMbmYWYfHQaDb7PVVZcu77eIHETicDEXb6oTzoVnykJMmANBtSlwUvX72haUSxXteSh5AkmVNrS3dnPBrzs5/9jHfv3lCUBSfHx1xcXFBWFevVit1mQ9vU1LV7D9qWwWDI/HhOnCVUbSPkuTjCj0LSQUYcCQd/u9uy2oidM4wjDuWO7X6L0Va811bRVLUEYOWlODi0xIbGUcTk6MhZetzBqySJz6IIo4ir16+5vr6WtqnnuUyOiv1+J7dzLXGmvu/TtBLbWzcN23wvQsum5pDv2Jd7gkRuiUY5L3k2IB0M+qI4imT01jTyPNd14xTuHlEUM50eUdY519c3XF1dUVdyGAjY6ba/2QHE8VY2N21kVh8Eog8xxnVRQgeSkk2oiyLXxlDspTshB6kn3ZA4JPRi0iTp+ey278KVWORmXlcV67sF0cMHmMijKA6Evk/b1OQHsYEtVyvSbEMUJWgLbd1Q5AVVo0EFKGRM5uGCv6q6jzJvKsm4AMiSFAzk+/x+lGg7BLbM1EWL48ns2uti0iPiOGWQ+XgENK1lvVyx3xYi+gwjQqePMtYKfr0RMJXkaljnn7cSnAWuExLiKQ/tSKW6lSAgrFgWwzCgrVt0owX+VDeMx2Nm8xnFftczX8IoZJANuOOOsqk4uJTP3W7Hu3dXoiHyPJRxGRHGUhYVVoklUCmPbCDk0A5h3miNQTEajfG9gO1mI/ul1hgXwNa0jVAxjZauo2777zfAdrejaYW+aa2lbjR13WKA2CBOobZhu9+LTsMVAwqPH779lrppCcV8ziBLWfkevq+YTscURc1+t0dZz934Q9IsYX4yY3I0pm5bDIbBeIAfBpR1w2K1FjhcK3ZJlMfNze2PBLddR1AEZx5V0xLEhiCKCOOw75pprWmMxlMegR/2QLiD0Wg0jek0VyFRFFM1LVp1oUzSEbDGMkgSBmHAfrcX7onrhBwOh164XFU1yhWPUgxogkA5F8KfsRj45JNPKMuyPwTevHlDXdfcLe744cULYfRbqKsK3TRg4ez8nJ/97Gdoa/mnr79yeM77w7YTJXWAnsAPf5Qh0P2Ce2BF305C1L5n52eySaUJ2WCARSqixrWtOgtLWZbc3t7y/fff8803ogPonAlVVdG4PPZOO9C1xuQDUWCFnT2dzphOjxlPR1RN3Tscbm9vXYpcxeW7dxKs855eoW5KtrsNrdaMJxOm4yPCIKapWoq8BDwWiyVt0zCbzXu+flWJeOWXv/ylCIY2W0wrc6PFcsGLH56jfI/R2wmzkxM++uhjHj16iKe83t6ZH/YsF7dsXJLfaDAkG46pqhrbNDRN5Xy1JYvFHaPRkLPTE05OnvQC0c4bHgRabsUOuNGR0Q6HLWHoo7yAh48eE0Yxt3cLfvjhB2kz1zWnp6doI86D1mhs01EpRbgYxpGEIg2HwJJvvvmGly9f0Taai4sLTk7OpLh0G7hB7KRxGLBcLsiyjNOTU6qyxLaaNIowpkW3hvF4QpoOmEyEQyGoZBHteHHSf96r1ZKmadlsN9wtF9JOvThHa8Nms0O3hjiICDxpLzZVy2gyZjqfibjMF2qZMUbEay6G9+joiCRJenjX7e0tx8cSoQv0o6hOXQ33jP4OfmOMZrFYUhQ5RZFjmop0MMD3A/a7A5vtjiRJ8cIIz8X4dqK8OI5Ffa08SW5sGqcr8BzZ8RQsVHXj1k1AR3obZBlFIUEuRV4QxRHKSIzu+yCZrl1rgPl8LpkBnsd2u5WiHkfn9L1e+KtqsO2PrXSdCyWJE0zbolzreLVec3Z+QuxnlFXNuizI93vevX5Jmia9wr3j2islVjSDom7EIz8cZFS6RTW1FGhOx2K1IKMHaUYSxVRlybaqepFWp2eQ/ckTD78DzgRBSBSHgu+VSx1ZmjAcThgkMd8dNnTI6O6ltSZwYtQskxv7dnMjXvpE0WoRIvquE+F5wh+IvaAn9KENTStFge/7aF8w6E3TkCYx06MpSSjhOsvlkpubG1lnDq/8+sVL9pstrbYc9rmMpdyYqGkd1VH5Ugh4PhOnjcnznA7Ao7VmtVyiRyMSlzXTdmNVWoo8J81iIj+gdth0+Xx997m0bDdr6RY6CzBKoa3BC52V1XWROs1R5x47Ozvl9uqKw35Hmk0IopjRYIC1sN2sCIOYcBRjjKVpK4zVpFnKyekpR7NZTxbUDp0UBQGjsXApAj+gKgopyJRiv96wWC6pqordbovCstlsegumtvc2UHyFk5LTWjmrOoeF8jz8MKDVbR/zrK3roGLBc7wdK3j7tpGfeTDMSJKY1XopQX5h0O8l0CH5RWflebZ/xt4Xaf7ZioGmafj222/7xLfaRae+u5J8cN/zGbgAjGw2YzQcMZ2JvfCDjz7i5OyU//Sf/pM7hPMfzXDTNCXwAwI/6lsanZK7Y813QpQO6HHID4zHA2azI46OjhiPxwwGg36G+X5eQnegffnll/zmN79hvV73AhgRBda9hbG7ARlj+vjeKIrJkpST2ZzZ0YyqLLi+uRE0qrWsViueP3/O3/3d3+EpmIzH/O3f/i3Pnj3DOtHQ3eKWV29e9V2M3WaLpzzG4zHnp+f4QcBut0Vrw9nJqRQSSvXityiKePbsGVprlrcrXv/wAqNbhsMhs+MZBB6rxbKnC85mM8aTCXEUu/b5gCLPWdwteP3uHUfTKZPJhGmaUhY5bV3TNpW0tT94wsl8zsgF13TzbxGadb54sWve3NzieYr9ds3N7R1105IMhpxdXKA8j8lsyvkjSb/TyoE7rXY4Vt2/52OtyYZD8rIkVOK/v7664frqhuFwhOeFrlCTzSRNUwbDIUZBMx4xnx3JomxaNus1TV3j+wGj4QAJV4kZDIZkmWPPe6ErbkI6ql6RF+z2oljOnX84DESAFwQRg2xIXdVEfshoMCJLMhmZtC1BJG39qq45FAVFWfSUs7OzMz744AOyLCMIAg4HUZN3HZ8usGsyHTktzf2YSym5fVhsL/Tc7Xf4nljnhoOMNMl43b7lkC8JjaUoSxEfBoEIIN0hG0cRnu+RJBGBB7QtPqLOn07EQtc2mqpq0FpmkrtICow0SfHdPL91Ir5OA2Kt7d05ZVURJ4kr3k7YOvKiKFkD1ymwvc5InAKm7+x1xUCWZUzHY4aZ4KQxmlaLtc8LQjw/YL8XK2DVaurt3pEt7wFiohOyPR1SeR6NjsnzgxSCoeRHGG2wgSXywx6bmx9yDvt9jyzvigFjxAevdSNebg83MhWX02a9xVoYjyuyOGM6HjEYJE7t3d0WRSswyDKOj2WdKRTb9Zr9dtdbk5N0IGMypw/QbUPofOZaS+xz0zT4TqflAUY3vXBskA1QrqCMooj9XvDwvu8zHo7ICxGHRnFGEIY0xqBdMl5d1yRpSpplWNfZqeua7Xbbj9rk2TRsthvKPGeQDYjCkDROGM9GEFhx4hxyikNBfpD0QtE8RFIMtC3aiJ2xqWuiOCaOYyms7f1n19l0OwtxEAQMs4wPP/6Q5d2SLE0YjaYMsozpdMZ6cevIjsadA3KxTGZHTGZHglnGUtZVfwi31hAnMR9//DEffPAhRV4wHgw45Dn77QbTVOi6pNjvUJ7Xd2uDKCIKxGGkjabpQGWumEzTFI2MG/GlC1i3DWVdgScXX22Ns616DoRnRbhsHKm2qagaV0ToBmUN0+mU6XTaW1yF7Hn//HdW/05X8GcrBt69e8erV6/6m0uXENcJw06Ojzk9OcUDZkczyZ3PUjzf71XaWZbx6aefcTjkvH37tlcydwp5YwSDOhwO+65Axyjoq67uoNaau8WCfb5nuV4xHo+ZTqcSJ1pLylxnr6jrugfIdDPBbhwgVowGhemxt11UpTUWzws5Pj7h7OSE8XBMHIXoVnN5c8lmu+m/drFYiADKiR5vbm7Isqz/eS4uHuD5AU1TEwUh69WaYpsT4JPEKXXV8IfffcHLly95+PAh5xfnXDyUDVV3o5f9nqdPn0qxoGC/WRGEHk8+fMJwOuLVqzcs71a8e/MWYwzHJ8eo0MdUCj+KmcQpYZzS6te8fP2G47Lkw48+ZH5yjAe0dUkYBL34cLlcAvdWnyRNCVOP6Wzet3/zPHeEyS1v37xiuz2QZBkWy2R2xOR4ThRHvQDt+PiYQSZ5AL4nWQGdEjYIA0lBMzCbzfnrv/4bjo6OUUpyCWSeLKKy07Mz6S7lwjxo3I22E3ZNxhO5IZqG/e5Anh8wxjonRsYoG/TPsO95lF5FXVbkec56vaYoSyZHU4IwoNUaP/AZDkeQga980iQjDoScV1Qlo1jw1ioQhj5KMXFuhK6oKt0hfTgcegtQ57rpGAT31i3dzwMl6lS6QXVdU1dCQ0MJ5CaMMsZHR+DJLTbwfa4dhtVa2xcYygnwsIbF3R1xFOEHPoNsTOaLan+5XOMrYdDvNkL389zGMhoMGGQZTacfgP7W0R1Au90O3GFW13XfgRsORyRxzHgyoigObLcb0d+8p3p+/+eOoog4jLDasFwsSdJIUjCrikZr/CDED0LiyPDo8Qc0tQScgTBROnWAciwQQeRqri4vWe+2hHFEVVeczM/IsoEgboyhOMgooyzy/uCpqorD4eAQ5wldPC+dY8cqdGtoK9GwNHVDW9WEvhBRu4tKt3fVdUVTN0S+RxJGRIEAuibDEZvlyo1IGsJIbufd+NR2KnGtKSvRYnm+T+xsZiJSLPtCMomiHu3cuaw6RT9au2AgjzRLiZKU3eEgHnelKHHhOMoThoWFVpf9Qdy5L6zVjj7q0bQNWMsgzaRVjQhtO4AaVrNcLqjzmrLMQfk02tBqJzYk6LvGyum8uotIkia94LUTIw6ylDRLmRxNBAQWxU57EDIeH2GMdTZ100N4rHVprJGMszLlbK+JhEAFoYhQL84fUBxyzk9OeP79c67fXWJbzW69QTcNgdM+NU2DVYo0DNzFqSLP92wdVO7BgwdksYyptZFxs7YWPwwIwpAkSWkosArqtsEYJeJD5fWUXM/3BCBWKFBSeHf6A2sti8WiF2K+Lyjsgsq6vffPVgy8fPmSpmk4OztjPp/3/PfBcEjd1BzP59ze3LJar6Ur4LDAjfvhu9bf0dER5+cXPHz4kLu7u77TUBQF2BVA3yYN3K2s2yy7zUI6AKfk5Z4fXv7A9z/8QBLHfPDhh5yfnRE6wVhRFP33dgdFV2V3GxhAksScnhzzq1/9que8d0rqjqMuVpQG3bZEYcjp6Ymk77lF1eXGZ0nCaCRQl+vra66vr3n69ClhFDKZTmmbhkB5hEoW5mg0Zjgay2zaD1gv12zWG9qmJR1kBOGhv4VXrvV7NJlydn7GZ59/xrfffMVqvWQ8G/Pk8RM2qy1f/OEP3N7e8uzzTzmazfrZXtFIgtp4OuHFyxd88ccvKeuKzz77hPnRjCD0SSO58W22W7abDev1uv/cgiCgdulpYRj14I2u5Xs0n4MXYKzlkBcUpYiRBs6rHLoAkH2ZE0cRw2zEZDqVACNXxVptoIEyLzg6mvHBBwK5yg852rT989jZaXaHA6apqcqCtpGx0GQ0lmrcappW4Xmls4zF/QG237qDMk0YDoYoC3EUg1OYD4ZD0kHWK+SbtrlXN/sy+21bzSEvhOxXx4RJIjn0cSQ+ZTcf1FpzfX3dx3h3z3Lo8t27jkH3THbvRX979sSfjZI2uOhtoG1aCagJS6wVVfpmu8dTtr+RvO/DrqqKMhcuOlieffIJk/GcNImIUiGc7bd7tK9RSiA4vu+z2WzYrNdkzh2knE0P7gE43ThOt20/Slyv1yyXy74oTzOZjR8Ou76F6XkejXGEUOg3sLZpyNKUYZrhIQr0bJDJs9y2KOfHr+saP5CZcn7IRcjobI9xIgCedCjz4KqRKGwVikXPGM1utyVw7fiq7bpKDR1V8p9bYkEIl0kSEwTSZfH9QKy4VjFMB5jYEEcx+W5HVRYkw5TZXFwlVV30RYYyAUVR9HCf4VAASEVVUZYFKE8Ic1GK77RCrW5oK0NRlWgMSZyA6zgot8dqB2iLw7DfS+G+qA/dszbMBhgFxpNCqaoqCCOm4ykoH4sUVrvDAS8IXDYB/Z56Xwy0eK4LNkgz5y5osLZ1InCLH3hMp2OUgtVqyWazFRGe8mQ/iWLCIBYOhAzKMa3t18Vut+tprO8/z4f8QN02guVOB3hWtFICs5O1pzyctswFPymxihosXumDp1CRT6s1s6MJDx8+pC5EAxcGAaHvkUYhRjeEgUc2naKxvHv3juFoSJQkHM1nnJ+fy59RN/gWQuURogiUxy4vRA+ghR8QJ4nYj6sS6+iVTd3QGhGXe67Yx3fFgK4xtQEfAi8gSdIettbZVWWtN30n8H0r/Z/y+pOLgeVqCVgGg4yHDx8ynx+LLWu5cGl5MnObjEZMjqYMhkOSNJF5cyN+3UGaYVsNNKRRwOMHFzRa5s9N07Bebbm+uuRw2Lk89RDrZjHi/bUoZQlDH2O0ULfaltOTE05PT9wGtOJ4fsxsNus7At2hPxlPePTwkYAvlIwPzs7PePDggqcff8SzZ09dJyLA90WIsdls+Pqrr7i5vqI4HCiLnDROGI0mRI8eUZQFq9WK6XiMH/jMZ3NOz077jTjP5YZR1bXAPrShNVbSsdz89ub6hqZpmB3NOD87Y7/f8+zpUx6en7M/HARZech5/vU3rO8Wwm6YTsiShKefPKNua/FCO8HWbrtluVxyfXPNRx9/zIOHD102esVuKy3mum548+Y1+3yL1jU//+nPOD6eEYQRm82aKs/7cI9uk5aXT9WWrNdrdvs9ZVUxmU7I4ojddsNuL5AZY6EoSrwwxJgBWZZRVhKI02qhDZ6fnvLo0SN305J73CEv2K227HeiC9juNyjl0RiJN/V8n8VyyeXVlRvTOFyuUk7L49O0Lc3OtVvjoO8OWRRZNqBuayojDpG6qX40V8+yhLou+xyL0WhMOhhwd3vrgCw+ngpE+HTYcyhyER4mCXGaiPDPCYskcU7m16vViv3+wOnJCSCH/mQ87p0zvi/fY1rTF6LdBh4FisFkQJomFGVBXhwo3GejlE9VNXIY5gW73R7f85mMRoJR9T035gDPaOraoBE2RZwkhK7dG3rgexbPGrI4QrdgGxF1JXFEHkoMMRYCP5RboFLCrVC4n1liVjsKY1mWvY7A83ystqyXa3bbPVZblLtRd89Z5eJ+tRbs+en5OaPhgMNhT2saFzQmpNK2qcRxBH1mfLcBaqPZH3KMtQwGHkkQOSuozzCTgqJuGjzlMUhSAk8J1dD5/G3g4wfiksEVWZ7nuQyTFs/4otmwGuyQ8WgoYUZW9iejtQTcOL0KJkQZg2ka2kowxlZbal1ze3eLNpqTk2OSNCJJI4o6pypzEU8GIcZqwjgRTkGRCxEzlgCwzpa4z3PCwCfNMqqiwJpWOkeh5DnI4WIpy5w4CqSYMZp9UVLXFca1p8uyxI6lm3Q45JRl3Vs64zhyrI6g/2fBMhvCOGI0kPj0WsttPA4CAt8n30v3UDpDU+IwZpiN2B1ytoccbSWjIIgExqONRlkJp+ooe/vDHmtxVnERYWpjCMMYpXwCP5SAOy0FSKsbam0wKJSVjkDbGupGYz0PvwrBk9m+blps0zAaj3lw/oAoDLl++04yFYzGxzIapMShz/nTj0iShMV6w+16zR//+AXz42Nubq9ZLO44ns9JIsmlmYzGcmmoxdm1XG1kTKwUWTpEGdkjdSXruGkNtnPoeQqL8F6U1wU+ec5xc2/5fB/H7HkekevUe4B1FxG/9/n9mYqBTz75mNvbO66urwFFnpdMJlNub265vHxHFEU8ePCAjz76iPF4TOsqFmutS/oyTEYjlDEEnoAk8D1a45HXJZ4XcHQ0YbfdgdU8uDjjJ3/xmczIlxt22zV5LjOgIm+5ur7k+vqG2XzOp599xsX5OVprAaRst7RtF2BxHyeqgKPplNFw2AsXnz17xvHxnCiWlMDVKv8R7nO/KymKitVqxWpxh9ENSRwTBgGPHz3h4uICgP1+z5u3b2md/7osS77//nu22y2ffPIJw9GIphVVaej7RIEkDF5d39LahtgBdR49ekSe53z4wYd4GBIvIBxN8FrDcrnk9fcvuIpDPvjwCcfHxzIaGR87f27LQ3fwHw4Hgel8+x3b1YpHjx4TJ4k8GJ34y/e4u73mq688hllGHAZUYcji9g7bNiSJeLw7z60cGpELkRKQxiHPqeqaqsgJfWENVJVwEoZZwngyJUoGKOVRtBqrPI5ncxKH4Ly9W9DWNVVZke8ly962ugfWeDslYJrAEoUiMnx/Jiy5CBbr/j6e76MdOtb3ZBOLwpg4SeX201ZEfkQXpmY8EZYF7napTYUXCAu9aSqKqhDBT1XjWQmgyouS3X7Pdr/naD7j2aefMBwO2W63vHz5Uro/vgjNkiRls9nw7t2lG0vI7SkMA3dj6dLxjOO+u2Q6l/InRMuGcr/H6pambdxcUIRrvi8diraVpMfxeITvRQSheMdpRawVRAEWQ6UbCEP8KGaXF9TtLUeTCVFgKJqGpi6JwgQ/CDiZz9Ge5fzilO12x9XVNa1u8TxfEiGDAD+TtMKi2Duvue7b6kA/OwUPhe/GQ0KrbNsGjZafu2nx3VpduNTGKA7xQx+r5IbqBx6DLCFLE97d3lDmB7abFYfdjjAISTprl9YMEF0D1tDWFa2DKmEMyhiUtihfkUWxoI1dFryIG0O8wO8FvK7OYb3e0LSa8XhCGATUdYlpKrI0YjqdkA4j/IhekySgHdiuKlZ3C+qmwRqI/JAgCWh0w+Gwl59rmHBycsLxyYz9QTz81kiwWZ7vUb4PnozwBsMhfhC4sCHlnusGFIwGA3TbYBrrOiSiaIyikCyNwTREocdoNBRXku8TNi21MXhKsdsfWK1WeF7gLgA+aZIQJTFeGLgxg1zGunGEtRCEYn3M64KiOGC1YdRmhEHI/iC0zbrRJFFM6AVMxzOywQRvtWK12dFahVHg+x6+VQRhyGAwYDQa0eju+Q578qMxFk/5HE1nKKXYrNZsNiviMCIIQ/wowItjmlwTuFEgnkfri3Bvs91KRLDrYpnGEE5CJoMxq8WCxe2NrEklgtTReECSRDRNhVKWLEs4T87Y7XfMZ0cs1yvubm/wlEv8DKQojr0EbS1N21LnwuOIHfVykI5I4h06bgmCBBCbfhAKmEkbgVF12rmuy9hpyDoxZz9mc/u6AnwlrGCJlP4zFwOvX7+WeUsg0au//e1v2e32/ZvVzaSKQm7KYRAwdOAWkHbixcUFpm3QjYg2Wq0pqhLjEK1eHOBPJVXuv//v/3tOTk744x//yOXlJfv9Dq3F819WBa9evRShzmTC8u6Ouqq4uLjg0aNHLO6WvH33js1mw3g05tHDh1w8EP/51199zWg04smTJ4xGI4dIls2/u1nsdztevnzFar2irltWqxXb9QqsYTIaMh6NqJuGzXbLX/31Lzk5PuFucUcYRdwuFnh+0EfDPn/+nPFYPODdnM13Sn/fE9/tbr8lS1ImoxHHRzNWyuP46EhoZsYSxRG+8ti7ZEhrY3TTcNjt+nz1MAzZ7Q6YVnN2fIKZzdEPDddXV1zeXBMEIfO5uBS01pJ1MB1zeSkz3Vev3jAaDJlOpmL98n2apmW/P+B50toU7/yYwXBEmmVM4gg/CCjrmsu3r7FtQ5okvZo4iSPiIITWkBcHPGsYZDHjbIA2hqoRz3Fb19RlTV2W+Cis51MWJXVZoSwkLigkCKSgU+6Qt5HcBrrM9O4W2s2hPeVgHAriKMJgUb6HtW7TCUK3eUhamOdJGxUrB3GcJKRJwnq95uWLFyRpysnpGVZ5WGA0HPLR06dcXFz0yN7ufeoWcF3XvHr1iqqqOD09FXriaEwf2apk09ZabvbdaKDTDyil0FXFdrvBc/Yw5Q6qTlTVgVo6gl4YxICHMaB13SOTPU+ALVdXV4wGQwZZhtEahSUODEVesFwsieOUNBlInPJmyWgy5mh65MZVhRO4iTW4c98UDkLV2Xr3+z1pKjAa+W8yD02zlDSRcY3fSita3v+U09MTptMjlsul41bcvwdlWTAej+SAcNHA3Xoty4p9syeraybTo15o1mOwwRVVsimGQYTWjq0xGv0IMS6/X0niOnvdjLobFyjXUQx8jygMieJIYE66IQiUu7yssFaslmmSOnGy2JKNsVgjt7se16wsVXWEUvQjI3FF+GgLh8OeoiqZH58yGgqrQqQ1Vm6R1lFUXcfN9xRREhMFPmVVOzJqzHA4wFPWfa0cMsMsI1Meu6KgLCsUyoXvCCsgScThEyQxrRuLdnkvnV5ESJ0Dttu1CGexBJ4n+iwq15mS78m1JvFDSU50B/7uUGCsCzdCudGICDsPuz21uXeGvZ930DnL6romL3JCz0fFHn7gkw0GKBR1IemqUSz7g0ZinbskxVGW9Za8BxcXaG14/eq1sxHKnhCGkl9wcXHB8+fPsdZy/vARjx4+oqwqpkdTySJxkedRHDkmi4wuz87O+kRMZS273RbPDhkMMkajIaZu+rPB8wWR7QdBz73obKahKwA7wmn3s3fjAGMNuIu2sj5h6OHp+wjsP2Mx8Apj4Hh+gtaGum4YDod89NGHTCajXm17dHSE7/ssFwsOzrrXHUCdragqhFLWzWN13WCaliBKe4zr119/zddff833339Pkgx4+PAht7fXvH37lrvFDdvtluFwxG67pXGpc3VVcXJywuLujvnRjPOfXIjnezLhaDL9kRp2NpsBXfSxwrR1L9B69/Ytdzc3HPKcRrdEkSjCA8/DaM1kPGXq0ui++vprt9lKMmAUx87fX1K4bOvXr18zmU45PjkhSWJ00wKG4+NjJpMR//TVH3nz5iWHg2zUWZahlBWBTBxhrMFXijgKOZ7NqNsKPwi4vrrqK8MwilB4nByLbcZTMmceDoeEy0VP/eqKNd/3+fijpzx9+jF5njNIMwZpIuK4wZBQWZpGxDu73Z7tdsv06IjJ7IT56YnTRSR4vkdVVtim5u7miqau8dyNpK4q9mbHyfE5R+OJs9RoDustFijbmiLPpeAJxe7UWzFrcXjY9+hinufR1A1VVbvgLOscAZ77pRwIRuN5liRJCTyf5iARySglqmIXy6rblqItSBMJssEqBklKXZSgJGo2iSL2Zu/ipvdEUUKUJNStZjQakWWZdGxev2a1Es1LEARYA5vNhsViwXK55Kc//SkPHz6UzV754mAxBpB0PCm89gime9ALCgG071NVJcop7u17KYLdgdW1CqNI9AwCvGnEKqjFt5ykSU9jO+QHrm9uRGQWR+TbFUYbqqpktzuwXHzL3WLB6GjKfH5EHKeoJWIfVUoyK6q6v5V0uHAQTcbhcOjbmHVdC5iFjdjkggytG4IoJPUUng+VI7ONhgNGg6zXGdwT7WSTa7Wo0U9PTynzXJI8tYwaOgbF+zhWkG6LQRxRyg/ENukLmClJEsqyZOxGNt04LB1kfeu1I036vk82GBJFMYMsI4lDAqWo65KqLvB95VxSeV+oDUdDaUNbODqasVisePfukt12z91SnDjKQ6h6y6Ub/Xg0TYnSBm0hjhPKqpHuRxSjrLTzD2XBeDwWOJWUZhT5Ac9asmGGhHbV6LbBGk3gKZIopMhzmqqSERGKqihZL5bSsnb+/8j53kejMcPhiBbLervp35/upjoYDPjggw8caroUoZs79ITa2vbPBwievDEaXyHr0IlNcboY8eP7BJ4LmCsKGqPR1tyPkOis5vK+1VUlIU8u36Z7Buq6kj24qdBNRBSIvdX3PKIwZjAagoIiz/GDiNF4zHaz6T8/pcTe1xW2jx8/ZrfbcXt7KxyZuiaJIg67PeORBID5SkkHMUlpyor9ZkvgeRSHPZ5r8W+3G5TRDIYp49GQpijxHHvDD/w+tddqTV2WbLe73hmUDTISp0/ritduzRlrpBME4ISRTSuJr3/WYmA6nfZZ99LCHXBx8YBf/OLnxHHYq8u75KTf/vrXvH37ljAMubi4wPd9AfSczFmsVrx5KzfRR48ecXF2hjFwcvGQ+XzO69ev+Y//8T9K5Toc8vTppygFv//97/jqq38S0E7gMx6N+3lhEskh66E4ns8ZjyecdUr8zYb1akXHR7i7u0Nr3SfeZWmCaWu3EUoYywcffIDv+7x+9xqU4sH5A+IwIt/n6Lbl/OICPwx58eolm922t+6URSW+Y+dMePz4MVVV8c03X1PVJZ9//jltXbFcbfEUjMcjsjRFAbc3N7x88YLJZMJ3337LB48fMsgk7aw47FEYnn38EdaD3X7fFzjL5ZLr62sO+wIPn+FgKAeJtYKrfe/m3AnvsixzuNwJJ8enPHn8mPFgdH/DaCvyXKKW1+s1o9GYDz/6iMl83oNlKrcQ66oi8gMenF1QVZWbj+/uvdShtH/LWlwctUsca6uauqhQ1pKGEcNUbqp10xDEHn7mcLdN7cY8SuxGTY3VrRxGOhRhn1M4d6JTax1lLorwCuGIh1HoZsERdSVobaFCFgwHKUHg9Wy+wPdFuFYLSvZ4fsx+f2C722K2W4wSNsJyuWS93fD8+fOef1BVFYUDEeXuwLq9vSXLMqqyYpAOxFZppRjoXsPhkC4/oTuIQDLpRRsh9Dqr6G9J72+QvThWIbdU4+F5OJiNFEwSoWzI9wcWiwVqOGQwGLLfLNmsNy7JMefm9obxeMIvfvFT5icnXF3d0DQ1bVu7+at17oz7ACrflxAgoL+x9I6dpqWuNVEckQ4SjJFwKj8MCax0+5q2IfA9hlnquCWmF1p2WSK73Y7JIOPi4pzb62uqquLhw4dyY/J8trudeNadFuIec+tRFjXaVkSOELffHximWb9vRVEkVt3ZDD8M2Gw2/XisWz+e8pw4MyEKA+IwwPMUZbUHZZxtUroExrbs91tCX/Lnb25uaZoWzz07k/GEohSB8u3tLXl+oMMxS+KhZpeXGGM5FAVv37xhtVwSpyl1W7PfH3j6yTOyNMH33NqoK9I4wvcU6+WKtq3fG5XURGFAGwREoXTF9kVJfjhIfDO458TvEyy7jmZd5P1z1q2vKIo4dqAvpRS3t9eipXAR3W3T9qFynUWzs3viAGRlVfZwKt+JUK2LOg88Sads3Z/X4eW7W7Qxugdi6f5rxCFRuxyZNE3xs4TisOewLwmiGD8IyLKINE7Y7LZYY2h0zetXr0Uj4AeYtnHPMH13aD6f8+GHHwJwyEtev3zl3DwRpxfnpElKF0CEscShdAjubm7lPUgHfSpmnEb4viIepORpSl0J2l3Gi8oJ01ussTLqDEIBUCnZo7pI9q5Y7lgZWC1R24BtJSL6z94ZOD09Zbc7cHJ8ytOnn7BYLN0s9C0XF+d9TKvWmu+//55Xr19z7Dzyt7e3dKlSu8OOOIuYHx8zGY747JNPuTg7w/cDTh48YjAc/shb6nk+V1dXWGtceMwEYzWz2RGfPvuk50QHQUBdVXz91VdS4WrDfD7n6OiIk5MTTk/Fu//06dM+k3o8HvPw4UMeP3rIZDjk28t3tK1mlA0YZQPG0wlVW/DDi5dcXV8ynx6TxhkqgqKsSLOMvChEK+AU/74XcHJ8QhAEfPzxx3z22WccDgdevX7F7e0NP//5zzh+/Ij1Ykld1bx8+YJvv/kaYzTZYEDge9zeXPN3/+H/w+LpU4ajIYPBQIAdreaw3xFGMVGSMJ1MCXyf89Mzzk/PePvmktvbO37zj7/m+OSE+WyGQvzMXfux2/hGoxHn5+d9LOdquabY5/jKI4ljhmncK3KzVD6Txd2Sd3d3bN24IvR8siQlDAKyJGI8GnI8n3NyfMzBWbSs9YQnfthTlIV0W1qJrFVWaG9R4DPIBowHQw5OMLlarfrb7mQyIUwzwJJEIXE4ZuDEqU3TkNcVVV3StHUP6fGUBKhgcYJFZ2HTmsY2NI3Ak6B1KX+ikbDuNuP5gdxiVE0QBJyenjIY5uRFySGXpMDXr1/zhy//yNt371itVn3rtGkax7SX9/vu7o4XL170NsPZ5IgoCpkejZ0754ijoynDYdy3obs10KnEozCUWajnodWPxUNdu/yeTaD7zbIDvMRJ1GsjHj16xG67pSwK1260VFVLWUp3LI4jnj17KvbWB+fSJYkCBsMBaZbR1A2LxZqqum+tdxtO98/vB5CFDt+6OxzQ14Y4icgGGX7gUVYFl1dv5eeKWvLiANaw224Jo5DZyfE9NMUKsyM/5AyiGM/3Wa/XHHZ7sjRlMByJfsWl7fXplC5qNwwjfMQNE8USPLTb7fp0yO12S5pKdxJ1H8fbjWTkvb5/7+M4JIkTwsDHD8DYitpThJGI9pSC1XrFdrVDKY/RaEySpC5wa8JsfkTdVNRNxeEgN04Ao6Gqa/aHkt0hx/NF6a6sJc1SEVzrliiJBZbk+SjBEdK0miQUYmNVlniedJ58TwTGbVOhm5qyKLBGRhC6baUFHYTgB+L1j1LXnfL7PJauG3APX1L989e2LWVV3ndysM6xcQ/j8d3PYRRoazjsDzRaczQ+cpkYbX8ZqxxGXkLkdL8muoJCNEGdsNO6rpYEELVtS2MkAGk6nZLGEbt1xPLujh7hbC2VYyCI9dDn1etXJFHMcJBSu/1cAFbynB8OB+HCnJ+z2x9Yb8QWHUQhF+pC7KnW9iReD2iqCotYpY2CzXYtYXbzGZOxXL6iKKKpZcxnrGiGWqNpaxkTZ0nKaDxm6gBHuRMXvz9GAxkV+eb+gmG0+f/PmMDz5Nbx+MkTtG65urpiuVry7t1rxuMxP/nJT/jLX/yCIAy5vLwkTRJ+8pOfMBwOuLy8EoV6JdSvNB1AbFgtVyzuFhxNjwh8AZaMRiPywwHPze7TNBWu9iDj888/4+OPP2S9WVGWwtKejCdEUcRiseDy7Ttevnwp+gPfJ4ljTk4l0nc6mTCZTHj8+FHfPmpb4Ud7nrOauIcsjCOqpma335ENB3z6+We8+uElX3/9FWenDziZn7C+2wi8RCk2jszWdBaXOCYbyLijaRuefPiYf/VXf8mvf/NrDvmexw8fMExTySGvjvGU5fLyHaB49uwp+/2OsixZrhZ4vlhiPOdEKPI9YPE9Ra5bpzwVitxnn42YzeZ88cUXbNZrUsdomEzGRHFI2zZ94NPr169F45FLS2w0GFIXEvea5weGg4zxaCjhVI7oqKuK2rQURc5uu0XXDaEfcDSZEhxNOKiDU8pm0npcrbDKhyB0G1or1ijT4reK0AtcpSto353Z9uMVEdVpF2QVE/iKoqj6eF5rTa909yuPvChp2/sH33rW8f/vUbrdJmJMK210azC6pa5KIfJlqYjOcDdbLxAICIoojqnqht3ujndXVyyWayGSNbXLGLhPbQPpVAg5hd47vVwuWa/XvHv7DqWk5S5hXkc8e/aUi/MHnJ+f9zbV7jDyPEWcyIzVYsG4XAN9/2f6vtd7quVzLdBGS7s37UYHpncupImka/pKcfXuksN2Rxj5xFFKNkgxxpClCViN1g1pEjKfjakrEQk2VcuikphoiaGWg7/VghzvuhyABDP5AYdqTdnUrHdb6rZmkEmHYLG4k+5YqtmsVphWIqmvr69oTeu4IrL5N+7QzvdyaAxHI9pWc3N3h7m9BStt2Nl8zvnZmcB0WmmeDsdj6WoZQ9O0/T6RZVlPRFWd1qORvAX5JcLfsqowVvDBum0p84JhmqK1rAmlDJ4fEIVyC1Z4eEpGOlEsaZLgiT7Fd9CjNMKYjCDwWK9XrFdryrIlDGOKoiRJEqZHR5RVje/J5/bDixdUTc2joyNG45E74KyEIjUNu22DNxrKGCPwqcsCT3mcHp+wXi+5ubymPDREcYFRLm01jrHKQ4WS/+H7cvuWW7HuP19PKYbDgSu0KpbLO16/DBhPJgSe796vrvAWoat0Bfzemqs8RVnX7PcCtMqyhKZpMbp1lsKG7abqiX3azcHjOCKKRG+iEB2McqJDaxRt06JCJ0wtjHT4PA9fwWAwpCwK6SBgyYsDVVPiBx6B8gkCR7BsNYf9geKwd6Mnr9cplZXLYokiTk+HXDx4zG6/45BLwSYuGKFTlpV0mo21nJwc8/jxE9a7PXeLO5SvBOTU2XODoM+7kIuEjEUw92m7gS8d36Io2G23pFmGH8j7rVwh4CmXNKscXyMK8BR//mIgSQeUldgv7u7uCCKfX/7yrzBtS+D7rJdL/vjFF8znczCGo6Mpjx8/ZLPZkOd70jSRUIvhgNFkys3VNc+/f8l2c2A8mXFyckJTVRR5zru3bzns9wIrMobhaECShMRJxGw+5eLBOYvFgmJ3oMsUbxoBDQ0GA558MCeMIna7HZ6vWK6XvHr9kjAOuVvccnt3QxdfOxoNOeQHyuKAH4bk2y11K1Xli5cv2Vd7fvXf/YpBkvGH334hkJ1x1Svm/SAQdephR5plhHFE3dSUVcXuIHx7FSl+/q9/gVGW7797TlXVhN3CyjI+++wTTk7m4pvf7TgchtR1zci5C9IkpqlL8v0BozWhMrTFgcrK5hNEMUQJeAJA6lS4w+EQgHJXsVzeuipSip+yLPndb38LrSZLMy4uLjg/P+fo6EjQqPs9q+1WbgFR6Kw/CSMPpuMx7dExZV5InjmWIs9pq4LmULD1fYq6omxqbOBD4PXgiyAISUIRt8S+RCZbC63RlAcXhe3BZDIijkN8TxGHgKkJlaJsDJgWhYw+0iTiaHLM4VCwXm+pnLrZDxwaV4nQqrvpoYUsKdQxgy9xceiqRmlIsgHZYEhR1YJk9S2er6jaluvFku9+eMGrV6+pSoGytE6g6LuQlb61b62LJZDArQ4xqq1skFIAaYq6YbHe8OrNO8bDAQ8uLvjoo4/6pL80TYkiad1qraVNauQmWLQ/Fh12ufNGBxQHiU6eTqfEQUwaZ2jbojwNVmONJktSykPBdr1jlCUMhgOCwKfVjXu+LVW+F4tcXRF5htbW7DcrTNNyPJ1wiELulgtaI4Ccpm0om4ZDUWJw0beehxBSFaEf4FlLWxUc2hLPU2RxwiBOyOKEppJQmyxO2BzW3F03Ls1QcXMpjgxd1e7GpqUYjkIqK23SOHSFh/N1W2ulK1VpkuEAoyxN+x6hNPB6jLTyBfRiFWRxTO1BedihG7Gr6bqSQ9EaMNBUgout6pL9XjQSw+HACTcNOobRaOKCygxto1mvF4RhxGw+v7/RG0vg+SRhjI/EBKN82taQDeNe8DqdCGHv3dUVGkscJ/heIM+T8vF9hQ0CmqokL3Iyh41WVhF5IWmUsrMBnvXl5qlrseU5gJPneRhfoTB4SI4GeGKhxOIhwrXhQPQITV3QlgXLmxuU1iRBiNIW2xq5m1pcMRTRaOPS+MC2huKQo5uW0VGGwmJaCT5T1hB6CqukA0Yo7gXPWrE4BgGBAmUNgRdgLEShjzVS3CkrBYAkuWr2h5K6LBhlA+IspWyLPmXT8ySwKAgCTBNQHiratqIyGowmCSPkLhM4IqxPXlZYFHEWSdpqNMWPQtGiBBLiVOrGBWsZlC+hVFc3l9StjMmapuH6bkFe1RJj73v4oSRnWt1h8BENReKhW4FMLVdLri6v2O53vVYnjCIBOgWhoI89hz5WADIa/FNff3Ix0MGGzs7OSNOUpmn4/PPPmE2PGA2HMn9UitFIxIQvX0pb9ObmhrquZTbv0JZNJYKnNMu4vLrkiz9+wb/6V/+aIJKZ9osXL3j58qX466uS8dGY4+N538Kr61oOulYEcR2iOE1TLi4uePLhBwyHQ0kgXK/pkMFv3rzhn77+itevX/Ps2TOm0ykAi7s7lDV88MEHrFYrrq6uSJKE29tbLm8uefL4CcdHx5ycHJMfSpQxzKZT5ifHDEcjzs7PeHv5TnyvKmC72fLm3VvulreiYPfg+fffEccp1zfXpFHM+ckJGIv2hBc/OTpCW8vN7R3j6ZTJeEIcJ2RZShJHZGnCZr0Wlb0vqZF4IlbRRtFqS1m1fPPtN7x9+44HDy5cNZ1QlgXL9YK6FrKZ1pY0SZnP5wyTlPFozGw267MUskHGYDwkdxS2rh242Wyoi5xBmkp7NAzx+6hPCD1FoHzQ4mUP4pDaGoJE2qz73U66MHFEEifEYSwJgM17KXYIYSsKZaPMDznr1ZKmbgi86D5v3rlYwiAkCiPU0KMoKvHqKQ/lBXhBgO/5xFHkUtMCd6NuMFbLnNWT5D7P813Ajk+aDdB4tFpAL7vthq+//YZvv3vOdrunLCusETGiMMddm+5/qwJXqp/jda8OuNO11eu6Zr2q2W42vHnzhslkwsnJCZ9++gkffvgB4/HQwW6Svv29zw+0yxXL5VKU0aMRSsX4nhQlXaZEFEUkSUroB7Ro8rwg3x0wrXW59THz4+PeMlZsc6IwxPcDoeE1JW2jUVbe76auKYua+WzC0dEMg+X7Fy9oWkHj4vl0kbbv5yxELucgjmKMrjjsd6ILSlNGwyFJGLk8eMWDBxeYK8N6u6ZttXMv4OK7I8bjMb4fOoCPJkky2rohjhLOTiXQyloo8pL9Lke7W5/REsAjH4uirmo27aa3AnaFVRjKM2OM7dvcYShhT2VZMpvNGA6z/rNPXHpdXWuaWjpBcdRKUaCd5bKsxSGEoipLBsOx3NyMtKCLXGKQle+x3mzFDmcR9r8xQtX073MmlHefC+H5PqHv4yvbz7sxLb6VtvhgOEB5isMhpxOUNU2LReNbK86aOEZ7HQr3npcgrX7raJUZvu9R1y24wqluGm7vbmWfaF2ipUPsKjr8eIlVUiR14wBl78dKnSPGGO0cBU4MGPool1fge54wA7TMxZWVDilGEQUBtSfcFutQ7lma0tQiUvYsjMZDAmT8h/ucu6TNpq4A7To6gh8Hg/WME+uGeEGIrWpwTomyLCmqCq0tycBHayN25LrCU4rQF2fSm9evKYscq7xeZxOEIbppCI9P6GKdO7pj92ymAwE4yV/RcLdY8PV33wL0Z7DWktsyHo2o6pC6KXG3Dbdv2z9RPvjfohk4OeWZs1H98MMPfP3V1yzuFgzTjOT4mOPj45449uLFCxaLO66urlitVv0cSeZKNa3eMRoO+eu//mt2ux11XfP3//D3gLRnf/Ob30ghUJasV2ueP3+OUoq/+Zu/IUmSnq89Go1453CnbSuc/t1ux+W7d5xfXBB0FhU303r+/DtevXrFcrlkPp+/N3OBUZb12etffPEF6/Wa29tbyqrg+t0142zMg4sLlndLlwtuqYoca7XYoHwPYzRnZ2ecHJ+w2+3ILjP+6et/4vnz75jNZ3z44ccUVcnv/vA7zE9+ymw6I4lFEBP6MWkqD9dytWI63fHgwSM8T1DMCsF2tkboZlEirTXlCQCnKiuqqmYwHPLwsQQVWSBJE06SEx5/+IhBNnSe8EoobtZiGuFan5yckCSC/GzahtYa/EgWobIQ+h6tV3O32XBzdUXsQkFCZ6OM4pAkCoh9YbuXVcW+zLG+x+kgY3pyQuD7EnfrZr+bakNTi2/d94W7gII4FEhMVebk+YHF3a1rW2ccR8ekqaCJQVwczX6PcQI2GkkB8zxYLhcYYzg7P5d5aStFh9HdfmgpipzSWmZHR2TaYlCEcUJQt+wOG169ectXX3/N9d0NrZFWrFWqzxUXr/d/vRX3z/9b1/rrbvO233Dlx8oPwra4ub7l8vKaN29e89FHH/HRRx8xGAzFYql8pzSXWPG6boiihCwb9BtOpw8ZDAb3s1Zt2O32TrippE3qCwu+NTJ+CYOA0XCI0oaqKSmrApQijhKyNGJ2NMOafT8O++DJB5RNzTfffEO+2UokNHB0dNTjcPeHPUEQkiYpVktK4Ha9QXmKB2fnHI0mgsHNC2H3+z7z+VyY+E0jYT5RzHa3pSgqJhPFeDzuU0FPT08Zjyfg5AXGGg6HA9rCcST41o6XEUcR7XjcOx7a1vYiwU5wWBSFm+fGvSUxCCRut3NIaB2Doz1mgwFB1dK2DWEYUVV7drsDQRj0SvhaC1RGY6ldtkEcSQHUzeWTJCHzEzmYjaTZbfc7Vus1h/2uV8sPh8P+4OgEfZ7n4/kSZNQ2GtPWImSLxHu/2+9pjSZOE0whYyRtQLmRVFGWGM8SRtKNqOqKsmrwg4jBYESUxMLVr+tej2ItVG1NUYnwuC4rpweSjoduXQKfErCQbltyxx0YD0diTXVOp67oEHyYuAqsS3j0PIWvFGBpdIs1isATAuJms6F2F8wgCHrYlaCovV7UO7JDMvf8VVYcCHme4ykPpSRm2PPl/fCRSwIeeIH8ufKZS7HTWVCrupUEyroBNrStBsRWSixcgiLPxTXVjYyimCQS27UIou/TctNURnQAQRjiOXZKEASMtWYyO2K9XPZ6og5xHscxo+EIbVP6YDNr0U5c+ae8/uRi4OGDB4xHI/I858svv+TLP/6Rly9e8Pmnn/KXf/mXrhvwkuvra7766ivCMOCHH34QzDD0Cn6lxNYRhSFHTtm8qCqeP38uQR1OFOZ5Xu+Lb9uWt2/fopTi4uKCgeMXDIcwTxRhAAEAAElEQVRDPv74417ccXd3x263I4yjvliYuACW4XCI5/s8fPy4Ly7G47EUFs61EMcSUrFcLvtOxquXr7h6d80Hj54wnx5RZ0Ufz9m2NV6j2G5WfPnHL6jqinx7YDqdkQ0yPvroIyyWt+9e8+bNG2bzYz759FP+89//A7/57W+5OD3jwYMHXDx4QByEjMZTLi4e8p//839mudrQaMvjR49IkhgPSxBGhEZiMj3fx7OKMEqwStEWJYPRiE8c16ELb/I8D5QhyxI++uhjhoMhr16/5fe/+71YEw9CB+s2lDAMxccc3QvwaDXpYMjk/II0ibi8vKSpavJD3pPJwFJUJbUtiYMIqyCMIuq2oSkqgtGY0/kxm/VGbHrbHftDLhCWKCEIQroI1yD0icJARF9RxPn5uRNDgedbqrp080inaHdZANIq9d2NviXPD+x3WxSG+fGxYFijAKMbMK2EY42GHHY5+33BYNCiLeR5wXc//MAf/vAFVze3lFWFCpS7jcmMr9ssPOX1XY33bzlKqR85erqCoDuU//m/l7mfRWNQykiMLIqbm1vW6yXffvsdH330If/6X/8VH330IUp5vLu65Pr6mrIQq6nvi07BWHdAuQI3doWQhN1AU0s1FIYBBHJ7qZ0XXmHcjNJzm3sphxAKHbckSUYaRcxnc3xfwFFxEvPBBx8A8Ic//pHFYsFisZCDzQl8kyTlk2efMcwGVOUB3/MYZALIGSYZ5yenaGO4KuXZur27Bc8njlOybOjeIU/EdVVN2wp97vj4lCiSNMijoxn5oehR5MYqssGY8VgS9YpC3FBSMInv/5AXff6AdFDkZ6oqOUgVgsv1lHIIZin6BaMuvIUkFVuvFLUKz9NsNnuUgunREUEcu1Ajg7IdGVC0KL7vE7pkRGUto+GIbCCJotv9HoslTuYEgWB297s9USQC7Gwkwl6jQ1Qoz5ZcmoXJHzjRYJZlNFqz3m5RniJ0rWrbgtZtH25TFSWHuiBOEoIwRlt5nofDIccnp+z2O+d0UD86cFpt8FCMBgNqP5BiHAgDjzwXGFKoZTzZBU51B/X7wlNZD4YgjElc+7xRFo0UqdYJQbuE2fIgKbk7RxsdDoUEaY11nRFhKoB0ppq2YT4/lrGgS9bFWoxtidOQ0I+Eu2FxXAAf5ToDdV2z3u7lQA8jQIl+puNYGOju4LGzUdZVRVOVbgzkkaQDYTaE4gwYphmB51O1Muory7KH5HV/5y5jR8YzAz7++GPeOPFmp4HqLtzz4zlRKqMK4z4f6wnI6E95/cnFwJtXr1g4e9TdzQ3b9Zr1csn15SUvXrzg9PQUY0w/58xzUZMnSdK37A+HA9PJFM/z2KzX5HnO7WLBzd0NSZzwySefcHt7298mHj16xNnZGbUWpvh3333HdrtlMhF+9HQ47rPAO4X8ycmJACdc+65rcSdJwmw+52g+w/clPOnnP/85y+WSq8vLPhM6CAIeP37MkydP3AxHYTWEfiheaGuI4wCLRVtpyem2xVOW3WbD8+ffEUUpg+GAs4szLi7OCSOfy6srvvr6a37xs5/z4OFDXv3wA6/evOFuseCHV6/JspTj42OCKOaTTz+jqit3K9jTak0aR4Rx7M5mI/PuMCKMhXPfaOFZB46b/vlf/AWz2YyiLKjKnP1uTVWWHM+PmY4nYmFB/cir3lXRyvOw+H0hoAyMsyGRH3B8fMx8NhMl9nrTMx40LYf9jlYb0iRlNjmixbLf7Wibhs1qLQFUnkddVs5XLtVvZ6Wxln5RZGlMWZXk+x0nx3OSJCY/bNnvN+LTVUIWFDCLwfeFN9C1Gz0/YD6bMR0NRMxnNJ4KiIKAygNthA7nIbSzRhvyUg6hL//pn/j9H75gud6g3G0Lz3mnrXWZDLLIPBSSYqd/pKLv9AKywd3/+64j0L16F4DW0FrnN7b3YkQDddWwXK7YbLa8efOOX/ziF5yfn7N2zIwkSaXwHU/kM9Rtb9fKsqxvQSZp4m4uqp8rRpEgv7URPkNTFCRRCMZSuuS+sihEAGbELqa1BZX0G5a2sr4++ugjtIVvvvuOm5sb8jx3yXGWi4sHJLGEKG2LAt+3DAcZdVmJnsBY2qpiu9lweXXlIl3l1tvFP2staZa+F1BXDUVRcTSdEkUVWhsWixV1XbPZbLi6uma32zGdTuSWaAQ7a4yRWGQl8K/u/d/v9wwGA+mM1TVN2UjCnuf1DgClFId83/vQ4T56WXkeaRr3wKUuxM2iCIIY3/coK2FLRFHo7HGuHZxlLtvlhu1u26dGtm1LlMYkYURyfkZrYLvdc3LmInhdx8GZ9XqxqVLCOwjTmNFkLEXPbk/d1HhBAM72Gfg+xtLH74ZhQETYBxH5yicMlevGOm6K0QSB5zzzQrir25ZRlpFmGVEQUuwPImj0Badr2gatfTwH8UnimLqUPT2NE+Kjo/v30427RuMRZdtwqAustiL6dYd3HIXkh1pcY40mCiPSNCFNZYSRFwWtE5yGfogXS5ppVVVEYchoOGTvQry6dRtHQu+sy5qm1pStXJKqpqBuK3FwtLLnRsqTcCrtxiAop8WS3IcgUL2jQLetyzgIGaWDfj16ysNXHqZue4ug2HoPJEkiz5snmqTG1OT7A7ppORqPsRcPaNuWw+HgCteK29tbgihknpxIh9R2WimF9yfOCf7kYuCPX3zhQEMDNusNGEvj4C8vXrygaRoePXpEkghW84cftvzmN7/p41u7eX+WDUR80zR8/e03PP/hB+JMlM1FUTAajXj69KmkEB5NOZ4fo9F89+13/PY3v+Hm+oa77JY3r19zMpvz6Sef/mhEYa0lHWTM5/M+1altWwlzCAIK91B/++23HB8f8+jRI4qiYLPZEIYhq9UKbTTH82OSJOHx48cUhwPDbMDluzeOUhdhlYNqtDVxFPLLv/ordvs969WeppWiKEkTjmYz5scz/CBkud6wWq9Iswxj4fLmijIvUMrjyZMnhIEsCD8ImKQpFgn1yPOcxw8f4gcBgbFYLYdfnEghpDyPOM1I0oRaNwwHQ4bjET+8fMH1zTWh7zGbjtmsN1RFTRhKXnu3AfjO2yq/RBFuW/mM2rIkCSK0bmmaGuUrojhiOpkyHU/YbSWlbb1bUZYlTVULjGQ8ktZeIDf1w+FAVUkOgPIcaKTVKDzHjZcZfHeY5wcReG22W3xfhEuH/EBVFYxHQkk01krfVt2ndJVVRas1sR+QpQnxdOSAJ3JbUD4EgSebSV7geQEWwbp+/8MP/OOvf83LV6845CV+GKI8h3Oxur/N3B+m7oB3N7wej4wbYvyzW0/3z0773R8mHROhcy4YN8dUrjNjjAiydCuBR//h//sfOD075fj4hIsHD5jNZiKy82XOalqZN0RxJKQ6K8dFVQkhMAoj2qaigyoEYYRuDeW2pK5KfE9xOEhXZbtbE4Q+cZxQ1y26FWGU9RQhAT5SGHvOofDs2VMG4xGvX72SMeF6je4RxXuMljTMyThjmE6wjYRGffXlP5EXOav1is1mze6wp250X3DMj+euWGwJXFDSdrN1ltcFRen86nHMcrlku9u6wztnsVywdM/gyclJvyF3YKtupNK2rTuMa3TdEvoC1fEc3yEMQ/zAI4qFhNm0tSu0hBuvte3bvaPRqLt4IojZmKKsKIoD06MJBilIjYUkjpnN5yjg9atX0s1SHq0xtLrBeqLFwqj+4CiKAhX4P7L6gaj1/SDo1/JoNAYst644i8JQ7L2O92Es9/kUYch0kDqlekTdGspSor232x3GGuc0cJY+N45CSXhUXdcobcn3BzxlCSMJJ8MTx4IxgtweOQfID8+/R0Efn23c4dntD60VlK7XCXC1RiHuBKs1vvKIo/s0xm5GrttWLlNOT+QryUhIkxTc38P3BXBU6LK3Duq2oWnrPtm2aUyfnRP4AX7YUT21G8mIk8sYMMpz/yxsF6zF6BaFCAGjKGQ4yGTE7Napbhq0Bd02eL7HYJDJM240TSMR7FmWopKU7W5LXZR4wMnxMdrI8xwEAZvtlrquOeQ54f7Qw9m6Uc6fPajo888/49XLl7x+/Zr1ZoPWDdZxr1tjWG+3HL79lslkwnw+ZzY/4Q+//z2vX7/lhx9e8vHHH/PxxzLzDEP5IJQPvi9Z2SfTKcX+wGg85smjh0xmUxEjKdiv9izvlhz2BxZ3d0ynY8xgwFXdcHZ61scOf/rpp6zXa96+Ex3BaDTqQTTdw7Lb7VDuA/v9b37L3fUNr9+8Js0ybu4WxEnEeDSiqAsRywRwcjLHYnjz9h1pHJMNBngeElK0XmEVPHz0iA8ef8jTj2OquqWuK1rdEigRAX34+ENmswPKSKzp3/ybv2G5uOWH5z+wvl1Bq6mcuKeua1SWoqJAFpMC6ymiJEWJoVkOCKUkASsMmM1mnD98wLurSzabLcv1itvFnQgW44g49AiDiDev3zKdzgQPqw2eJ/nqAF4QAhbjKVStaeuWttGUpkJjaNEE1qMucppSPOqDYSZzt70iPxzYbXdYLdV65WJWy7YmPxywwNH0iPF4JPndTi+g3OFqtLT7jbZs9hv5ewWKyjREaII4RVvw4wSMbHYWUYBrLfbHjuCGbagKDW7zsVayBsAShdJVgJYoTlB+wLvLS37329/z8pXkS3h+iIsMkwVgAGRT6/r/3UbFPxsPdIje/mWtCxtByNeuoSjfqhwuV2FdCW+sxbqNUCnllNGOO249qrLm6t01ZV4TBgnj0YQsG8r3GcM+PxBFAXGQYD1DmIR4xhPrlbEEoQ+41MkgAN9ju7pmn++Ig5DWakrdcrMUq9v52bkId5saLwqwaKq2pvUUaSi3MU/eEHRbcTQbcXLyC373e4+6rTnsc4qqYL1fkQxOOZR76nKPMoY4CilNSVHnDkYFw/GQdJDSapn9R0nMoSjQyBhpXx5obcsuPxDuBOjTtpqyybG7PW2rGY4nHE2P+tvffrvmdrEgjGKmR0d93LGxLUpZBkM59Pd5yWq7w2LFyaFSGgy+0SjrkWQpcSqRtO1OU7cNtjJoXXG3uHMXphFhnFA5kAyITbZuW+rWUNQtjVG0+BgVYJSP5wVMx0fchNcc8gKDrPHYi1FWYdqG3T5nvVoTRQn5oeJoNiWOQtJMNBrWA2WUCyXyqSrNdnMgDBRFcaCscto2wOiWvDyI+6Zpmc2PCeMUFfi0VUVjjGMzKOI4dWJfH61boiQkjEKMNeSFiwdWIhIsygOmbtls14JEThO8ICbNUpTyqCrpBoaBz3Q8IgyEzFcVB+Io7tMsV9sVcRoLldBaMKCsd8/p90KSSGipcRISBgGVAwMJgbTm+uqa8bjg+HgmOSAorJ+x2+2dnToSIauRmX2ZF+imZb/fieXU2RrDICQOMoIgpG4b8qKQZ8YoIJQoIU9yAIzW/RqX9SvAoMD3iOMIX2QJ4mCxFmMbyRWxYrkPYrGjatNSVFrEz2lCGArYSJuyZ7QkcUAUTVAe1K1msz9w9/I19cu3GG1IopgHDy4YZAO++fr7P28x8OjxI6JYcqCvr68ZDAdyE6sbdru93MjTlKIouL29JfR9Tk9P2e/31HXNy5cvGY0cW3w0BB9OTk6YTCYsFgs2643EXyYJRVEwQVjod3d3LG4WGG34i88+p/m4ZjgaUJYFr1++kQVtDNfX10RRxKeffspsPuPFy5csFot+Dtg0DZeXl3QRyD//2c+4u7vjiy++EM7+kWwSx8cztG7ZbjdUdYnFMhyPaJwy+uXLV/LGhR5+4FGUJVVdcbdYEEYRH334MefnF8RBQOh51KVAax6cXxBvtvzh978n8ODBxTkX5xcM0wE3b66ls7FYurmfcNCjLGE8nbjoXXEdRHFCVUqAzu1i0Vuk0jTl9PwcjbSYpVCA0WRKU+Xsiz3aGt68fSWZ4nWBMa1rk4ky2begLXha44fdoyHtsC5KGt26hLycw0FEZKKibfoIYZlNt+LXzXPKpkS3omr3AbShrWqKouoFnl11L3YvuQrk+x1REDIcDCS/PM0wZiK3pka84qCYjMcSj+zCscqy7FXmKK8nicltRsYRXRV/yAvuFkv+8de/5t3lFdiAMJKNyKr7m7tc+O+FZsr9XxdGA/cdgPc7Af3LqSO7jsB/8Wuwrkyw/e8NciAqcB5pnIWvZbPZ8s0332Cs4dPPP2U0HrI/7DkcDszmD4kTQTh7vvwKggDdaPezeD2xsCwL1psNSRThKY/lckWgPDabLaAYjyfOvtsSRaI/KN1znzlsr/yIlvV6yaEqefjgIfOjKTeXKXVZYbVmsbglDnwBHu33mLbh4uyMLEsI4ljCvJwzqOM8NE1LazTb/Z44iRmNRniez/5wwBgreGUv7EdM3bOUphlHMwmxWa9WRLG0zJtW9isJMbJ4BpSxDBIHLSqvaOsa33XcrJLnoNEttpE4WXGheNJy1xpttLtly7PS3ZS1MQRKHDpVVUlAWOBjtBFboDsdjCtYojgS61nb9MyTHtbzXhZA5RTsk+n4nz1zTpfiugNVXnB9dY3vWzfrb8XRg89gOGI4mrDdH9DGSirgYU/ZNsRpSuCHBEHMZDJlNBpTFAfqxjiNRAJKiQi5Fs2Vp1TPF/ADT/YErRm4FFjhh5QMXZx5HMecnp5y2O3ZrDc9Ctpay3K5BGAyEVGpQUY6VklBm9v8vvDWBuNbfM+n5T4Bd7PZOIGo7iOiPc+nzoUpMplMiKKYKBLiZlNrgiDC9yOCQPVAoyBOUF4gWSp1Tu06F57nshS8e2S15KEolGfx8NHauhGm75wfuk/A7HQaKNPzOcTBovpRHoGky1oDbavdPmxZrlYYqzkcct5eXvP9ixe8efOW9f7A6OiEBw8e8pPPn3B+/pgsTVktd3/SGf8nFwN/+OMfBdV5fEzVNOwOe+aTY6Io4e3bdz1+tAOmKOCDDz5AKSVAoMtLvvjiC16+fMl8fsTZ2VkvcJJZso9vEa9/nvPu3TsWrkUeWJ/RcMggS2nbGuXBer1yClUYDuWG+sOL5ySJZGN3Y4cuQKVjSm82Gz777DMePHjAkydP8DyPo6Mj5qcn7qEJGAwy50U+cHJ6wiDLUL7i088/5fT0lO1mjdZSPTa6darbildv3rC4ueOTp59wfn6BMUaskaMJ0cOI+dER89mM4rCjKivJQFeKmRtplGXZE9uSNMWPYwbZQLobfshmvWWxWAjwabnsWeYdivfk/JSf/vzn/O3/7m8Jo5A//vGPbNYbLA1h5GEUDMYZTVXje5YgUJSlHA6e74svHNnodCMgHawh9D2iMCLwJD62hyAZTZ5L+z/PDwyGAzKn91it12AtSSRoVC+VbPZAebRVjW21YECdKrZzfsisVVqxWEvdlASe32ctCEkxpK5aYYQfCgbDjNlkhud5LJdLylpmfEEUkmWpODJ0i+cF7iD0QflcXt/w3fPveee8u8aIa0J5qp/3dy1EY34877/n5vPeZnz/ep/K1x2U7//z+4Er/6XX+2Q/69TUxspmgFYESgSX+/2eH374gWyYMT+e8f0P33NxccZ8fiw3IucoEEeKoqk1bSOJeFEoc8nLy5fsdgfmT2a8fP4D3371NUeTKY8fSRjLyckxh0POQMn6tApiEnAH1fuq9ulkip/v2W031GWJpyyBUjRaU+UFt9c3rJcrl1qoCV3aaRdott1uubu74+7ujmGWcn4+pTWa1WZNnKZMp1OUsqyWix6PrpSlqZs+9rnTLXUR4nUjOQjT2RG2NTJTblvCwEcZSxyEjAcDgSNpg49gm9Ph4D5C1velwKwrokgCijzfc4WAxhjNcDSkqVuMsRKGY4wcHM5+53vgaUsUBoRBcM/vb+XrjdH4cUTsHFP31MP7QBro8lTktitFb+PolArfF9Qx0ykLBwwTxocU80IetCgvII4TpmFMWdbUvRU0IPCjXjeglKCtlbNASrElhEWZR0txHAUhPmBQjKdTdKudO0UKkU4YPpvP+sL26OiILElRVlxBWZo6Iez9AdtdLrrLiO8+hy67wlokJhrwPbkQxFHMxcW503l46NaK6LBdETqtRJIO8LyaVlv8IOo1AWk6wG1hPVvFWDjkOWXVUNUtoRs7er4DAjkEujGi2wp9RwVsFLo21E1LEPoSwy7ijvesxq6T2BoU3YEvgkSlauGZwI/ew/V2w83dDXd3C1abLZud2J3T4ZhHTz7mb/7mbxgNhmTDCVma8tOf/6t/4XSX159cDHz5T1/y4Ycf8pOf/pQkTXj1+hXvLi95+OARDx8+5PLyksViQVVVAvQZjUiciKnjR+/3e1arJWUpwkLf9/tgHt+TVDqUPOwv37zixatXKAuz8REfPvmA4XBAoxRNW0mrX2suL99yenpMksS0bUZZFVLNW8t8Pu/FgyAP3GKxkLyC7ZYwDDk+PmY0HjMajdxDiONyD9G65WgyRQFt3RDGIRePznnw8Iymbri5uZY5TRQyPTpiMBqxuL2lrHKub66wxtK2lt22YHw0IxqkDJKU0NnSdNPIodtKElg37vA8jzCK8KOYbDAEbI+0ffHiBZvtVjK/3YMYJSmPHj/hF//qL/k//Y//Az//2c+k3bZa84c/fMHN3TXn58f85PPPmU9neMayWa5o6lpU01hpwaHxQzmQrTGYVkvkdBgSO3a4dudkkohdqSxKdrutqKKzDKsNe+chPj4+xlOK1UqKljiOGY9GgBC6uhZuZ3/rnCMKQ+gEgLv9VkRqji4G9D+3MCdaqrpmuV4BsN5sJM418PqKuyoFFhNG0lLc70tevnrD737/B968fUsj/irHnhc1slW2BwXRr99/NufvBVs/dhL8c8HgP0f1dhvdj3QHyoXx/LMiAqRf0LknfAcxMYgoKfIjirwQt42baMxmM05Oju8tSsF9l6etBb9sMaSZJDK+evWCyXRM2xiur2/JsiEff/SUZ8+eEaeRuBNiyX+3bjAT+z6eAzt1L9/3OTs94Zxjlqs1V2/e4WPxsVR1hW8gDkI8FJPhiNnsiPl8zuPHj0mShNevX3N3d8d+L53G8WTMyckJu4NY4rLBwGUOWCaTKeBm164zlecSPz6fz0mSpI/xDoKAJI2ogoC2bkR/YQwBUlwqN5TebDaUpSB100HGcDSkyHO00Y6pEeI50WXU5XM0NWVRisUwlHwEhRwkHi71LvAxppXZt25JkgijW4wJepeCtpa6KrGeEgG0ew66zgBIK14K55C4Ew7X4oRIs4xRluIrUMYwiBPqouTu5hZrG5LY75+1sqqotaVu5TYcRBEqCAmsHIyRCwXraJWeJ3un6cW5Xt/Ji8JI2PlR5Ch4BuUJXdW6AK3OpSR5KfIcWisY3tDzJT9Fa/A8FyJGfzHoDtn311AntgPQOiBJPPesi5BvPJkyOZoyGAyxRjqbRSFgqLosaOqGy+s713FpOOQ5RX7ox6XWrfEgCAjCGGPlPTuUQjAMgkBi0SOJWe86D3Ec46lQxqwASrpHynp4fkjjLlLdz9HtJYe92IODQDrAosuRDmZZClnVGM3d3YKbm2sub65Z7bbUTYvyfPwgIh1OOL14wMMnHzKcHBGFMUGSEsQZYZz9ywc8/w3FQJplvH33Ds/3mc1m/PwXv6Cua7J0gG7F61lVFVkm4r25UwCD5BrEccxut+Px40eMRkOOj49ZrVZ8++23zGYzFIrT2Zxnn37C2cU5jw8Hkizjd7/9HdvNhsP+wGg4cAENNQpFmiXsdlu2201/uzTOK+07zGgXU1pVFS9evGAwGDCfz5lMJozHY/Gg1kLH69rITSOpipvNhuFwIIz6Sv5MUagGHA57NputzFF9uTnM53Pm8yMHCxFBUV1pmsZQlDUaQ5okjIeZs8BIK7201Y/CWLp5lR9GNE3L5eU7vvjij7x8+VJ+zjjCD+M+tONnv/gF//bf/lv++t/8DUfzmaBnreF//3/4P3J1c8v//P/6n/niy29Z3G35Vz/9CQ9OT/E8nyiMCMOapikRyZkCN7dXTqiINZLyFYQC9qgNFtkckyRyKt+WMAoIvZC6Ep9x4Pku0CXFC/ye+54OB1hrSYoS9kV/iHTVP4jCHyv2pEAJ1c60mqb/WmEbyOcHURITJwmbzQZjjNhCBwOsNnjWc5tqiO+F5HnBF1/8E//4m19zt1yIq8DzUZ6PRW7fMvPrmq7d6/7Qh/vCQGvTFwpdRkDXNeisdV136v2gl+7G81979UWBErKxRZTf7g/ubyLdBup7PmdnZ4zH476oBPrRSeD7YC1pGhEnAWkS8ebNRkAvvs/ibsloNOaDv3jM4wdiaW11g8X2BUXdNJIDr+T9Koqi7+r4vi9W08gHOyb2fZQxZHGErmt01RAqjzSKXYv0fmxSlmVvkTo6ks5hnAxYrVZsdlu0taQDlw4a+gyHA7Ha7Q/9+9RZiEUjYvsRZZoJYtnzffZ6J5YzBNTjRQF13bDY3FGUNbVu0UiOPErhO7Fi0zb9ht/hePd5jgXCOAKXcAeCX06zIXXVOH1KgG4bGRIZg7IW3dRoP3DgKosfBjS6wQsDjk+O2W22XF9f97AjgP1+7/JZxqRpRhxHTi8jBfXp2RlKa/LDjhq51KxWK0ajlCCI+2fO0mCMpSgrgtCSZAOCyCfyA6IodRoYjVKiZtGmQRtB/xptJKLc7VOJW+thFNLUjTidlDyn3Trwfb+HZflBINHLnodRRj4D52rC8yirsvfbd89Ft0d0I6SyLCU2um2ddTbB88SeGcexc1WJ1bOuWupK4/sJ+aEhz1fcLcSCXhSFwJ6KglY3jvzninVwFnIBmHXrT/nOEuoJ5KlzY6Vpymw2kyTTJCWKI0bDTDqTfre3KDkXjPRgu9FW40aexgh9Ut6zwD3fOW/fviXPcxaLBavViqKuaZTF8wOUCsAP8cKIII7xIp9a1yRpjBd6GM/8r4Bn/1uvP7kYmM/ndBaw169fO5TvCOUIV54SCMNjZwdUShCaWTZgPB73QSAfffQRo9GwX/zdIbFZb0AbPv38cxEgHh8zGA1Z3N7x5vlLri7fuTQwX26lQcCTR4/IhgPCMKCsSuqyYthmhEFAHIf9/LCqqj66uPvQPv/8c549e8bbt2/55ttve4uJ1i2Hw47Ly0u22w1JGlMUOWjLfDZzYpWSq+trrq+vsECcxMyiSEYkoUcQSqsN62ONhzxjlv1+h6dgNp0QuUyE8XBEWTRgba+3EO92AnXL23fv+Pbbb3n37l0/V+xmbicnEoj0ySef8Mtf/pKT01O3wQLK4+LBQ/4v/9P/xN1qzf/yv/y/+fa7l+TbnF/+4uc8ODlmOJiA56ONJc8LjNL4NpRF4SxW1miaOu7zv33XNejcAXVdU9U1prWEvmxax8cnrtgRYAyBRzYeUjcNry/fOfW84uhIbKZi65TI0sD3RSiH+PSjKJI0QTe7rSpJzWuClqqS20GSJgyGI3Dv22QiZLzA98HA3e2CIq/YbHf8/nd/4D/951+zWC+dfU3hKSkGjBX7YGfWksXvg1u47x/0QN8FMJ0HunMSuFt/kiT84he/wPd9NpsNr1694vr6ui8cuqLgv/T6UXdA/XjEoN6bz3bR4b7v07QtUSwjgcViSRxLAMo3337LmzeviaKQNA356U9+yng8wtqGzXbB/HgiPIso5sMnTyQ9stHUVYX1IIik2NBWgFRiewrQ2pIfDlKgTcTCN5mMCZTY+I6OJpztTzgcCqIgQikhQgaBLxkYh4PTPmz6BDzlqHtt27JYrlhvpAsWZyl5nqOUYjQcCJnOOQA85TMcDBi4zoExhrIssVY0BVEYUTvbVtM0BL4voB0FtdaUdcWhKKhbadOPwyM54JEMebF+GiLPI0pivMBH+Z4caoFPFMQiYK0rEa966l5HoBvS0Mcah/T1FE1dSQKku1UrX2HQUEvxoVpN7FL+9ocD1rXIsyxjMjshSQR5HDsLWhfkE8cxSmvKQt5X3w/cxaZhNhv3BVsYBsTpkPVmS6MrvDASKVzg9SOALhtEQr8Uo+GQ3S7HWM1uu8ULgv6/RaEENnkoAZZp3SdrBn4g69OBr+qmFtGl22e6i5tSwup/f010+0snAG9cCmKXKyMJhX5PpZxMJtJlDjw831LXLTfXr3j+/AUvX7zh6vqG/W4j+oj3XEhYwLO9q0cYId0a99DIZyeHmjiPPMB33X4J0YtENxYERFFMGIUMBpkUBIOU4XDIeJiRJJ37QTov1krRkqahy7QQ95L8nHuurq6EwbPd0sHzjABXQPkYJeJda+GQF7y7ekuSxSjPkqSRBJTxp3kL/xs6AwlRFLFcLXnx8gfatpXF5wfEUczd7RX7zZLbyKcqD8SBwDRG4wnaGF6+/IH1es0//Ke/56OPP2IwGKB1w2G/5cVhD8CTJ485lDnf//ADq5VY1cbDIdPpiPyw4+t/uqFpW37yF3/BeDIRtW2cko3HnA7PUVgmwxHDwVh843lOWVXc3a1YbzecPTjj7OIMqyyL1YLxYszN3Q3rzYpskMnM0B04aTIgCmN8L2SQjWjqGj+MJAdAeZydn+E5LKUf+EzHE3fjMSReRBxnWAPGKHRruF3c8erNK6mAnZDo+HhOGKbEVoJ8kmxIXtZ8991z8qLgbr3m9uaWQ36Q6NQwkofT4Gw9hjjxub274e271wwmQ7LBsL/Rer7H48dP+NWv/pbf/f5LvlmseP36Hc0+55d/+QuePv3oPQuUIUkHzOZz1usNm82Oum3QumFz2HK1uEGFQNuQ7wvyssALfAyiZt2t93jWY3Z0RBRL66wqS6pG5nK1A90Mh0MHlfKFwOjEnev1mu12w9HREbP5sbNP5e7WLfPxxsUbl1WO51VgxeN/fbXg7bsbKViApjJY7XNydsJ4dkSDz/U33/LrP3zBr3/zW1a7PY2RhSgCLkWofIw1tJ4QjSVQxnf+eovn31sGpQV4v8Cs8/QaJzDUrrugtebhw4f89Kc/pSxLfv3rX/Pv//2/7wVS/+v2pwV+HGssXyh/qEc3ZlAOFFQTJREffPQBcRxTHArCIGS52GDMaw6HPU0rc+6iqPj2229BWU5PzskGA8o8pzjkBJ7H8fExWZLiGYOpWw6bLbY1eKGPMh5g8fGJg5jEbVp5U6DrFq1amqRmNBqhjaaoDpR1wWgy4qK9EBCSFqrffr+XgKkoJI0l8/37H77j4uKCIIwoi9olKMrf2Q9jsjgiTmKuri+F2hcERN4AWgutQVuNimKiKER5ciB176DvKfKyoGkr8iKXDVj5WF/RWEOkEgbDmN2+om4LgjBiNpkwHMs4S7daDgrPkiYZcZhAK1yCqqjp+P3WyHw4CDyawqnE2xY/iqhrQ2s8giAmDLREW+8PpFEq6F4vQBkfU1vhmqiAwTDh0ZOAl69ein4iiHj88DFpNnKQsBbrWQlR8p0OxyUzBn6MsR4WRRKFbDcrmkqcO8ZY0kHCg4cPqOpaAr7qBkVAFEtOg3TfQjxlwWoC5eGFIaUyNG0LrRJwF5bWKmyQoJRPEqfkeYlt5VkJfXeg6m68ITZD5bDCylrKoqQ1hiDwwViiIESBtPMbuSQpGzhHhcFaJdyP1qCUlrWhLYEX4OGhG83ibsHry9e8efOO7759ztu3l2y3e9HbdE6e/jBWTvgp4UH3ixq6ZS5jA8AJefvMk/fWL1ZD29AYTV5XKE+x3K7p8kLCIGCQxGRZ6miBw76Ij8NQBI2xT1HK2OfduyueP/+Ot2/fsXehXJ4SPZN0GHyU9VGCDsV4LcXuwPNvX7BZ7Tk9PeXZ02c8evyI4WDwJ53xf3Ix0IEyTo6PmU4mfdSsqRp2mw3btSQJXr59S1nkfPr0KdPJmLKsOOQ5dS1V3mKx4OziDM8RzgAO+z2TyZTHj5+IiMR5QK8uL8nSjM8/+4yqLNntdn1UrO9LwlO5WhMNMibTCWmaCIQkiNntc6qqZLlc8ur1a/wgYD4/lrZtWfDi5QsJKCpL2TAdF7rrEMxmMyaTMSjLZrMmiiKOZjNAksFGScb52XmvXO+6JrrVBF5AFqdu5lNjlUCDZrOZHHybjaNMOY6+HzhRCgxHYw55zotXL9ls99Tu97aq8yzT8/xF9xDw4sUP/Lt/9+/4H/7P/yP/3a/+lsFgKC1tFAQBf/HZZ3z2ySc8/6evqKuKq+sb/vM//hqtWz75/JlT44fs9znKGNI4onIjgKaRP1NU6gNoGrabLdv9nnSY4UcScjIajYj8mPFkgh+ENK2mrBv2+4OgVPMdWrccH885Opq6ah+3IA2Hw57r6yuiKCS4OGM4HBKGQU+TOxwO4EYJVVX3AUfWKldw3Gsomkb4++v1lmw04VAU/Po3v+Xv/v7vWa3WTpXfLSpQqgPHCEMhTVMU4jZoGhkbWRddq5TrFlgXuvL+Bf5HHQPY7XZ89913DAYDLi4u+PDDD3n16lUvBOrGCn/Kq7MZKiek7DYhP/A5Ojri+PiYL7/8UjbXRlOWNb4fOs+7ZE8Y0/L1N9+wWK54+PARuFQ945DanqdQRvXjDN/3RTGvxDkRRzFJLMjq4lBw2O97HLNuW3TbCuuDhtATkVxRFERhxGQ8YTzMODs55sMnj+jokb7v8eLN93z77TdEcYrnxwzHE6fsDnrGgsXlG/ghYSC/sFZa1sbQNrU4YLQotoG+U1OWBVUliNoo7pTlwqlQni8EzCjClCU+ElncZdHr9xT9TduSHyQsrOuMdcRH3WqsETGb53lEYdgnpUZBSKtb0kzgPdudjFTvyZ8494jCw8daQ16WaCsBcXV7jUWcRFYpWiPe/u6g8oCqKjkcDqSzOWk2QKkVQRgyPZqy2a7ZuL3CYMnSlCSVjI/GKde7Z9EagzaaLEuIw4DDfkddCUvFV7AvcoyJXCy1Bl9Gs23TYK1oq7TW/UgKBDFv9ztHYVQuU8Cjdc4ZlO3ZGl3KnijqIXBnQV1JgeUpGROGsSJ0aZjr7Z7lest293WPw393/Y7tbucwxaDwxGXhbvZwP3oDt7/+F9Zipxvqxll040Cnn+ku3aL7kM+yzxxx/75uBPpVOY5GV0R0HY8kDBmPJ6RJxmF/YLeXVNjlcklVi6arY4UoA1oZ50IwKCud2rauZfTTGsr9gdvLa5Y3t7x99ZDxeML//f/2f/0X95g/uRioywrPzQiN0aRxwuTBSCxIyxW+UuR50bf9P/7oQ1H0lxVFWRJECV988YVTnucMh0OGo5HcTLURkY3WhEGIrzzGoxHj0Zi721tOHFq3yw7YbrcOqiDz89lsRhjF5IeCm6rBVz6bzZa75YL1ZsNysWA2n0uynlPfrlYrfvj+e372s5/y05/8hJubG5qmpiwr6qokjsYEvk+e77m9vuHs7IwkjuVB93w8lyM+nU7F+7zfs1gIC781hvVm4+ZahiAIGQyHHJ0cC3Xx9rafA7a6paobtDUsFgu++OILvn/5Qrjq77Wdf3SL9O6z64uioG5Kvvzyjw6X6vOrX/2KwWAgz7y1TCcTPvrgA7I0odxtaazl6vqWf/zH31I1FZ9//jmT4RhloMwPxHHC+fGcosiomprI5bNXVYVpGvKyYr3ZsM8PzE9OGI9GZPMBoX8vKOsEPt3fXw4YxWazYTIZE7u5cWcnynNJ2SuKoodHhWHohJzSBg1DcYo07rAz2ggeN02p3O+TpqlLufPY7fZ89dXX/N3f/Uf+w3/4jyyXK7SWa/b7Qr8OuHJ6dsbs9JijoyMUEny03e64vr4hzw/UdeU+g/vvN8ZgrO4/n/uNQFTU//AP/8A333zD06dPefr0Kefn57x584abmxuAf1E3APeiqe7X+6OFohDx4HK55ObmRmbaozFhFMqsPMtYLO6YTMYMhyOstjR1S1M1UtQOxxzPZyRRTFWUos0oK7zAJw4jTDcrVfdgpcN2K9jvQObXrRaFtXaWuSjO2K0FhBInEWenZzx59Bjd1FI8ANvdjvVqJYAr3bJcLDBWoY1C+QGDwYg4ToniGLF0wmx+xHQqeoLpeEIXC91ZCpumQQWBiwqmt+PVbq8YDIaC5nW48zRJiYKYqqmwSmKqZf6v+u/t2tador/RWoS/RjJCjBOaGr8Fp3bvxLDdZ+uHAXGaOAJkjX6rWa2W3N7dEcXifOpokVEU0bYtv/vd797LTjAEYURRlBgqiUof3gvXfF+gWEWR43nHJEmEHyjhAsSSV9GJs4MgdLTGxf3IyZqe/GmVcpHUAq0qcs8VdAEd7Kg1WoKgsPhKYU3rRh8Neb6Xw9IGvSAviDpXgoNqKdC66bkfcRw5LHKLxbhocVlnBvk5irJySaAeni/R59bA3XLFq1evuLq8lMOzqijrEm07TU3gYFxOF6Tcjaofp9734v65cPd+//rxf+ucOZ5/L/7957+6f9//HnTFR1c0WHRdY62hyHNWmw2+F1BVNft9fs8pca4bGWHIn2uswtKiPPBc1LTFoNsGczhgq4rK27FfLnj57bdOf/H/+Bf3mT+5GPjHf/hPshk4NaWI5eZkccT52QnH8yM22w23N7fEccyjRw97BXkYxzx79kwWYtswORK9wXx6JJHF3lvqqmK9WGIePqJRtSSQBSGm1SwWC6aTCVEUcX0tnvynT5/y5PGHRIOUs7MLfF9xd31DHYSkUYLvCft5u93ioRiPRsRRKDOuJOLtbstmu2H4b/6ao/GQzXKBsj5EAYGXkEQBbV1xc3XN3c0NaRTjuw0xSzOqomC32fYCxbIs2bsc9zAK2e9y7hYLFD7ZYEAYR8RuI59MJiRJ0n/PcrXh9u6W169ecXN7S+Ny1gPubzg/Orze86C3bSuJhWXBP/z93/Pu3RVv37zhV7/6FY8ePhJASNsQ+F0bWm6trYXFasOv//G33N7c8JPPP+fJ44cMs2MZldQ1wzik0ZogkhQwL/CxfsDp+QWjyYS2aZjN54zHY5RVApcpCrkZuVl2NhgwPz4mz/cc8gNtW/WoXOOQq12QSNdh2W53rNdrUYEniXRrEJtf6zZo3bbUdUsQRMRB0gvmukwGay2L1Yp//x/+jt/85rdsNjvX6vN+XOkDYRjy6NEjPvv8c6bzIyG44dG2Iuy5uHjAarXk5ubW2TkbN6Zp+85A9/l0m0D3/+d5Tp7nvRvk7OyMyWTCer3ubZXvWw3/a6/uQH7/a7tkzu7nHw4l/rpuGmxumc8lLGixuBMSmVXsNjtHSYO/+OwvSJOEvJR2sTG6twuKIh5cX1UOydqBV4xhNB6LuBGLHwS01pDnBW/f3vHuzRsBtkQRw2GGtS3bzcppiXyx1noWz8LpybFoavKCxXLLbrNhebfEKp84SYRLgmW336CU5fhoJiItJ6DVWlryVilidyC/7+wIw9DN3AcuCEYwroPhiKqoendOmom1rW6bft11Vt/ufd8ul+y3W7LBgGw4wGBptSbIBvjZvdOls/S1WrPb70XcloSSuWEtq/WKphbL5Mcff8yTx08I3M39sN1SNzJ7HwwGnJyeCirZIhHRVUlZHtBa8+DBOXEywFotlueHD5yQUfIJ6kYKgiCMHAjNok1FUVbUle4vL55nsYUijGPCQA4YT4mlOG/qnnwYBkFf3HcdGN02YAxVVVJXBZ7yaI2mrgrhvGQJni+2R+F7eDRt5WziHlEcUFWGtjHSZWgliEgpSdHUBppWyyjOWvaHA5fXV9ze3rFarlmtlk6AJxoEY60Ai8JI9EDKB0cZtao7WOmLdouQIP8rK++/sBbv1+T76aP/pYKgdwgp03/z/dcFLjlR/hzVeoRxhHL7olUQKOUKpfsBosQNWIyRMZZ0OGU92UYIoRrFocjZrZb/4t4C/w3FwOXrt317Pssy2qqmrWqi0Od4PqMqC1bLJXVdiaCvLCVnIBsSJQlxHPGTn/yEIAzwAuelDyThLvLF0/rxxx+jlKLMpTLylONnt9IKLArpPOx2OzerD4T25YccygPrzZbY8ykCOfS3ux3vXr8hHQ44PT5x85qob1Hf3tyigNevX5M7WEue50RxTOqQl0ZrPOXxzTffSKsaOD05YTQYkiYJ19fXzoYjv+IkZnI0RWvwAp9BNiKKBMxzHycrrdbLy0uef/89N3cLrl3UM4AXdr7tH2Mke5uNERTn/YYnMalV1fDdN9/y/1yu+P3vfs8vf/lXPLh4ICKi1RLlGP4SfaxQnk9V1rx99Zbdas3m9mP+8uc/5Xg+JxtkGAW1boV66Ae0xlCWBdpahiPpnER+QHkoXEV76EEpJycnwo/wfREYWkG9dlV2q1twrfd+M7cSX+x5ivV62yuGuw6BrzV10/atuCDw++9VnuoDrJIkkZTK777niy++ZL3eorXFur5gJ/rrFmsURTx69IjZbAa+1//3TtyYZQMuzi94cLHmyy+/5Prmum9jSlCS6Rf8PV74xxtC0zS8ffuW3W7H559/zs9//nO+/vrrvlX8/utfGh283x14/8/1PLnFrZZL0jShrkvCUGxfdS3jnbZqqIqaqqgZDTMmowlFfgBtiMIQY3zXrvX6NmoYhgI6ahpJtrOGJE2lpWydetwYai1jgi+//JLisOfi4kwYEx6UZc5uu2a/2/U33tlsJqmax3OOjo4oiorrmwU3d0sur67Z7IQRUJYFYRRwyHeCxDZwNRrLWnnPMRElCRPH7Kiqio4GKZ75WKAubsavtRQuVVlRNTUoRTYcCuY1P+AlMperKukGTSaS+1C6YBzJqPB7C2scR+impalrcrd/dV1QYy0PHz1kPDkjDH2XneJTVDlWKV69fiWH/skJ+8OB12/eslpvCYKA42MZmUkRULE/HCiK3HFQciaTEdOjMaAoypzrq0vHH6gd+Mhy/uAhFw/Opftyjdjp3FrUWtNqi+eBdYE2nXhReUrE0EHYr+vJdOIwydqltwJaS3JmWWKa1o2W6MeAvi9rVcYBms4iiZKOT1fU3R52HPI91ih8L3TOMIXBY3/IWaxWLFdLrm9vubm9kayHVjoJ/QGsfBSSNojysMqnw3yDKAYkm1S+5P0D9l9ac93/vt+he/8C0J0B73/NPTOhKwQ6R8L976vcejPG4muD1xp8RGuBMSjfpSp2F0JwomLr3kv3kyn5+eRv6oinyrpL4L/8+tNTCy8ueoZ/W4vVy1cebV2xWS1JkoTJcIBpG8pcsMFt21K4xdBaaVGhACMq3cdPnoiFKIyoihIF0jpsG4JA1LNHR0eMBhmPHz/uD9Pnz5+zPxxYrzdYP2AwGQvsQ2vq1jgxTcshL+StMZbLd5ekaUwcCe95Oh5zdnJMcdjx6sX3/QK+Wywoi4Jnz57x7NkzPnzymIuzM/5/rP33kyRJlucHflSNm/PgmZG0sqqrq3vo4vYONysCLBaAyMndX3yHk8MCWILFzvTMNC1eSYM7dzduqvfDU7OIrO7B1oqsiYRESgZzN1N9+siXvHv3lg/vP0gV4IkI0qNHj7BWWAAdz3m+WFAbg9Y+s8NDxiNRzOtmhJ3WwYcPH7i8vGS1XlPUDqnv3XOB26abC35sbNO2IlOJR69UqLRrxTcNdVtzfXVFEseYpuHm5oYgDMCIXnYcJ/LzVjzAI20JPEVVlLz5/gcCDL/4+c8YjIYEYSS+28bQ2BqLdnQWCTJtXVM0BaaRir1xAj1FUbDZSDCrnHFLUWbkeYbnidyy90CGuHuuw9GI+XwORt5XR6vqkp5uXKCUdgAg7ZDeDWk8IAwEd9ElWr/73e9kjXRVe0cZtHy0WZMk6dHOxuLEfQAn8uN5PqAZDse8fPkKpTwWi4VI0rYKW7f9zPWh14A1H1cKTdP0yexf//VfEwQBX375Za8i+U+3KX/UonwQZB5+dInBZrNhdnggHgxZxsnJMc+fP+fLL3OspR+xDdIhTd1QV42AWp0DY922aC3tVO1pfMdg2WV78qpkdnRIWwrQSebu9wdHmqY8ffoMX2smkyGhL3TdsmwZDGK0Mux2a6qqxJiaIBigWlFuC8cj0nTI2aPHjEcTfv/1t2xdgilbwMk8W+v8JujXQJZlWEede9jef3jvhK9te92TbkQl79MjjCJKB/5qXSFQFEVPizOtdKQ6MyI/CAhiEQnyPA9txamuS2yLopD9XVUYLAfHB4ymI2aHB4wnE6pGFDTX262MBgsBWA7HYwLnsXBxfcUoG/a2yVmWU1UlKEPT1Nzd3XF8fECciOPe9e01ntLEkYwl4iTh+PiEp0+fMxgMadqG+fxOUPqeuCk2RUVrGmKl+0Kpu7dhEFJ6Qo3tFfeAtqrwfF/EsFwcyp13SBAM8XyN0qKoKP4nEgO119ENXVehFQnhOE7IBgllWVAW3XjHUBY1i82G12/ecHl9zS7bU9UVjTFSzStB46sHe0C4wV2C3R3a6o8odj89CbhPBO4LMNXfo64r96fGBfd7U7vXeq8vYB8c7srRqVVH/7RWEgKX6POw2OiYDa7L270T6Xa24pvjRgpWWekc/ITrJycDf/VXf8nd3Zzvv/8BY6xDgVdEHtxeXvD55z8nODxgNBywWq1pq4bpaMrF1RX59R1+GJG6FrqxDcNByunRMScnJwxHQ/a7PavFXIw/GtEoPz4+4vmLc5IoxvMVxsJgOGA8nTAcjzFKKoK7qxu0skR4aMeLVcqQxD6nZ0fkecFifkvgBYwHI+wY/KCGgQg8+IjCnzGWqqx59+4D1iqenD9hMg7wNRwfHjIaDOhoJFEUoTxxM/QDocmMxhPquhWKGoo0HTAZCX3u8vqKdxcfuLu94/3796zXa6EsKlH96zjADwOY30qbTCl579aBqaAFq8RYQ0EQyKb0vYDaCBf33fv3nJ2d8fnnn3N7e8vV1TVBGONpoXeJtYpilKbMxgMiZRlHPo+mE2JjCOpGgCllSet72CDAKu0CfkRZlA7cKCwXXzn/b0BjmM9vuL29xrQNWCOeFdMpUSxCHRaoC2ln710gD6KI1W7LdrEkDAIODw/oUL9KCZ0n6Od0VgRWNISRzyCJ8bRit91RFBX//t//R779/jVlVWLMPdJf5t84D3M5VGcHM4bjkaO1Kfdxjy1o285QyePo6Jg4Tri+vuHq6orVai4UJ8DTFmvuDUKsvT+4u41fVRVv377hxYvnfPbZp+x2W969eyvmOO09oPDjxKDL7jucAljr4bt2Lu49WZe85EXmbHkFZ6G1z/n5E66ubvCCgOvbW96+fy94HSOHnu9JnVRWVc+p9/wA34kElXnBdr0FA5PRmEUxp65qZ0IDgS9ywkLtnLHbbQk8j4PZhNViwWa9YpTEHByNxH1vu6axhl22Q/lSxbSmxlgBcT56/IjFekOcbNntdjRNTRKnjIYTMdZC7IMDz0cBm7WMA8VPo3EVl4zUupFOp0HSz6etxVcegdOYaJvGiRBpqrIUh74oZDAcEoYhRZ6TJEPG41ZstI0VLwCHI9Oe8PONaR33PCLOQtqm4fb6iq9/H/Dq1Sf4vsfx4SG+57FcLVmtNlxfXVG7BGswGHF3e8tiMQfbMhyKCBFWePdt22KsoSpL3r//wMHhjMfnZ1hrsKUom4ZRQDpMUcqy2295/fp7PN/n6PjY+Z20lEWFLkrKuqStasJQkaYhxtYUZU1rU/wooF4JNikMpTAQ4KTY8mJFg2G72wgHPs8BSxRH/aEUJZET3JH/HwyG7lC07pm0BIHPdHZAnlcUxYbb+ZKLixtububM1yvW263Tg7kf8HVVsMHgOfty6RTJ3uuAvHLgyugA23UJugO95wc92HcPNEXUvcooDjCoENyW54laooxOkArfys90iZNyr1Go0p6Af7FoJeJdSonviFgtWwKtMZ5GGYWyGjyPFqHuW2sxSt3Lkj+IFZ1QHKp7v6KV8JMyHnf95GRgPByQhAnHB6fOGQuMqan2W6xtUQK3ZjYZMxoM2G5yDqcHhEHEh8srbhdL6sYQJxHW1iznc969fdeDbQZJSpsV4vs8GrJYzrm4ek9ezjiczhgMhqw2G6q25vzZU+I0ZbsvKKqS3W7LOE05nM7Yrtc0dYvvS/spSoTOkRclpoUkHRKEMd99+x1xEvP48WPCKKVsa/YrUSDrFvx2txcpUWewEUcBuLmZdswDOaRlo1a1SL0GfujmpQlVVeN5mn2W8dXX33B5eUnhHAM7qkt3PcwmjTE931e8x1tBkyqNVkizq21plQgheTpAaYSVoATo83e/+hVnZ2eAYrvNxHgj8FFYlDHY1jBMEz5/9YrT6ZhJ5DMKfTwrjTTreRAGlNawzjJUGJAmEcoT6VNfK2wjM2Yx6jBUdcVmU5Hvd7TWYpuGwNeURUAQyGgBBBRTFDKvzcpcCD+ehx8KIyHwhD/cIW6tlUpbu0M5cCh3UQkLwTQs5xtu7has1jt+//uv2O0yDB0+oLN5dffaQEfQjeNYAFEuB5Ag0bXyXCaP6UFA8WDA+dOnjKdTfvjuO9orQ1FmtK1wu61FgpyxH1XucpC3bDZr/vCH33Nycsyf/dkv2W433N7e9VXIj8cGXfAypjM/sv3H/Zig7YOD2M1mgCTLoEiSAdPpAdODKWVdUdY1uzxnMZ8zTFPGQ1Hiqxw6P0lEBMtTHnXZUmSV5EdWcXN1R55lfQIbJykHx4cYY7mdL6nLmm+//RZfKf7ZX/8ldS0e9elggucpgiDG9wvEPKpFYQijAG2tU4CUABkEIT/72Wfc3d1xeXnJ6ekZp6eneNpjs173Y5y6qvtgunVuhQKgdfeoD+5Q1xXGyOFjWgO1aAgYa6gKAV0O0hStFVEi3HmU2MT6ns/B0RF+GLNaLTDKgpZOUlkWNLU43gWB3yuYDgYpcRhSlAV1WTK/vWUwSCR59WbUdcndzR2NEjxWXVW8vv6B+e0dTVNRFjm+pxgMUsFfaU3TttRtg/Y9mqZltVoznU2JYhm7NnWFpxVpkoIy3N3dsF4vHAYn4fT0mDjxefv2LRbLcBCzVzlR6GFMTdMIY2q5kn22WC1p64ZpMMa4eNSNDYBeDMi6scrV9ZUcylqRxAllUTkBOstsdoBN5dkqrbFNy2azoywryrLmdr7g66+/49vv3rBa7yhrMXjqpI37+bvbB0rdP19jWsRVVruE2dzvFVdJP5zhdwf/n77cEa4+/hb9ESZACbaobtEPx4JavuJ1nQor0UdZKcE8dV/MaK1QnpauVSOUZA19nFRuFNPhplBCgbT64/fRxQyFaJAY9dOZSt31k5MBbSyh55GMhDI3GESEkWgKBIEsivV6TVWWmLYlz2o2qyVHp6ekoyH6hzfSfvQUni+AntvbW66vr/n000+ZTabUdcN0OiMZJFzdXvL6zWviJOb89IynT59TOavHThs6HaR4gU/oeQRxgK8UxbykqkpGoylJmoqjU7vD91ui4YB0POL29o5v377m6dOnnHniKla3hsVqzXafiQSw9nn95h3T0UDQ71HsDmpF3VhMKwAfrYWTi4Usy9ntcqpKAEi+m5cXRcHt3a3zcCh7k4qu7Q33Knyek/ZU7pDXkXMks7b/3q41dW+icy+P2x2QnudRVRVXV1duxi62mdZI8LWmxVQ1V2/foPY7do9OOZ2MORwNGKUJtbwBVBjSeIoiz1nv93jDBelgwHAoyOx8t3fynEGvpa6Uc4dEMR4NUUqqh9VqRd20aIc/wCiCKGYUhFgFq80aTUUYx6SOFdC9tzAUE48sy4kiD+uJX0FZNNzcztnudpRlA1robFkmACscWP+jjfEj0F9/ULemrxZUHzxwZZ+boSuLbQBfM5iMefL8qbik3VzezwWBtrEovI/ah/f/tr2a2M9//nM++eQTttstVXWPEfk4Ifhx5XKfOHb358ceAVmWkSTJRy3zJEn47LPP3MEq3iB3t7dUdU3Z1ERhgB/J2osHopLZthKIlOcxPTjsA37qQKJae0wPZkymE5arJePhCDtADkPHDqnrmiIvWKs1k8mIg4NDtKMGG9OSFzlGdTr0PlZ7aAMTp6SYpinPnz/n7OxMnESReaqAzSR5CcMQ5WugER66avF9MWgyLinrlEU9T+iYdVUzv53TtoZkkDojp0acEn1JhjCWPMvYFAVxFJHlJVmVU9sWrSz7qiCrCyLPg7pFa92Lgc3nc3GrixOm0wlRHDIYpCgta60scpS1RKG4hWIML58/pzxraOqa6+srkTRGqIqj4RCNoqjKnl4YhT6lE+JJYhnnlE3DdrtlkKSMxyNWyyWrVU6W5Yhd+OecPTpmPBpTlzVRGMqcXim26w3a8yirkuvra9I0ZTgaQSAeJnGUUJV1T9/1nfhQmqY9Zqeqa6GC7zKqqqYoKrT2qOsGrbfoIMTzA5TyqeqC+UK8Vj68+8Dl1TWr9YZ9VlE3htYgRYXijw63hyPUTmDu/2zc9uPrx7/vp/7cwz3a4EZsWnRJxK3Q++i1dSPKTjzM9zw8JVgaTyuwHko1PWasixVeB7xw/9fF1s5Aq+sUdkyI7t3859yDh9dPTgam4zHbTcZuu6NtG6rCx/MVYeQRBCm3N7eslytGwyGj4RBCzfzujslsxqtPPmGxXPL+w4VwgZX82dlsxvPnz3n06BGmaTFlTVGVrPcblqsVHy4vKIqCq4sLlusNB4eHzA6POT6YEYQRXhgJ37WUubV1QkiYqp/jtKZmOBoyPTiQjH675w9ff8V8teTFp58QJjFNU1PXUtWNJ1POTs8cYEgReh7aC9GegGhKV4VURvSuUZowiNjt9lxdXrPb7cnyXIA5dS0boyxlDg09KrfjWctH2wPpOsphGIZ4QSAWmC7Ii+EI/eJ4aM1su9kt9MlAd4nneoXWoLEEvhZjKK0JK8N6ueB3d7e8jUOOJxNOjg7xkpB4kKKCAOv75FXFYrulvIPhZMyT83OSo7hfpI1psQ2EfsDBwQHZbi/gysmYwVAkTtu7BdvdDs+XFrS1ulu9DEdDkiRlu9mQbaTdqJSo+Flr8Z1UqtZiZLXZzNlutwImrQo3s46YTA+cRKggwBseWAk/uHfdyKDbSD0gD8nipY15DzFqkecnhASpKFrTMp6MefHiOVVdcHd3S9u65KJLvlwS1zEH5LmJM6Xv+5yenvLs2TO++eYbmkbEt/60/sA9YKlLGEVTQRLPDmQJoB0/v8OgbLdb1ut1T73qDkbl2Bd0VYXnMUwSWXMusdPSR2I0SXpp5fV6LQp3vk+apERxgrEQxynjsTAofvbZZwS+xyhN0Qo+fHjHd998RRAEvHz5ksnssPeNL/KaprYiqoZBK2GRnJyekpcFo9GI42MZKdZ1jalL6rLon2MQ+gShR20adOC7pELm0mKoJQ+2AwAnSeLAkGIVPJ6OOXv0iDAMyfbixul5Pq2VfdXUjQBekQ5R1ZSgRUK4acWeezaaEHmK0XjE6ekx19fXbLdreR5KUxQ5SlmCwCdOol4nxfMUj85OKPLSjfo8vMTn9OQUrZQAQKMAhaIqckI/wNeKMIgwSuyAPX2vAhv5AbXnU5UlVVlyMJsSBj7LpVDw6rpmsbgjTQLOTk4YpgO22x1V2ZBlOVlRsthuWW03DAYDzh4/ZjabsV1vqMsKHzg4PuZQKTabjTi7WoOPUBLjNMUinYXhvuydTBU+vqcpy4blSvAW69WeDx8u+P7773n37h3bzVbYQhaxUMaN9bSMIP+pZKAvhLjfb3+qKv4xhuTh9/yYDfTjcd2PE3oZSfh9ov3RzxgD3r0La//3EffFLhlQWJzBdf83uoRGcAKd9fl9t1iozMKq6PED0IMorbrHGTx87T/l+snJgBcE4GmpxOOIJAmp6pzWGra7HavVkqooSYOQaOxjAst2v6fMM0Lfc6ODFUVdyZxRa+I4FgBhFFEY2ZiX11fssz1lXdNaMTi5ub1ln+d8/vNf8OnnX/Dk6TNxCyykCxH5vmRlnsf48RnrVUjTSisrDENOTk4J44Q3bz/w63/8Ry4+fOjnOFopWYBKc3B8wvPnz3n+/BmtMeT7jM1i7gJwgDGCit7td6y2O27u7tjvc5q6JcsKJ5Xr2tL2Pqj3B49rS3WdAWPu6U9dBfewyvejqNd9930R4Gma5qOF83CBGucrIBaZ7T3P2RMkr9aKoaNqHR8e8Pj0lIHyUcZQ7XZUeUagxERmOEmxWlHUDbUxqLZ1bIwAz4nJdLr0xqGLW0QsRFvLdDKlynJ26w1VVZC6NidKU1Q1dWOwOqBtGrLdnu12z8nRMdPRhOPZWEBSQOwsrbMsw/cDDg5mhGFAVRWEoc9sNiVOhY++3e4p68YV0l35f7/Z7zdFbySMNYbdTgRkkiQBbYXqg+mz8m4Gpzv0a7d5XMdgMplycHDAZrMmz5v7v/EgwHRiVvL7ZE2kaUocxxwcHDAcjlivt/2z7T53c8/ujXRUt05LodObUEocQ8VpTn9Eb+uEm9q2Zbfb9T4QbdNIsmUM2heN/XQwQLsKp61qWhR+FDMYDAmCgH1eglMd3W63BGFMWdWAwtPy/+v1mn0m+94Djo4OePr0CYv5Lb/73e/Y7vb8xV/8FeCx31dUrSYINI1paKoG3/fwooDYBsSpyIdPp9Oe7VPs9+T7bT9+6X1IfI+irh3TQzvRIiujAZdEdfr1HdI/TBIenZ9zcnws7CUlrWvTtizv5iLsFUcMR/L+A6UoyhyFCPPUtWZxd0cZ+DQGojgkLzIurz6wWi9lb1rlAJMNnq8YNSPxFWglYZuMhsRBxGq15puvvpK5tlXMxhMBcsqiYb/d0UQhqXNvtRZM27DfbtlvtwziiGAgWv91WbJeLUnikMEwZTodYzEsl0tQls1qjX/gEwYho+GITOXsdxlXF5e8fvsWq+G//hf/guPTEzzfdyDgiiQdcHB4JJV+I+OKh3vCura29nzSJKANTf+cun23Wu24vrnh/fv3vHn9hs12Q1VKVdy0HwsAdQdl33LnY0R/t6+7WPgQGPwwnnZgZNkfH+tIPLS+/niE8CBSPPi9sg/ls+95/TnSAYa7fdoLObliI/A84jB0XhWNgLofvOaH61m6vG5soP/YsMk8ODOsMRh3xrTcJwJ/PG78P79+cjKA7zGYjElH2hkARVR1Tpnv2O+2nJycoBqLag35bk+rFY8fn3H++DF1VVFVksmnSYIfhS4r9nrVv6qq++opSmIGzYDZbIbWiiLLKaua0XjM2eNHpMOBtB+zHG0hiWJ8oKlKqiIXXXxnNtIFXGMtt7c33FxciRufUqzmC64+XNBaw3g85pnrUlRVxWKxIMtzvCAW8RVruFusePP2vVgIr9dsd5mAiByi1TrgoOeLtWnjDmRZMPRa3MbI/Fuurg99r1ff69ZDb2DUgWM6M56OMvXRDAwZA3QJQ+j8EsIwYDgacHp6zNHsgNl0wtPzx4xHQ2xds12tWd3N2W/WjJMB08mYKBJjkLJpsFpTNS2NMbS+x3a/o3KWmsM0lTkV0rqNPKc/73kcHR6xz/cUde40BLweRNcYi/adJ7gbaaxXK7AGP7CEoagapqmMJMTDvSWKfeJ4SpKKQlxRSHcmCEVsZ73ZU9Xz+5bhjw5l+rt+f9CvVivW67UcjNwfwtj7Z+RovSgHFFKOkYDWEIqwzu3ttRsD2f5H7xOA+9cgsrDSyjfGMBqNGI2Gf1Sd9K9VuVes7imFD5OLj0Cnvu+U1gRVn2UZi8WC7XZLHIvQUxSJsVMXALXvowOFHwROoEVhWpxMqxZpWs+jaVv2WUaW5YwmE1prqbtAZOUuhWHEaDTi8vKScDTk7NEZJ0dHLJZ3vHz1ktv5HXkult++H2KVhxcl4n+gWpQC3w8ZpCO05zEajzk8PGSxWDCfz9nv9yhTO9Gae0e80Wgg44HKEEcxaTLoOyDW1Bingd8ZyxRFgQUm0wmzw0MZeXRwLyOH5e3VtWMZiL67sZY0jnj++Jzlci5uhkpxMB6hjCiVbjYrbm+vWS6XGNNgrSLwQtI0xjww/ymKgv1mS1VVnJ6euaSspakrN7MXrYC6qfB9j9lsQhgG2LYRd0OlqJqK1ojGSBiGgt95pMC25FnGerUkDn2iWFxYfd8TymlZirjXfM56vaEsK3w/ZLVc8eH9e66vrjg8OSYIAsqqJPY9qqaltVBWNXOnAnt1fcN+vyFJo96UrqoqlssloR8xGx0TBCLx3h3O682W3/3+K7786mvmTrND9gd4ypN5uNtoIqJ2P9f/U5X8R129B4dq96y7WNhZMncj2O77utFrl1R0v6s/yN1r0Q8qdEkKhKGQJAlJHAsGyQpTpW6rPml4uJ+D3v1VYaqatjV4vueSd+0cOVVvanZPE7x/v30HwgqluXF6FIJfsyjXIejiw3/OyOAnJwPpeMhgMEI7V6W2bdGVRxTJLLcpa7Q27NdrTNNwcHLCz7/4nBevXlG1Ld7XmqfPnzIcjvA9X7T353OwkCQpvhdgG0MQhoRxhLHnfPLJKzbbDR/evuP9+/doNEWeU+QihZrtdu4AmqCDQKqLCqIwwFZyMwJf+Jnzuzk3V1dYIxa5Cs319RXjyYSf//xzPvvsc6IkcnTIwln7ajzf52a+4Pvvv+ft27cy964qqta4WaQ8TOsOB+3+LW1dAYF0M0uLLCDbI9lcIvHRAzeusql7kaOuGuz0CbJCWuie7/VynV0y0dQC5PQCn+PDGa9eveL4+JgkjoiiiNl0ynA0IEnEO2Bxt2CzWrHfbjFty2yY0gQBm/2auqqcXKvYnGosXhQRxQmL+Z0oorWiIGaUVKg2STgYT90szEh73RNaV2dq1DS1GxEIfmAQxWgLphItcrSsp0W5YjlfM56MSFIJlnVZEEch4zQhjSJ2WU5ZNShP4wcejQF/uSGMo74b0yVKuM8a9yxc1r9er3n39i2j0ZB0kEpq1vN3XRAy8pNY6xrnTsRJW6yxDEfikLnZrOnEw7p1IKyC+8DQNGK4cnd3R13XPf7C83RPS+s6CwBoLWpjSvfBShDdCBXJF2pV4zpDQRgSRbGjomW9dHgcJ44zLsnwaDR2LAiNUeJciHXKbFahtY/nhQShgL1knm6pG0negyAkCCRRbRxaXylELtjzePnyBZ+8fCn04rYlTVLOHz9hn+UEQYQfhHzy6pTBYCT0WBo836Osa4qipCwEPb5aLbm4uGC7FWvjUHukqRxuxrS981ycBkRRymg8kvFh3dAaZIyBVIfa8zEWPM8njGJmBzJW2my37Lc71sslZVGyy/Ykg4TJdCrUSs/DtA1xGDAapOy3K/KsBNMSe9KGb8qaMAzJdxnaKjzXS9K0RH4EWsJtp9kgiZdmvd5Q5BXWKsEm6VbGXL7GtJHrggWMhhMU1rXSrXRQy5JAa7S17LcbtoOUNI5kzFFnZKahqCqWqxXZPqMsSzkQ0wFVKfd5u9kyHI04PDrgi1/+gtq0GKVEKTWKOD1/zHa/Y7laMl8uZO1bSUi1p8nzSlhUxnBxccHr16/xlM/Z8RMePz4njiKKonC+Nm/59u1bNtvdR5WrUooWN4azD5J19y/rqIT3ozfj5vNCf8VaoStrhXFJw8NRaW9EZ7rD/0Hi7Hv4jd8LKfXzeUB5MiRUSp5lN7MX19QJpyenvPrkFcM0pSor3rx5zQ9vXn8kKNbH9bqkKqQAMg7zYqzq9XS6OB8EgYDMq9LZksjhj5XzxtMiq2wR8KYAOmuhe1vp0nY6KE3bYH9ig+AnJwOv377h51/8gjASNSdbK7QJHVJS8+HikmyzJvAUB9MJo+kI5cHV7SV53bDaryGQbLbeV+yyPbQW01raxnB8fMLBZMKt0yeYzWbEqbRC58+v+btf/QqN5ubimt1mR1EWbJdLrGkp8kPGwwFh4DlwnabZiuhIledUYUi225HGEZ988lyoRhb8IORnn/+Mv/rrvyYNEq5ubtCeFmBN3XBxccl3333Pl19+yWaz+agaE99yWZ392d4BzLpRgRLteGudwpX7HtG17kyDBZF6jyOQmXKnwS8LV6q5NE0ZDodoX2OsIfB9oVZZN6eylsl4zLMXz3n8+DEHx0ccHB6irGG9WFGXFUWekaYpq9VGZJ2LEoMcop4fMpjOCAKPrC2E6gYo50WulMILYxLPw1NaxFeMOBOGcYC1Lbe31zR1yWw8JR7G1LbFsy1ZsWPr7GajUJTBfEKReC1L6rICY4njiHQywlhFUTZUTc1dfYfny6bxFAzimGEqPt1xmAAVZV2hfKHdNKYVGmsUUhVNLwrUg43kCboHJvr2H96/YzCIefHJS5Ikdh0c4zo/CqV96WygxBsFQ4vFVxatpZPx6NEZi8XcYTvkuaLMfYOhZ45Im/Lq6ordbsfp6SlPnjzhm2++Yb1e09Gi5FuVUKKcwYvEBCvGJYidtu8F+EFIa6ExlvhBVdS1RBeLBWdnZ4xGYz58+MDbN+/49JPPSOPYKeWZvjLplfd8YQoMOvGosuTw6FCU8ozF9z1GQ8EEFKWwAwZJyiBNOJhM2KxWXF1eMJ/PyfZbkiTh9PSMoqwYDkcMRhOeP3/OKBmKrHZTsct2fLj8hsVyQds0hEGIQrPf7wnDSII+Fl8rjk8OMcZwc3sthwQyx/eDQO600z7wfHEp7IC3VVWBFprobDajrEqurq9FBrsVFogKNdPhAVEU9ViDKIqIowBja3a7DbvNhmyfsVmuuLq6InLOi11C5ytPugOmQgWSWHl+AGiqyuDpgCCAujIohAbqByFBZBmORiRxROBp53hoXLJ4jxE5PDhw4koQRyFhEKCMwbSGKIho0wFlVdBpcnh+RJOV6FY8GayRxNuPAtAwHA8YTqdkZcHl9TWLxZLp9IC7qzuurm+4W9xhG0sSJcRBhKc8BsMBxlrevrvgB2cwt9/vwSouL+fcLhckcczt7R23tzfss4yiEVsvpXkQ94zT33fI+/tBrnThQOKtZPjg2uNd/K3birbj9Gv10Z7vRgPGGGz7YMygu3GC7iWsu9a773BtQajx/HvadwfyPj19xGef/YwXL17w8sVLpuMJZVHw/Xff8Zvf/pY3b95wfX3NbudwQG1L1dY0ZeF0Fzy059FaJxakxFgOpfCxBMZQ2xZlne21kbjhIc1IP/Sd+qbE0KoSlcimMfi6pVJNH2+M91+4M/Db3/2OLC/45JNXDAZDsJq2aVjd3vLt119x8eGCtioYD1I+e/WK8/MnJGlKYy3XNzeyaINQHrgxbJ0T02675db3SQcJBwdTdOixXq1pbcNmu5LqoGp5/vSZ8H61x3a1xiKI3LaW9rJta4aDVJzXlMiyFkVJ7dD8o8GAP//FLwBFVbfUTUNjLKEfcvX+At+1QqfjGVYpfvjhB371q19xcXHVi8JIbO4aN6oXkIA/0cbhXh3NfYc8TLeojBXBDTHuuP+9XTtNqtFWuNzWisiT9jiYzYiSyGXFuETAYuqaII752Wef8dd//dcMxiN2+x1KyZZqnbtZWdfMF3MR6fA9giRC+Rqjxvga8BU69BlPJ6LC6OZPddOAtfgo0sGAyXhEGHhk+0xAgSpkPBph6pr9bk/k3wvVNK0kMw/BbmEYkoYJYFnOF1x+uKCparTXUjUhKA/ti7xsVRdkRU4SS0suDDWGlrLKafFcbDBo9wzKsiROBEeQFVn/XO4PWMnU++eiLPv9jq+/+oq6qXj2/Hk/munbh1ahHPASI/zpDnKonVfEZDJhOBzKejGWxv6xEuHDz9utyC4/fvyYFy9e8OrVK1Hvy/N+1WjPc4mAgI+k0lSO4uThubZ3J87UtE0/T+zWoFKKxWLBZDJhOp1yfX3N23dvef/+Pc+ePJGRXSCBrj/IfB/f7xTo/P51d8HVGMROPEnAMVSSKGI4HNDUDY+fPOLy4oKLiwvKIqcocuI4ZjqZUlYVs8MjDo+OiZOYrC6Zr5dkRcbN3Q2L9YoWqeJWq5UcZkqsjyUgWtIkIopTAfv5AXEcgvakVapUf0/cCydw2v/WWtclicWpMU167AvdfbOWOIwYpKkE8bKkdTiC1WpJXZXcXF+z3+6oipKyKNhtt2Qu2Xg4xzZtg2kF/zI7TJlMZ9R1Q1bIIR1HKcSaKIzw/YCyqri5vcULfE5PT5iMBrR1JdTFpqYs72WSO4Ct50ymOhe8DnwbhZFb67LOI+cmihW+f1VVhDZkPJkA4taalXsODkQUyQsEs3R9fY1GMUyHlLnoYazzLVVRMirGDMdDlqsNVWPQfkgYSyd0OJqy3u/59vXrvnDQWoytHppt3VfP9GO6j6+Px24PY+1Dsa2uWNNWo7QBfd9J6ym+nnQPHl4PAXqdiZXWMg4/PDrg0eMzptMpQXA/anj06DGPHp8zHU9ccaMZDUWVdjyZ4Ps+Nzc3krQ7JpKMjUSp0/cFX6O0Jwl/956VW7+hT6zifgRAI8XeQ/2gh6OPqqooi5K2ERE2isKx3VqHgfpPXz85GXj+/Dlt23JxcYHvS7beNi3zqys2my1HRyd4GE4OpvzlX/4VyXjIaDbDeprSGHQc8eHqmrZspN2WRGy3JddXF2w3K0bDhLzY0poWZcD3tAQ/01JXBXHgE8YDRsMBr9/c4vs+j05OsLYVH3GrqMuKEsUgTRgPR30F3FQ1aGmn11VNmZeEkczRwjASPXM/FGnQt2/59W9/y29++1vW6zVta+/bRj8K5g/v8Y9pat0ivEd2OvUut3ib1mCM9AU8T390WCklwLYuK2yqGoy0c/MoIh6Erq2kBO3dtNRWc3x4wLOn5wwGMVWRs9tumHgTwijm6OQYPwzxg8DJlIodcOboTePZGN/TVG2NLVviIKDVIoFqWoNxgBuvaUmSmDAIwNkU11XJbtsK8Et7GAz7PKNuGva7rHdy01r3B5KAtQQIE4U+TZWz3WxRCnZ7AaaFUSqByptQlnvH7tCEoZhZNaYDF3n41scAcRKT5xnzuzuMM1QxP5qdKTpBoPvN17Y1q9WCr/+Qs1ktefLkCcfHx268Y2lp8I2BwLr2uUYZhwZ26OU0TTk+Pmaz2ZC1mbQD7ce85IfrJcsyLi4u+Pzzzzk7O+PTTz/l7du3LsOXWad2bUnd0ZGUxlMK3/OlZRgETgmuq168vgHRVTlhGPb4gQ6Mt11vePPmNYMkYTweC30Mem+JPsgaUdjskqymkUBmDdLaNwbf8zg4OeXk5Ih3b9/w29/+Fl9pPK3Iiz2319e9tHQ6GPL48TnPXrykblpW6zUfrq759W9+zWa7wfM04/GAKIrwPZ9a1+7QjGkaSeCSKCJNBAektehRJOkAYzV5JSwN0Rl4oBjnZrGAw9EIKDfL9tzd3bHermiMmOeEQYDva6oyd9axUJua1XLPZnlHmWfkWS4AQHU/1+0VQZXqFQM9TzGbDDk4OODg8JAkHTJ3rA6UJkwSPE9An8ZaWgPrzYaqrhimKWks9Ebf9xmPR1hrKIqi/zsAQRgQhveg0v1+3ycKaTrqZ9lKKQYHQ2lBtzVNa2kM1I3EtqqsaBphNMVJQmNkvOd5HkmckO1z6lpUE1frNWVeiTCUs7f/7LPPARmDVXVDVlR89/133C1XPW3UAJ738aEOHUhOsoEfI/m7ZOZh8vDjuNv9jh6s+AAX0MVjz/Pww+AjjIH/YO8IVVYSuiiKePToEZ9+9opnz585F9WgT/ICVyyg5P16WqN9GeXGcdyPrjpmg7VgWznQuwretNJVt16N52b9pm1lBIKMk3ylXEwVhV3lYl4HHO4SQK01vueLo20lCVtV1xjt9YZ3/6nrJycDT58+RTmN590u4+b6VgBdQcgvvvgl40FKU+bEgUddt2xv73h3fYUOArwo4pNXr8jrmqsPV5RNifZllrrdbMkc6yAdBLRlQbbbCxhGa0LPh0aUmULPwzY12lrmtzcMnOOXCQRdbpqG0sj3VkUhuuZ5SVnXNI3BKhFfOTw6YjyZMBiOCZ3U6N3dgl//9jf8+re/4c27d846EpTy+qzxxwu0O2Q+Cjru6w8pIp1NqSxY1Vfrghj9mMrSLcy2adxB4/T765oig41eYRkwGA4IwwRfKSojylTnjx/x+OxU1AUdh7UscuIkYTyaoj0PC1T7HZvdlizLKPOcQRIThSm+1rRNjdUwGAyxrWG9XgvFqau0lCCYrWkwTc1qOWe1nNM0dd+BmM5mzA5mhJE42eX74qO1JBWs8Ms7XMezZ8/Y73ZsNhtW+y1F2YBqqVvLeDQkjENMW6NMK5WQ0oTKJ/QjFD5eUbIvStceb1muVn0l8hAo1N1zN5hEOX30rg1rsVjTMhykTCdj8jwXE6VGKKXKoYLld9FjRQDHXDnh6uqKPMsd0Of+Pf8YDb3dbvnmm2/4q7/6K46OjlylmrJer3uWRhewQue34WkN1nGV/QDtB2jPtS+17t9D12ESFoYEvB6EGUU0acrCOb79/Oc/J/AD1+q/N/Yxxoi9t0tMup+P45i6asjyPUHg43tC/7y7u+stxouyFOaJVrRGqK3z+Zztbg+ISVCUyHt9/eY1V9fX+J4mjiNM3aCcKM1sNiOOEoyB9VokrofDkQByDURJQmoa6ZJ4Hr75WIjFd+JURVmyXq/7Sqq7L0HkA5ZBmhCHAa1TL9TWYNqWuiyxbQNGupC7/U7GF36A8qCta5qqFhnituX6+rpndez3e9I05smTJzx58kTsdlcb7m7vqKqaOB2IK1/t2BheQOvGUtvNlsViwdHhjCjwieNIFAAd/bht235tT6cTjo6PAFgsFn08quuaQMkcummESZKmlqqq2e+3rsixMo5UyvmniOBaXpbkzm/EoNDK48P7C+qmcYDLmKaFLM/ZL+V3Xd8u+3W23W7Z7vdk2V4wGk7l8SF25mHck0PzPkY8ROHfK3p+3En4U+yCPpHV9639H8fXzngqDEPG4zGTyaRPkruOgHiSpEymExdr5fAXsLRLWoCmFoC1UVKMij7EtXPBrT9ObJSMd1HQWqjaFltV1LZ14mmBC00O29S9dqCxEuNxaqqdJ0u3t7XWRGGIahWVFrvt2o2UegfE/8T1k5MBsdZs8f2Q05MhdSWV4tFoymQ0QtsWNUgwdcn79+/ZVTmFaUjHI1qlWOx25GWJweCHPut1TtOKnO1wkOApS+R76CBAhyGNraiLksaWVLXTS99uxA7TtISeR7bfo4+PGI3G5LlHnu2pq4o68FktF1zd3BDFKYdHxySDlGQ0ZHZ8zGg0Fb0DLWpxbz+85+//7u/527/7O3Ew+0gj+l4R7qOEwBlLPFyUH2e59z/TJQNGOley0GndXFakVR/+bLcAVPdDDiCIsZRFidoZgsBj5NTNlDX4nub88WPOHz8ijCLyskBhWW835HmGVSJUUbcNWZ6TF3JAj9KE0SAl1J4oYilNEkZMp6ICuHXAwjQS85KqKsmz/T2/2VqqomA8HqOV6mVDldZEcSxzdrcWO1vX5XIpEriOO5zvCwIn3hRFKV5Zk+cV2rc0DVSVdSIlUDcNpq4Fwet7tLXFmko2HorIMSjquhZ/hx89j/7e6nthIRBJ58fnj3nx9CmfffopT54+IXWH1c3NDYvVRmRs6+re1U2DNfSAJQHljRiPxzLqalswjVQ8fIz675wa3717x1dffcVgMGA0GjGZTHpgYZcYBr5gNiQVl3DkKS3ViJszdtiNetuSFwV1UfZBuaOn7nY7cRpNU9q6QSvF999/D9ZS1gXD0agPhp25UVtV4sfhNA26r19trtnv9iRJLJLgt9dUZUkUesxmU+qywLQNYeDx4sVzrq6u2O8Kmrrm/fv3hFHMZHrAarMiz3Kmo7E4+tU1tmoIEkWUxpI0hDF3d3PaVlz8YidGVRQ5cRASxglVXRH4PsNQvrZcLgkCsVgWsaC8p2zt93vqumY8GTFOh2jPUlclq92W5d2cw4MDDqcT9rstu+3aeRoI0j/b77m9vqFtmo9HNm7/d54a3d/qqIye53E7n/P+/QVV3UjCEIlOiu+HDthp2O0ztPaYzqYigVwUBN7AjWru19l+L6JaaZrSNMM+ievpZi7hVk2L5wW8ffuO5XLF+fm501UpGQ6HDAYpQRCw20sB1v0etMYLJOa/+3DBermhrhrOnzzh8PCYqmlRfkhW1FgatPZZr4WV0zSt7BXn/eBr7cCUMhK13FPqustaQcJ37+/h9Uff96Mu6o8TgW4cEDisU1ftd+dYmort+Wg04vnz57x48YLj42PG4/E9rQ/utUGcymA3WdRaU1Q52+2GPMtYLZYUeU5VCv7p4uqK+Xze0xm7BKlpBA0h+99iMdiqptNI7c4c1P2akorfw0PR1g22FXOwxn5MNezuhadFoCoOI6qwEsbQR+Pqf/r6ycmArz0a01KWoo0dBh5BEjMcJkKbqSryfUGRZVKlK9tbHV/P51xcfBDHudYwTCXrKvOC4dGAVy9eMh2NafKcpqpIo4Agjli3DWVeMB6O8MOIsq6o6gbCkMPDI45PTpiMJyRRSFkOZEOvVuy2a1brLY2xPD465vH5E6IkJUwS/DgSHqtWNE3Nl19/w7/7d/+e77/+nqzI5cGoXrhOzuFONrgHdXaPtKsqO3Sg7efXD5MBqVJs/3VZvBbPl5kvTju9+11aaacydc/PNY6qqFpNVSlJCuhaXRKAj44O2O+3rDZLWmPZ77c0dc1uuyUva0cj81BWkLdBEJB4Cl9rfK3kcDcibnJ58YE8y1kvF5IVu4243a4pCqkQDw4OODo6oq5r0jSVRCJNKZsaawVwpfHQI3kf3WyrrgUH0YmpFE1F0VR0KGHPj/ECTz77EcZoMM57ocnEbrdV+JGitQbTiLJlEIb4YczpyQlh4FPVHyt6mQ5noZSj4Mjcezwa8fTpE37xi1/w+OyU0WgkLmJty8nxMdPJlDfv3nNxeUVVlrLBnT4+7nDuOgxRGHJ4eMjN9Y1Tx7vnG8uH7teE1h6r1Yp/+Id/YDqd8uTJOedPzvlweUG9qd1rdz4KPZNBOYy6comqpTUCkkTJjN+0hvk+c+wSYS6ASBNn+4woCMkd574qSn7193/Pm/dv+PTTTzk/PydNU0eDkkOBsuw7DV3H6/bmljiO0Cjyfcb15ZWMjtIIrS1xFOJpOeyKXNgDcSJugcpVLovVksvLS3ylOJhOUdaS7/coa0iimMFwjPI81puNACsdPiNyNLYszyirWoCP2iOOE9J0xG63oyprrA9hKFr4WZ5LodA0VA5Rn8RJP75p24btei0eCmnKbqtYLhZsN+t+PALKKQaGNEqxXq/BWtIkFUGxtmU0GjMejdluNz219/2HD9zc3ZLnJRbFcDgiTlPiVMaUoPD9kCwraGup9gZDec23d3cMB+KXYB2OwljLbrcjL0q09p2yZ8Xx8bEA6ixgRWzJolksVlzfzlmvVrQWTs9OGYymYkIVxvhhSLPZUdQNoe8zGg6JkoSqbpnNjkgGYy7eX4CFF598wmA4pqwbLq6uub656/dXFMWEYYG1JdYatB9Iy9tYbNu1RrkHUiv1cYHlOgcf4Xugn67Jvr1PiDp8gdKqZ9qo7kN9TDEUrw0Bjb569Yrz88fEccLjx484OTklSWRNta35SLujdRQGa4xLCg373Z4fXn/H+w/v2G930jnsZvZ1Te1ExjzPEwnphzbbD4qBeyBjR02X4jJyqrOmbfE8hwnyfExoBGjtLKO11nI26e6skt/lI51cMYP7UxiMf+KM/0nfBZzMjpkvl9S2JU5CbFMSeh6e1+J7NaUpWK8X1G2NH0dEUUg6HDKaTLhZLgiVYhBFKOURJSmz5yNWowl1XRP6PnVZoU2NaRp29R7TNm7uvWOmAiajCYHnk3sNYRQxmkwYDsaEYYzyfIJIY5VPEDe0+z3Do0NenvyCk5MzoiTFGOGt2hZQlrqtePP2A//mf/u3fPf9D+wLoRKi5JBojGjOKcd3tRgnbQqSKtgfATM6HKwkDN3M936hu8D+IEvVWsRFlG3us2IUWlmshkYDSoRbMNLqrtqGNrd4Xs5ul7lMGI5PDhlPh2gPynVOlhUUeUZVNVilUbUgs5MkIQlDUj+gbiratqIthbedJhF5kYkVdZ4RhSF3d3dOkCd1gCzJzG9urlgul2jtE8cD4lgqMr9uaFtL7If41hMRHwce7CoyOVAMZZ3LZvM9GrR0gAwQRES+zN6s1iw3W4o8ZzDw8X0PFaVUOsSqkKo1ZLsc2paDo0MGkUi/plFItt/RKpFe7rTAPe2JjWrbMhgM+dmnn/Hy2XOODg85Pj4miiJMK61U20AaDxkNEk6OjlneLdhVe2xd9XxepTzns45sTKU5PDhgPB5RlhltK/ajTUM/Nuh0Bqy15HnBb3/7W4wx/L/+n/8Pzp88ZvrDlF2xQxukA+D5eEh1YDvUtVLYVkCTWItpGkeTUiR+wK4oyPOClvvAZlrDdr3m/PE5YRBijeXg8JDlasX333/P7e0tJycnfPrpp/z5n/855+fn6OAejGjdIZRlGcvViqfnT2jKmpvLK+qsJEwksavLvZhPKYX2Aqq6cV2fBN+XinWX5Wz3t1L5+oHTYgcvDAiDkHg4Ikyc4uFmg0HYC37oE4bS0dNaUxQlSmlmswNZg44SmSSCOwiDWBghIGh7wHYBumm4u12QZXvWizl3V9cs7+aosiaJfeaLO0HcK6hKmdEPB2MeO7fS8VR8HsI4wt+s0dst09khympWqy2jYSLr1fNoWpFPT5K079QkcejUNLco5RH4IVGgGIwHJKOBVNRRQIMVMZm2ZZ/nmNaSpEM8P6ZtFVfXd9jrBqU9giCibqR9XTUtg6HHzXxJYxV+OmSVF5SX1xxND0iThNZ4RDFYFeDJRIWyEpdSOfw008khrYH5Ys7tYkFRtyg8in1BU9VYJ2gWxyGDQYJSlrbR8rWqEnqxldm5diBY+FGn1cXQrth6eHVc/4cdWOkQaJQSQKAAAx1WwBdwtBf4eIFP4JKyg4MD/uzP/5J/9s/+GYeHh/dCRL6P5/lull+6zobFWg2q7WP7brvl3dt3fPP111xdXlDVVY8/wRWBpfP2eNi+74CrVVV9ZFl+P+bQ/XvR7hl6nkdVlK5TLPRH7VsXy31oW3DjF6zQpTUeylkDWE+LYyEuLv2E6ycnA68++wzv/TuW2xVtWxMkkXDDrSB+27ImDAMiP8K4bMf3PCajMZ+8eMlmtSYNIwbJgKYF0zSczg6Z382Z392xX60I3Iuvipw821MVuYwmVIRGs80yVBDy2eefE4QRRZ7/kSIfKCaOS394fMJkMsULZXZd5CV1XbNcrfjy62/41d//A998+73o5SvPLbrOe1qqVJwRBuo+I1Va9fPjj+iGXbvwwYL9McOgmwF3qGNBG3/cwrYOOPgQLesr5WSF6wdiMkviSARXDg8PRP88CsiyvP/eum5EGhPwlAIihqlIyy5XS9brnLLMUVh2WzBuNn4wm3F4eMhgMODdu3c9IrxrpVlwFrAit3x5WfXtZRHiSPo2l4cSBbOi6CmKbWup6or5fAEqwA9imtYSRjG+J5n01fUNy+WS27tbVqsV00nK0yfnjMYTCYZBQFnVvP72O4os48///M+ZTKYUeY5xtEhtkKrZtT/DIERpMG3F6fEhP//ZZ7x49gylFIMkRmlf1NCM7YAhonIZBjx6dMKHDx+oygxF5OxYpX2r3LqRih9m0wnr9bL3n+g7RfYe3S1jJsN+v+err77k1Scv+Ov/y3/F559/Lrz3zRZfCXDQNi1t0973IqzBNEKT9HyfyPMo6grjaYgiTCuCJCglfiFGjK/yokB5mmSQslqtGE/GnD4648Pley4uL/nqm2/48quvuLy64l/9q3/Fs+cvRJkRYYFst1tnOR2QOLBmtt/haZHO3W137LdL5osl04NDzp+cUjp9+tg5Vu6yjMVqyWK1FC975/5mrZhGjcdjxpMJVtFjFDrLYhAzLtMaBoNB39aVbkbIZrsToKkD1SkFuQMvdglYmqYURSF22VpxfXXJ5Yf3XH+4YLteY+qSyTDlw+u3oGA0Gsn98zwK5ZNlOcYYV+yMZayoYDAY4HsR+03Wg/bCIOR4dkgYBj3LQylFvhMp3u1272ScJ3ha7LQbLftmkA4YDhK22y1aiX7KdrNhvdqw32c0tfgF5MWewSDi7m7BdDKlqVuKomKxWrLabFmsltKVRQCBV9c3vHv9DpB78eqTVzx6/AjPC6irgn1eoMsai5tt1y15WTFfrKjrW5ra0DSGtrWCM1ECiguCoI8BWZZR2OyjeXWP1+FPH0623xsPvv9PfH749c4S+SEWwPdFJXcwGDg9gHGP23j67Dnj8bgHA1auW+QFEo8bazBalESMsTIOd4XMl3/4A7//7e9kzNk0KE991N3o1Ay7vdJZX4dh2AN5O1DhQzxZY9oeeKhU0AMYFSJU151tPSAyMKgHnQprBQ+HMz3q77OSJIkf3bd/6vrpY4Ik4uj0BH8Qk+U7iiJ3vu/S2vMfzEKMsgS+TImCIODpkyd8u8949/YtB5MDkijtOfJJEDJNhY7VNiWmbSiygmyXURY5WJHhFGlRCHzZ3OlgCI7r3dQ1rTEkcczh8RFREjAcpwyHYwajEVr71MayXCzZXF3zd7/6B/7+H/+Rq6tbmtZgHShEgCH3B7s8tBbcwhClqND9m48wA/cIbK/f9A8TgR+DXTqAWF2JcEn3wLvDH+3Q6vre8a6bP4kghviZV3VNkiTMZjOatmVzs+Lu9pbtNuvbun4QEjqlrCRNHUXLEIchme9jGp+2EZGj6WxG4PmEvoeyMB1PGP9ixHq15vb2ljRNqepaqDTjMdYq7u7mVFVFEAQcHR19ZJBjrGW3z7i9vcVay3A4FDqU51Hnht2+AN2SJD6eH9IaxWa14epKQDhZlvXCGrvdlrdvPwiKPgwIo1gOhrqidT4QddPw+ofXaIf0V9agnfrco9MTfv7zn3N0dMTrH75ls1mzWS1Zpinj0Rh/MMQ6hoF2joZ5vkeXGmNqDg+maG25vrp2zpOawFfgqh2LIttnLOerXkGxYw38GIR6nxDIQbLfZ7x9+5a/+Ou/4sWLl1xcXvKm+B4fYdTYtsFHtOd9z2efZygl7o/pJGIwHnF9d0uZ7cVv3mECPN93ZjcK31nQttYwnU1ZbzdUdc3h0SGnj85Yb9Zs1lvWmzV3iznvPrznv//v/wf+4i/+UjomLth1o6kkichNLSMap9Q39acoK4d/4g52rX22ux1hmMiBGYYs1quPEPG9h7sbX3T7rwM8doA4SaQ9kuGI4XDIcrkUfQBrxfyoEQ+OMIpIktiNUBqGwwFVVbHf7wgCj3fvbri8vCBJIuZ3c4pszyBNaKqc9WaNZxrSSICRNMKmqVSLUjlV23J3d8fx6SmTgxlN27iRkKUuZb2enJ6SJAFxFBF6PtoqUT51I8Eiy1AoTk5OCMOItpUDNUkSWmW5vbtjv94wGQ9J45i2KlFIdXl9I6ZnFoXnhwSBR1nHhB8uUF5AbQyNMez2OfMPl/hBQBhFtKbFC0KyYsHFu3fUtci1oz0mB4cMhwO2uy3r9YogjNC+T1HW3N7Nub29Y5/taRrBulRlhdb3RVIX6zqWhla6f34P1fskLjotgR+PA/5EjvCnwIb3l6A2PE8O3DiOxSr98JDpwYTJZMJgMBAmhwMIRnEqfhjOZbFbN34c4zlfC4DGGLI8YzWfM7+54erykt/8+tdkuz2+50mXZrNnsVj0a7ajsiolNM+uC5wkSY8l6RKDzvmxacSgSJgpmjAIBZxqJaFoaP6oqOwOfDHssthWEgFcEY5SjpkiSYKx/4U7A6EfkCYJtakpij0XFxc0ZcEgCGnygkfHJxRlQYvl4OSI6XiCsbY3rPnD73/P7eU1p0cnHB+e8vj8MVEYksYJVZZj45i60WK9qj3idNBncAezQ9J0CJ6HDkOSQcrB4QGbPGOb7SmcdWhjWo7PTplMhyRJyGA0kqRBeUTA7e0d//Dr3/Krf/gHLi6vMVasIuzD9tQD68eucnsI/qvruu8idIc63HM+lZJ5qPlRZd8vX5dJdpx7WdIfJwvD4RBjDJv1pt8Q3ca55zGb+8y2Fv/5uqpYrpZstlt224zxeEyaDplMpwJYCsM+O10ttv39N03NMEk4mE2Jo5CqKFivFkIzc+8xCiOGgwHTwwORhd2JV4BSXu8sJ/LBaW/M43keRVlyfX3DdrslTcWfIEkSeY27nCQdE8UpfhiTZSUf3l9wdXvHYrHsObpoDzxoqpqyaGlMBTonjHL8MCDyfTwFi9WSf/tv/w11IX7r2hhs2+ApxYunT/mX//Jf8stf/pJ0kPLdoxPevn0j9K0oItAKWjlAAwVGK7CieAiAdkIv0wNCL+Dt27eyibVx1QnCU29qdrs1ddMyHo97itk9Grp7lg8Dmnzt/fv3XF5e8uLTVzx//pzNYkW+3WHLEk/DJE6YpAN87VEkEVXVUJQV1C31PsNzlUaxz6jblqqu0M5qNs8yIhOTlwXvP3xAK8XV9bVUuI5e+MUvf8lmvWa1XpNlGb//w+8pSznkPv30U7bbbc8o0E44S2EZDgcE2tlj+wo9m7qABNfX14RRQhg6yVqlWC2XZPs9HTe8dfzujtrVCRxVTd0fBpPJpNdSqKqaKJTOQAekK8uSIAyoa+H0D4YD5xXhxJAGXdcqZrPZ8Pbta969e0cahtRVRRSFjEYDFA3r1Yrdfs8wTpgdzNjtduz3ewEChiG71ZrWGHa7Ha2V5CoIxBwrzwo0Pk+fPmEyGaJsh/WR9+l5Gl+LEZMKLb6jo+V52XubVHVFU9Vk2x35bsvZ6QmYSGyzTMtsOiUvStbbHRZF3bastzvK6h2eHzGZTETF0YlJ+ZEmiCLSMKS1La2xVMaAp6lMyzc//IBxzI3NasFquRKLdmuJopSDo2M+/+KXrFZr3r19h9Y+R8fHcqDVFWVZ3UvoftTy/+Ni6MGS76+eJfDgvPkxaPAhK+Aec6PFLj4IGA6GPH78mFevpMsxHKd9YtJ1DKQT21L3e1EuYy2mKvGtQWuPpqnZbLbkec7dzQ2///Wv+eabb7i6vCT0A05PTvsOZ2e2pbW4gkZR1CclnTdOt847yebuvOjipMSNRj7qmrZpeq+B+7PIPIgh7m5phRJvQ8E1KKSYQZQIO6Ey/UCJ8f/s+snJwPdff0PR1BRNSWsa4iBkvd3x5TffoaxlOhz3beFBmmKMEX6yVlzdXLPb7giDgMlkyrNPXvDJ85es12s2mw3K9xnEEXGasN2sybM9cRQSh6E4cYURnh8QJinDyQR8j9LI/LwoCna7nYAzgNvbW6qmYDCISYYjoiQhy3Nubuf83a/+nv/4t3/H1fWtzKq1fjDpv7/HFtO3X7pMFvS9aIYWQGW3QLsZke/7AnIy90pVnSTmQ8+BziSj47AHvu/AibJ5Dg4OyLKM9XJF+2AjPKTW+L4skqqs2G42fZB+uAG1FrnoyXhEFEXS3vU9Siz5fkccHjCbTinzzCkYirZB1x4PPEGQX91esd1uGU/kGQuATjvetrzXyWTSJwJdS6uua+7u5r3k7mw261tneV5Q1YaT00cYq7m8vuHtuwtu7xbscknssALg0Upj0BjruQ/npqeceJM1ouZljFjbtuIv3jYNcehzdvaIf/5f/Vd88fPPGQ8HgOVgOsHjKcqC73VKjrbHF3TBzDTSGdKeR4t0GEaDMY9Oz7m9vRXHRAUKaUkPBwlHhwfss4IgFt53nuc9xx3uq5wfJ+zr9ZqvvvqKpy+f8/TpU24vr3hfFLRVQeRr0jAgAAIFg9EQTwcYo1jvt9ytV+TbLUVdURtoLNRtQ2sNd3d33NzcYBVkVXkvUOQShSAIGI0HHB8fc3x8zBe//IWTVt6wXm64u7tjMpn0/g273Y7RaEBdlxjbMhwNCER0wMmsSkt0n+Ws7+7QXsDJySkHByJDvlwu8ZxjYxzH0iF0ltWe51EUBdvtls5XAqAoCk5OTvB9n/Vq09vrVlXVo/WF5y/JwHI57ymaYvK17tXghC5aEPgetmlYzucY23J+/ogkTbC2pdhl4HsYBfEgJYhjwjCgaSEuSh6dnYlDn4ab21vKEg4ODvrfv9/tOTo6oKkLitopUiqwWui5eBqtRCRKKeUkaEtu7+7Y7fcSxB0upMhyPAVpFAIKjOHRo0ekQzFL22y3VGVB2yzJi4qDg0OKvOR2PqdujXOKlS5RXooMdtM2ouNQVezzguX67wV70kr3JUoSgiAiHYwYTQ/QXkBRSPfN8yWZGQwH4nfRWm5ubnqV1iiKSAcDTNP24MsOPPcQRPvxXrAfJww/uh4C7qCjYPuEYcTh4aEzmBPl1XSQorz79nr390W//97ivXsNHmDqhtZYyqZlPp+T5xlBELCcz3n3/j3zuSiLaiXA8zCUbuxgMOjptN0It4v7XazvioHpdEpZlr1RVpdIKPfeTdtSVxXWGIajUe9O2KkLdlTFLhFyN7AXV+qYCE3TSEFqrRtp/ycOd3f95GRgtViCp2kw+L7mk2cveGssf/j1byj2e16PX3N8dEQyHPD+/QeKPBd5zrNTkiThyfk5tm4YT8fssj3f/vCtKF5VBYPxgCCUSj4epqwWcyeqIxvLmpZIh1jTUG3W1I5uZ4F9nkvbWmuCUCgyRZ2RpDGzo2NGdc379x/4f/9P/1/+j//wt1xf38msxYK+JwH8aOFZx20F6CpyQXxqLcFFgF2ea9XeLwStPTnA9L2hBXQL3rjfbdz/i0a656tejEIrwT1UZeXeu7AOgsAXHIbvSfLguhmd0EntWqBVWTEcDIijpN902pOfE/MkYS2EkczO4zgiiiN26w15lotGgRKlPT/0iMOIfL9nt9mQhDHGOa0dHx33HZMua62bGq/20J6maRsuLi5YbdYcHBwyGAwZDocordnvM+7mC4rSsFiu+HBxzdt3H9hnpfw+pXpgUDdqaFpD3YqMNEr+htK+LPbuYFXKce0VRZuRhDGffv4Jf/Hnf87QmTJpa6nqkraqnKSp2IR6CjpT4/sErqVpOucxH2s1dW1oTUucpJw9Ouf65oq8zDC2FiW8KOLx40cUVYNBZtkbV1HL89X9cvtxmzTLMn73u9/zyWef8fnnn/PyxSdsl2tWWQatoSxyfN9glMYGDYFuUb6AiUxTURcFRVVQW0XrNEEMolVeNY1UDb5H7aiCQRgymYyZzURl7fnzZ5ycnHJ+/pg0SaWtW0kQ7xgJ92Muj6YWanDg+/gayrwUh0BjZM4fx8TpgLKq8QNfxljbLcrTpGHCgaM51g6cZ6wl7nQA8tzhcqRzdn19TRiGPH3y1PG7a7abTY8zUEDbiOOhUO92hGHA2dkZk8kYz9Os1ivevn3L5eUCz1OkSUzi+TRVwXw5pyxy0oEYLYWu1V27Ll4URXKvrXR8UIogDGhaIyqElSTjVdkwGc1orWG1XhHHIftMipXhcEioVY8YT8OYshRQWVnKKGy9XrPZbonimMD3CDyR/Y4CHy9JWK2WXN3eUbWGvKlZrDdu/9eURcHtfIG139DUYtSGJyPGxpi+8PGDAM8TanRvaOMwUrZtUJ7P8ckZURSz3e354fVrXn//VoSmlEUpj91uh9LgeweMR2Im1XUHAFHjiyLKQtwhu3GftcbBcT6uzjuG1Z/uaFvE08UlEFoT+IFYLJ+e8/y5JM+Hh4eMxxO0hpaGtq2pXCKGi5UyWrk3ePM8j1CFBEqRZ3vu5nfcXN8wnU1Jw0hG0I5G2mkNFGWJ52mGoyG+7/fW8l1C2n2W+OUYAnHMcDAgSRLiJCHPMjYb6fyapkGhSKKYJ+dP+NnnP+PR2SMG4xFWwd3tHa/fvOby4rLHRjwcH3fgxNa0PY6tKzY7zMJPuX5yMtBai68UkSfqd4NxyslpxXA4pdyVbDZ7jg6PSZOU2rZEqfii73Y7kjRlNp3i+T7T6ZQs2/H7r36LsYbpZIofjaXl3ZRoX1O0NaapCKOQWiuCIERHEUVVU+6znu/cKEVRCZpYB54A5QIfo6HVHle3c7794S3//t/97/zDP/4j601GUba0bjTQSSNjLcY23bpzVyd2cV9ldyh4Y9peNfDhKKA7uJQ7kORrHQnRSmCzgowVXqmH53eHg8LTIQqPyEtI/BLbQGMajG0xNCK8o0AHCb7nY42MR7Sest9u8U1Lkef4kaB6DTIPa2yD1QqjoG1q8D3S0RC0prI1QRyidoqqKAm1UB2tO/S09pgMp8RBwmA4QIU+eVERRXG/6I0x7LKM1rbUtkEbj7KpyOuCKA7wPEPTluSFT1k13M2XXN0suF3t2O327Pc5oESaUwsqVkCUFmU79S5Loyyt58B6XVenBW0cJcmXeZpFYa3m6eNn/A//4l/x9Nk52/2GQEGgDGVdiJmTFYCOMTVK+5iywkQ+2pdEFBSe57T6PYUXiBmQBFlFnKQc6RNurq/JiwJjW+frrgliH5RPEIW8ePGC/T6nboTqh3Ov6wKfUuL30Rq4vrzlf/uf/zciHfLs6XN26x2/X66o9xmlsZiyxLcQ1y2h14BWAoDSHlGUUBmxkbYuobHoHkxsEWe4yWTCp59+xhdffMGrV684ODzk4OSEg8MDfK24vbnmf//3/44v//AHDiYTnj55wnQ2w9eapiolcWoNbVWhsZhWhFOauqSqCqqiQilNlKaMJgPG2iNKBuRNwybPUUGn3uZR1eKEiEuc4iQliROCMKJuKyzShRskKcpCmWW0VYWvhR3Rtg0elnzf9slWFEWEfkgUhTRVw8WHC87OTjg7OeHm4gPlfkud7TB1gVUxZ6fHBIGSVr+CKAowVUlZVGyyPVlW4HmaKA6Io6QHn5lGE/o+B5Mpy82a5XoNwNHJEdaHq8Ut1hp2uxWj4ZDBeEjbSnLV1MK5L/KC3S6nbi3GanZZ6TpjljSJOTo8ZToeMxwkNE3DervHKMXl7Q13yyW1EbtgT/v48cBVpJZAsmOa7h61LRapqJu6wbaWthV1R0/JoeJrTW08qqrl4sMlSikmkwnHJyfUpehljEaS1MucXOSO67okSkImszHL5ZLtfkNRikNfmIT4gUccBex3UqzU5r4D0GFQ7lfofTbQJwzKWYMp3R/gg+GQ8/MnvHr5KadnZ4yGQ/xA3GqtS4Q71TappAOsUpRNiTL3lOMgCMCzFGXO5YcLrq6uCHyfKDjC084LwMmXB4GH9hTKg6oR9VYRrgr7MWnXiejWCN3rNwZjBcQ7cLTnum0wCBYt9H2Ojo74/PPP+fkXX3B2ekoySrHA2aNToiTk8OiAwWDAeDwhTQe9sqhpWz5cXPD27dveG6IDynbjtZ9y/eRkwGKd+tewf3hJkvLJJ6949vics6Mjnj49xyhLlMYMx2NAJExFwpIeZNFVD/v93qmLrVFKc3aW4Hk+eSE0KR1owniEn8QQBDRVRdPNc7UcHmEkcxjPk1m951pAdWuEMfCrv+fLL7+iKmuMazt3C+1h616cqe5bUJ0Km+/fZ1rdzwBY7f9JcIvtF/S9AUe3gLvZkXXZoiha3f+ewPcYj0eMnOnS6zc+bVH3v9VYoTkpLXK0xjbEYcijszMChxTf7/fsb285eXTKyempAEpc5q+1ojEW3/PwU6EC7nZb2lYwDmtnvBJ4HsolSdqT0UgUxyLt+2Bu1zEHrLUUVYVtbD//wlpOT04A6yqDnLI2zOdr3rx9z/XdnH3RyMFlcZKs6oHMrXRntJSG0g3zNMpoUT+0xtmBa4yn+moDpFNjleLs8WNevvqE4TBF+4qmrWjbBqwRvI12PvNNKzQu36NqSqIkdrRPR0f0RNkvCkOpsK1Buf8bDYdURUlrFlRNLXN6z0N5vvs5xfn5OVVV88MPr7m7u8O0iADJn2iNGmP47tvv0Erz3/13/x3nT56wub3m3Q8/UGYFRdWgG0PmiVCT1hrjaVqliNMBhTHUqhZgbdvK7LBrw2rNyckp/81/89/wN3/zNzx9+pTZbIbn+7RKjImqsuDNm7f8T/+f/4kfvv+ewNOcnZ7yz//5P+eTTz7p0eJdYty2DavlgizbYk1LWeS8/v41WZaTDAeMxlOOTk55+uwFWWXY7ffMZjOZuWrNdrdjOV/Qti2np6eiP1KKyVgYiTZ+GAQcHx6JqVJeUFeVCC65li1A4cYPB8dHDOKU1XrFfLPl/fv33C1u+fSzV/zii59zcXFBkWW0Tc387padF/Lpq1c8e/KUvMhQyhKHIU0UCl6iNQzSgaj/mZr9ficVtNvLh4eHHMykE7DcrJhMJqIWGMkhWJYF45Go3PmeR1vVlFXF4m4uolhKHDTRkjwqJbFMaU0YRbx89QmPz85Yr5bcXF+B5zE9OOTt5RVlWaODgCiOGaQidjUcDgHpqOZ50buFBkHQK+y9fv2aLBPXQGuMJNbu/RgLTSPVfMcmauoapTWDJOmffyeStVjc9UyNbvwk9s2GQZIyHo9FPjpN0UqxtVtMXblph+njY9f6ftgt6JIBA86ZVWbts9mMly9f8snLVzx6dN6vJYPgLQIVOCoijlGiUfgYq6jbWooMF7fbpmG1XLJYLLm9EjGpZ0+f9oDZpnFdZ8c26Na+sabvhDRNQ5Zl/eHb7ekuIVBK3RvdQY8V6GiHsR8wmUx48uQJQRRSlAXz5QJ/vyWIpFP29OlTXrx4QRzHDIcjptOZ3FsnwHV3d8eXX37JP/7jP/KP//iPrksy5tmzZ//lk4HuDXdSm1KRan75Z79kMhgyG48p8j3z1YIgCpkdHFIUFav1JZ4nIIbGwPJ2zjfffM1isWA4GpKkQwfyiPD9mCwv0F5IOhgQRpEgJwOfXVWy3u+lva41OghI4xTTGoqydAdQS60brIb58pq//btf8d2331GVNSBt5bY1/eLtD3/vXqv64axfUM3WtfF+xA9VHxuG9MmAsQ9Eijr7Wo3y7/UFupFC27ZYXw7dtm0ZjRM+/fQFjx894uL9e3xfg5IDTqF669nAb/GVHJSPHj/iL/7iLzg9OsD3NLvdjj/84UtWyxVJkjCdzcT1rG0INPiOh+p5PkVZstts2azW1GUlGA1P0xjTy7HSCsLZD3xa01I7d7uOJta5wGmEuqiMpa1FvjWNYvKqomksTWvJq5wPl1e8e39BWTUY7fV4jE5zweJGNMhmVtp2t/K+A+MOTeOQ/61LvrouTNtKsvD+8oI/fP0Vf/bLLyRQVBVF0SGaHSUHQ5btKYsMa6G0LWEUkaaJo/skKAVNbakrsZ31PRlJ1U1L61rIJ8cn3M3vHA/c4AUKS+VEQzRPzh/LWi1y9rttnyj+OPh1oNBvvvkGay3/8r/9b3nx8hPmd3Ou1lsRG7GWfV6Kwx7CPTaehxdENNYpIrqKRFkRRUIpptMp/+Jf/Av+6q/+iul0Cgg91LcW5QeAR5EX/PDd99ze3GDalrKuhE5ZVaxWK/7yL/+Sk5MTUb6rC9DS3l+tVux2G/bbLR8+fKAoSqIkZTTJ2GclVnkoXzj/HTugqwqDIOjR1kqpHv/S1A2N3xAFIbPplDiOuS2u+/3WjV48z+sPPGXh+uqKv/3bv2U+n0u3wFMcHx1yeXHBZrUGFIHnU2Y5+3JLeX7O4cGMtqlpW9kH8dERKA9rfbJ9AVpz/vgJSZKQZzk3NzdcXQmWJgxD0UYIIzGoqht0qgjCiMD3MG2Fp0RBrigKdpst281GhLiiWObYQUwYCVOibcWWWWFZbzY8e/aMZDQk3g/RyyW3d3PKshYAr/bwtWAu0lQO3ziOKYqCd+/e07aCZzo/P+fly5eiFnl3R1UVQn10oM2ujW+4L4jKUtRkm6ZhMplxcnzSV70Am82GzWaNH7iRlIE4ToiihN1uS1EUghHS0n3ohMdaZfGt/0cYJ/sjXFSfKBhh6kRhxKPHj/j000959uy5VL0OL9LFZauki63c3va8+/djW2kWdElG68Zft7e3rFYbyqIQhcLBAO157LfbHjTbYR7iOL5PUtx50LEkVk4CPYqiPmnoXlsnuNYlEJPJhJOTE4mjcUTcJUy+ZpftQIMuPcJKWDHaFStN27DPM8IoJoojkjQljCOOT46J4kgYEZ7Hfr8jimLOzk7/ywMIO3OMjivegebiKMYq2O637LdbirJkoMcUVc3V9S1v31/IWMEZPVRViUFTG4v2QqzyCKKEMIio6pairIQuE8Zi5xkGlG3J+4tL8izn2Glw26YlaFraRiw7JfbJ4bLd7vnd7//A73//B0wrxjLG0Av7AB89yO6BdTOWTjCibZv+0O6YA4IIVWDoq/tuIRpjnBKW6lWwsJJsaKWx+t7JsMuGxSVNKqzBMObFy6dMJyMUFcNRQpbvaK20fsXqWKyNfaWJAs2T8yc8evSYyNcsV3MWqxVRHNE0DR/ef6Cuao6ODkhD0Te3Ftqmpa0qVGugMZR5IUDNOCaJYpm7K1HAKsvSzRglSAXaJ3Vdk47+1YnaWGOpTe06Cp5TP9xRVA1FUfH2/SXvXSLghxGN6cyd7h3MjDGY+6m6e07Kgfrk1ndZffddnfpe1TYORCi/4W4x5//3v/xr8jLj1asXmKZhvxVqmTBwLGmakESRMBXKAtUq8v2e+c01TV1zeHjE7OCA1mqa1hCEIePpBI2lKkv2uUNs+z7Hh0fEzvehacXgxiKJ12g04Pnzp2TZjrdv37Lf7/t7+pFvgvtc1zXff/89aZLwN//1/5VPfvYzNrsdm9VakABWwG3G0cjaWsCOxiKcY5ycqaexzuTq4OCAk5MTRFZaWChimKPEZRLRJFgtl0JF9HxBy7ctNzc3fWD8H//H/5HZ9Bnb7ZK2roTWFcV4Wqiox4cnGAPj6QEHh0ck6YDGWj5c3aLcIbNYiLJlFzRPT085OjrqwV1lWXJ9d83d3R1REKIsnJ2eCvra3SfpOt7rvydJglaK/W7Hbrfj8uKC7XZLksZ88fOfsZwv2KzX7LcbwsBnNp2xuJlTO4qjaVu2my1aadLJiGQwQOkIw4b5/JbNbs3x0RGPTs94+vRpvweiSFRNnz55ijWGKAjxlXZjLqQb0BrGgyGj4ZBAeVRFwX6/AwuD0ZjReExZtdimIdvtyPIcrWGxWrEvchrTEqYJ6WjMrih5/slLsrzg7m5OOhj1+h9t2/ay1nmec3l53Wvtd26YR0dHfZHz7Nkz4jjmhx9+YL1eUzvAdBcfq0r0IY6Pjnj69GkvJ97ZOguq/r6QiuOU4XBEXVWEgd93g9u66XUUdOBjrLkf9zaNgPOsgLqBe4C1lVGn54ecnp3xxRe/5NmzZ6SDVOygPY3yPdH1V12AkOIJqzHGxSbbghVJZGPF8bZuapaLBcvFgqKsURaGgwHD4ZC6qri5ueXq8orNZtMXkB1L6iNGg0uOiqIgz3MiZ4JnjGE4HPbnRhAI3XgwGDCZTPqk2BqRb/Y8TRhKV0l7uv9cN428v1ZknNuiYLvbdmR4AW4biU0vX75Ea831tdCfldOE+SnXT04GRG5SuJPSqhA97DzPuFssaMsS01Tsy5xwGOMHCav1lu1WVOeSfeZaPZZ0MOLzw2OHqKwIowTPt1T5DpRlNBEvemMN+2zH69dv+OqrrxiNhswmM0ajEbvtlv066w9pCSo+1irevH3PH778iiwv8P0Qj666/mPeqtYdR/zj0UGH9u5BK26xd5QSjEhXdr+jbwlJJ1+q2e7nlEZ5Xn/IhWHYH6StMXhKcAQnp8ecnByAbfj5Lz7j9es3LFdLTCWVbls7hzxq4jBkOJzw7PkzZrMZ2/WC9WZL1dQMxyMH/MvYrTckoc/p4ZTI95zIRiWgGKWJw5A0Se6TE6yACn2PKAho2ntEsHG8+A4h2yVJxhjapqF2qFelRJO9qCrquqEoar5//c7pshuUF4Ly0bqjIjm6kBHwZmOaHiDTJQLufBMgtgOXaoXb3ILjMMbQKo2vNdr3sbRc3t7wr//X/5Xlas4//2d/RRQGKIzTWrCAIUki/EGKVlMsUFYly+WSm5sb3r99w3q5YDg+FLBYU+NdiA95WVVSjTeG1XbD8xcvePnyJWma8uHqUiyZI93PO8fDlFcvX+J5Hh8+fOjR7T3exHwM+62qit//4Q+UZc6zp0+JR2O2WU5b1bQAnsK0DvSoxJ/eNmJZ6hnEFMVaZ3cseucfPnzo93EXzKTln7NaLPj666+4u7kVSqnWqAdgq7Is+d3vfsfh4SHPnpwzHA5p64oiH5JnI/JMDsBBMiIIQiazQw4OjwmjkPlqTehkVrt91h0G3d4TlsKon4UO0gHvi/e8ef2aqii4PjmlrQWIpxAL6KIoiDrDMmOoygrfE5BtU4uBkLWG9WpN6Pu0tazT2XjM6PlzbN2KwUxVS+Xu7H/X+R60T+CnpGmKF5xi3Lrs9kDi9k0nOTtwc9wOVJbnOZ6vGQ6GxElMmqR4ShF7AXEYkpdidZ0MRsTJgPliLXTILEN7HrPZAaPJiF2Rs94uAc3p+WMOjo/dWEzx5vVbrm9ueg2IwWBAHMfM53NR0bS27+Z23c4vvviCzeZR39o2xnB8fMxsNsNY2O33vctkx9YAuLi4YL/fs9vtiOOYw8PD+3jo1nHgh8ymByRxgu+JYFMchgKyzEXK3jeid9F1JroDtqrrfi3UTslPYrHP4fEJn/7sc86fPiNOB0Jddd1D64nqoKwtSYY1Gq3FtKyL/SiRXDctWGPYrNasFkspJo3B80QGWmvNcrni66+/5u07oRB31X9RFL28cPfeuxFwR3M1xvTurJ2uijhIpr2AVpdQSEJbOJ8e6ZIFkS/jgTjC4NxHmxqDaM0oX5hTVdNQty2Nu09VVbHPc4lNdU1ZiwLkj+PKP3X9dMyAa434vs/JyQkvX77EWsu3337DfrvtHZKMhdu7Oa0NWK23zBcrWSxa/lRR5Hha8eLFc6qqZrFcE4QxSnsUzsUuIkb7mtYavv3+G/7h7/6RbL9HmTOaomL6aExdlOx3a6eGJodrkiRsNhtev37NerMFJdWctS1aByKFqT7m/3f0vh8Hqfsxwh/jArp21ENjjH72a4T2dt8ZsH3V3MlDdh0I5YAlKAgCj+OjQ07PTvjw7jXj8ZC/+Ms/58uvvubG0bOUJ61c3xP65suXL3j06AyllbAoykJabQhbYDoai/paWbBZrbCmJc9zGkcPStIh45E8l6aRqtr3PZdcS4bt+z6e71OVckDudrsel9EbojQNtze31LW0xaMowlOauq5YLpa8vbzjhx9e0xpAB/jK0XrUfQUiyGYDVrkM3/aJmLXQGqmeBRkrMlFauRGCESU26zAV2vfR2tK0UDc18/WS3//hDzx7cs7PXr2kqgrXoo0os0yqdK0ZOMnlwPMZDYZwZNEo1usNWXZJ04paGFpTNzWb3RbtRVjl9dz82WzGyckJRVlyt5jT1jVKjDcFjDkZ8cknnzAajfjw4QN3d3dkWeY6Wx+jq0Gq36+++Yarm2um0xl+FGK1FiOgxjoTDQ/t+UTaw2tawda0ONxH61goPovlkv/wH/4DX375Jc+ePePp06ecnZ0RxzFlUXFxccHr199zdXEhCa4nrJVuPSilyPOcv//7v+doNuVv/ub/xmwyZjqdsdusezVNZT2U8mTmH/hsdjs8z+Px48c0TcNqtSLPc2azGY8fi97IbrdzAdlzzKCQ2WzG+fk589s73r17z7s3b8FYZtMp0+m0X6ORk7verNeEQYjvicujQvWBvm2kRT9IU5qqcPS/rcPI+Oy2W6GNDkdozyO34mFQV5a6FkXSs7MT0ihG2Xu58c6IKM+FidMhvYMgcEmCRxh6zKZToiCgKitsKpiVtq0dIBXqRlgZo8EAqzReEHD26BEWxdt3b9kXGSfHp4ynM5aLBZvlhuFwxHAwxD8PhFXj1s4PP/zA+/fvHQNEs16vGY1GzphIqLXDodCAt9stAF988YU4fhrDfL7g5uaG9XrFfL5gs9mwXKyoaylKtNJ9EnFwcIDW951VkG7BaDjC8+X+DBKxlC+Lgs1mS5vvexxLl4xqrfFdK74bv+Z5jlKa0XTKk6dPefL0KcPRGN/3HMVbkoBOaEf2je0LMJCunCTCPqBdwWHYbsUVMssyOVjrhsAPem2Q25sbrq6vsMb2+gAdPfjelvheFO4hrixNU16+fMmLly+YTWekg1QA3+77O10MEd0Cz/cEJ2UN2jGo2lbogW0HpJbK8j75ct3nuqnJ8oy6bliv16zXa777/nt+/etf4/sex8fH/wRD44+v/6wxgdIiY6pDH+trqrJEeZp4kIASqctBENO0LavlhtvbGza7LUEYkOoRj84eUVclN5cXZHlG0wpSPsv3GNvSGLnhN/MlSRwzHI0os5rhcMzhwRGHR4f4QSjZT2sJ/ZCqrWjrFhVJtb64W3J7fefapiLOYwzgIRraSqE85ZzmFK7fL0YgxvQyttZV/Z35gyz4zpvA6WJ7zl9ea7QFpX2sNlglX9dadaNbYRmYjjcgQUx7WjSkrUXbmjTySUOPssxpbcOLT59xen7M9eKGIAk4Oj5FKY86z3n8+IRf/tkXxIOEbb4nb2us70HbUuQFpm6wwxHjwZDctiznc8o878c9YRgSJxlGiXGRdj4M2kBbGTaVtJbjOBbgk23ZZzv22x2g8PxAAoMnbd9sv8N3yU3hzEmMtVxcXvPdd28om1oSMs/Q2lr0HB5QjLpEQHrbnR6ko+YY+XegOwETeQZ0oEGtUEaMhYzWqEgqyzJvaJRPFHgs9iX//u/+gX1R8ejsGD9UTGeHxKePWN3N2SwX1FVJYwTPUTc1ZV7i65Anj58RRTGtadnsd2R1SVFVNLZlu8up6xaNIdtu+PDmDWkYMEkGbOxanP+MwvgCxvSCgNnBlKPjI45OjvnyD1+5iiuD5r4T1fOIERzKarkmywoeP3rEYDjEoshNhmkbwKC1RXkWTymMc9o0Sqh5OKZFUedkZcZ8eccPb34gSWJpW6YDAs/HGkNZiemKsYLJ6HAYWqneBGa9XPKv/5f/mTDS/M3//b8WzI1WaM8XDEdraW1DZRrmmxVZWTIZT4i8gN18Q15kDAYJ5+ePODmVYOWHgcxltTBF0uGApm7EHn004erqmq++/ANXN1e8ef+e0WDA0eEhz549YzgYsLibs91umDn0tFOmkNmxaznXTqWyahrW2w23N9cEBARhzHqbMZtOSdKJABgBrWqMbdjtRcfD2IrZeMbh7JAwimjaltRJ3nbAsO7g6OhcdSOj1c16g+d7ZHsRAzs8OECplrpu2O5yqrZB+yGPnz5nVlUo7WHRvP9wzXg8pawtq2XOybEijkYksdjHv3z+nKquuLi+4ub6hqoSvfyXz54xHI0I45SvvvqK169f93z1s7MzBoOU/X7PZrMhTVOmTpjM2JbjowN+8cXPuLu749tvv+XDhw9stzt22z15XmK0piz27HZrxuMBURxTVxWC2/HdfNsXa1/EMyYaDBhNDoiSDWp+TZZlfTHRaV14vt8r59Vti9Ueg8GIZ89f8vz5SybTA5JkgNaq13vRvnU04w7tYPGUFTEepdE67MeQyo1utruCu/mC9WZH20qyZxFhq0Eqfhjb7Za2aUjimE5gLo1FWbV5gHXo9mk36hsMB3z6+Ss+/fwVp6enTjtDU9eNA54a0GBMIyMM6EHSUnoIG6RtDFSN6yq6vefMp7Cqlxsvi7Knod/c3rBYzPm7v/uP/Pa3v8EYw9nZox4f9F8sGWiaBj/wGY1GjMZj6qbh5u6O5XIhyPIoZrOds9vtSZKUssy4vLokKwrCNmK33xMmEZPJiOX8jty1W7pWU1FWWOXRWlhvtnz/w+u+PX14cMjZozPJRhXcLRaAaLKr2tFjnI/9+/fvWS5XfbvaNYjkgDcd7eR+Zt+PDeQ/5UCx9zoBoETWtgMIWuG8GmdUgxVqB8beB0zvngv6ECj28XxYA+7rVmRKq3KPpy2Klt1uw+nZYx6fn/Cb3/2azWZBURYkScpsOObZsyecnp6wz/bsM5FUFhc6qXpbI5Qt2xpCT6iRbWNo6pambtGqpSAX2WOl+gVvTEOZN+SNqPElcULoi6b/6dERozilrCvKqmKfZZSlZLlYI0qCQcBqvWGXZUwmU7d5GtdgkWq/NR2NU/f3s38IqsMDdJoMneOjAASV0g5QqO47Ou4DLTbNotOtaaxxSO2Aqmn48ptvub654S//4s/49NMXtC0UeQlawHdZkWG0eAA0ZSOCM0lMkiYkUYIfBExmU4qmBk/ztChYb3fs9wUd8G+zXvH1V18xmc5o65KqLPEJ8VSA9sBYRejHjEZDTk5POT0549e//i0//PADy8VtL47yUReqA1W57sl4PMH3A4xpyfO274jI/W0xthUGie3wFjIysEZwBd3fKPOM7XotgNBA1CiDMJBulVvP1o32ugqoU8Bcr1f8h//j/+DgYMbZyTGNm2caK4lUY6Cqa3abLWiFF/iUuRyMZ2enPX6hKApx63O4j6aVOOP5PkVeEEUDPv/85xwdHXN1dcXF5RVFVTKf33F1eSk4pixjfjcnjkLubq4py5L5fO4ErjysaQk9n8lwxHa1QoE4GFY1cSrP9eLiguvrG2azGQcHMwZR2OMl2rYhDH2mkzGnxyeMRxOKoqAoih70OBgMPhqbdYDjDpx6WV2RZdJi7yhkBwcTtOdhlaZqG8q6Ii8LuXdFQbleM50eEMcpeVlze7NgPL7BQzGf33F7c41pxJJ8l0trP4kTDo/EhCdOEp6enmKt5fXr1yRJwmq1YrVacXR0gOd53N7esncMj+PjY46ODzk9PXGOpIdMpxNevHjOcrni+uqGd+/eMZ/PaduG7WbNfjIiToRiWFU1SjXiLWIMqpW96mmfKE5IkoDZwQFh5HPn/EY6HIPnGEzWdQW0SwRefvKKFy9fcXJ6ymAwIEliKcS00yXQrWAWtEQBpZQDM3t4D7q/Xad3vy9ZLJesViuKoqBtBd90dHTMixcvUFpzd3cnCrsOJ9C0TY9l6cOUVr1FctdNjqKIV598wp//2Z+JlXwYyhjDiFmacVW+7ZhprexTiW3eg9Go+yuuI6CVwlMKX3v4SgtQW4Ad4pJY1ywWC5Z3c/a7DUezGc+fPEUpxcw9x59y/XQ54jBkMp1KWzGKpAVlhTa23+1Qrh212+2p64bRaMRnn32G8jz8wAfPY7/fU+Y5fhiCkrmu0p6oeyUpRdVw8cMPPUq3cOjO0zDoA37t5lhBEJCE4gnu+z7JQJyh1tuNyHrqe3R29xA7cY0evWo7ANt9gtBd/QjhQYv0IQNBKRG4weKscS04ISIv8Pq5bKd+1bW+ur95H+Rlbo1q2e5W1E2BsQ3rzYKzR6eMRimBryjLhrLYYdqGk+mUJ48fEUchi8Wcqiopy4I821HXFRrRsN9VOfO7ObPpmPNHJ0RxLPMlXCvd99HqvlUHTukLjXJjFW0MpiodMjhiMIgIWp+4DfF8RVmXGCv69GEUEicp2yxnvVgSxQnD0Zgoiti7WSwu03WF/UdXf58RJkPTiCGKQuF5QT9P7J5lT/PkPqnr7rl1ICGpDjwUlsbAzd0d//FXf8/b9+84Pjwk8n2i0CfyfdI0xg8UrRsTxWnCaDAijmK0lVZkbVqUA9YFw4DhcCLe7u55lqXQsjytmIxTosonKwsJCNbSIVSapmY4HHBycsrJySm/++1v+d3vfsPd3Z3zPbinvXZt527u2FF8iyITQK65lyq1Voy1vI4/jhPRqpuekwxIN6hDOxuLrRsqK+6PnufhWUBpWn2/Zz5izii4vbvjN7/9HfzyFyhEyrWqc4qqIkmHInAlT5xsuyeJU86enTIcDglCcRD0nINjU3eCQdoxCWo8Ld2eIs8Zj0ZMJxMJ8Egrer/f85vf/IavvvySYTrg+PiIbLdltVoBcHJywm63c23uJb4nh4LveYIrCHwHcgsJAp/dbsfh4YwwCmmQ5CZNBxzNDojiiMAPSOKBG2V15kjBfQDXiroS4aC7uYx/TNuSRBFKK4qiRnshSTLk7bsPfPfD95ycnjEajR0Hvma5Xor4loKTk1PCOOL69hZQZHnOv/k3/5a2ERngIs9I44jpbCbAMmMoqpLLqyvKsuT1m7esNjvG4zGDwYBHjx6JpfL793z11VdYa9lut6zXa96/f08Yhjx7JqI3nUjS0dERs9mMumrY7zN++OEHfv3rX3NxcUFZlg7AKDTDslyLgl4gIyrPyoHcK0oawzBJZBSjVR8Xu/b7fWyUMcTh0TGvXn3CwdERw2FKFAVEcfggXluRlLcda0B8PpSCQD3Ad7mEuKoqlsslFxcXLJcriqIkCERk6vz8vMdavH37ljunONgdCT3zzP3tbk92+AZrLY8ePeJnP/sZB7MpVS8ypvqRCLhCBnd2GHEbVU4oSz84W4wxtGUJShIl4/mS4BvT02rbpqE0hs1my3KxoCwKrGl5/PgRL188l2TYJSw/5frJyUCappyfn8us8+KCws2QF4sFpm0ZpENOT08pindkWcbQ3WDPDzBYatNSlAUYy2AwAhCltqIkL3LyPCMvKgbDIT//4guCIOiDXMdcUO4w3Tmd8CRKHQ1MPu/3e8qqEvyC/lESYIWvSm89e3/jf5wE/KmvPwQeSlvfVa8PBjJW0VerD+fpP9YoePi3UArbSIt+t9tS1SXWyixPWct0MiYMfDp1PKxhOhZb3TLPnPJYhad9zk4fEwROq9pY9rsdc2vxwoggSdCeRzoaoYNAcBGuWscK8MzzPWfJGWGtiBCVpXisKxRVXlKZBi8KCcIQz9foVotIR5IQJQnJIOVUnxDGCUoL9fHydkF5cUFXwPZMAHfrOqAgbizQVHUvL21bi+p8ubv7/KOEQD34Ynd4No3Mr33fd8mNB75PFIcUVc3rNx/44fU7NOD7IvpxdnrCydFMDGWwnD9+zHEqFFdttXjWlyVtUVA1jVTD2sMLwx74BjLnN63YQrfWsN5tWW7XmFaoidaKAVZZZgyHQ37+xec8f/GMzz57xTfffMPl5SXz+dxhCSyTyaRH2ndXnud9tfKQhgXSdhRL4ED0HxxDo0sEunuulfTNfM8j8Hx8zxcmq0PBd54ZD/dDp7BmUeRFyXfff8/p6Smnx0coDelozPQoZDyeUBQVqArbiv3w2cmJgA6blqaoKIwlcEwB07bgeYJZsZIkDMYJjTN0CcKIP/viF5im5euvvyTb7dhttqxXqx6YNZtOOTs5ZrFYcHl52c+EO2ExpRRFnrPf7rGt4eTomOlkwmw2oTUNm03CYJjStoI/CKKANIhQrcEzoI2lKkopZNwIraNBGiz7Ime1WpHtM8qqZLvdUpUV54/OScKYZKiZzaZ88cUvyLI9V9dXROmQ0fSAoKxYbnZEgwQdRkRxwmA4Yp9lXF1f8/j8Cb/4s1/yzddf8+7tG4o8w9eKJ8+f8fzFC+YLme0Ph0OiKGKz2fDVV1/x1VdfcXR01CcCvhO38X1hlBwfH3Nzc+NGAVtev3lDlmdcXl7y5MkTzs/PxbVSSQL22Wef9THt+vqa9XrNxcUFn332mSRou4ymafH8AD90+1ayeOpKDMUGqRRwna7Eer1mv9+TuYIhCEO053F8fMLhwSGj8ZjJVBKaTuu/m6s3jXS/tBMJcx13Sfg6bAGWoih5+/YNr1+/FXMh3WnmyIji+vqa92/fcHNzw/XVleDXHIYq8IMe19BhpXynCNvRBaMoEinkNCXLcpTK7/eZ1viej+cFzsRJqK1RGFIaS904m2R1r02D7YTp7jtyTRBgoghrWpo67jE8t7e3glnxFG0rBlIHBzPCMPiIbfOfun66AqHjZm82G8me3r1js9kwSmIGaYpSqkeGXlxccHN7y9HREWESCz3CtJRVxdHRMZ72WSwWIkby4gVYKKuK5XqF7/v87Gc/w/M81qs1a6cp3r2pDtW+3+/ZZDsCTxTjGmv4/7P258+RJNmVJvqp7WZuvrtjB2KPXKtYJKs4ZItMz5OZ//n1G5Hu5nRLs4fNKlZVMvfYENjh+2r7ou8HNTcgk3zNGnl0kRTJzAjAAXMz1av3nvOdm5trFqulUlGXD7jJemP/Z7/Pwwn9X2rLPu4M/DxwCClVYtTj+EhAsLtR1cL72ML4eGTw8L2UrqAoIIkzslSJHdebDXmW47s+tumiiwiVqCywTIPpdMzN9TVpmtPudBn0h+wN9+j1WqrzJKVyYwQBssgp84QkTUnSDKlpCNMizzN0dCW+A7Rc4jZsbK9JkeVEUUoc5+Spst1YtoWmmchSqdgt0yHPSwzTwvN2YkOddqdDpz+gBGaLDd3uLffjEWmaI0qtKuqqh7fWAIha3FPmBWWeA+p0q4qbkhKl4di9fhLeIfjJZ7S7/pqmIoaVKMvCdAyEFGRhSprGlEWOVpSEacbyw0fOP1xT5BkCycnRmOUnIZ12C800sKtchaIsHvCusoSiwHXdGsgVhipxsyx0NF3HbTQwbZvpfPawiBUZQRjQbEYURcbh4QHPnj7hr//6r7m9veXdu3fc3d0RhiGdTqe2iu1OulG1cO6IZ+qWrES+Fd1P0zSKvMLNVqAVuYNuoVqddbp8JciSu3+qzoCou1cPRVhZlkhN3YuL1Zrrm1sG/T66ZfL09BjX90jTnHw8xTYkwoBer0+3rUR/qyCsTmUGXqOBaRh4riLsCcC2rApxrCNFCbraUM7OzhgMBvziy89VV+Crf+Iff/tbnj59SrgNyPOCfq+L53lst1vOz8+JoohBv8+w38fUdOIwZLlYUBQZxyfHHBwc4LqOGqNIVZQbho6haRgoLVAWJWgSTN9HUrLeblREbxyzWq0QQuA0XMLqBLyb23ueh2lYuG4Dz/Pwmzqu4wAartek0VTkwXUQsNkGWJ6L5blMr++wkpTlesMmCFgs1+imyaeffcaf/+Vf0O60+Kc//pEsVQE5CMFgb8izF8/rWOfZbEaaZVxeXCGlpNVq1V0rIcD3/ToIbBcwtlwu2dsb0Gq3WC6XnJ+fMx6PlWsCDdNU1rXnz59TFArLfHl5yWqlEk0HgyHBVn22pClGbipKZJZT2ArtW+Y5SWzRaqv39DwP3/frAq4oS2W/rLz6juvQ7XYYDIfK1VHZTnddF213oJDVad0wFC1UU9kFWZYymUy4ubnh8vIKy3L51a9+hUDj/n7ExcUVk8mU1WZJsNmQV6d8vdKCZZkS+O1E6o/3B9jFuEsGgwHNZpM0TZhPExSlVn2daVlKG6BVzhyJShIVQl2PJP5JB3kHCFPEQrWGWKbqXqVJpIoKXRVFk+mE0WiEpml4rsN6s0DTBJ12G9s0kUXJpnIt/WuvP7kYWK1W/PDDj8ozbxhVlWbSa7VUKyhSLZWzszMc11WWHiEqqlXJxdUl1zc3nJ2ecXb2lLu7OzabDX+Wpjx79ox+v4/jubx//57r62v29vZYb9aEoQqM2NljTNNkf3+f0WjE+ftzfvj+B7bbLaZpKgjGZqPUpfCTYmD3jya0nyxqj4Vau9e/1C14zILeUQR3C6SsTqYF6kS1KwYei0zq96/mVw9dAoGmWciiIIoykjhHEyZZWpClyqblOQ00NuRlgZBq8V/M58znC0b3E05OnkApiIIt72ROEARkeY7jurx8+YKGp1gQBZLZckEURdX8zUXX7ZpoqGkaSSHwDRtNGmyCKUGUIfNC3bhCVcSarlMUAiEMTNPFkTqCCDShxDVVrKjtOAyGNp988prVes3l1XU9W1ZdG1EXBapQUqdXKSt1YSX4lFJS5iVSk3V+OVCJFEt2gKLdZ1kXYEJHk4pDIFGs8KJUpEfNtBG5wk1LTSCFGkcUhU6JRpFlfLy8ZzRWHPtClzieWuBtSynW3comZBom8/mcvb09Wq2WOj0LobDKpcDQTNqtLmlWsI0iduS3PE+r2XIBKNJdt9Pl7OyMzz77jNFoxP39PdvKNx8EQR3MFccxWfYQ/vIYYCQ0lWWmaaq7VsZKzKkJDVmhVZFVxHO1KEldIA1NAYx2rVVAKx67OmR9/yu9S0ma5ipuN4xo+A0sx0HTdeJ4i5Qljq3a66ZusJjNWS6XvH//HlBt/OPjY+xGA8swSKII27RotVrYlgVFic7DaUlIGHR7tFtNLq8u8RsNRTPU1TJWVKE/hmHw5OysVvlb5kNG/OnJKaahs96s6LRa9HrdWvkvZclyuaTVajLo9lkuFlQePqWhQLDebitIzar6DJQ9Ls5TsqLA8xu0TVX0FEVBGMTkhWpnu46HFILleqtO0VFKnMbcTyYUUgUKrdYrlusVhh6h6TpJlmNZJmga4+mUTz/9hN/85jfMZ1Nm0wmW43B7d0cQBj+JD991lRrVNSrLktVqxQ78U5YPHUvTNDk8PGRvb49OV431ms0mURQxn89ZrVa4jke320PTNIbDIZqmuBWHR4fc3N6yXC5JkhSv4bHZbJVlusjUuM+orHi6TpokbDeSzXZNu92uOxn9fp/1es02CMmLAr1UwkLbtmsdh+M4VWdK3XtZmiicuCzQNEUbVJ+lSZ5n3N3dcHFxwWa7pdVs8vr1K1xXhbaNRhOur685Pz9X+o80UbkMu/UeUXXYNAzLqBkO9YFDPuTC7PQWyp2xhTJH06qY+qJQrpQqCGmnLxOAqakuQZQm5IUSGAIP+i1ZYNm7rkQ1StA1ta5pFrJUyZmUEtu2aDQ81psZi8WSu9trtONjZdPNH0LS/mevP7kYSPKCZLMhikJevnhOt9Fms14r32ZZKDWoadJsG2imUUfQip2KN87I4gpCk8R0uh10Xeftu3dcXl3WM5Mg2HL58SNPnpwBQoXfGGbl3zVJkpTR/Yjr6xuuqzSpaJcXX6nSdaEhKsDP7rROJSbbnSx3nYxd+2e3gT8uBFSFJmocraYJikJtPGXF6pI1w0BTUCOp/WRh3rWW/qViQFbKWcMwKSmI0pzlRiWW5WlCFEbYrovT8FTpK0s0WWBoBmmS4RgOR3sHHO7tkaUJb++uSOKIoqIy2qbNYrasSIYFrWZLtU6jkOPjEwppkOfKaui6Ln7DxzRyelKg6SZJmpIWhaq2DYMC1A0qqlGEpuP5NnqcVLoJQVE9JGaeo+dKTPT82VOyPCPLU25u75FlprIkUB2GOiZaKn630ARC15V0U9OQRfX/y2rj13QlOiwESB1EUV2fhwKhLFW3oGTn4lDhR0gDgQl6htT0OktCPXwSWRW7wlCjmRwUwtnSCNKMTawEs6JUoyKtum81TaPVanJ0eIDfaHB8eMjB/j6mYVFQYkidtt8iTTKlXjYl5LIKQknJ84w0jTAMNccfDAbs7e3z6aefsV4vubm54Q9/+D2XlxdMJiPW6w15hfV+KJ5EXTzllJV90qKkRCaSshRkeaEwzlqViSGqVqS+ezZ2Pg5RtSrlg1ajLOsimFKqHAi9ZDSZMFvM6fd6mJpBmeSs50tECeiS5XTOxfojy8WSzTZguV4hgPv7e1arFS9fvaLhNyiyBAoT2wBD2XMwdAekJIkT1qsli+mE9WbDd999RxyEPH/6jIbn4bseSRohBLiOTRzq9NptyoqFkEQbup0GT86O8FyT8Xik0lWLAqqAqeFwSKfXpdftYWsGVqVz2o0YoiBgu91gGDp7ewOVmFmozmAYR8g4xjQsFXBUCbuWyxXbIGWAoKgKFcO2VfGh6aw2IVIWZHnOdDajLAv6vWHdabJsB9fzaFUbpyxy4kqsZpgmt3f3TGdTttsA27bw/SbtdqvuUGVpjmmZtThTlJXzo7rfkSr7wXHNSvOSMZnMWK9VsZNnVbKlZWE7Njd3typ91Lbxmz6WbTMYDnn79i1xHNNud4gixSgwHZsszcgpEHmGaVsIw6DMU6I4JstzwjjGcxXtUzctsqJktdrQkkpAJwuFCveqTIhc5Ji64kVsSkmWKn2Nbuhq/9gEjO43jO7vSNIU13HptDtICbPZjHc/fmC5WjGdzhiNRoRhRF6JanVdq0LgRNXa1xGGhmYaqmuGWq/t6qRfpBm2adFptymLksVioeykldtByhJT36UjSiVurhxrspRkWaq6wuWuY1xWCYZgmSZSqGJAqRCVMN11PRynQVnEyqlUpU82PJd+t0vDs7i4uGB0NyIKIk5OTv+5OOv/32JgPJsq1eJsynq5oNHwaPqNikplsI1D4u2GZrNNf2+I1DSWyxVIiW6avH71SrWDFgvWlbf1+vq6xmDmecbTs1NevnqFpOT7778HlFVDq7j1juMymUz5+p++ZTKZVtCSCvpj2aplU32Qur47kVNDV+DBw/oYF7k7WT3WKNStZ/2hGFCbu1CnSeUFqe1tovpHtecf9AW7185C87hToN5TomsCzbQopGC92eK6Cs8apwmaaWC5NiUFQhYYQhUeOgq8c3Aw5PXr1/z47g339/c0/AaGYdJo+Bi6RRynxHFEmifcj+bM5zOiKCJOSo6ODtiGGy4+fkQWBcPBkJOjI9IkI45jrq+ucD2PdquFYSpWdykLsh220/NwXbVYGZal7KdCUBTKLytLZXcry5zhoMfrVy+xbZvpfIFE8fnzPEPRkquUNUBqVeBQZZEryoKylCpeSkoVySnVn0tZ/X2UUE7THtkR5YOlUxWGOpow0TQTwwKR6pR5hiwesNKFqGbluppdlyiv9N5BD9uzFPFMCGSaU8QpWZ6r7VKWBJsNb968RQi4uLzg6ZMnDPf36sVYQiVKsiAv68WurNTGeZFRlClCmHUhaVkW7XYbKUtub/dVjG6ek+fKAqlMBPKhIOBBt6KCprRKgQ1RnIBWKiqneJR/rj2ya6Ja8pp4sDnu1pLdeyi8Q/UemUpbfPPmLU3XY3/QZz6fcXd7hy50gm3AZDRmPpuRxClCVwx5x3VJ0pjNZkUYbvmzP/slhi4oy4wo2lYLqY2GXjsGxvcjPrz/wMeLc7I859e//rW6n6YTdCHZbEss28BvuNxdB6RxiOe4lMIgiyOWsym9bhfXNHEtC1FKZcMtVbJjEARI4Ca6xdV0dDSV0yGUcryUEgyVA4FQ4Kk0U1Yzt+HTqkh6EkmrGom0u30Ge4d4nsft7S1FKdlGIavthrvRpI5itiwL12ko/cX+HrZt1VZAKSU6Er/hIZCcn3+oNrKwQpuXuK6rKH6ahu83CYJAndCLgjhJKoeGXvvc8zwnjhNFxqvm8KoDJ7Etm163X6+JYRiiaTpRHLPebJjNVCy5aZrsVTqQ58+fM5lMKpaBV9kDc8IgZLlc0253ePr0Kft7+yThhs1mzf39PZPJBKpnLMsLZVPMUjbbDYvlnOVyQbjdqsROXWl7VBtfsN1uWK9XtXMjCAJGI+Umabd8Tk5OADh//5GLi4uKnbAhS7O6K2IYBrqp199XCFF3KjRNI0hCbNdBSFlpiXZdSWVZ7bbb2JbNpmLtaNou+KkkDkMMXaPTamKZZh0qtgNSxXFcHQh3wueMMssQUmJbJqalYdkGmm6qMadh8vqTT/j009fcXN9xfn5eofxNwjDg7i7Fb/rYtlPB3hJubm5+Mtb4n73+5GLg/fv36mIhsaoYXavXZb1eE6cJpTIlE6cpZp7R6XYpS8myak0dHh2xf3jI7/7xH7m9vWVVJXydnp7SbDa5vb1BN02Ojo5wHIe7+3tm0ymu52GaNnd3d/zTP32jxFXTRSWYegBWNBo+kCEldRGwU5LuXrJSrT22cOwegh1d8EGcombOhqncEOrE9fCNCkl1on3gVP9cbPUYoPEvCRZ/KuyQasaVlzhSYFqu4o6bJo7rVhtgRTOUUmGgNTUSePPjD3z7/ffMljN0S6fTaHB2ekar1SHPC1arJdvNRukuNL3Kuy64urml1WrQ6fZYLZeEUcz9aEKS5uRl1fIyTaw8hyxDE4LVYkkYBHQ7XYIgwjItWu2Wms1LUW/oumZU7VvBarUiihOePX/G4dExd6MRk+mMu9sRSZyQZxlZViBLFHYTdVotS0khHyyGKmmyrFS3kp3okKqz8PjzVEVDqRIbK5iNrFrluiZAfwg/KasTr6Zp5FIJjnRRCewkbLcR4cUlpmtiey5N36ff7tD2WyAFfrNJr9uhyDNG97fVtU64vR9xdXNbbRopeVHQ8JucnDzBsCyKvKhsk1R2tBhdF9i2i2lAUehomlQhSbZdC6h2BSW66tDUMbTyp06ZXVeqjtouCtXd+dm9+nOBbC3yFAJ+tpBIqRZCUGMjCihTyfX1Df12h6bX4OPHD8ymUyglWZJQZDnrzYY0VSfaEpjNpuqz1gRJHLG/t8fLVy8wDI3FbMp4PEYXBkmcksQx4WZLlqZs1huyJMSyTVq+zd7eHg3X4O2bNxRZTBKZTKKILFNjyziNiIIAU4ChaaSOSxRFFGlOKXTyosAUsFqvmYzHJEmCYzv4FS1wtyG3mk32Dw6wdBthmJimVSVnCuaLFWme02i3a5uZWpMapGlGGCkx4W7zTqYJQaUF2G6V3dC2VJS40kbJenPfrUOe55HlOR8/XvDu3XuCIMB1VXiYaSqtlkAwnc7YbDbKh14FkI1GI05PTxkMBmRZxnfffcd0OsX3fU5PT2vNyY50J6X8Sde00Whg2mp8Y5omy6WKgt5sNmpWXYWeRVHIYrHANE3a7Ra2abBKE7I0ochVfknTb3C0PyCOlf7l/v6+Xif7wyFCU5AvISRhuGW7XTOdTUBAq9XGdRXkaT6fM5mMuPh4DoBt28p6enuLbVtsNx7j8ZjlcslsNquRwkUu62fpYVSqvP3T6YyiKBgOhzx79owsz5jOpjSaDZI4UeyWqujIqvAmTdOU2DcKSbMM0zDQNTVSoCzQNTW+LYtcEVkr8eXORaG6BirrpN1u4XsuRZ6x3W4gKDjY32N/uIdmmhSlpNPuEGyDimlhV6Nw1W2O44QsT2uNiFkJxe/v7/+kPf5PLgaU7abP3t6AbrOp0trSlNFkTLvTwbQd8iwjnE1Zbjd0Oz30qppOK779YDDg9OyUvFTYzOfPn/Ppp58yHA6ZzWZcXV0osYRtc3h4qHzb6zXNZpv5fM7NzU3lD02qTV9H04oqUEir/ehqcd0tbjwCBYlHCtOHzWM339ttGPAgRjN0HUPbxcGq1uUuY72sFuV/cbzwSGfwePP/+X8D6AIs0+LgaJ9urw+UvPrkc7r9Pm/ff6iKn+qYWgosw2LQU4KoNElYrlfEUcQuZrnVbtEd9Gl3eipaV1NzcyvPaXU6mIbBaDTi6vqKMA7V5jYYIiTohkkYJ2Bo2H4DYZjkQFIUWKZFlGZ8vLxmud6yv7+vHBxJohT5lk2rpUhnQaS6BzuLpXoAfTQtxvU8HHurLH2WQZ6myLKoLDdCXRChfMRliYLnCAEosdRDoMlOiFnWXYTHn4GUElEUlEIiShClCvWRRhXWpCnKnnIeqLijXFYdH63SgpSqZZ5kOZs4oFgsMHSDO9vB1lUiWqvV4ejwgL1hn8Fwn2fPntNs+hiGThjFLNcrbm5vWSwX7O3t0+l02VmSijyvW8q6DrpeMd5th10M9k5eUt+T1WmgqNqOu9djXPZjdvquGHAch4Lk0dx/dw/Kasqwi4ml2vX/eSGgxLmlGrmUVTBMIUmSlO02QKBhaCZlVmBbJm7LR8iSdqtBkmQIXce0TO5HI6bzOZqmMQVubq7odHySJGa5WjCfTdFRYs/NelVlMsBwMOT1i6dsgw1ptCXe2lg6+J7NuEi5ubqkyAsVFuNYNP0mc1mwmS+gWotsy6bf7dFo+hSWDprg4GCfveGQYLNVVL6yGnuVpSpMhcLfep6H1DR03QRdJ83yKgxJr09ki8WK8XhMs+kzGAxZr5X2SeHX45rFX+YFUaX/0HRFKZSdDtuNIjbuwuAajQZlWfLdt9/xww8/1ijcvHL5SCEpC0maqpjhLM1rFX0pHxLydu6qHXlwB2LahT1JKev3FELhp1erFU+fPuX45ATHdeociZOTE66vrxmN7lksoirMLcZ1Hfb3VQdrvpgTbDckccR2s2G1XLBYzCkyj/V6zXw+r7suKqfCxHUdoiisnokUkATbjSKnVs/KLghoXjkoer0enU6nfi6iKELXlB1+b0+FK0VRVIk6fVqV3VlKRRdst9s0m23u7+9rwa7neVX3JCKKInptRctczue8ffOGKFWC9vF4TFR1g3bjRr/RoN/r03Bt1qsVy8WMKAhUl9F16XQ6dTjXjui6t7fH8+fP2B8OkGXBZHTPdDrh5PiI4d4Bq/WaLC8Ig4AwCDk4OuLs7Jg0VcChMIowdB3Ltmq7605w/2/eGfj88885ODggDLbM5zPevXtLHIUcHB9xeHxCUUplJanWpiiOyDO1wNquU9EGJb/5q7/iiy+/rEVstq2qm729PfrDHjfX19zc3uB5DQzLZLlW852Li4tqFlXU7fWyBF0H23LQNL0+Qe78muUO48gDSW0nAtnZtHYPyeNAot0iqWlKUap4AsqaqOs6Ulds6F1FrRbKhxPezwWIP389RlhqQmBpGsO9Pn/5F3/J8xevKGVBURZM5nP++9//PZfX1xSZsjeJUuJUuF+NCkih6RwfHpLLEsOxcC0bWSge+2qzZj5fUCRqQfBtl7yU3N2PWW+2xGlMGEYMBwMcy65VvJphYFkmhmGqIkPTcRyXwWCfi4/XvH33gaJUG9d8PkfKHM9zK7640mOkWU4pqWaOdv059LoqfvP0+JjVas393Yibm1vCMEIzTLIqdrcoJAoYUbX+0RBVCw6p7Dhil+r4s5EM7MZDD1josiiVrS3NkHoFOEF57nftdoTCRheo9660QgihYeg2WmVNTfOCIi0oCslyHXB/P8KxTSzTZH9vwMnpCd3q9zw6PqM32CeIQvVzAUnVZn1AmeYUhVafGgxTxzAU8bLIilptvFOAK6eLwKk2iiiKapLbY0vrY1aBYZoYWU7+qIDdPSfsWA3V9dw9YzXD4VHHZTdRKJVEBiEhSwturu+ZPp1ysH+AZ5vIssA0BJqgZj4gFJjL8xzyIiVNMzRZcnN5SbhdVUIwi4bnsj/cp91sMZ9O+Xj+kTiMMITENgzMZpP5ZEy83ZKkKVeXl4xub5ktVjiOQ2/Qw3Ztmp0WmqFhmSZ5ltHbUwx+01TcimUSUGaSvcEAU2h0/CZpr0+JioCezWYAtJpNvEYDoeskWaZO+fLh3kvSDBmpk/xys2G52aBbFmgaruuy2WzqE+XuVFhU6vHd55OlqYpins/ZBlvVkagCiO7u7rj4eIFtWti+pXIHipKsTDAtRxVq5S4J8sELL6XaQFerFY1Gg06nw8uXLxULYTrFdV2Oj6uciUrcK4SoT9RRFFXhNw+hZDsEfL/fJwy36NX13cHadrwNXRPYloG310eWgijcsphNSSK3dmF4nsIiZ5k6DT8WAGZZwmIxw3JsTFMxVcbjCZom6PcHWKbBwcFBbUPfZQKcnJzguWpf2RU3O05Hq9lhOBySpik//vhjHb5l2w7Pnz+v0je3letCPQeT0YRgtWG9WiGLAl3T6bRapHnGar1WhTcSXVN8hGEFMNob9FgvlyyXc7IkQVR8kH6/j2VZLJdLbm9vcVyHXr9Hv9el1+2gawLfsymLDErJcr5gtljgNhrqYKApi+qTJ09Yr1fMZnPEYlF3GnbuEClltTb/GxcDp6enLBYL3r75UcXblgWdbofj0xPV3ipKNN2gP9gjL3LSNGe5UDa/VqtFGIbc3t1iWoo62G636xtrl4DlNV1My+Lrb75htV6pvG8EhmbUlh2krKtetVFo2LaDadqUhSoQ8kpd+lO7oGq5Kv7zQzbA7qRlGIZKRHu0mexaprIoaxuW0H7aWn3sSPhJi1qq9ypL/sU/3/23kJKW7/PFZ5/zm1//hlanTRCHTKZTvvvhR77/4Ue22xCB6lLoQocS0iQhLUs2yzXrcEscKVGmZVtY6ATLNfP1mlxKGs0mVstS4A+hMhx6/T57B3v4zYZqXUYxQRiiCR3TsJG5JClSNEfH9Ryafgu/6TPsDtlsAhbLFdPZnKdPn9KRsFotVOUaxZSzOaZpVtYqHcs0aXUaGKZJGCW15abjNzk8OODpkyfMpguKoiTLc95fXnJ9e0uW5hT5DiRSCQBRkJFSllCJOLWKuftzlsMOvrP7HAFkoVwa6s6X6JoOhtJ5lFJSUNH7EMhdfDLVbF0oB8XuJF3I6n4rJGWhTljIkNVqzYcPF/hNj+cvXvD5F1+gGXrlTxdoUrUqdUPZhlQgTIZhCkpp1fP7stJK1CEkQVC3rZV+46HlqWbEm3pR3BW3u79rVXa9HUb35/dtHQqDqNMWZSUg3LkfZBUGJUrqIkk1aNQGv1qt+Oabb/mzLz+j0+6gaxJZZuR5ouanholpmRRScnAw5OzshCiO2W4iHMchioIKpFLgNzziMCANQ4oso9tuUriu2mjiGMdWs+VwG5FlGQ3X59nZM5rNOcv1Sv1+ukaSJewfHXB8dFgH4uxOfcv1iiCOcG2XNM3IioKG4+HZDts4Ilgu6y7KfLEgLwqa7bbq6uQZaV6wA41NRyPm64uqXRvjeQ2Gwz0sS4nogiBgOp2y3SonQRzFpJEK++q1Owz39hACFvMFo9GIbr+H7/tV8uAdcRzjN3ySOFEizmoMtkOlV9s/QlDzJ8qyqIVt3377LUmSsLe3V98PQoj6ew8GA3Rdx3VsdE1jPB4zm80YDAYVNGiLU0Xp7u7BIAgoyxLbMHFcB/loXBcEW5aLBf1ej5OTU+I4ZTabM51OcByH7XZbH8ym0ymO4/B08IRer8ft7S1xfIlEsljMiZMUhGA+nyseQag4CK9evqTb6QJUwDt1bc3KObJerzk/PyfLMg4PD+tCutVq1eOc2WzGdrtls9ny+edf0G4rHHUQBDiOgyYU+Gq1XBKFIYNujxfPnjEc7rEONrx5947NdstqvaY/6LO3t4dtWvX339vb48mTU8o8J6pO8Y/dHkdHR+im6ugqwekCQxMkseK7bNINjlsw7A84OjkhzQruxyPG43sODw+wbat2I52ff8D3mxVuusHd3R03NzeMx+M/aY//k4uBbq/HZDbFbzZ59uwppq7jNxq0ux1M22a93jBfLHDdhspdrqwaeRX6UFRV63Q6BR4CPXZz+iRNcHwXx/PIpWQ0nlXjAImORp4pTrNEKAxxuQtEUQ+jUbXAagGfElerTUEZrNnBKXYbxuPT5ONF9GGMIMnzCo8pq5a/FDzSWENla1NV5COrm6oVUXp2hQt+rC/YtYkd2+bZyxd88ctfMhgOQdMI4pjVcs27tx9YzFeUuVLw65oOuiArCuI0JY1CJrOROuFHMe1Gg0aziWfZbIKQNE7RHZWzrmt6pXoXICSnT06rtrIOUgGKxvcj8rzA8zzSLGM6mWLbQTVDtHFdF1kKut0eX375C4QmaLbaNDsdLM9GUtLv9dANncuLSy5vrtE0jabf4OyJZG9vT7Hyd/P8QhVfpmFweLiH46gTQ5qn2KbB7e09i2xFIQAhqzAWNS7I8yq3XAgMTVa6/8oSJ5TIU1Rfx04/UmlGyrJQvAOhVPR6FSKSFwVlkVDhqaqMCVFtiKoVW/8/oSGEUtSblUhViSZVNywrJMvVlm+++Y6bu3t6/R7tThshIE9TOt0ux6fHVcdKkuUpWa5V1svqV9EqoRIFRZERRQFxEpIXGaVU3I80TWg2fTrtFtv1mjRRdqvdNVZaS1WE6LqBVUoyw3gQH1ZdE1lnQEhkJcIsUQLMx8VCzZOXaoxDqVUOmQKpa9xPR+xPBnR6XTzXRKMgixVqtsgLsngHa9Jo9XoM+n2CKGW5WDMajavIYQvdMKAqUos8x9B0XNtRzqREKb9tR7WtrcKm1WojNEF30GcTBBiW4uH7rSZ+s0kWp4TpitV6Q5AoSExeQqelAoR0BJalQnWmownXNzfEaaKKFySGaZKVBbPFgihRoj3dNDAMk/Vqycfzc7ZJpvLukSRJjNdw8RseTa/B6dExSRixWa5Yrtaqw1UU+L7P02dPaLXbjO7vsRyLJ8+e8smnn2CaJpPJFL/RoOn7TCYzfvjhjfLrl2rjtW3lXpBloYLYNIFtq3wExSxRm9lkMgYp2W7W9Po9Xr16yenpSS36zfOC5XJBUDEfoihCE4KW7yNKydXFJb1en96gr7pVeUmWFdi2ozqIuq6Er9WIaTKaMBlN+PSzz7AtF6Raw9M0VPjriqYJ1F2Lw8PDKiPBwfcVL2G93nBxeQXAixcvME2Lm5trut0uZ6dndNpdNF3UlltVDOmkaYIQgqOjI1pN1V0ZjccUeVmPS/r9ft1K1zXBbDqh3+tweLhPsN3SarUJowDD1JmMRortoQksW41D+8M+w+GQ9XbLu/fvOX3yhE67zXazqVr3MZZlV7oOE7fqst7c3jCfzogjRXU9ODxAGCVRnpKEaq9ar1bEacr+3gGdTlfB9RoNjqsky6vbG/7whz/geR6bzYbNZsNsNsU0DaBkNpvw9u0bZrMpUj7Axv5nrz8dR+w6CF1neHBAs91RcZ9pgZMUNFseuVtyfzfiw7v3dDsdBd8pShzTJC8llmmi2TpJkjMej2vfr2VZOI7DkydPefn6FePJhN/+9g9cXtyiaSWGbuA5bt262TmbhKZBqaxuaZYgtCaWbaoNRlaismqtU8J/NVfezZZ3VeLjGfNuJvVYWJVpD5X2Yw1AWeYUZUUWlGXVNi0fTlOSh/mrUCr3x0S33Tx3b3+Pl599wt7RIZppqYp7G/Lu7XuuLq/J4gwKidBVxyMpc7ZxQphm5EVKJjPKMkdIiYHGXm+A124Tje4p8pxgGZJECW2/pSryYM3v//gW27V4/eo1LbOFlCWe43F4cIguNNqdNlGSsNpuiJKE+XpFmCa01iuaXoM0SWm2m6oU0iSmY7F3dEhJUbepewd7bJKIKElItxvyq0uCOFL8e1NH1wzCTUQYhrRaPq12C9MEKVN0WTDoNCnThM1yQUaF+yVHCF0lWhYmRSEoUX5cQVn5b3exx9UsXDyMimR18pVAKXefp1HVCEobYIiCothpEpQodqdPQA0qqvRL5c3XpKgKUvW+RfXeSmZSkheSyWTKfD5TuF3ANC1evHzBYG9QZTco22VemHV3JE5jdSqRkiLLmM8mjEa3RMEWygxdyOo9CixDY28wJKxav+qGr5wRuoZhmbiujW5Z5HlVKFcaASWo1NGErqBPSIoif1S0Fg8uGxSrQp0ACwxRIkoNWagiLdc0wizm4u6GVrfNycE+nqmhaxYNxyNPE9IsQ0OZKdbzFZ2uhmGYxGnGYqnAQZYjSLIMTTPY3z9AExrr5ZIsSbEtB7/l47d8BELlGhRZ9RkKTENFCUtDw7RVm36xWrFZBaxWa1arJbbj8PLFCw6OTzEoScKQPM2wNIP1Ys1333zLZDTG9Ty6wz5uq4np2MRpxt14QhSnSp1frQmTyYTj4yN6e/vKWbXd8ubNj9zd3GBpOqcHx7x+/pLj/UPe7b/j+vpaFQy5CoRK84T5YoppGzw/eMr/8r/8DScnaqPeGwzRdJ3xaMTH8yuyvCCrij3DMOj0+miaQRitEGhYlonv+RWUR1LkBZppoCFoNFxarQZHh3v4zQ47iqJpmsRxzO9//3turq7VRths0m21MTUDA8E6iPjm+mv8ZhPNMGi1W3iNBq1mB9MUP7FoqzQ+Dcdrous2d/cj1us10+m0diE1m03yPGe9XuO6Lq1WC0oBJfQ6AyxDFQtfffUV66UKeSqLkpwMQ1epiKAQz4iSLEsqnUFCFIWkSVy9T1vpjKSg11WM/t06/vnnnyv3h5S4jkW71arBRrvRQlrk9AY97u4G3FxfU6QZ22hLGG7pWh32+/2qa2vw5Owp+/v7hIFCYi8WC8I4IStK1SltOPSGQ2zHxXMafPxwznK+Io1jpFSCwP39Ie12E7PfRzcs2p0uuq6z2WzYXl5QypJmq405mfDDDz/Saql1XWlAdGzbJI5DoigiTSP29gb/9mOC5XJJmqZKOJhlrOYLNus1N7c3DAYDWq0WeZ6r+OBul+OjQwzTUsIQTVc4WKGSAbNMCWgODw85OTlhOBzy9NkzBvt7nJ6d8ebNOy4vL1ku1/ziy19ydnLC3/3d3/HNN9/8ZCavTvkQxyGgYjmzLFGzzJK6elY3wMOpcScY3Kmwd92A3YwVdm1pyHPVLdiFUuwubF485EQ/hrHsbIVqdgaGoRZ4Q+cnhYcQguFwyJe/+AXPnz/H933KUhEe379/zx/++Afu70eq3Sl0Nc7WNBqNpgLbyJJtGFZBRQHNRgu/grdQlPi2S+QkZOuUPEnR24oT/vHjR/7pn76i4XukacLxwYmKG9U1wm1AmefEaUKr3+XFJ69VGtZ4wvuLj0gpeXJ4TLfbVTHG6pdCSoltOximQVGolreum3S7fYLtlqIomUxm3N/ccXpyysnREZbnoJk6umVQIEnyBPSSbr+LrpnMZjOlJ9E0Li6vWG+31XYs0Q0FSDIKQ7kXSh78bzx0hFRbfreBiyrnnFp3ssNbi2qOrSHReIhmRj6QIncLxGNexO6zBChFVTBoqDTLmnwp605DUUJR5qSZ8trHSUKR58pVkBeViFJS5BlRqEZnRV4QrLZcX15ye3lFFARoEixdR5gG3VaTJ6cn+F4DmT+h322TJRl5pua/mqX4H7plEMYJs+mqHof93OYKPyVzqk5AUY27HroCUipgUe0sEJVwoJBkqWA8mfD+/XtsXeNob4gOaLqJZYJp2RiGBbpBnCSMRmOu7sdcXt0SRalyUzg6ut7Bch3avS55mrFYLihkid9u0eq0kCjBXCElpYAkVVkGlmOjmQYZJWmSMhqPmS0WrNcqJTXLMjzP48mzpximSVmF1Ww3AatkwXQ8YTKZqaJT00nTHKso2S5XRGlCGMWKRlm1zMuy5Pj0lOPjU3TDZrVasV4oxkKeJyRRXINz9vb21KJrGGR5xibcsFqv6xTRTqeD7/u0Wk2klIxG91XaXcH333/P/egO0zKwbDX/7vX69Ho9RqMxeZ5iGDrttor4jSJV1BmGSavVpCxzojCsu4FRpNrUO5jVdDplMhmjGzqe6ykUd7/P7fVtHbVrWQoetN5uSPOUE9eh1epgmno999+tq+12G0NX9sjVasWmOi3vhIq2rex479+/JwxDjo+PlQ0yTpAyJggChBCs12vVCpeSd2/fsQ22tUK+1WxhWY4KSqKsi9QszzA0jWazxXYboOsmnqvyE5S+oKiBRjuCp+e59Pt9TFOtPUmSYDsOlqNshn6jges4vH/7jtlshlV1F2zXI80UPCwKQ4RUdEfLsvB9VZTtxuFplqnAPsvk5Ex1Zj+en3N/d8NkPMJxHTbbNfsH+7TbTRxHY73dqnvn4EB1eGYz1kGIYRi0220ODw9pNpt8/PiRMAwQQicIlOjRspSgdfe8/2uvP7kYSNOUdrtNWRS8+fFHrq+uSaIYBHUEZlmWTCYTZrMZJydHfPHFF3z4cM5ssUQ3TBAKzKLrgizLODg44NNPP1Vpe1nK9dUVmq7zN3/z1wghWCxWPH/2AiElX3/99U+yBh70AJIwDAiCLXaVU5BnCXmekee7GfJO6CNrQdljF0H5yHr22Bmwm93+PL8aeIQv/blQsPK+SyWA0zT1UOqagWlUQSmGQbfb5dNPP+WXv/gl/X4fKSXL5ZJvv/2W//bf/hs/fP8DUZQgCxDV9zItJZxp+D7bIGC1XpOXJZ7foNvtkRcFd3d3GLaDblnoUmBIBZqxLAvHc4kiRU0ryoKiKJkt50znU7IkIQ4j0iSl0+nwq+5vODs6xPd9rq+vuRvfc/HxI5P7Ed12h7OnTzg+OcGybaIkppQljuNUKXRrLj5esFqtsSyznkd+/+133N3ecX1wyIvnz+kNB9ieQ5anTBcBug7ddoeT432ePjklSTNevFjy/Q8/8N133zNfbclypRUwdHUiT/NCKb6FRNMM1TIFkFWaQzUdKEFF7UqQQs3bZTVPfxB8Vht3PcZ4KBp+/inX2oSq87TLSFDZ6qiKRKiWHVKjRNHNds+B5dhIpFrsU4VpJS8o0oQys0irgUgYRozvRtxeXbFdr9BkiW0qvUun0+bk6Ih2s4Gh6ewPevRaPkWmZtlC15X9TWjEWcbHyyvlpZcP9trdPf/49FDrB8TOqSF/UgjsrtVOp/F4Jqeut8ZsseT2fkS31cKzTbI0I4tCLNvGdkxs1+V+NOEf/sc/cD9fKgeL1DBNg1ZbWShbnTaaaZBGIQUSzTIpBSxWa/JKxKZbFhoaulBxs77vEacp6+2G2XzO1eUlm22A7bfYOzioFf3KOVISRxGr+ZJ3b96wmMzI4gRNCDrNNnvDPfxuB2EaEAWUQtBzHGTljgHFWNBNg9l8xnQ8ZVnltViWxZeffcnz5y84PDhCrwBGcRISJ6Hy7hsGx8fHDwj38Vh1I7MUz3PZ2xtycXHBx48f+XD+nixLKSpBrpSSKAq5utqwXKxxHJuzszOGwz10Xc38b29vODk9Yjgc8OOPP7BYKvX9YrHAMJXLZz6f8/btW25v7zAMnX63T5EXTCYT2s0Wi8Wcy48f0QyDX/75n3F0fMx8seDq+or5fIZparRbzUcdAdV2n8/mzGYLms0mjqOisnVdJwxDLi4umM1m3N/fc3d3h2VZjEajeoPbPVu6rtNsNvn1X/4a0zSZzqZcXV4pT3+Scr+9Vwc5XdDptOh226rb7Lp4XgNN0/jw4Zz1SjnShsMhe/uD+jQNEEYBo/sRpmEQVpk3eZ7XVNvuoE/D97Er10G7Ioxuw5Db0T2dXg/X88jSlA9v36GjYbkWtq2cVDuNxngyZrGcc3l5iaHrDHo92t0Oz/WXpJlKUixKyXobYK/WNHwfwzIpKox/oyowFAo7wLIdnjx5wmAwQAhBt9tltVqxXK6QkmrMYihBfeWG+9def3IxsF6vFXYzywjCkPVqRZokOI6LaCgbiq7rHBwcsFopheP+/j5ZnjNbLGsgUByZBOGG6XRKURS8f/9ezX1NA8fz8JtNBoMhv/jFL9E0nSzN+MM//p55FVu8q8YVrKGo587b7Rpdb1fpVQa7SlGNRB9axbvXY7HZz2f5u41fSqpN5iFt8LH4b/faFQjKy1154it1b5btEgsfAi+63S6fffYZv/zlL+n1e2RZxng85u3bt/z93/89v//971lUNwdSATYcx8EyTEAwnc2RMsNzHXq9FrZuokmdKI3RTB0NSRrHbIMtRZ4r7jwK7NHrdnE9jzRR1DvLMmi12xhCYzmfc/7hnE2wIYlj7u/uGQ4HNP0mv/jiSw73D1hMZ1xeXPD23Ts0w2CwNwSBEg+GceWNlrRaHUCrZnkhh4eHGF8afP1PX/PtN99zeXHJL375JccnxxiGoCgyTMMmzzOicFtBkwSDfoc//9Uv6Pe7vH33kaubW4IwIs1y1fAvVeiPAkPtRjCVRARF/VKn/opGKSW6qZOnZR1z/XDiB8jVab064ZRVIqFuGj/pBtTjnop0KLSK6IY6mehSdYPqEwsluqE0Mo7r0Gg21em7yKGUGAiVIZFl5GmCqOJzo+2WYL2GssBzHNrNJqZp4DgOw0Efz3UQZU6epcrXTDU+EJJSlJQS8qJktVhyfXVdz4h/XsT+S/e2ej7Kn9zrtahWKk1FWUo0qWyvsrr2RQlpVjAaT+g0m5wdH6qcgaqolaUkTTLW6w3bbViP7JBa3aVzHIesyFlvt6Bp6j6TYBkmy82axXqJ32jSalk4vo+hm2gCkjwhqAh4tmnx7OwJluPgdXq4rtKkXF5est1scCyb9z+84eO79yznc3QpMDUd17LxXI9+f4jfaRMXOcI0aTRbBGnMcrNmu9nUm5kKTXNZzJR98ejoiE8+/ZRXL1/S6/eV42S54OrqmtlsihCqU9nwGzx5+pTVasXV1VWd7jcaj+gPejSbPmdnp+iGxmw2ZbXeVA4mSZrG5BVm1rQMDg8OOTo6rJHESRITBBtarTaTyaSG85yfn7NaL+n1epycntLrdRkM+tzcXLPeBIRbBRjarNdYhsn1zQ131zcYtslgf0C316XR8Dg8PGA6m3J3e8t2o3IOut0uzWaz9uB3Oh1OT0/p9VRc8q5LsLMJDgYD2u02AJvNhru7u/pe2G3Kh4eHSodUWS89x0UArucRRRG3tzfc398RbNb4nkOr6eM4Nq6nhNGOY7PdKBbAdDZlNh/w5MkZ3W6XnWvi/OMHBt0+tmXVVul2u60s60udLM8xTQW+GwwHZGnGx/Nz3r5/x2K94mj/GA3BYjbnbfkjnUGXg8ODGqGv1hmtzt8p86IWMrqei+24PH/5Etd1lBah32Mw6GHaFtswJCtVvLXQHVqdDpqms5gv+OGHH0jTFF3XOT09pdFoMJvNWa02eG4Dy7QxTftBT/evvP7kYuDt27d89tlnHBweMugPsA2T0d09h8dHnJ2d1YlYZVny4cMHtpsN6/WaRsPHcRzCSNGWFssF79694eLigqurK4bDIbZtYzsO/b0hg8GA5XLF/v4hzWaLxXzB7373u/pGedwV2DHphRCEUaBS9DQNgaz41TpF8dgd8KAN2G3wPwcQ/XyR/DmY5SdOAPHQaq0XzEorUH01RaFOXnEUY1f2lpOTE16+fEm/32e73TIa3fHNN9/wxz/+kfPzc9aVXaUsQZOCTqfLJy9fIYuSyXhMEIcYhuDJ2RESi+VqRRwowcyBqRMXBUEUI4SG2/AQ1XjDth1+9ee/wnQsLq4uKMqC6XzKNtgwGAx48uwJxydHSizoNCiTlO1yjWmoLPhht0fyJMXzPEU1s0yiRFl3ijxiMV+yc2fs7x9wenpGnuesVisMw+Bg/xDn1w43V1fcXF9xc3VFWaR0Oi0818VqeJiaRpbGrPNcpZdpOqah8ezpGUfHx9yPJpx/vOTm9pbpfEGWZ5g7lf4uYAeURZBqerDb8FFiOa0Skj52j2iVyO4hPxF0pXasT8/AT7pTD8WjRi0rLaXijJcFskICiypNRWiqWaCbBogKaJPmKpM8SbAtDYoCWSU2ZmlKHAaE2w2GgF67hWspUI9jOziWgSyUH1uRDFUyXJ5lIASl0CjRWQcxF1c3bNcbZbd8dM/vfpfH//74Pt/ZDn/2UNRWgp3bACHQdFF3YQopSdKM6WxGp9Wk324pYZtU4jMpSgb9IV/+4pcsgi3z+ZLpdE5R5LXa+26s6HyDXh+/1apEagLNsYjzjChN0aOY/VYXTdMJtmvKMlOCrUcWq2azBZbylYtSkgz30BBcX17x7TffcX9zi+c4DNo9uu02g16PfrdHHKdkiyV+t8Net4tuGuRCnfL++Mc/Em62CkwUxRhSMOx12d/b5+zJGaZpcnt9xfXlJVmp/PvqsLQP7Cs1uaY2yOl0Wh9M1Mn5I4OBchOkWYppGjR8D8XdKMhztfY4joPv+7hug16vS1HmzObKsfDu3Ts1p59NCMOAXQiTyhpYstlu6PV7GIZBFIekmSouhC5otdpYlsW7t28Zj8fKBikLLi8+0u11GewN8TyXU++U66srptNp7c3fqfjTNMUybRVXbZo1/OoxN6Hb7RKGIev1uj7d7tT8aZqyXC6Zz+eM7u7pdjp0u10ODw4Uh8NxKMuCw/09Vutn3N/fYRgafrOhxKfVs/r8+QuePXvBarlmuVzQH3Q4PDyo7eQqlt0jTuJ6LZ/P5ziOg+04RFGsXCRVCJmuG5R6yf7hAUEac3c/QuaSo4NDet0eQRASJ3HdTa6ZEmVJEIUITWMw7NFstTh7+pT3795hWhaHh4dYtoXnOjR8T7mMyhKtYoeUKACb5zcwdIPR/aiGKe262+1WmyJX1kdN0+vgwCiK/9X9Hf4fFAMHBwf0uj32hkPcqjpbLpYcHh7USVmGYTCbzWi1Wtzd3vBf/sv/haYblIhq/qv/5KJfX19XSVl7OK6j4Cw3N1iWje838bwGcZTw7bffspgvKEr1i+425kKWylZWtfuTJFXc6NpFsPOZy3o524n9fmIfFALdULO0B3aAaoU+/l67xbL6Fx6U69QWMKS6YUDNU/OyREhNBWqUJbZts7+/T6PRYLPZcHFxwR+/+j1//OMfmUynpElSz2t1oRwbf/GXf8Fv/vLXlHnBH37/ez5enatUsjTi+HBfBboIpWy+m0yrNpFPq9XGcR1KIdhsN2RXV7gNh9evP+HJ06fEcUQhC3y/wWq54OrqCr/R4NmzIa1GG1lKTEPN5cs0JU4zpCaUTacoSCpYTlGUbDdbNASdTgdd0ytCmku73abT6aiZ4Tbg+PiIJ6enRMGWLA12n4qiaOUl29WaeRirxdM0afhNmu12pT/xOD0+ptvt8Mmnr3n3/j3vzz8SxxGmZZBnpVrA04IsUyfuksp/LdUoSFSiQN0ALdfJKr6/ruvqcxKygh8ZFKVyKKjiQENHoLMbM5V1ho3KvhCVPXE3PlAjIiWr0KrCAChL4ijh7vaOPEkgLzB1gaEJLEOgNxtQFqRJTp7lZElKlqRQSjzXxTRUalueJoR5gpCqg1HkhUpzC6JqDFRSSkGBxmobMZ4ouprQHuyxD+Cmh47b43u8HgHsnpXHIlhNXROtcmuoL1BXqihLsrwgLyVBGHN1dUsaxQzaTYWbLUvKJKHZavKL7pdkmmC5WvPD9z8ynoyxLJM0zShRi1yWpvQ6PbqdjmLyWxaW7VKUMVlRsAm2BNuA7WaF65gVElelIRq6rnDcQURcWcbSKObm9obZdMZ6vaw6SzrdXpfToxMGvR555c0nETUe22v6dLptmr7Pdr3h9OgE17FVwExZMJuMWa/X3Fx+JE7S2q6YSzjYP+D5i+e0qpNwlmVsgoi7+3uSJKHRaKhI3Dzj6voaz3NpNBoKd12WLJcr5UkS1X1WfVaGaWLbFlEcKcpllrFcLJlNpmRZSqwrSJptWxiGTpKoMWEYhFxfXZHnORcXF+RZjobAb/i8ePFCRUX/+KN6NgwDieTm5pqiLHj5yWv29vdZLBfcXN+wN9xnf/8A328SxzHn5x+5vr7m1avXyvFhKEtwmqV1uNMue6HX65HneWWLLao1WKMoBL7fUHCq+xEHe/uYr1/TaDQwhKbaT2VJo+HSbHoISkaje9artQpaanXUzN+0EEKj6fscHe3T67fo9XqYpgUINusN2+2W92/fsVqv6Pf7BGGIqGKqVV6KGoNleU4UxxweHnLmPuHg+IjR3Yg0SRXNsOGx3m6YzxYkScJmu8F1XcqiYBtUkdW2g2boZHnGYrVkNp+jGyaNRhPdEJimRVEoxsdOWGw1m5R5zjZJiIKQNE25vLwiSVJAEIURd3f3FEVJkiRQHV5832c2nXJ1dfUn7fF/cjHw5PQpx0dK7FeWJV98+Ut1Ig82XF5cVAjKNkGg8JpRnPDNd98p0Ilpcnh8xGA4pO02+fLLL3n27Bm3t7dcXFzw7t07hADTMfEaDXRNtcPzvCRLM0Z3E9IkfRT4s0PG6pUNSkUWy0KqBUoTalHWqJXfO9vgDqKirFmSrMjR8gzbVlbHkrKuYNWG/s+VmDu7o1apsNXNouRnUpYYQtSVp5CSkhIqaAeo9utudvZ3//3vOP/4Qc3BqvjMnaDNsUxevHjGF19+DjqkWYawdaI4Ik4T8kWOrpn0ul0ankNeFNzf3FOWBccnRyo6ukjw222kLlhvFYTI8xqAYD5f4TUchqdDDob7TNojrq+vePv2Dfv7h/S6XdJMtdfjKCDLcpqtLl988gVuw+Py+orpYk6SJFjCIA4jgvUG11Mo0CgMefb8mRpHmDoxJavFHNuy8D2PdtMlTZUK2NB1HFcVmakZo+tb4jQhCEKyQsFE/EaLUkLLb6hWu/8lJ6dHLJZzDM0gihKiMOXm5p77+wlxnKJpoKGp+6CyG2pCQ5igFQLyKklMFioASSjhp+ojGOxS/epCQGqKzS+1yt8rVNEhKvZAqayBZVGQpwVSNygLAEGj4SOlhsxLNrMVeRBhypKW5+A7FiKzIU2QQpKXJUmcEwcJeaY29rKUrNcbVuuFgqLkCh+bZjlJVazlhXJMUEqyQsVpZWVJIUvErlsopAryqk0SklzyqEB4eFUcO1UwP3LfqO+z655U8K5K6FpSVimZgjSH2TIAodNs+/ieWwF3MgUiMgSW5dLttvn0s9e0O76Kic5V528TbdgKFW/tN5uQZSwrzYBhGpRlwXQ2JghCKAvyTI2q2n4Tz3WJi4I4SYlLSZ4Xqj3f8DANk36/h5AZTd9h0FN01I7foZCSKA6UzkNqbFZz4mjL/vERnmezDgKyKCbabmiYfSzDQJOSm/WUYL0m2BhEidrswzhF0016nT66Zqj5rabVxV2v0lrlZYHfbSOEwlG3e3uMx2PW6xXdThen0cSyPfIyVB0pAVmRU8oS23EQaKRpgmvZnB4doRUFk9mUgrwWmj34+3OkLNmuVBx2EiS4pursdts9Bt2BGlNWaYteU7H1TVNHCIPx/ZTlcsPV7Q1xFGHqNov5EttyVOdhuabpt9g/OKDhNzBNlbURxRFhFKJrukqmrNxktm1XdNk5WZ6SZir4zHVddE0Qbjckvk+ZZxgCTE2N1KTMQarxk64L8jyr9Bo2e8M9vIYaFSAVQl/lP+gYeoltaoDB+XjG3fU9URwTpzGtThvH81gsVuiGRaPVxPFcJXxPUpKyxHAdGq0WtuNgW3YdZrVNNry/+sBytQTg+rZbj0niJEITGs2mj27oZEXB+/MP3E/HuLpFliVIaVLkcbVfFUwnd0RRyMnJidLQVQCh+WLFaDKvtSNBELPd3lEW0PAcEqnWNAEVK+H/NwDv8etPLgaovrGoNrodO3u9Xlc8aBUXqm6iom4HnZyd1ZGrm80a23ZrweHx8TGvX7/m48ePTGeTKhTIwDAsTEOlFC4WSxbTZaXJElVrXs0W0XTK4qenfF3X0Q3tIbmOn7b2HwuhdmAIxYXXKiSmsjratk2RFxTVaOKx4EoIUSXhqfd0bBu34VUnTw3bUvOux0pSWQkOd+KUH3/8kd/+9re8f/+eJItrwdbuZ7Usi1ZLtcz+7u/+rs6yj6KIMAgxDYfhcEi31aZVuQEmswm3k5EijoUbmk2fbq/N85ev6Pb3KIqSb775jul0hsI3F7x48QykpOF7NBoep6dP+PDhHX/841e0Wi1afgvPdQg2W9Ikpd3e4Doen+5/zvNnzwmTmEajgSxUMMfV9TXj8ZjFYsGHDx8o8pzhcKAEM602o0DZXmzLQjdMhG4oj7dt02g1cW2HMs3wg4DVZkMYRyCE6gzYDnESI4XAdmwM28RreLSaPpv1hl63T6PR4vWrT/ntb/+RH354Q4FUOpJKSLAjVquIUZ3SNCiE6iJISopyR+ITVcFXbYIaKua3EvYhUI4BiUpVLAtkmSshoFDfKy0FZBlIZZ/LcpW4hiwpyhxZJMynJvNOC9+1WcoCXUhMSyeMErZhynK5ZbVWXPvZbMLV9SXT6Zg4jrB0A1FWfQvdQOiGyhMoq8hpBAWSAjXPpzJWPsz9q1P/T5wYD2MvWV0zUTE2tJ8UA4JdrOpPvk7sOikPyXillGzDkOV6Q7vXRbNUZHeSpmRlSbIJalLcYDB4YH6UigFh2RaNRhPXbWCYBsxXCPHQyctztXEUeV7BdxQhkjB+cAjpIDSVOGeYLscnB8RxzJPTI5qNJkJCsApYr1e0mi2Ge0M0Qyjn1HrNdD5TOoVbJcK8vblRuoJmkzxLub+9QmY5Z4fHYFhsg5iLq2uWyw26pYHQSNIcJysoUSdMQ9Pp9fpkZcG3339HVioufrejWP+DgbKe6oZBp9Pl6PiIu7s7kiSh1+vx4sULzs7OaLfa3N+NuLy4wPcb9Hs9+r0O333/PVEa0aosc7Ztc3JyQrPZRNMgjmNub29VK340qhX82+2W+VylczqOw8uXr+h2O5imQbfXRTMMFqslcdXFbLfbP9EC7N5nx8Z/8uRJTWEspeTJyYlijlRdWWWDS9WI6ZF4Nc9zFstFnWEwGAxoNZt1THOWlaRZWXV/dVqtNtutEpNnWYymeSBVcq5pmTiuXZFn1R0aBAHn5+eYpsnJyXE95t6BvJrNJr/+zW9oNH1ubm6UGHWzwdBVHsRsMuHt27cKmPT0aXUtZyyWywfBaBwzHA7V75NlNS/D8zyyLKPX6VJmKkxKq8aWO31CUQju76fEsRLLIhU++frmXo3fOh0ODw9pt9vMZjNub2/ptJXbbAcoU/tI69+2GNjlTqepslFsNhsmkwmT0X2t0N2Jk3YhF81mi198+SWGaXJxdcnl1RWLxYp+R7Gkd+lQnucRBFvSLKHICzyvQavdwTQszs8vmIymrNfrn8x287yo8qwffNC7YkC1gHYCKDUiUK3UnKJ8wLrCA4740Qi1JuRlQuVx7+BITpVfn2UZeZJQSmVzazQaHBwecnikdA4KRLJiNB4TBiFZniHLgqS6PjvHxdXVFXnxoIPY/Sy2bdNut7E0hSGNougnv5+h2wyGBxwdH+F7DRzTAiEIs5Rmt8NsPiPKUxxKhGUynk6R6HS7PRzHYTZTQCdlB1WBRNvtFse16XY7dHsD8kKBPGaLOZNpWberkyTHMG10y8T1XBaLBZqmKb53t8NemnJ5eUme5WxWa97+8CO9Vovtal1zzctCfS6aqWObJlmWqg5AWTDoD/Acl47jYjcarLcbCinRTIPpck6SKmrb6dkpBxVVbLPeUGQ5tu1QFLBeb8jShOVywXyxrDCrD5tfWYlDdSEwTQOtUv9rQmBWIjZZVNQ9JTpQ4CP5wPPXdIWp1mQ1gipLZJGrGD9RbaK6XgUuFchCIw4DMtRIQBNgYEBREGzW3N9Itp7HZr0CHe7vR4ynS6Q0WAcB8+WC5XLBer1UhYBlolkGlmYquqGmg9Art0SB1EDTjYqxIVTBkufViUpW+orHxcDD6eEnLAyMWkT7uCBWqO+H4qBm32s7CJi6PlJUfIwMFusVrdWawWCAZxiY1eLXqFTPuyJ8p9EIwi15WeBUJ8i00kLohokQ1Rz00dRO0xR8zKwsr2ll21STRNW1y/IUr+FxdHRAWUrCIGI2nhIHIav5ik6rxeujV7iOSoLTM4Oj02NGkzH/+I//yP3oFk2Aret4pkGehGxWa5azGa2mT7PRpN0bMJmvuL+fogm9jtzOcqXlyUtFhrR1HbvVpNVqYxgGo/uJOkQEEUJo/OY3v8H3fa6urthut3TaHRoNnzhO+Ju/+Rs+//xzFosFtzc3bDYrVYBmMZomODk+IopD7idjyrLk48eP9Pt9njx5QruthNZSStrtNufn50ynU7IsZzIes16pLIU0VXAmlVoo8HwPL/MxpKTlN+l8+hk1Srmi+a3Xa7bbLc+ePas3oTAMOT8/5/z8nKdPn/LkyZN6nZVSslqtCIJAddV4SHfdaXp2xMjLy0sajQbHx8d4nodAkFQOBqU56LHZqG7HbkwnKdE1DcPQME0dTRjkmSSWyn7753/+5zx//oJCZvz+D39gNBqh6wZFXtJpd+j3+nR7XUzdIEtT1qs1lJI8TdlsNrUmYDcWffr0Kd31un5OsixjMpmoTp4m6LTaNBu+yjyRYBomWSlJixxd6qprUKpsjVanh3k/5erqDqFpDAcDWu0+vt8izTIsS1Flu90u+/v7dWLjzc0N2+22tu+7rvsn7fH/j3DEuq6z3W7r7OowDFmvFkqxjkqO8iuhRRzHNBoemqbh+z4vX7zEsm2+/vobPnz4wLNnz2hWiuo0TUnTRFnwdAMpIdwGCBEhyxKv4RKGW8VelhLLsHFch+2mrG+YXUGwQwvvFqqdMlXBcEqyPKl9r5r2EBGbpnG9EDabTZrNJsE2IDLU6b7T6fxk1h9utqpIsEz8ZpODo0OOj4/pdNU8ar1a0e31CMNQeUyThMV8xt3dHZeXlywWC6UyhZ+Ey+zoXEmSsA7CGorRarVoNBrkeYEQOnt7Q5otJbwb9PocHx2hmYI3H97www8/4DgWp2cnNDyX2Wxef/2uNQeiEsMJ4jhB05SlaVFhVwsJZ6dntFut2mVwfXvLfL4EIbAc1Q3ZMbxd18VvNjl7+lSBThBopWS9WjK5G1H0uoRVHoVm6CyWS6Ik5ujokP39fewSojhmNJlhG4rpYJgGmmkp7QXVSNrQGI3uKZA0fB/Xcei2O7i28n0vF0uyxOTVy6eURc4//O733N+PqmutWJB6ZYsrkUhZKDYAUkX5ViIzockKbFVWugDVbXoQz6kNKC9yyqplWRYpGqo9p+kauzwMWX1frXKGmELDMAS2adJqeHi2RZnnBOst6+WSTbDm+uaO+WINGIR5TpzEJNUzIjQDw7LRTQtdM5WFEA2hKeWzFGrcZVsmumEiK00BpbJT7uyysvp5VKfgoRh4KE6r61E9R7s8jwcI0z93JWioEYuhqfGZWo6FsvFlOZPZnDBO6Ha79Pp9XMdB48HqK6Ws6aTdfo+seECWx2nKtnKSIDWytCCqhMlSStU1koUSo5pqFNXwLaIoJEzU5qYKekdpCZKEIFwSJSlRnLANN1iWznhyj6Erh1Oz3cL1XbpFB9M2cQoHA0GWZiwXCxazmaKjypLZckVaChy/g2YY2J6HphskacZiteIMyMuq+6QWGxWqZFkcHx8zXcxr59Hx8TGDwYA0Tbm6usI0TYZ7+7iuh2ma/PKXf4bv+5yff2S5XCKrtnqz0aDV8vH9BsPhgDCOmM5UkuHOwpxlKVmmRI3L5ZIsy5TWR9dZLbes1xX6HcjznPv7e3RTx2/5rDYbdE1TYwfLqrQp6nvN53Nms1ltIdwFzX34oCKXbdum2+k8OHWqNXuXgyAp6oNkFEUsl0sAOp0OcRDWHdX5fK6YAJZFqakcBNMwcD1PCbbzUsWiFwKBjqFbmKaDQokbgAJsmabFyckZX375pYK6FTl/+7f/hfPzc2QFE1utlnR7XbqdDqfHJ6wWKyaTCVeXFziOzSeffPIALnJdPv30UyTUxYDitIz5+PFjHUy0u65lJTI0KiJoIUs0qamOclGQJyrPZ7lak+U5hmmyf3hEp9tluDfg5cuXXF1dcXNzQ6fT4X/79/+eVsvn4uKCr7/+mqurK7rdbv3c/muvP7kY2AEaPn78yD/8wz9wdnam7GK6IK0uxm5OvoNnZGXB7d0dH87PMSyTg8MDvvj8c/7H//0/+O677zg5OcGyLJIkYTabomuC/f0DXNutRX6tZosvv/yc7777jo8fP1KkBVmmcrg7nY4SWVWt/l1L3pAPCW6qGHAqVatBnAT15rUrHhzHRtcfCoeTkxMODw8JgoBgo0YcBwcHDIfDmiEtylJhKdtqxuS4Dq7rIioNga7reI0G262CZKyWCzbrVc2KF0KldSlbmrq+u+t2d3fHdDql4TgMW0Msy+LsTNlhoigiKwpa7RaOZeE4Js12g1ZnZ6n5Bc+fPqXZbGAYOttgoxYcx6Eoivokr2Abqivgup4i32UJYRiw3m7QTIvNJuDf/6//K3/x678iDCLevXvPeDQmy3KErvP0+TOleE5TokSpbtvdFq9ev2K9WHCwv0/H9xFFgS5UzvdisQAh2EYhy82K88sLzs6e8PrVKxrNpsL6orpL3V4PKQTzxYJNsMV2LGzPIUpivvqnf2K73tD0fdp+i6bfpN1qoekapqEhLYNXL59jmja///3vGY3uCcIQ8hKhV5s8pdqwpVSneKE0BGoEoGHpZvXzQElBXpT1A1wWBUXVRtc0cF2bpu8o+1+riVaLkwKyNEdKgWWpQsw0FXOi6XsMB30O9/awTZM8Vafh5WJBuA1IwoRCZmSaQOgGzZZdbco6jlPBvKSCKOWFmiPrVUR1ISErS9W5EBpoglJkyErg+M+V0LrZWwABAABJREFUMD91zlD9LVFv/I9dF1qlbJf/7Os0oWFUzg1l/1VcDakJ8rJkHQRM5nPefPjAk7Mznj19hu+6IGXNuu90OjR8X9lVq45jEITM5zPVvs5KbOvh4LFbd+I4Ic1UoqloqG6J63okaUaeQZ4BtoEsNDTTVhqDXMWRz5dL5ot5ZXHVODk5or/Xp91po+sahSzQDI2G38R3fUb3I8bTkUr61DT8lo9h6RiOQ1IW5ELgd7tYownb1YbxdEqWFzia0lXohuJRZFlKCWruXxVdrXaLw8NDkiRhOp3WB5ckTmk2lbXsu29/YH9/D9OwqlCanP7AwHc9er0ujmWzNxwynS/4cH5OGIbc3NzgOE5VFKjkwu12S7PZ5NmzZ0gpubkZ8ebHN/UhaycsNQ0Lz/fpdjtcnH8kjWMs00RKSKpZfRRFCtBTZSq8f/eOOEnqkbDjOArrnGVst6obuUtMPDs7Y7ma1xyM8XjMeDzGtiw806ZZjQd2HeogCIjncxbrlQoHGg5ZrUZs1luyrGQyWdJuD/D9rjoEVYULaMhSsA1DptMp0+kM0zRotn1s2+Hs7IzJZMp0Muf29pbvv/sev6EEqa7j0u10+KevvkLTBL/5q19zcHBQp1Iul0usij+x627tOsq2bZNWHYQP79+zWi7Z39/n8OCQdrfDYrGoxyyj8Rjf93Fsh0bTo9PvMF/MidOIINqS5inNZpdf/epXvH79mv/6X/8rURTx6Wef8ezpGU+ePKmf1W63Wx3+/g2Lgel0imVbbLdbxuMxZfXw5lmiTm55jtAEnuvhei6WZRNWGoIgDDFtC8M08VyPX/3qV3z48IEoimo+dbfbRaMkiSIWeYnv+wyH+2yDkG63y1/+xV+w3W65vLxkF5Yh0Oj2uhRlQVYlNmVZhqYbSDQ03cA0zAoAo2E7NogSz8swDZMkTSjLgiRN6taUAgIpG8twOKCoCGDDvT2azSaWaakWMQLTMusPvxIzkOVqk9ANXSlpTaMmWil2tqhtmM1WE1mW2K7N0dFRDagwDEP5gA9UiycvcvUg6Qau65KWKZqmKfHfYMDRyT7Ntq+u3XxOHEdQFPT6XTzH5cnZEzbbkG+/+Y7lcqGyxh2l+dist9WsrGCxXDBfKDfIZ18+IwhCPl5csDcc8vqTTyjKkh+//Z7pdKI8rnnGYG+ocul1jSRRpzTHcdhutiRRTMv3cSwL13FotloEUchkNqXT63D64inv3r3nd//4O7774Xtev37Nr//y15wcH6uHpN3GsC2ub6757vvv2IYh8+VSBZegmOZ5lrFdbdCFGq80m36V865RloLjo0NM/decf/xYz1vTLCWMY6I0QS9yECqKN80y1X1APUimZeDaDrZpgVRq/bhSY++0JFJIbMdmOBjgey7HBwecnpwQrtfcXd+y3QSqg5AVZHledVUshsM+n7x+xfPnT2n5HpqA1XLD9c0Vd/d3gMAwTbRSYHsuB8dHnJwc02o28Jsqh91AEm63TGdTFqsNq/WWbRAQxQmbICROMgw00FQgkqHrFDvdS7VZ/7woUBZNJaJUp/UH3Y3KclAWsbKQqgux09DsighBlXNQ1s9jISWeYVNKAULH9Xxm11f8t//+f3N+fsFxFXm7Wq5oNn1++ctfApLxZMI//uEP3NzcEkVhPYPVEDQ9n+FQWZEbjYbqDMQxXkPxATzXxXUcDNOq3D0Gtm1iWR5xklMUMePJjMvLS25vbkiiEN9zEZqivq3Wa5qtJoUsaVfe7la7zc31Pbru0Gx30UcThfn1PLqDLlmZUWiCdRiCbtJoNTFsC9NyQKgEStCw7KrILNJKcCqwLQvbVnCeQX9AkqScn3/k+++/JwgCWq12bUe9vr7h7u6Ofr/PF198oZwTJji2jWNZ6IZGIXNs18b1HNzqoLJarfj6m2949vQpn376Gtd1mU6nlTMpZTAYgJT4vl+f7ktZdcjEg0AvjmM8x6HT7mA7NuPZjCAIME2TVquFaZqsViu+//EHpJQcHx9j27bSOTx/Tumoe2N3Sv7FL37BcDgkCLfc3d3x3XffVtH1TUX2W204PT7h1atXdYpjnuX87vf/yGKxUKJzw8AwzTqR8OLiGr/R5uXLF3ieOmRsF0smswlFUXB7e8tkMqLZbDIe33NweEC322NvuMdf//Vfc3V5zXy+4PLyEtM0ONhXdsQoDNms1xwcHnB0dFR3W9NUFU87XcSuGFAhZAmeq9aG+XzO/e0t47t7hr0+R4eHuA2lH9hFRt/c3HB8dITrOtiOyZOnxxiWIAxDxtM75V7wPLq9Xq1V+Oabb4hjtZ8+f/6c0WjEarXCNJW75t+0GPjDV3+k1WySFTkvX79SG/P1FYZexdWmKb1ej3avS5qkjGczthXRyXYc9vcPKQsJpeTs5BjPtbm5vePpsycVtCajSHMVYXxzgx80ODjYx2/YpIuIhufyxeef0e91ub+/ZzqZMF9M8LMmtmMq8aGmYjSTPCMXUGoSqUMhSmQkQKtS7nQLKUoQOVIohbFjK6ykEqbkZFmC57UQlho7dDpNfL9RPXhWVdVnNX5YvSSW0JU1ZGffKnOWSMJgS1FkuJ5djy0cx1GL16BHt9sjy3JG9yMGgz1OTxx811bt+0L5ztEEMssgrU6vponUdDZRhO17zFYzrm5UsZRkCcLQcF0Hy7ZxZIlu6wz2+7x+/SkvXrxQ9LXFkiAM2AYh2YecOMswbRfbUpapKAz53e9+R8P1+OLzz/nVn/2S+7s7RmM1i0zCgG2WIcSQNE4ItwqXa9s2siwpBKyzCCPaMvQHdBo9UlRYTbPh88mLl1x/vOL92/fEy4hhc8Crpy/o9/qKd+C5aEen3F/fsZysubu4R5M6JwcnlbpZKBFpKUFmlOWGOI4xDL32N3faHs+eHtNqOmR5VpMFs6KglCUFkslkwoePH0kqwqEm1IzRNnWKIkXKnF6vhSbarFdr4ihUXSkpMCwP3XDJEpClgWs1GJ716PoN0kCJJTVdJ0oT4jSl1VIxq0+fPsV1HOI4Yr1es1ov2GwUm+P05JQ4zTAtm85wyOtPP+Xs9BjTUNHGpq6jCUkchSxWK1abgHUUkiQZV9e3fPXVt2r0JpVFVStLDDTyak67s6bt+gSa2NWzonJJVHbayiGgAox0dNMGBEWeVCetSkhZiQcVZ0BZOUWlt9FKdT013UJoqoAe7h0RxTnnF9fcXN+pLHbT4OWL57UjQmHLFahml/JmmiptL85Srm6uGY1HNP0mvY4Kfjp9dkrD9RESDHTKXFLmYFoOhqFjmDagU5TKrWRpFt1ml9Jvo2tapU8wiZKCu/uJGhUs1/T7fQbdAZeXIzKUbsFrdzAqQFKORpKDY1nYno+m6zQtk263hWXaNNttlqsZtmvSbKtuQ4bq3ri2R7vbx7EbtJo9Wu0uk+mC29sblquAo6NDnj17Rp4XvHnzhvfvP1Q59iGtVpMnT09pNJuYhoGuCQoK8kIFZvX3upw+PQVdcHd7h+f5PHn2lN/85je1IPSrr75ix1/ZjVJ0wyBH5WSUGgoJPZmxqfRUw709jk6OVZps1SXo93q4leYDKdGERhiHvH//HiklJycnfP7ZZ1imIg7+8MMPdTdkh2S3TJsil3TaPZrNpto8tzG97oDhYA/DMKqRn842CEnygjjL0AyTdtdDaBrbMOLt2zd898O3oEn2tkpvtt1syDIVMx2FGzQhMXSN8eie9WKF47i02m0Oj4949fplNeuPuLi6YrFa1ZRd23OxbFulu5YCWQoM3cT3WwRBpIK1DJ0kidhsV2y3G8qixBAFskjxPVcRGG/vePvjG0zPUXbcLGM8GrFdrVl7Shi9XC9VXPRwQDmdcj8dUxYFp09OsTwLv+3jNVzSNObi4znPnz1VB0fDqHMW/tTXn1wMZBV5cDgcMhwOubu7YzKZ0Gm3WK9XrNdrjo6OyLKMo+Mj/upv/oa371TGwK4lfn19TbvZJOt1qxZGR7U8XVdl+6UKBjSdTrm9vePZk6ecnpwihZrtHx4eAnB7e8t//tv/rFTxlZhQnep18srOl2aZ6hZU2NIsTSnzDMd2KHJFQEMIGl4Dw9RRCjH1e97cXKNpoOsCz3WVGn7Qry+yEEIxDwwdKrvgri0jdB3DeCTGQjkDpJS4novXUDO/Xcur3WlhWkpEt1ysKUtFMNMEyDwjzzM172/6qr2+VSEZZSnRhE4QxEi5wHZskjhVqlRNU5jgOEKiTryz+ZzTk1P+7M9+Rb+nIqRNwyBPFUXS8TzuxxNG4wlJoqI2R/f3jEcjlsslhqYThRGvXr3giy+/4HP5eQW0iJTAKYrJ8pwgjijzgs6wj2s7xEnEYjUnTCK2UYhV5Liep27wbo9ep8tf/OpXDLt90ijl6uKS//P/83/y5s0bXr58yZMnT1R+ummRZ+p6fPHFZ7x+/QrDVN2I9XLDdqWy4g1Tpygy8iyjKHK0yjngOhZN3yPLc+UYcR0QSoCUFzndtir20qQkjROyJMVzPbrtNlEUsV7PcV2HZsOn3WywXCxIk5QgyclRs3XLNFV++XzO05PPeXW2jyk0ZFGSFzmaZaKZJkY1F4WS1UZhWafTGZomePr8KV/84hcqmTJJVeCVZWA5FpYlKPKUPC2RmoYhNGSWI/Mcy9DZ6/WQQmMyniqBbEVP0oSo29m6ptcshDqHg2oQ8MhtAzu7YBUPjUQrBaJQ+Qp59iDAfeyCKaVyWzxOOTQMpb7e6RWF0Oh0uoodv9kwm06YT2dkZEynU25ubhBIPM/j5YsXNDyP7WaLlJJms/lg0y1KPNel3+uzNxjS6rQQBkRhAoVaA5IowbJsuo5biTnV72dZFi9fvOTZ2RnbzUZlCqzXFcTHIQqWTCb3BNug0gkpn/h8scTx29iWjuW62KaF32ig6xq+JugP+nT7fcJYIbr39/dZuyG27TCdTBT7329gGBZFWSA0DdfzaKTqOZdS8vH8gqSaL6dpSqPh0+8P6lhhIVDdL11nvV5Xs3WlnxFCYpnKsZLnVQ7Dk7NqFDtnb2+PL774kufPnyOlZLPZ1KPDxWLBeDwmjlWhlxU5skJt52WBZhhYrsvp6SmdavZ/cXHB9fU1tq1GWDv1/PHJCcfrFXd3d1xcXKguXp4rrVAY8d133/H+/XtM0yQIAt6/f69wv+123dZ+//49s9mMMsuZrZZs4wjHdQnDgMvLK67vbgnCkCAISdIUwzRxHYfB3pDVStlv53Nlfc6yDMsyafouvt+k3+/WB7KyLCkylU9xfX3N7f0dp0+fVCM9lTezW+t2TgM1mpH1GEXTNPyGz4gReZ5imiq9crVasljMabdbjEYjbm9uiaIYXTdYr9d89+23HD45Zf/gAEPXCYMATQjiMCZPM6JtwDyZMRgO6fd6JElMFMX0+/3KeqnVYKcffvgBx7KVHq/h02z4KggrTv5ti4EdntEw1C8xmah2S1EWdfjHdDplNBpxd3fH/oHCSF5dXVUCF1V5ziYTfvw+xvU8jo6PkUgs28b1PExNp9/v81d/9Vf88P33fP/992y3W54+e0Gv36/Vp81mk9VqxQ8//kh/0Ge7Dbi5uWW92iAlpJny3xqmqYJ3qgjJMs8pXDU3StMMTQcp1SUoKvHeTkTneS5+s0GjsuTsvLI7fcKuvbebr9aphkKvbHuyWghVkpTjKofATnuxcybEcczt3S1BEJKmWUXtatLvdWl6ip/dbLcQmsZ8sajmTxZq9vWQ0JdlGZvtltlMiZDW6w2bzRbf91ksllxeXtLutPn3B4d02k3CYMtovUKWBf1Bn4PDA16+esl2G7JcrbgfjbhoN/E8h/FohIYgDLd8883XXF5esL+/T7utfNES5a83bYOSgkKUtPsdDMOgIRsMD/eUwKcaj6SZYvFbloFtOfzVb37NL7/4kmATsJgvyUXB27dv+e677+j1evR6vWqxmtHpNBkMupimjmVbqrCyPIZ9ZZXK85QkjcmylCSJkIVSlOd5Rl7R+UxNwzFNlW9UFjiOxcH+Hp9/9jllDuvlms1aJaW1m4pjXsqMIsuIglCNJjYbwiAkySWL1YY8TWg4Ds2Gh9BgvZ5TRBJL0xUUyjTA0BCGQV55E3dtRDTJ/kEf23ZxXA/NMMjzAtO2SLIcqZdoQo3C0iRGA2zLRGBg6ybDbp8wTUHXKUoINhviOKI0jEoAKWtehqbveAs/h2g90Bt3IwKQGLKSWkolGMyTVC2CQgU/7YSvj4WEj627j/8sz3PiOK43jmazyevXrxFIri8vWcymNDy3PtHoQufk8IjDvf3q2VL3eZyllEi0So3t2DYNzwMBi8WcyXhGmqQUecnr15/w/PlzTNumLEvm84oPb+ocHB0QBwGy6mT47Rb9Xo9ut4ssEn588wOj+3v6/QFBsOV+PGO2XLKOEs5Oz+gPVXZ9v9dj0O/hVs9rnKX16Ozg4IA8u0cKhdDN8pzlconnuSxXc5Ik5f5uRFEorYRKuFyQpGk9XoyiiOl0iu1YVUIreJ7q+K3WS5J3IYeHeyppsN3EsZq4jkuWm0RJhmmadDpddfhot9nf36tdYe12m9PTU77++mtFjl2H9X2gGTqaYVBNzmrLuGmaWJZV60eSRG0287kSKu/v72M7Dh1NsFqtsCyLRqOB4zhMp1PVQQzDaqyn7oF2u11vrLqu41UHhmazSRxFbJOI2/Go7gyUGhyfnZFU4+adFdIwDPr9Pnn6pBKxq417lx0CKvZ7F8Bl2xbD4QDbdEmTHM3Q+ebbbwnjiJevXikhOtQFxc5Jh5RkScqw38c2TSRqbKkBuiZUcdhQNs/x6B5kqUZhqyVpmuO6Xm3d3B8O6XU6RGGIaztsdYO6ci5KJncjlvMFT58/Z3+4x2w2w3UcJf5F0mm3ePb0CTfXN1x8/IjnuBweHSpoVZZxu7r9k/b4P7kY+P7772uV/W4e4vs+zWaT/b09PM+rffD39/f8x//0nzg4PKztK72esrVNx2Nub66ZXl1hWhZCEyRZSlGWNBxXXZz9fYaDAd9/+x2//e1vubsf8/zFiwd0sa1m7LP5DL/Z5PDwiFarzd3tPb7f4sP5B2bzOUgIHlkDZSkx9GojlYIszVnlG1zXxrZsOp0uULJYLIjjHWe/qJGPTpWfrmkamqHXLZifglgUnU7Ksp4Brdfrep5mWVZ9korjmMl0zGh8j5Rg6CbSURYpz3MZ9rr1DFfT9ToyGcDQDWQlRhICsizHthyafovNZovnqpabLAXr5Zrx3Zi7m1tcy8Jv/G+8evWS7aZNGGyUiv/+msGgR6Nhsw1UqFNR5nQ6bWzTAAlhEKhM+ixjNBrVGoj7uztKAXEUYlnKF67pKnteE4q7YFkWuqlOiIZpkmcZOhIhS0xdQzg2WZzQbjfB1Gl3OsxmsyrnYkaeZ6RZwqeffqLaYlkKQi1QSKUPiZKYJInUybVQuQOGaZBnKXmW0Gn52JatLIGGTiFLMHR008TQBMFqSRKlCCk42OvTrD4vkFAqxbi+N0AXgigIq66TRxAmnH94TxSpqr4sUi4uzpFZQJGkUKjCVBqa4h44Nn6lP7EsC6/h0WgYaKZOIQu2m5AgjMgrzK/yx5sIIYmCDUWR0213sEwd11GEyVxKCiFYb9bc3t4ptbYQUBQUWqEKAB4EYT+5Z2t3xC5XQ1ZWvJ0xl6oToMReQlPxzbvUx90z8Bjnvds44MHp85jxkWUVIMY0efrkjC+++IIyz9A1UUFS1M9oGXbdfShlSRAUFGigaUrcKCV5kbJepzX3JMtSgu2WNCvo9nsM9oaYpoGuG3Q6bcqyYLvdstmsmM8WjCfjSmRrs9psQNdoNRs0Wh26hWQwHOIEAYODKZlmsNpsOb+8YL3dcnx4RD6dohkah84+pmXQaXQQmkacJJyentJu9dEMHctxkAK2QcCHD+/5+puvCIIQy7Q5ODhECJ3FYoHQHtT4uq5X2QJrXNfGsi2aTb+y2xWMxyOCYMNsOuLo4JDy9JiW79PwPIIwZBOqmPAoimoFf5Yp4l8cx5imyatXr4jjmKurq4qZoZw1FALDEOiajizV1+42XsMw8H2//vyjKCIIAqyK748QpJUTZOezV3wDjaPjI05OTjg/PydJkocNvgLDZVmGYRicnJwoXPt4zGqz5vdf/ZEiL+h0O7x4/pwvf/ElnU6nDhWqXQlliUB1ZTzPqwV8yJIk2ZIXGReXH5nNpgwGAz779DOOD5+wWQcEQcByuaRYzjk8OqLhN0Gq3y+puAqdTofri0v+/r//d/73/+N/p9VUib1pFKtDjmmqcZ4u2N8fMBl3sE0T13L51a/+nCAI+fD+vC6UJuOJYgIYJr1OlygIMXUDmRVoEsLNlnypxqpnT86wDZO722vub68p9/bQNdjfG+BaFj9+/4aPHz+qQqTbI8/yf/vOwB/+8Ad0XafdbvP555/z7/7dv1NJVJpaRGzbrp0E0+mUOEnJiqI+Ce/U8M1Gg8MKZZlXPPU4igmjiI2u4iP9RgO/0WBvb49Go8Hl5SVJmvL+/Xtln2k2GQwGvP7kk/rEUpaSs9On/OY3f8V/+A//gf/0n/5TBW4oyIscvYpP1nUDz1VK5SDcVLYUHctQm3S73amKnYyLiwuW8znD4ZB2u02j0ajJWV5DAVB2tpIdSUvTNPJcORwWiwW3tyoC1HUdOu1uLeYIgoDZbIbneTQaKuoSIeqOgawXUKnY3ZVocmcJy/MUXajCRpjKHggae3sH7O8Ler0eSZLw8eNHbm7uGI8mIErevnmLoasN6xe/+JLD/T6L5ZL5Ysl4dMdgOCQO1ShCCFSHpOGhoaAZSFG3xdI0rYUqUpa8/yGh1Wkz3Nuj0fRri5gUEKcJIktJjLQCaJTolbXP0A0MoVN4Lq5jY/tNSiTdbpfpdMrd3R1v3vyIbkoc1yRNYxzHodvtKmHmJuJ+NGI2nRBFIaZlqI6MpdNpNkjTBCElw36Ptq+8z3GakOYKKJNGEXmaEEcxWagKwCQKyNOYdqcDmiCrrGmmbtBwXTRNgXUcy8SzHZpffEaeZ+jGboPMEGWKzJSFKElSMlmw2m5ZbDZstiGmmaHrMauNEnF6rqtCXQwLz/fQTVNluBcZmkRpF0pBEiasyiW0BElWoBkmcZaTS8kfvvojt3e3ZLmCrYiiRBrK0/yYJfB4A1f1wOM/ewh7yrUSTSjOQlkhjg3xgNt+TCz8ec7HY7CXCo1x6pEZqIJhuVwSH+zTbbfRbKvq5OQKIZ2m1fxZdZ50XUeTUhH/LBO9snnKsiSJVRy0zAvSKGa1WVNKwY9v3pDmGfvDAQcHBwwGPcryKV9//TVfffUVCMHnn31Ou90mr07tURRRlgW263HoqhOt57f4fw33yYXg9v6ev/3Pf8tqtaKXqnWuvLvH1DUOj44Q1ahzvljQqBT4UggVJW0ayhJXia6jKEKryISyOn3naUYQBPVpeve86YbAdW1M0yBNk8rXv6TMc+6ub1gvlixmEyhyylzlgmwC1SqfTCaEQcDt7S1fffUVn75+yXA4VJ26SidgGqbCTUtJWZQUUpJrWnWiljU5dTweE4YhzWZTpTY+OhSVZclms2G92bAJg9qiuLObF0VBv+Kd7GzJavQZ1na8Hdd/d+i4vr4mCkMM08RvNHAsi7vbO4bDIZ1Oh1arVXes37x5w2w6pddtc3x8XK9BygWmQsT6/S6LxZzFQjAej9lsNuz1r6EUjGdTxpMxpYDZbFZRQ2U96nZdt7Y+/sf/+B/ZbrY8ffoEEKxWS5I0ZngwQKueF8+2+eWXXxBGEbPxgoODAxoNn7KQfP/9jyyXS64vr8izjEGvT7/XI41idd1Kie826He6xGmCITQ0CRqS92/f8B/+w/+bfrenxnxlSRqnSmNxd4dtWjQrPPSqsmj+a68/uRhoVDOSMAjYrDeYplnNTQr1MCYJjuvyyaef8jxNGU2mlFIBJe7u7ri+Volpagbm4Td97kcjdQKvFuajvYPam5mlGRrw6Sef8slnGp7XYDKZ8O7duxricHp2WrG+lW2r3xvwZ3/2y9o/e3l5yXK5rKtSt3qwGw2/ir0tKYuE3Ux0NwLQdZ04DillgVGRFH3fr+ESQiiPelmW3NzcMJ1Oq/bbfl386LpOWSruv2l2aHg+Tb9Vt5p0XcN1XYb6EMu21NxPapiV+rnT7WKZJkEYqNm/EHieR1EU1YOd4Di+apNiI0TJuzc/8vbHt3S7Xf7iL/6CJ0+e4Ps+ZVEyuRuz2a5o+j6ffvKaQb9PHIWkUQmyxLEt8ixhs1kRRQFFnuO6Dgf7++RZznQ8RtcUFjbLlLbDc11FwGq1yNOU65tLbi4umE+neH6Do5MTzp6cYVkOaZr+JO/c0DWyOCaTCbZh49guhq6T5AWWpebqhmnW9qM4jpFJwmq1oNHwWa83XF/fkMQJi+WaIIxwHFu5IlYRWZZiWxr5wR6OZSGERJaFSgPM8iotsMDQBKksEVLQ63YwOhrbSk2+XMzRDA2v4akQF9SJo8hTTMPAti1MXVDmBcF6RZLG9Po9HNdGM2yyNEZahUrCkyqnwm618MMEWSrHyW58phjw6r4yK9tZnueKky6h5avWb5lL8jQnDCPieILQDTRdJ85yRrMZ3799wzYKkdJQxS+74KF/5QHfZT1L2P3lsoIplLtiYGe59BTiNE2TOvlxt+DLamHaFeI7IE294ViW6tJJqVrVqdKn7A+G2LZJGkfMNmu2mzXb5ZLtek1ZKhBZp9uh1+vRabdwW008xwEp2aw2VcqegW2YuF6DvCw5v7jkb/+vv+X3f/g9L5494c///M/5y7/8Nb7f4Pj4iHfv3hHFKa12m739fYXmTVMazSZFXnUEy5K8UIedvV4Py/cYHhzw4cM5796+VZZXy0YWyo9v2zboGl9/8w2jyZj9/QOePX2J7boYeY6jKYBOv9/nxYsX3N/dUxQqjjiO1TOSpFlN8lN8FFkVBKK+lnEcEwahmh3bNlkSs5jNmYzG/DH7I9eXl7gNj7gsWa3WOI7H5198QbAN+e67b5mO7zk7O8V1vZoFUBSFCgqKE8IoVHz8HSJdUwVBninIznK5xLEdDNNQc3Tfr5kpeZ4TJ2oUEMUVXVBKdE0jCAKF+haC6+tr+v0+t7e3tTXdspRV0tD1WtBoaBqWYdJutTg7e4JlKWFwuA3QTYOysvA1m00ODg6YzaZ1N2QXipT/f1n7z2bJrjQ7E3yO1q7F1XFDIQBkIjOripxJFkX3h+menh/MtuY0e2hNsmqKZLEyASQQWlzp17U4Ws+HfdwjQJq1lY1lpMEiAwhxw93P3q9Y61lFQRLHhIFPp+Nx+egRvZ6waodByN3NLWEYswsCdtstkqYK6uFwhK4bB7dM1fD/4yhmOZvzv/+bf0O3CajSdR3d0EizkIuLcwxT5OR0Ox1cx2W9EHybqqzp9Xo8efIEXddRNbWZXFf0uz10VeP+7g5VVXhyecnReExe5CRZKuBoSGw3G/70ww+MRyMcy8a2LIHGL0qmD1MU+acDrCv5cwcV/f53f4Xv+6w2G2aTCf/bv/5fuXh0wXe//Y5Wq0VeFpCl9Kw+TrvFZLmkLGocr82wqPjh+x/4+//yX+m2W1ycndLpdcWHTJEpm6Jh2Olxenp68A1T11iOjaoJqqDr2cRJRJGXze5mi2UZqIqGriqURU6RZ/zP//P/xGg05N/9u3/H3/3df6IoKjzXQ9V0ZFUlrzKBo9UVdMtAUxQs0xIj1KKk3+sx7HVRG3+xJMtsGsTkvhpVZVWI4nbNnjsr2K63TRiMTKvlcX52zmgwRtN1NFUhjhN++uknJpN7NE1roEsyWZ4jo5DmqQgqkmUUCfz1WoivDB3HdXBcR3QSkkpZRqRJSHs0wtBFFvfN9Q03d3dc3d4QRBH/nJpnT5/ym7/4HbplcntzwzcvnvPP//m/QlVlfvzhe1brBd1el5PjE6IgYrVYEUSRyIKQVDqtDook46+35FVGUVeUeU6ai3GYjkKl6oR5TlWDqphQqQTbiI25YjQY0XK7dFodsjwligNB56NGsa1GNV4y3QgqYhzGzPwdum6AhAj7sE1+89vvCPwNi9kSfy2gHFUpDh9UFdtxsV2XPE/Jiow4SIiTHMsQ9sDtdottmGiy2IEGaXwYR3babaF+lmWkWsW0bAzbQdU1ZE2lrCuiNENVJBGaJIkRtabpyDKs1wuurj9g2hb9cR9JkYmSmCxLURUVWRe8C60GRzexPOlw+EmSRNlQzMqqoJJk8rKmKvLDsycpMoqhoSgymqmjmcINo8gapmmh6QZJVnI7mbNabEUhICkoqCKzo6qpBH9YdH6Ir1+qJWRkyroSRf1+Tyludvb4b1mSQBG/UlUkweWQZcqyOGTY77vCuiGrCfuiiHEuS5FgmNcFtQwoUOUlZVkQxyHb7Zqg32U289msV+y2G/I0RaHGtEwkSSZJUmazBVUlo/shWXFNq9ViMBqJtZwkIykqbqdL3zAYHh1h2Q5JmmCYBrqhMV8veP3+tYB3UWJ7NkmWst6skBT48PEjy9UKy7YpkpQyF8mZw8GAVsvFtgwMy2byMMW2LJ49eUpVlri2g2sZFGnMp6srkCWKvMA2LTRVI0pjZE1B1VQUCbI4wtQ0Xjz9Csewef/hI/PpnDTPqWsh9pRriSLLRaSwKmyzqiyIF0WRYzQcgdFwRLvVoipyVsslcSzgPG/ffyQvS3TLRtN0vv32nF/96ldCxLdekacBVZmgyTotW+fpxQV9r0eS5iwWS96+f0cYCetfnqcizwNxGValmNKkDQrbcCyQJXRDWJ1HjVW0KEqiMGwmxal47oKA6XRKFEWNjVtECYvpYn2Yvpq6zjoIcQyTk1/9CkVReHh4II0Tkakhl6BV1EVOWVckkYizH44G6G81siwjCkOqThtVqqGoqYsMuYbdaovntZErFUOxcfttLK3N5OGBshGfp0VGFEVEQQiOKHzroqSQErabLXmaYDqGEBYmIRt/zWDQJy9q1osFcl3T63XFxNwohF281WWxWLGai7XnqN8TdvJG6GtZFqZhUlGi6OJs6HY6DIdD8jxn8jAhDCNMRcdAZ7fYYSs2zrGLrpg4jsM333yDZVlMp1P++Mc/4nneF+F7f6ZiwLUdVFnh+PiYrCx4//EDP/7wI+cX5wwGAzy5haKpWI5DnCQEYcjrV2+Yz+YHbr2u6biOS11VIqa3qRZ1XSNNUt68eYPv+1xcXIgVhKIgICk1dV2haSotz2MymaIbOtvttslCqFBklU6nx8uXP/P/+J/+nzx//px3797x5s074jhBU8XlEkcCbNJuixWHa9tizCTLyIqEaVkcj8e4jk2WJizWSx6m00PMpphsOLRaHbabbbOjqhu1dE2SpKzXa+5ua6gljo6OGqqWzHw+49Wrl9zd3bHPsxYcauWAIe71eoe0vycXl1R1hd+InCaTB7ZbUXBYltkIN00oK/ztTkAqXIfNZsP7D+9Zb9a8ePGC8XiMaZk8efaUR48ekecl33//Pf/fv/0bJtM7XNc9BJmILHKF4dEpT54+Q9N0NFlBlmTyvEBvrKL+bs5quSSJYuI4RtEUOt0eo6FJEISkWYLruKSxIFUOhwPK0kSShJ5CkmC72qCqOsv1muvrG1peW3RCVS1cIY19M81SHl0+4vz0/87N9TWvXr5GkgRZTJJkkXLZ0CxVTaPd6VDVJbvtSozLaxFAMhgN6Xpt8qJgOxEBSJZjoDc5FEVRiNl4A6zSTUMIMqr9/lsSl7sksZzNWRQLHNvmfnJHmMYMjsfEecbS3xLHYsXgut7Bn7/v9MJI7CWLL/bqkqI0mQU1ZZYfPg+apiMpYs+fViWqrtPpdpvVh4Sumiiqjm6KSz7LRXa61Iye97HNSE3IcrUf39cHjZIYAFSHaO99dsHe9iXLYixQSzT5GzTYb+0Qz/rZmSChyip7QqFYNwj4UFlWVHXzOjdpiWWT1ZE2aaau61AWOUFV4tkW3U6XuoYwjKgqsG2HNEtYzOYs5gt2vo/balHVFUVeoCgaURyR5yJ8TORzdKmkiiRNef36FUoDI9M0lUG/z3Kx4Prmip9fvWI2n4vu1DQxdQPDNAjjgLRIqSjpSxIP9xOSKEJTFKoayrygf3JMnWXc3N4QxhG2YTIejXC9FmoTFlNXJUkcs9ts0VUNx7bwWy0MXaMsc/JMOJx0WUXXVOGnLwssQ+PRowu6nRa6rh6ma/vgM01TaXXaHI3HBz7A3/3d33F9c4PltrFth7u7O5xm9ep5HpJroGsC0yvViiAXOh0qWcVrtwnikCQReSibzYai0f/QcAc0TUORBJWzKAvCqCSMIqHlkSWePXvG6fEJYRjS7XYPq459QuGrV6949OgRz58/P6xUBYk2YzgQWSZ1UZLGMePRmOF4RH8wYDZ5EIFoSUqn0xb6oSLH3+1YbtbYji00LYdpihCemoYh1p3yAEmSWSxW3F7fNZq2DoqqcXR0TLvbxQ8DZsvFQSjZ8jySWCUOQ/I0w1A1nj99ynw1YzKZIKsySRozX8zRVBVNltisN2wHA1zHAQlGozGaptPrdrna7ZhMJgJGt91y8fgR46MjzAYMV1YlsiIL63JZ0uqI1fV6s6Gqa4byEF3TmUwmzGZzMV0bjjg+PqbT6fD111/TarcFYrrIUVXtz1sM+GFAkiYcn5+imyaGZfLTTz8xfXjg8eNLijxnOhOisrwsKLKcsihZzObMZzOGgwFPHj8Wo9gmAERVFBGPWVV8/fw5Ug277Y4P799zdnrGeCxUxHsrlCwr9Po9/vSnn4miEMe1iaKQLBUHoG0L5bxpOWy3W37++eeDwlSWZWHlkYRlUHirJTTTwDINrq+vxdjz9ARdVdltN+y2a+bLpSBrDfoMh0NM02Q6eWC5XLNcLg/ilaIQYKD9QxKnMS9/+pnNai1Qn6pCHId0Wm2ioClIvBau59HuiArStm0x1m9WFVUlHjTbttB08ebvs8KzLBNgl7Ik2O548/o1YRwfKm5ZFljL6XRKnuXEUSS8zUlElqeEvi8Uzo5HEMX88Yc/ISH2Yt1eF9vrslqtyLOMuokz3a43tHs9bMchK3KyxsFQ5AXfPHvB6ekZkqRy9ema6exBpAsaOlUpJjaKouA6wgJVViV/+v4nfvjhRxaLJVVZ8d13v+X8/BzDsJq9shAUCZSpzqOLM84vHnF1fctyucLQKxzPpdProqgqfhAgSTWu69Dt9tA1Ga0p+E6PT7g4O0OVVWbTKXlVE6UpxUakvh2QnbWI4K2rEqM59GxNRZVrVFnG0E0xflc1/CAkr2sUy6KlaeieSw5UioLT7uC1e6CopHmOJkNdVERpyHz6wGazxrbthlr52ZGyd5hst3ubm9XYYktURUGSalRVQ1UU6kpClhRkVaMsa6I4oW4ChGpJFAGfA4ea7ytRWB/ylw//7jMOGD5TBcUURxH2REWBuhYrpGb/v8/q+NKd8KVgcF8kyM3XU+YFyOqBNFmVFWEYEIYhvXYH2zIwDYOW52KoSgNHgl6vR1lUKIqGqgk3zmq14vVr0cmbtiUcK6Z1oISmaYoiS6RJjKTIwk3SjN8Nw0BTVao8Z3J/z3a3bdwaQoToOo5AlXc61LJMEEUCxasZlFlKFsdYhtmsoCT+9P0PpEFIURY4jkvH66CiUJcVWrNTz5KEKAhQZQnXtjAtg6+ePaXf7/Hzy1e8ev2OsqyEPqeJmy6qskm0q7Bsi5Yn9EX39/cHcXLb83h0LqipwhGVH96/uq4OfPw4jrm4uODy8hGmLkPdsBzihLKQsAwNWZVQVInBoN/sxhPevnnLerOGhsfS7/cPgr2syA86pv0a0LZtpg9Tep3uoahN05Qsyw6U19FoRKvVOgglTbPBiW9EAFKn3eHFixdQ1dzd3YEMR+Mxg06XMAh49/YtruvQareoJGh1OsRpgqTIdDod7m5u6fX79AZ9dFXBsa1GnCpK1uvrvcsNptMZvh/itjyOT09pt1qYjhAevvj6BaPhCEmCh7sJs4cpMpDmKXklzuF+43QTiY0bylRMy9arzSFnxrE9TNtmvlxQS9DudoTl8mpHmMRIsszFxQW6rh+ortcfP/Ewn6FbAkZlWCa7MMD2XLqDHv3R4OAKmS5m3D3c02+E+qZpcn4hwqL+7Djiu/mUNEmwPJfz83POzs7Y7XZ8+viRJ48fYzk2Ug3ThweCMCDLSmzT5OmTJxiaxuxhyuv4FcNRn/HRCE3X6LQ7+Lsdnz595Gh8xHg0QpVkptMZH99/IPR9IagyBEO/rmn2TxWTyQTD1JsQBomsGW9dXV1zd3dPu9Np0JaSODxVFVM18BSbqhTQG1mClueiqoq4XAyNKI5ZLBfoqkJV5sRhyKwpZmxTHMx5klISEsfx4TCXZfEhlGrYSit0RSWJYxazOY5poTk2nuPS8Vp0m0pvL37RDAtJlg5ag9VqxfXVNe+yHNdzOTk74+z8DNfz6DWY0DAMicKQpGF4L1craoTtcu873Yv85rMpWZJBXdPttHn//gO6ruJ1OtheiyRO+PDhA5IkcXx6xmg4pNUkYb1+9YosTojCENcRmNGdLzDA4+MjDMNgNp2y3WxwHJtuZ8BoOKCuC8oip65yETlaFEIPoZnIKFxf3xCGMbIswnUWixWvXr2m2+1jGDaqqpLn4r2WZZGJcXNzw/nFBV9/8zV/+MP33N1NCOOYje+LMJ5aZAq02y1aLU+IwrKEvCrxw5CHxRxZkllv1sRZRl7V1EVJmaRIco4sNemJnnO4LHRN2KIGnQ5lWeDvdvhRhGaY9IYj4UgwdNLdlpvJhHa7LeArloNhWSKRLc+Jk6QJVdqyWy8Pl+7eXiQuU5miqMiynLKsCcMYaC5hGaqyQlEkNFlGkkShIskKRQnr7Y7NzqeuJfFa0PTme5tgc+nLdS2SG2uRwSBWBxycBv+9OwAUJCRFpq5EAa02f3YNh9jt/aW//zvtv98XI3UjJMySFEszUFQxYZDq+iBKy5K0WSsUqLKMbegYuo4kKVQVrPwVVRVjOxaj0Yh2u818uSSIhMal5bk4pim0FmlMnmYUmkqZZxQ5xFGEpusYmo6MRBhHBNuI9XLFLvCFDqQBqLmuK0Sq7Taj4ZAkTlgsFsi1RNt1yZKEMk05Hh8hAXmSUuQlsiSTJikf3gvL28WTx1yYehO3XCDL0GoJpK6EsO+NBn12p6cN9KbD2zdvub+fNLkMBWmQcHt3g+vaqIrM9fU179+/P4jzoiCAJtxm1Di7Li8vyYuCxXpzyBrYT3Fansdo0KNU6oNtVJKgqkukWkwLWi0PTTVot2D6MGfn+xRlLoLZXJder4skyyzXK0Fk/W+cVZOHCXmWcXZ2RrfbBThkF/i+fygQ9s4WWZZZLpdMp1NOT06oGvLj02fP+Hj1Edu20A0NxzTRVAWhHRVaDtUQQW2KrmKYQp+kaRrj0YjRaISmKeLczlJ0TaOqhC7NdV103WC1WnF7d88uCFB1nXa3Q1YKHsl8PiOKQmxL5ONsd2uKNCfNhUJ/b7fv9/vCypfllIp2mJztYR9BELLcbLi5vREi/F//CrfV4sOHD2x3W16/fo2maYxGI1zX5fnz5xRFwf3dvUAuew6tfpcgiZrLXqfTF3kSZVXy8cNHQVVczqhrODs7xXJMUCCvPq8c/yzFQBBHXF9dMV8uWa3XfPv1Nzx/+oyfXv6J/8//8X9wen6G1FjgTMvC0AxWyYpup8Pp0TE3V1e8ff2GP/zhD/QGXX7/+99zeXHB3/+X/8LVh0/sVhsiP8C2hXIdSWL2MCPwfdyOJ5gBVU0cp0KZnRfkRQbUKIp2GHtGUcQfv//+gCrVdbFzRBMTAU1VKGUxFm23Ozx//oyd7/PVV885Ozvl5GgMdUWepdRVycnxCW/fvaXIMso85/7unrIsGIyPsG37oJDdp3alYQSAbVo4TeRqnosxVlV/Hu2ZhilWJA3NcM+x3sdo3k/u8Uybs/MzPE8kl00mE9G5d7siF0IVBcd8MDtEgnb6wie9xzwvl0uSICINI/7rH/6BN2/eMDoaoRta0wFL9Pt9vvr6W1rtFt1OV8QCtzwRnypJJFFM6nkoskJeVYT+jk6nw9HxMU+ePOX66opgt2Y2fcDfhnhei6PxiJ2/JvR3zXs3QLDuJR4mU+7vJui6zl/+xV+SJBmfPl0LkMjtHa1WB8uyBCo6TTFNQ4z2gx2DNOH47Ayv0+Hnn1+z3WzQdLMZESvIiuC9B4GPLNnI1PhByHqz4W46wXEcFFlF0XTMBrsrNaP6rChQqOn2exiGwXa9YbvdQg2DThtbN6Cs2Wx27HxfjEstE0lTKWphGZMlBUMzkGoJTZHRVQWpUoiLnCJLKJqDaq+q31u+xEEqil4JGn+0Q5HnRHGKIoGh61AryKqEqsjUCHvsehdwfXPLcrWmgXw25YA4MAWg6rNfQKrFJbwvEg6Ww/oL2JCiNPqCPY1R+4V9cJ/muV9zwF4n8Mvwon2hUJYlVVFQ5DlVVSJLmgg0UsRkMAxDep0uruuw2QjkrSZJpElCVYGiqCRpym7rYwQ6nU77AI0J40ignqsaU9epdaEhSrOMPBO5INsgYrVeYTT0vHa7TZ7l5GmKLEkYmk4twXg44vz0jJPjY9artdBbJBlpGLHdbrn9cMWg16ft2FRFCWXBcrlCliRsxyVOEnZhxHa34+jk+KBpEJYzEZ8rS9IhcdW2HbIso+0J4qokKWRJwmK5INwEzXqnYrvdMJs9IEviUo2iCF3XGQwG1GXJcrnk48ePWJbFs2fP6Pf79AcD/sPf/C3T2QzgMJZerla0HAdNkZHlEkWRqWUJKJvzNabV8ui0++x2AYqioagqeV2QlQWz5QKv3aY3HHBycYqsyI1VUwjL+/0+WZrx9u1bJpMJv/71rw8hSYc1lPR5lL+fZoShaLCm0ymbjRA7S8BgOMBrOSiyhGFolKVYq9ze3ogQuV5PWCFlibKuKKuqAdnBxt8JzDT1gZSZRCESEuv1Gl03mkwMURj5vk+aZSw2K3q9HlVVCiunZUNZiayXrKDX79HVRAjQtqETyrIs0hQNG0mSMAwdx3FRVZU4SUkKIQDUdJ2j42MMy0I3dB4eHthsNvzwww+cnJzw5MkTTk5OePz4MUgS292WKEnQdA2n1SIIdkRpiO3YeK6HrMocnR7jtT3CnU+NECgauiFWZtmfuRhw2y1kTWW1WfPjn/5EsPP5+sULvv7qBbPZjM1qzdHJMSenp7Q7HabTuUgxdBx67Q6mpiNLEht/A5LE+dkZlmkR+SGuaRH5AcHOFyP2OD6MTGVJ4I61JmlPVTUGgwGLxZwsT0jTjLrOEJsEqRGuVIfqs9PRkGVhLzRQyXMBj2i1XJ4+eSKCN66uDpXtbrdDUWThf2/GS7/+5ltB6lqumD5MqMoKSdWQVZXVaoVt23Q6HbHPTzJUSVTW+wu5KApWqzVJmqA0o+fMSKCqcGxHBJo048Z9doHSfHD33IYPnz6x2+3o9Xqs12v6/T6np6fC/5okjEcj+sOBCPtpPpSu63J5eUmZZhRJRqvV4h9++AehBLZNiqJkvfKJo5Tf/Pa3XD56gue5TZRoRZpGOK7LeDiiKkt22x1vPn4gKwqCKGS+WPD48WOePH1KsFvy9tUr7u9ukGUV17XxPBtZk7m7uWb28ICE6PBMw0EzdQb9IVVVEYYJ5+fnjMdHfPp4xc3NNc+fPcMwDB49usQwdD5dfeL27h7bduj1ciRJjNWsFy9QVR1FFt1CnERMpxPSNEFVRZcWxiJ5UjMNakUGRUFTdeqms9oTz7Sqwmt7WK5zSEmrihKqijKKxQUuCxRttysS4fKipKrBsz3aTou26+FYNmWZ8XB7gyLLOK6LJsuololcleyC6tDV7cNoNE1HVcTh4XmiK9M1gygSamdD05FqyDPQFBlFkUnTnCTNqSSZMIwbWE3ebOsPt7EQ2NV76aBAEEs1YgfcJDkiSwdh2CHWW5JQaoE+NjVd5HDUIgLa930BbWqEkIeJAv99AuJ+v13kuYAB5SWyITV+bJWqrsgzQZfUdZ3Tk1OKPCNPYhbzOXleMhgM6XY7UEtC29NMU0zLxM1dkfimyCRJdCisXcemsirSLMMwbaBmvVoznTygKZ8DZEbDIYqmiYJHkamp8ddbdssVsizz6k8/Y1qiA4x8n6vdTlzkhikmBHnOt7/+lm5vxGK15N3795QynD26oNPrkhcRZZk3Kx6VshSaqT3xsNvrMxwMkGQFx/VYLpcYhrjou70etuuI17IBR41GI9JURJA/ffoUGbi9vmI6nfLp0yfOzs7o9/tcXl7y5v0Htrvd4XO+572ItVKMLJV4joVl2hR5yXS55N27dxwdnfLk8XNcp8VoOOZhNkGpxBTINE2QRMHa7rTwAxGkdHZ2dmCpaKpKkee8f/+etOEt7HUBtm0fgGXAAUB1eXmJbdtMHh549eoljy4esZzNMV0Tr+UgydJhgpokCev1GtO0UJp0yeVqJdYZknDjtFttOD1GkUUTaOgaYRiwWq3p9rqEYczNzS3z+VyslFSF6XRKWZUUtbBR7nZbLi7OGA1HKIhQvmDnk+Up4yYeOEmSwzTMti1iPz4EFw0GFd1ulzzLcVuegOtpIi+nqsT0o9vrUZUlL1++5O3btwfBn9dp0x8PoYk1rgoo64r5aklZpniZR5TGQC34C50OXsuFuj68D7vtjjiJ/1F3/D+6GIijSLBXyorNZsObNCXPMwaD/4EnTx/z5s1rlospeSbQm8vlhpbbwdJUlss588WCVsfjxddfUVQlvX6fNEuxXYe/+Ku/ZDGb0261efb8Gff393z89EmAMbKUWgHLsvG8FqZu8PWLr3Bsm7s7kWMQRTFVLaHpguWvqBVZmjKZTCiKgrPTcyxToyoLNqsl7XabYX+IjMxsMkNGRlMklrMp//n9W6Io4uT4mN/+5jscW0dSRLjM7NMcVdVoDzr4/o6syBtCWERRCNKXJqvopolmmliuUHIG6w0lQp/QbqKEy7wgCEOWiwVeu4tcS4RBxHK5ENAgXYhJbm5uULWGsjY+oqpKVkFIGgsBWVmWPMzm9Hs9RifHDIdijxRFkRAWtTxQNRJkfvXdd4xOxmw2G9I8ZbFY8km+Zjwa0/Y8yqIgiWI0XSMrcq6ub1AVlcePLzm7eMQwywmKivv7Ozq9Hq1um8VqhizVtG2Hk5MT0mZdE4Y7djuD4XDIk/PHgMz9dMp8uWB0bOKYJsiSiINOYpI4pd1p0+628Hcb7u9vGQwHHJ0c47ZdJE1hMnng9et3eN4UwzAZDkdN9KqKIqtomoplm42KV+fu9oow2NLt9UnimDTLqMpKKOM1FUMTF4JlWYeOtkYiijKSMKYuK0xdg7Jgu54DErploxoGSV5ydz9lvV3T6/dE0p5to2o6mmmhFDJZmokuWJHpNxObqq5I0gzfD1gslpRliee1sG0H2/YwTDERCeME03awbJcoSZBkmShJCXxf0Mw0jRqRx1HLMovlmiBKKatmIyALVXpV1SiyhFRLYgJFTS1V1MqeMij+3kotifxhiUPCpCRJSArUikwlS8iNqydJE/KkaOy5n22FkiQJ738JUItnURK+aKmuqStB+iyqSoQnaRq1ogiWQl4IvY+uMxz0URSVIE3w/S1+EJKmCYPBGNMysEwT27QoqvKA6i4r8ReXq4q9XCovSrI0o6hKFEXjaDhGlWRWqxVZkqIp6sEiqqgKtmM3PvktWRrgeibPnz/n+uqG65vrw2elKHLyrCAMQ7JEpCTeT2fUqoHTbvHkq+colkGUZ2x8H8tQKQphSU3rmMDfcX1zzWwmSK3f2b9jcn9PXtT8k3/6T9ENg16/z69+/WuOjo7IG2ttmsQcHx8jyxI//vij+HndHrqq4NnCDTVfLLm5u8OwHPIS+oMhX8kylmnSarXo9wdih5xm5GmCH8cUWQUdnaqqub2+48P7T/i7CM9p0en0aLUsdF0lzsRYvNfrUhQZq1XEcjWjrER877473u12B+vknrWyJ9bqus5XX73AspxGSFxTVSWKKp4Rw9Tx/R1xlvAweyAMAow8oq4K4fppd1gtlmxWa1zHptNti8RQz2G1mVPmCVYz/avylOViRhzu8DyHQb9PHAZstys01eD4WNhJN+sNhllR1cI6W9c1jiFyY/I0Y9mseo+GI2FFjiPyNKHKcnRFEFCLrEDVVRzXIYlTKiqyMmcX7A6OtJ4mc3R0TJLEfPwomruqqri8fMSzZ0+RFZl//+//Pdc31+RFzrPnT3EcG1OXqcqMJCsIgw11leF4Ft1uG0mSub66pqpqTN1g0BbvQZULZ5Jr21j7ML0/VzGwnC+EKrPx9hqadvCRDvUe/X6P+/tb7m7WzBdzFEnj9//0rxkO+my2G16+ecnTp0/59Xe/FmKWKOLD3b0A75iWEPwkCVVZ8ejRI5Ak/vTzT/jTB8IkEAppScbz2vz619/x//pf/hfevn7Dv/nf/99k2RQkkef+ORhFrAwm97cUuahMk1jsETsdIVxJkhhJEuEkmtYhisQOPs+yhret8+zZOZZlUdYVxyfHaJqOoZv86eVP+L7foDMNwbXPBBUvThOihwlRkmAaFjUKpu0iq1VzcC/pNQrbN69fU0syLa/FfD7j9vaO1XpFt9vDa3kH4tVekRtFEdQyfhAwm81pt9sMBsODeEeSYDDoM59XBIFPp9NG1iXKoEI3dIaDEYqsCqiJ5XJ8dEK32z04CcIwQM2E6O3jxysRiJKkzBcrbMfhqxff8ujyCaoCx8dDotDn6tMHwkji5OSUk9MzwiBgvV6z2+2Y3E1QaoXj03MeP37Ci29+hduAfKIo4uFBRAvnRUFZlYyORshVhWmZGJYBskS71+W71u+4uNgwuZ+w2WzQNP2QtW5bDu12B1mRyfMU13WEqEcW7hFd13mYTPgvf//3yLLMxcUjuqMeli2sX3s2BMBu7ePvtgSbDXWR0W951FVBkMUilVGWsXSd3c7n1as35KUQC+336YqskOSZID167c85EoqC67mYlkkUx8Il4vtCHKoZmKaNqpvIssJ2txMZ890u7U6Hdq8tCrVYwJZWiyVpJoiTkqwwWyx4//4DYRgJNHQt9vz1XtRX1VSSuJyRoa4laCBch8TB6vPodi8slISvkFqWKKkp6hqZmqKq/puArs/FgCxJyPsiQ5aFaKtRdCMJcWNVN1ZHRVAgq0qsatI0Zb3eMOj3kSSZsiowLYM8F5eheG9bFHnOJkmQVAVFF9280nztuqo32oeqyYUXLglDF1MAQ9dxbKcJuwHHdRpdioRliowQqhzXNcnSkCQOcGwTU1fJs1QUYobQPNxPJuy2PrKiso0S7mdLjk9PODk94dGTp0RRxO3dLUUa0fEE2jsKdlBXtD2Xq08B08kdlmkRRCleS+ic0izDdpwDw0HXNALfxzANvJbLw8MDmi6cEooq3B69TofLy0t++PEn7idTVN1CkhWOjo95+uyZ6Bo9T2iwZAUly1mtlrx7+44gCNjtYjbrNXGc0W13KfKC169e0moJkfNvfvMbtsGO9XolcOhSRVGWFEVJVUuHCReISWi73abb7TIajbi7uzvwWTqdLo7jNbZauYHC5Wy3a6yjY0ajIYbxO+q6Fs+2Y2PpGnVZEfkhVVZy8+kTdVmiyAqb1Yow9DFtIQS3LENY9nojqrrE93cs5zOCjYqtq6SJCBKigrqShKB7MCSrKtrdziHr4euvv2Y0GrFcLijKgkdn56JhKnMsXSVNUixDpygFhCtNMqq6FA6V0QDHcw6wraqqKPK0adA0HMdp1gimiLCuKhRV4eT0hNF4JATEuy2T+1tUVcCa9jwSWZY5Hg2aZGBdxNBvthRFyaDdo7AEflmSZAxNxzQtvtja/XmKgXa7zWg0IokT0jhuKGHiMovjEFVV8DyRX3B/P+Hm/o6226XT63J5ecna36EoCuPxmMlkwn/8m7/h4e6OPM2QagFmaLdazBczbMdhOBryDd/y9t0bQR+Thddzs7mmaEYixR5go6poutFQ1GRkqQZ0LMsQY/bpA76/Q5ZkLMMgyzOurq9E9rqq0Ot38Tzn4Aj45ptvaLfb3Nxcs17tMI5s6krCbFgEaZagKMIaaNv2YQ8WRZE4bCwbRdfxPAdVNaiqiDjNhTJYyvnw/j3Ldpuvv/qKPMtYrxeoqkSr7XCpnqGoQplbFHnzZkrNj0U3srf7VFWF3YydxNhxSxzHB0VqEAQsFguOx0e02202mw2z2Yy7uztWqxVey+Py8eMDYjppRG5ZnpEVhSigEmH5ipvUuPVK7NmiMBahN2WOIptIsoofRocP/Nn5hRDNlRX3t3dc39/z+7/+a7773V+g6rqYBiQJnXabRxeP2GzWjZWqJM3Ew+BHIVGWClCNplKlJVVZEgaBCDBpEiZty+b0VACOXNcmS1X83Q7LtLANi9lszv3dA7OHOXd399zfPnB8csPl5WUjIhKgkyzLWM7XFHmKVJVYpk7V6DnKuqaoocwz6ihmu90QxxHrzZLNekG3Kz7nvV6PwWCAPRxiWRZpKkSA+4c5DEPi5uEWYJ6KooipqhqjFuudVkvgTctSYHMVVUaSRbx2u92hKsoDua6k5ur6mtlcWIwqJBGgxWcxV3W49OVfHAxfRg/vR/37f/Z6AEn+/HP28Ja6rg/alv23vfhQbtgE1CCJlKjP30ufX4e9aExqigZZUamquimUBed/udyQpanIa5CEiLSqJZHOpygotYDgSJWMrMh8XnqI/+m6cB4kSXLQNui6TqvVasJ9aKA+ohjYg5KQJExNR5VkNssVqqJxPB4TRylRJqyyq/WW2WxGHKfCYpkX+H5MGCWAzGA8wnG8pnP7RDoacXZ6QlEIfkoQRMiyhq6ZbNZbylqi19dYzOdYpsm3337LYDDAMk0+fvzIm7dv2WxW/Mf/IKx9Z6entDwPCfE112WNbdki82CzQTemfPPtt1w8Oj+4J/Zi1XarhaPpnF+c8+TpU8IoIgh8wiBk6+/Y7kQ2x2K55P7+nmK3RVa0w4rAMAyquqCqSsomYRKEqPng1GiyXA4o6cbV1e0Ku60siwAxAW67bRqXLoPBoMlT+Rw0dHZ0hNfvI0uS+NwrCs+fP8f1PCEMnj5QVRWaqWNbNr1ul16niyTVWLqOoaliAlEIa6ckCTdbGMTIiszl48fImka72+HDhw/kec54PObo6IjRaEhVFRiahiJL2KaOa5v4fsB2G/LwMCcIxe/jdRws26TVcppAOw6hb1EUUSNxf39Hr9fnL/7iL+j3e6xWa6bT+2Y1JEKGxM8PSdKEIvhs3U3TVEQAuB62aSHLClGRIBUQ7SKm9w8UScZwOGQ8HtPp9LAsU+Cl/5zFgKqqIn+6Bs/zDofyp083JEnK+cWZQNe6HsPhiO1apGG9e/eesq5oeS2WKwHFGI+HFEWKwjGaqkFVIdVw+UQkRd3e37G7CxiNRnzz7ddMHiZYps3jx09YLFb8wz/8gX/7b/8tm/WG1XIpRo6yLHZFSCiyRFXl6LqBYQgK1P6iznLYbovDASjLMkG4o9/vHQhWe4XoxfkFWZo0qnf5UJnleU6v14OG8+77Pg8PD5RlydFoJCr5IGxQxQLakSQJaZ5gWWL8P5lMePHsOb//Z79H0T4LsbIsww92TCYPRGGEZQn7mW3bh6yDN2/f4wcBw+GwsaFtefvuLfPFFLfZLzqOQ7vdZrVaEYcRo77YM/7888/c39+LzrPT4fLx46bCLA6Htb/zWayFgMYyRfhKXdfkWcZsNkNVxYORZyKOU5F1DN3AtgxkWRHphbHQR3R6fR5mU+4+XjOZzZjNZ1i2qJoDPyDLxO7zm69eCBhMVRKmEatmvLjbbsV0IopI/IjlfEEaJcRRKKAgUYyiqLi2g3R+jqFqItxjOmUxX6BIqtBVRBnPn3xFvzNgu9sxf5iiSBLHR8fohs67N2+YzxfItcJg0MV1bFRFwg98qEoKQNENagmyXFA0v/nmBfP5lMViTpFnQszYKNJ1RUaTlV9kw798+VLYQguxey0LMV7tdnuYpk3FZ5fAngO/3W7Jy1x0k602LfdzPoisqHy8uubtu3f4YUBWVNSSoBgqqnK4oPdCLUn6fCgcLuN9R1//Ugi4d7ZIioSsyAd7UlVVB6fK/ucWRXGgKEqS0CXUNYdMDUmWDhkb+4JiP3JfLpfIyCArYv2Sprx685rBYECS5Bi6idtqHVZfUSx0LHUtEfgRZVHQ7gjBaV2XQgyBTJalB9taXSPskc3fdy/YFIK6DSIaWUVWPKpKpE/6YShilyUJx3FwHJnCK4nyjPl6xafbW4I4Is9LoSMpSmRF4Ixvb+9wWi0UVbz/R8djkU9vCHbB5P6O29sJEipVJaBbluuhKipB6OO2e1w8uuTk5ATf37Fernj2+Ak//ujz+vUrMZ1UVHrtLoaqQ1VSIVZugR+wC0NkRaPfE7ois1HY39/fCxGyqmIbBpZlHiY2qmEw9DzGpyJPZrfb8fr1a5arFW/fvsUPI3TD5PT0uPn8yMiy0HUhNVCkxtJ8KAw0/XCWAo3NroNh6IegIN/3ub6+bsLXxK8riqIpfNsHAqmmaeSpyJwwGhCUZdm4sbAsJlnSiAm7lHnFdrNBUcTUpNNqI8sSaRaT50VTrO7Jn/VB77JHy8uy3OhcCvFcr1cURY5lGnTaHpYhIHKyLBGEIXGcIisypiNyTDRNOfx9NE3Ftk16vQ550dBj4wDHMen2xI4/SUN2ux3Hx8f87ne/48OHD8303MdqHHNxHDOfz5EkCdtycC0HkKBSkGqV9WLLerFB18Xr9uTJE168eMFgOGi0Qf+IO/4fVwoIn++bN2+QauH3PB6Pub+75+rTJ2Yz4WH1Wk6TZtfhyZOn9Lt9XNcRO/0mw8D3fdrtFt3ur1hMZ8ymM6qiJEtTwijE8VzOH13w4dNHprNpo1h/wvXVDfP5nMePnzCdznjz5g1hEFKUJYokLuoaCUVRRUcgaXS7HcEVt00mk0mTEpdR1/uDUnQEUSTyp09PT/nqq69QFIXXr1/z+vVrQj+i2+3Q6bQ5Oh6jKLL4veqKvEmd2xcIQrShsV6tmM4EMrnT6ZLnJWEYsNmtD35cz/NIm3VEmmeHatR1XVy3hedFnJxecHFxcTh0T05OME2Tm7t7QS2U5UOo0nq1ZrVacHp6zGg0OkxMut0uwc5nuRT76cViccgRPz8/5927d4cHdd/tpWkqNBxeq9lN89mPTiHAOGVF12jx5MlT0iQh2K6QZRW7CfbYo0RrSSLNc2aLOX/zt3/D7f2Efn+AbdmkSUKSJCiKQrfb5eLiAsd1qBSo6orLiwscyyZNUmYPDyiyEHnt0/6CIOAPf/gDnz5eMRwMhbK2AaNkacZusxGdoyShKBLdbp9vv3nRFIEK7XZLkClVldl8znQ6RapkkCriOKQoMoqqQtdUuq02rXanKf4C4jih02nz9PEFaZogy2Lsp6r7xDEaymRHrJDylNVqiazIlJF4jQ3DEqCTdkeIAbPycGHVdU3QrFvKqsCyrcPnwPM8TNNkvd3x4dNHVpu1WLPUNXUtuBwmxmH1s8fBVhWHS/nAEeCLCQHSZ/Hg3inQ6Aj2P5Zl+SC4/DJw6xBSJKoA6qoSCm9FppbEymL/5wIH0NXV9TVxnFGWNXlekmQ5SRTiuh6uKxwDiqpjKhqqpgMVkiKhGjqmJFE3keXBbgdSRavtiWdwvcL3/c+vZ1Wy10fs/12eF4ThiiAIUFWFTrfNeDwUwt0sRVIVVENH0UX8smkYWFqL9qDPfL5ktVqj6VIziXNQZI28zFhv5rx+s6c5lSgU+P6W3WbD8yfPmpwPkWLX6bRxPA/Ha1ED682a5XzBdrvjdfOcKorgFVRlhSIplEXBxw8fqcqKJ0+e0G61oKq4urpi8jAhzQss2+Hnlz+z2ixxHOcXVuPpdEqWiunmPi9izwBxHYe8KHj96hW3TcGz2W2pagnDhCzLxXrLMbFt67Am2F+g+y42CALaXovj42OGw2GTz2I1n12R7RGGwUFJ/+TJYwB83z80KvvPbp7nFLkoNm3HwbPtJosgwN8FDPojJBk2OxG1XuQFu9RH01VM00CSaqSybiZyFbbtIDkKkqSyXKxZzOekZUlZC1fLXqC4XC6JQp8yz9A1lTytWC3E62YYIoL+6xcviKKUKImQVAHXStIY9RBkV6OqonCyXaFNyfOcqNGZ7UFzIsZcBCcNh0JYvZFr6ro4FLD7Ar0oK3TDxtBNZDmi2xuwWG7Y7bYkSYZlFaRpxps3b1mvxUr1z1oM7MeWtmUx6PcFsc4wSROxK8qygtl0wXK54vz8jIvzC7qdLoZtYdk2SZ42B0GFJNcMR0M6LTFhSJOYunK4uvlEURcMxyMGwz7zJWz9Lb12j+fPn/PmzTuWy78XEwpAVRRAp4IGA6wSRTFQ0moJQcvjx4/FG6wqLBcLgp3/xQtbNl+TdLi89peypmksFgvm0xWKEqBpOpZp8+2vXtBut7i5v2exXCJJEk+ePAHANAUy1LJNXNfB94VARFN1ZFl0GEmSoLsuz589o9vtst1sCGNBLdysd3Q6XYqiwHXFWmb/UGy3WwzD4Le//S2L5ZrJw4M4KBVFBIPsdixXc16/fs3jx4/5+uuvD11bvz8gT0RnsNlsCIKAXq/H4yePsS2bV69eoes64/H4EFyy954rsoym602mg4NsqqKw2WwpigRZqTm/OCWNujw8TFjN5ywbUFNd1aBAKUNa5Lz78J6Pn64ZDoacHJ9QZBlZKlwOWZwQ+j6tVhu35wkfsh9SdbpQ1dx/uibNCrxWC8sWbovQ91ktlgS7Hav5gvLyMZImPtKqJGNbJu7APvicQSA/2602mmJQlSV+EJCmKTIw7PWJ44zdrukWDZ0axI5ckvHaHVzHYb1esZgvWG9WZFlCVebkWdUcsEKUqCridQxDcQHrhnDBRFF02LfvD+KyFOlzWQH9fv+QjrkXZdUIDcUe8LOH2Nze3fHhwweiOKKshTivlkRzrKoqvV5PiOUa5wLs5QD1LwoBgWGWkCX54OJRGgojsrAnfqn83mOUJUk6rA32v8+XqwOlscoCyF+4FEDY446Pj7EtiyQVgTp3dyt8f4dtGsRphmXaSEVFVacHj75uaGhNpnyqpqwXSwI/gLKi2+/geW4TNytsx/u1iBCviV+3L3rjOBZTLkUhCHzm8xlVJTI5VMOgoCYuC1xNHOBJFGNYQr0+OhqhGQYtr42iqFiWCXXFai00U2G4FuTIokCTK5I4wjIdVE3j6OikYWkowv1UlQeQWFHkVCWEu5RlkoAkHTJAvnr2DAW4vb1lt9tx9eEjZZbz+PEluq4zm89IkxTVEHa5v/2bv0FW5UPA3D71FaCsigNJcn++m6bJoNc/dOu73Y7tdstgOETXTVzXo91uictNkTBNnThJCMPPCa97N5RhGLiuSFccDAasVisGgwGXl5c4jkeeZ2RZQhzHh9WC7++EQLuZCHz69IkgCBh2O0RxxG6zFVkJwyGO7bBarZnPlrieS38orHSaKmzmkiQw3ijiM5oXOVmRN+s2RRBp2zIg02p1mC6XfPj0kbIUotQ0TbFtG0PTsFsuLdehKnPKomhWSgp5WYIEqqbiaS55nQmSZJ5SVgaqJgvqZCpcMhU1eZ5RlgXv379FVWVOT0+RmlXkbDYjDEM8z6PTaZOloiHZr1j2gUyb7Q4kDdcReTt/+U//b7jtHq9e/ky4W/Pdd98dGr0PHz7++aFD6+UKKjB1sYPYbsQbd3p6KlKfRkOg5vbuhsVizmg4RjN0NF0TLx4Siiy6tjLNWU5nUNc4loljmei6wd3dhO+//4FHl5fUQJQmjMdjPl1dcTQ+wmu1+eGHH9ntfLGTVDUx5qoqIZgwNMbDPmenR/T7HWzbZtjvQb+Hqan4/o7b21uiJtTG0E3SNOX+fkK/02XU67OazZEq4dP8p3/5T1AklSRJGI9HFIWgKn791deMRuLr+v6H79ltt4JpoInx0aDXR1d1JpMHiqzAtV36wwFZmTOfL4j8gHarg9aQ42RZxfPazTg5OeSM12Wz45IFSna+mOO4Dt98+zWu51LVNY4j1gePHl0wnT3w/fff8x/+w39ElmS+evaMMssoVf2wMuj3+/SazPbTk1N+89vfsFwu+fnnl7x5/QY/EKNG13VFUlgzQVEVmaLQqKKUssihqsiShM1yhaUbuLaN53n88MMPvH79GhDTBtt1aLW6PH76jA9v37Hd7KiyAn+zQzNUHMeh2+/itdzGDmlz3B/T63XFxChJoK65ODvjdjIhCHZiRRBHLBYLyjxDUSSurj/itRxOTk/wWoLSlmQJhqkAYnoTxzGhv2G1mOE6bUzD4P5e7Ot6vR6argurIAWChVSSZSVplrLdBWR5ymg4FJ1gyyFOQ6oyh1p0jmVVIiFh2SZVWTU4V2Eh03UNXdeo6prd1hfaiqF5GIm6rkteCmuSJEmkaUJZipGmjITadN01UJQVcZzy9sNHHlZrsrKmrKVfXPT7yOx9UNfndcFnTLCI9hZTEiqgsW7JioJm6M3uVwj+9tAgVVUPCaVFww3Yi6T2GoOqrNjn3u8vG0VRmjhmmRoEvS7PUTVN5MuXpQBpRbE4J2QZy3VEXHQcNl0+jK0BLcdFqiWinY+/3UFZ4ToOiqKw3e7EfraW8LwWILHZbNis/YN9t9/vCwiZKc4ykahoMJtNWSxWoltvucRpSlkKPLUmi1WGJAmXSLfbpd1uIzdZF/1eH891KaoCP/TxA5/5Ukyb1FrGtmw8t8Vms6MqNs1rZZHlFXmREyUxRbM+kiSJvMiI06ihgio4rs1vjn6D6zjCxdAUeL7vM5lOQZIIo1i8b5aJaRpiDL8LSOKYm+srylJcKl7LQ9EUPM9jNBqhKiphFDbr34o8E3+/vQ7GMEwMQ8TL246Npqk8TCfMZlOKXATV1U1mATWUao4qf37f90Vvq9VqAplEKmRVlTiuxc7X8P0d89mcfr+PbVrc3t0K4mmnjWU57PyA6XyOaRi8evsOy7RwbBdZlakFTBHbsQTzJctQdIHjLnLh3inKgqqEOq9YLTcomo4sa9SyQn/cpzUc0O512G2FXiJLEvIkRZYKdrsYRa6xGyF5EmViPZCUZLlY0XjtFigAQtOSpylVUaAqCpqioCoKZV0fCsAkSZhMJo2uKBNE0bIkDgMc00CqKgFqk2Us28G1bKqyIsvFCnZVr8nLCtUw6A56PCouub69Ityt6fb6qJqGLCvIingd/qzFQORHGKqOIin4W58yFzaRxWLBbrfF8xz+1b/6l5yenfD993/k5auXVHAIoijLUnwoVJkkCHj16RNlUYhDWNNEYXFyRlFWJHFKXhZEScLJsYqi6rx8/ZbAD1itN+R5Kf6CkkjRU2SJXqdNv9/l5OiY8/NTasTup2gOsOPxEeenJxyNh8xmCyzLQtdNtpsddVEz7g3wbJc8y0j8kFVekuU57Y6LV9uMxn2kGt68fIWu6QxHQ1zDhKJkNZ8jS2CYJp5jo8kyeZJRZgWypJAnGaEfopg67VYHx7AwDBNJUnh4mDJfrg7JiPuVw2g4xLEsYc1SRJcbxhHXt9fIssr4aCTSwhynIXc9oGk6pmHx8qdXSCXINXz91XMcx8UwLcbjMd999x3L5fIwbYjjGMd16XQ6JEnM69ev8X2f58+fsd5sBBvdNsjLlCQLqRpUqiIpZEmKv/XRFZ2ymx92sftoUkmS0AuT4XjMk8undN0Or35+iVSD23JQLZE14XgWSRqiqhISLnJZYas6si4RSzVRFDIYdLEck3cfPjCbzTBNk9PTI47GA5arJdvths1uTiVlmBtBpZSkCj8oSJIIQ9MwVB0JyPKcsiqopTaVlONHG/IyEQ+0JCx4FSIWt65qqrqirnOm03s2myUnJye0vBaj8RBGQ8Gdb8blezSrv90BNWmT3iY1ThzdMNA0g25XhJLsL1Kaz2vSeIJFpyW6EE2WUWqIg5BaktF1k/uHKR+ub0nyihLlECwkkAGf8cZfFgIgnAR1fcAPHf6R1WavKMtivC/t3QAKci3ibPVGCa2qKnEUk5Xp4VKSm7GoJskHINEeVLQfdSMJ1LNc1+RlSdIknVKXUO/zNsRBez+ZcHw0xnZEONlut6UoMtqeS6pF1EVFHiVQCOBXbduEYYQfVqzX6yZ/RAiaPa9Ny+se9tlfonH3GQWCFSLC0wzDQEHHNluNBkJtQoYKcanIJaauU9UVui4U2zLCGqxqKi23hevaKKrEbrdFlWy8VossSXn55g1ZkmIYJo8uH9Nut8kaX7nQLmikZUFaCI2RqqgUdY6sidwGy7JotVqHDvabb75h4+94/V7wP5TmPWq1PGGnjWuqsqDMc5JUUPNUTeF8eMHz5884Oz1DkiRevXpFTX3QEeyBTnvEeo2CpgnrtqxIOI6LJM1F7ous0GtWAdvtlrqshMUUDl3tPuU2jiPyIj2o6AeDHu22J1T2lkMaJ7x/947b21uyNEVXRRhXXVUoms7p+QV5UXB3d0cW7ugNeqIRlSpub2+oqRj0B3iOi9fySJOUNEnptnrUVcVyMSPNYjQqLFfHdQwsz6OlawyPRkxu7/jjf/0D/naHXEsIJICAr5WFiNfOspQojDAMMblTDQPNNImSBM9zeHQ6FhkUu53IOGkSWGtFOwDOBEzNbMBuMoauE2w3hIGPSo1l6khVSVXWlEqObTnomkGel2hqQFwUZGnMdr1kOZ9zdXXNarkgzXKWqxWO43J8cvqLKeCfrRjY77kty2I2mx08kkkUEoUCh7leLHl6eUmZZfzXP/yB//yf/hMXFxeYhqgMe/0ejmWwXi5YLhZNBLIQqxiGSavd5eTkhCiOyZus83fv3mEYJlmWEMUhhqFTlsmhc6qrmkG/w1/91V/w7TffkKcx6+2Wtx/esVwuURX10M0MBj0c22pGiTmbBtX5+PElvVZH2KC+sJnN5jPipjO/vb0VqVVFgWPZOI4tLjLbZr0RY2VZVWi3PHRVZbnaoCoqvcEAkIRdcNDn/OICTVYwdYMkipjP5ny4ukLX9aZAEcr209OTzx54RUZSFEoEurUsawFk+mL3O5lMWC7XPHnyFM/z2CwX/O3f/A3+ZsPjJ4/xWgKBPB6Puby85OOnj9xP7rm+uUHTNB6mD6zWa2pqkOBhOmW1XmNZJsNRn263i6wI/7qm6bS8NkVdMV1MCeOQzdZFlsCxbF48e04QBMRJTJWXGKrOqD/gn/zVX3F+cgp13cT15iiKDFVNlqRE/paNBHJZstnMxPvb2HVqagzLwjRl8iJEzkscp0OtK5y7xzxRz7Edm5KaMIpIshS5gezUZSUuPFk+xJ3WtSgy9p2iYRhNtyYfxpvr9ZqdvzsUaGEYHC79vYsjjmKyNGt2ssI6J6iCnzHDuwb68qXzxTB0YbOr6mYCIFE2v/eeULgf70nNGDmMIiRFJYhi/vTzT9xPJmI1wF70J8aeos3nUATsi7QvaYH/7bcvnQX7Xyv2v+Xh5+zH/OJwV9FLHbtuQohqgbVVZFmwHOAXn88vVeX73z+OYzzPQ5YkiqoSAtJGjLhcLpnOprjuY1otj7DbEUjZXHxmDNNEViRUVfDs8yJDyRWKuibLxOuZZQV1lSBJsiBYbjaUZXlQiitNTG5ZldSI1YrUiC7DKBYpmknCfL6k3WqLvXiVH8iYSSKsnr1+jzTJsC2XgSdIm1lWkiQpjtNCVk3SqmS12xDnGevtGkWS8drt5r0rkWTIywLN0JAq6VBUKqpyAIhlRc5mt6VEnDWO53J0csyxeo6iG4f3+fHjx7iuoJamWQaNVkAKAhRF4fT4hL/83e84OjpCkmVUReH87Iy7uzuSOEFT1cMKqCpLJF1vbIAFaZpDA08aDAYUeYZrOZyenmIYBh8+fOD+/v7geBLiS+cXQsI9arzb7fL06dPDeVsVFZv1hrdv3zKbzQ7Pi65rlEXZQI5EjHWWZSxWS7xeB0lXubr+xM3dLc+eP+XZ118x6o/wXJf5fM5tE9IUhZGIgvdcTMtGUTVqZJI4PgC3qqqm3+8jgWAzeDauZWLoGkGwg6JErcBuMMgXF6f0h2NUw8SPIoaDHmfHQ7I0ZbVasV6vKYqC0XhMdzjGME3CMOT29vawqnJtD8/1WC3mBEFAWRSMRwN0XRehd3f3tFsdLi4ElMlyHaIsZb3ZMF8sWC1XTKdzqrpC1lQ+XV8jK4qwW8uyiGv/R3z7RxcDjx8/PohD5s1euKpFXrosSWRJyj/8wz/w8HBPu93i0fk5H68+MZ89cH5+jizXQoyRCbFRr9c7CJGm0ynjo6OmS6rFiEqWaXWEHW4+n+H7PqqqCB1bJZjdZZ6jyBInx8d896tfcXI0ZrVasvG3qLpKUebESSyU8rJEXqQ8fvSIdruLZVlkacFisRSVmamhKHsftLDLFEXGbrdjMBzg2DaKJFOXJbomOkxd0zg/PcPQDZyWS6vdptvtsN1tmDzMGJwM6ff7JEkqAEVZRlmW9Nod6rJitVqz220PCtZ9TrjjOFxfX/PVsyd0uh1kVUFWFJR9klkhCHZ7+41IbhTFk2M7/O63v0OR4PXrl/zd3/0dr9+85ttf/5pBv89gOOR/+B//Jb0fO9zc3nL/MMH1PEzH5sgyGYxHh5jSKAyJ41B8/ZlQzKqmhuPatFoujuOxXQvetibtQ0DAMg0cZx82JHE06GNqKqoMSSK6ZFsx0FQZRZappQpZFyEz/V4HyzEoy5yiTKlrIVB6mE5IsgzDsjg9G4uYU9MU/Puy5pAMaBl0uy2yomC72RLsArIsw9ANVE1FUlXR/TeCJwFR6R2CUupmFC9Lkkgcq2uBtc2zQ7b8drtln0WhKIpAlUoi0VIoun0c28azhXDr6OgIgNlsxv3dHWle0G53Gv67QL0qikLF5z38/uJVFBkZ8f73FI0oSXjz9h3vGrLbXsEvAED7wYZ4I74UD4pvnyFD/2238Hly8BkfXBQFqiIdJh97zoVlCT6DZMuomiZen6IQ+1NFPYQifYkv3hcDe6HZfjLV6XTQDeNAHd0jvvM85+rqmk67xdFoJDQmRY5tGngtUUAomoJuGliuLcReaYKkqHjtDgCmZWI1EKd4veLTzTVBGFDJEoPxCF03qRWZuihQNJWkyEialE1J1nC9VgOhSojDGF3VkORGB1MIaFjRBK6VVU273RZkyCynKmva7S6D0TF5LXF1dY2kKjz75gWr5ZL3r99yc3tLVdW4niPiq6sCTdeo6urQUeq6WPEZhsHt7T139/dstluSJKZflsiKgu04nJ6dETeitz3hzzBNLp8+QVdV8iznYSLyAvr9Pr12izrPSfMM1/VEFHCWQlmCokDj+liGoYCpGRaGaVGUOUkSE0U+aZocLnLf97Esi/Pzc2azGbe3t6w2GzzPO6SzbrdbAUGTRKH8/PlzNE3D932KokDRhIgxz3OiSDD4nz59iqkbh8tzL251HIfru1tev32DYehsNitKatyWx8nZKafjE3RNJ0oSQcwMfMIwJK8rAdhqnhlh0RUFgWWYjAbirL+9vml0Ow7dThdNkYl2PlVWIFFjqBqyqrCcz8UYX1WwLYNer0scRQfH2f5MsJs16v6zvrcNh2GIrhmHIsl1XcrG2bUvoBaLObPZHF03OD45pdVpo9kGZVVwfNziq6++YjFfMJk8cPXxis12wy7w8VotIVj/c0cYX15eslgs+NSQAcumY5AQ3eDXL74GqeLq0xXn56ecn51i22KH892vfnU4ONM0YTGfYdv24cMuSQIzrGlCZDUYDtgFAZoh/rvYGe6oqkKsCBTwWg6GqlEWOb1uG8e20DUNyzQxdY1+u42paVSlGPfXVYXruTiOQ12LOODd1ieOExQJTE09CPb2QpiTk2M+3QlcpXp0hCrJxFEsMrpnMy4vHx2APccnJ7R7HXRT5/W7N0RpQllXaJYBqkJbhrwoWC4W6IpKty00Dd1eD6kRzWy3W4IgIIoi3r97z6PzU46OjzAsE0lRUGrxGmUN/Wx/MS0WC1qtFudnZyRJynK55OLslKdPnpBGEUVZkCQx682aNEs5Pj7mX/2rf8nt/T27IGpGnSZaE9JSV1UzFisJgh1hFAjwUlUQJgGqBJap0+90UKqazXxJEIfoqtAAeJ02SZIQRTG6pqMAo8GALE353t8xXyw4Oh7x7NljsUvXdOS68fM3alyhdNZQFDEBGTNk4/vYjsPR0RH9fv8gupnPF6iyRrffE2E8soSmiNhl0zTFJMe20RRV5KHLYtKyV1iXZcluJ3bNeXN56g0y9BBYZIiRt+d5h124YRiYutVggyM2+YayqNjtAlRFxbXsw6W+XxfM5wuiOGO3FbqMvSe71Wphe+LHcRw3vHQxhtY0pQkkqbm9m/D9Dz8wXcwEdQ+Rs/H5Qq+Fp7pRdO+DhMTF/Pni/9Ia+GUh8OVYUcBSxKpCbbrF/ZSkVtTDrxMOAw25mRrIXxQcexTx54JEvM/7Q/Lo6IiT42MC3z+sWYTlq2S9XnF1fS1CixoBpWmagj9fllR1jSSLS78/6DGZLvB3IccnJ/T7vQb6UpCkGWVVoeoaeiGAQXlZECaiI6zrGlXXcBuHT5KlWI4Gco2qSXQ6HpqsoCkqSALvHEYRChKj8Rhd03iYTdlu16i6itGsARVNo6hqgjgWNkPPExjxkxPkWuLu6pbNbovjCTtwUZaCkNBwHPaefsdxSBu3Vavb4ZhKAH5UmSCOMBybb775hmfPnvHq1SsmkwkAZ2dnBHFIGARoqsbpyQlpnGDqBqokUWQpRZaxThIi38fUNDRFRZFkHNuhLEshXNZ0DNNEMzVahkNR2Gx3KpvNCkMz6HgCpx6GIfP5nLu7O+4nEzEar2shPG7IhC9fvUSSah49uvjvplaaoh0mNkEQ4DgCHlYV5aFA3E+Wer0eJycnPMympEkq2BSWSbfTpSorojTlYTbj9bu33Nzd4rVaoqFLY3bbHWoj/my3u+LzWomvc3x0xIdPH3mfv8df+0hItNw2k4cHHm7ukOuClmPjtj0UVSWOQuIwoKLG8VqoisJm4/Pp0ycuLy8Pz0sUiWwLSZYbwX12SJcU0dUcVlS9oyM81yYMg4PeYrEQeR1RGDGZPVAr4Dgu3a5IvK3rCkWRafe7qKZOq9PB8pxGxJ3+eYuBP/zhD8zn8yZ7PBXAmbpClRX6vR5Pnz6hLAr05jAoy4I4CugPBvT7XQb9PrbtADWGrvHq1atDnOh4PCbPCxy3xdnFOZqu8+//43/k+x9/4NGjR5yfn7NcLXFsF8dx8f2A05Mzgu1OCGOKnKosROysrtLxPFaLGYYskZegGzq27VBLYlezXD7w/fc/sFgs0TUDz7GhzOl02nz11QtGo5G41DwX27aYzmaURcGg1+NoNEYZjVhMZ9xe3+B4Ll6rhSSBrmosl0vef/hAGIX4YUhelGi6jt10jlEUiUjOqubk+Jh/8S/+BevtjoeHB+7v7w8HchRF/Pzzz1xcXvDs+fPG3543pEEh0Poy+yBNU4bDIb1eH8cRAT29Xo/f/7Pfi/0cNUWR4/s7Npu1yAJ3PUbjkRijNl1kmqZCUa7p6JbOcDjAMDQUVXDfJ5M78jxFQ0KXJE5HI8o4Y9Mo6w1N5eLslKqq+PDhI2mcMLu/Z9TvcXpyxK9//S0//vQn8qJgdHLKyZHwLVNVwkccBsiUmIaOrEhIkvCAj8Yj0kIgcOu64uHh4aCU9+w2w95I7HU1jYqaxWrJ5H4iRtGu6CRl025sZpWwvzU2z33XWxSFsAQqaoPeLikkIXKjqpFUEb+6V9uLB72gyCvKssYwLBRFZGNEYYwqSQe9gCwLSNX5+QV+EDcXshhL7nYBSZLSyjI63c6hQ86ayN04DimrijCMefn6Nde3N+RFIVY6X3z7ctQvSWI0vLd7fb7g+UUh8Av1v/LZi7//7+Kwlg8pbPvJRdH8+ftkQkkRlkNd01Gb32d/6Ys/t/pF0VGWpWB/GAbPnz9nt9vx/v37Q0S3pqkURcn0YYpr2ZydHuM4dvOeZaiKULQLDr2CnMsEUchiveP80SMkWWEXrPFcj6quUTWNyyePqesa1xH5G3Uupidl1ayOqFE0lZOzU0xHrOx0WcExbazmAi2rmqyZakRRRLvdFkjyKKSoC8q6QlWF916SFXTLwjJNnlw+pshzbq9v6LTaXD56RF1BlqYUTWNlWBaW45DlIh58LwTd55M4jsPX335DXdcHx850PkPRNH7zm99xdnZGu93mX//rf92QQ2P8MGQxn6OrGo5uCO+/LtguZZ5T5jl3d3dIQKctrJyyokAp2C+GptFyXTTbRjN1QT1UJNodj+Gwh2O5SJV4lm5vb3n37h2r1QpFFmyK1WrFaDRC13Xu7u5YLBcoiqCk7na7w6pYURQsW+zgJUmi1WqxXq/FhKSJiN/tdnz69IntdotlWYz6A5Gka5o8eXKJ7VhAzXq5QpKUA2StaGKVR0djKrmmlkDRRFBbWRTIioZtiWbScxx0TSeMI1bbDa7XYbracH19Q5nEWJpIAUzSBKkskBRFgMVUmd5ggKYIR80eIrRvevfPgt5YuPfPa13X7Pwdqtw880ks8mGKnIeHBwFm63SoKg7ThPVmRSGVVHXFbrcly5Z8+PiB5WqFYTpNSJOYCOzXzH/WYmAw6FEWwhZRFDnU0HZbWJpKWRYsFgvOzk756sULyiIniIQ1ZD6boykqz54/o91qYzk2iqpSlCWL5fKArVQUoXI9OjqiBvo9IfiIwpDzsxParRYX5484P78gCEI0VePju3fYpikukiyjrkpUWcExTKSiRkVGklVhJ5FklusNUZZwfXXLbrsR+2NTZjwaspgKwM2nTx+pqpLT01MUVeNodMRmvWM5XxJsfeIwFuI+1zl0WFVViZF0EHJ9f8u7t+8xDJNOu4MEv3jzq7KianIJut0u/cGAsob3798LwlqziimriofplE+fruj2+siqUHUXZUFZlMRJciBbhWHI/f0dy+WaRxeXXF5e4NgWSZogS0LpmqQxeZBhWQ7+bsdkMqHVTvBSkZsuLJAqqiILkV2WYlkm4/GwiSuViMKAticU3rvNjsjfoSsag04bhRI/lFmt1kwm9xwfn9DtddhttkRBxOs3rxmMhmi6RrvTYTKb8jBb0On2ybOMwA8OCXK9jstwPBYj06qAJpA3TlMmDw9MHiYUeYFhGvQHHXTZIMky5KLA03SiMOSnH37i6uoKy7aQKokizTF1A7cRFilNZ3vYiwKmbmDqIrJ4P/bfjxLX2y1KI7LbV+u2ZQsGegVlIS5dyzLo93skYXBQFO9BPZqqcnpyTI1CWVUoiojWjhrimKLKWJaF49gHgEsYRqxWS9bbrUhevJuQNDCrvfyv8QeIIkBuuntZaj4v1ecUwwb9+99OA4QTQKKu5WbVIDXTAAVFAhCXtxDcNXv/eu9KEMWEVAsbqtp4ob90New/01/aGQGShjNx/vw5z32f6WzKYrloxJD7z2FGHIvwIUM3UFVZeK9lEdZUpBlJlnE3mXB/f4cfpFxdfWIyEStI0zBQFOGasGULEYwlKJ6WZWFaJnbjWzcti70XMUgCwtCn5bjI1FR5ilxDlpes1hshvG00DsK6rCFLskhCzEvCKCaME05Ozzj2PMajMUmS8Or7P7GcTDk7O+Obb14gSTKKIiYoqiYKriAQ+PW6qhpstZjwdHt9QTh1HJ49fQrAH/7wx8P6Molj8jwX0c7zOTd3txR1hYyEY1lIRUmR5iid9n5TRp6nzBczESjX71LXFcvl5jC6R5IwLQvP0FEqtSkua3RN52g0pipLgp1wN4g1bsVoNMLzROd8+fgx3W6Xt2/fipG8JFFV+5TFT4fI5Xa7Ta/fp91po2oq4/ERNze3JHGCIitoqhBG+r5PXddYti1WNdsdlm5wcXZOXogkwyzL0U3rsI7u9XocHY1ptVuYjsVmsyUKQqIgYh3GqIpCpInn+ejkmE63Q7vToahrKklmvlnjRyFFElIZKrJUk2QpmmFimBZ5lmN54n0syoKiqrAcB0lVkTUNQ1XJ8oI0TdCNJlvDtkgzEZoXhQGWYdLv9VAkiSAQMC2hz5A5Oj6h2xsiSzJeu0V70CUtBAZ9uVwRRTFJEpLEEYZpH1bOQeBjNRO1P2sx8OzJBZfnxwRBxGQy5fb2juFgyHjU5+3b17z/8I5W2xW5AoC8VlFkjQ8fPrFYrPjw4RMXFxe8+OYF54/O+et/+S949+4dDw8PQiCnyuRlwWq9Ik1TdE3l4vRUdFYVuKaLazk4psWw1+fly5csFzNsW8d1TKSyYDERwRaL5ZLt2ufs7Azbc9n6OyRZoovC5uNHNEXhq6fPD/nmz58+4XzcF35zVWu6xBJVMxn2xujfmMRJSFWVGIaoUrNaBGd4XltYotZbQcSyW1yeP6Hf7zMYDFAkGcoKVRKERNs0KVQhWiprQYybzoRYr2rEWLYh0sWquuTHn36mrDlUzK7rYtgam62I182zjKqusWwdaVWxWi9wXAv1eExZ5CRpTFIlwtYmQ1EW9Hp9pEoSjHVNbw7v4jCijEKxD5ToEfhbHiZ3QthSldiWwenJMYNhn6uPn9itV5R5wcN0KvCZVcnHTx/wQ1+k9ZkaUiYxX8+5nd0jKSqqafDi628xdJO7uweSOBaiwmasHMQ+QZZwdnaGYajUiLFwGCckRYmiGfSHR7iuQ1lWQkW+3WEZBrbrEkUxge8TBRGKpFMVkFQ5aVJgWi7IGrKkUVNRFTFFlonVgiGjawq6rqCoGpZtU1QVUaP5UCSVOEsF8EmSoFtjWw5JmrJerSnzHEPXGfW7+KqMAk2hI2JR96rqoq7J8hLNMOh2+xiWRVEL/PFg0DugY4uiwLZNZHlEhcJPP71i5wdUpSS6YWo0uaYoSyRF5NHXjZCvpKaooKxlKhRkuaaWPusBgF+kDVaVyLWoKiFAFDZFkUFQ1xVpWiHLoCg6ZZmTphmKoh4u9qIoyBWFMi+o4SCA/HLt8OUaYo/Y3m63aIbFo8ePuZveMl1OiaMMVB3qkjTOWC03HI8TfD/ANDRsy8BoiowsSdhtNqyXS+IoIk2EF3x0eipWG9AUuGXz/5UmtySlLkHVtMMofv+tLEs+fbpmsVqQBjmJkzdrLNEIyQ17Q1YU0cVnmVDXKyqGLvI0ojhhvV7hODaXpxd4psNmvsKxbChrkbjnmDy6FKmc+zF4XdfYtotpCD7GPn1OURRWqxWbzYZ1tKRli3TLMs14mK/4w3/+exGJa+qcnZ7y5s0bbm5u6HWFPqpIMwpJJs4SzNwkLTPyMiMpUiqpIilStsEOGZVXL18yWy6oJTAsE6vt8NQ10S1B2FNkBcs0cQyRzhmrPkWcoOsyz58/xXXbbHc7qro+RKsPh0NWK3G2Z3nBer3l3bv3+H7AyckJntfCciyyMhcJs4YhbOxlJRwFmobrudTUOC2PTqfD/d0dfuTjpA6r7abx6Qe0qjY3N7eUZcl8PmPnb/j+h38QYDXFoNvt8ejRI1xbCAy32y3TRUy70+E4DOiOBvz+r/+ZIFimJavVmofba3ZBgCI5VFVBXVbCcmmlHJ1fCEGrppKWBXFW0Ds6xvZcqqrG326JdgH6bCZWvrJMVhRUVKi6ipSV+NsNkiSYB6ZhUlY1SV7ihzskzcDSTNqdDoN+n6KscF3BQpjNHlBlkXehyArIGmVVkqYxYSjhee7/pXD4/69iIGwU/71uh363h97s+FutFgCz2ZSPHz8yGAyah8vmxYsXZFnGZiM43rqu86tff8vJyQmyLLNZr1kuFocRSuD73N/eiEtKlvFch912w3a9FdYd3+f+9o7T01NUWUHXNMqqwHU9sizjw6crwiAgihN2QdioZUV3IskyliW6dMu0kBAUNM8TBLrT0xOCIEJSZKIkI0lTJFnshNrtFqdnRxRFThj6omhQpIYdblMUJdvtQvDlbeugrN0DWb6EtOw7PkkSnO26rknSFFUT9qU0S1FUlZPTUzqdFvf39/z4448HwVy322F8PGLr74iavbKiqpyenNLt9Lm/f2C9XuM4lrAJKTJpo3Z3HY9g6+NYLq1WSyQ5yBpxEuH7O5IkFhdvUaDrGmkc8frVq4NOZDgcYlkGs4cHXjz/CklSSNKMPM0oi5IiLZAUGbmWKbICGRlJESKzrm3jeG06nR5euw2yzHS+5O72jt12h6FrQpRW16i6QhhNWSy32I4plPeShG2ZdDt9et0BkiSSLMW6JKEqIfB9FssV08kUCZmz8wscRxymZVFgmCau1xakQE2lLkuCQAgEqZvVCzVlVVIVglpYNaE8+xG6ruuYmi5gTIoqAoTCiKRRAcc7HxkJTdfQLYta07AdB1lRKYqcOEnImn13VgiaY7vbE89IlqCqgkq3H8UD1Ehi+jN5IE5SyqqmKmskVJHTjkQt1Uj7sT1CCa3IQjdR1kXTydcH58HnicDntcD+276Tr6oKTfmM79Wai3MvtNyvlL7MNLAsC7XRAYEYw+8xrwdccaOjqGtBhaOusWyLZ0+fslot+dOPLz/jYoucXeATNhOwKKyJTVEQCDW2cAucnJzitXss11t6/S62bYpcDVml0+2g6RKbzY40TQERfZylGZv1mtFwQMv1GiuljKKquI549rbrDbIkifc4SVBVhfF4iOsKlsVyuWS1XqNqGo5poqgyZVXhunZT2Ok4jkkY7phMbjk7O6HdbvPy5Uvu7+5od9oMBoPDaxVFEWVRC9W4ZR0gVHUtCojb29uDpkRVVd69e4eEJEiduRhDz5YL3r9/L85V20ZtfO6KooiVlyQ10DAxRn7+/Cs2zWRTqmUUVaHT6aDpGn7D83j85IkQtMqC9jqfzUWujFTx6eoT9/cT7MZV0G53ePfuPdIXn412u83l5WUzQp+T5zmr1Qqp0eUMh0KB//7hgTiKWcxnuK6DqZvomkiWlDWVvChQdJVOt8ubN6+bGGOTP/7xj2w2G+Hy0Q12gU8UxwSBz2q9IIpCoR/LRKF7fX3N6ekpg8GAdrtNUQg3i6ZrXF5e0u12ieOYIq/56ccf0XQBqpJkARsKgxA1TjGznCwv0HUDRVYp8pI4TkGRqSoIw4gwjEmTlF0Sk2YpRVWhaiqD8YhOu4Pd1lnNl6zXG2zH48nTZ6RpRhCH1FJ9eCYNw0BWFCI/oNXqHATNsixzfHzE8fEJH69F47afeorP7J8ZOqTr+mHUqGoarmuz2Wx5/37JtuHH7zO6B4MBui52gZ7n8fHjxwNVbbvdksUi/znyA1RJxtR03K6LH/qsV2uCQAiLvnr+DMe2qEpR3U/u79mYKzrtFsNBH0l6zs3NDWEYst1tWS6XFHlBFCdMp1MMw+C8rjAskySOhQWp2bvHcXzwIQsqlCo6oXLv904Iw4iHh0XjCdXRdZXNZkMUR/T7QjTj2C6DQZ+6llitVjiqiq6pv+jA9ofu/hDcd33igdYwbZvx8TFeu83tzQ3b7ZaH6ZRut82LFy/YbDYsFgvu7+/pdDpYrk0YCJ61sK/JmKaFZbnM52KXuNmskaQupqU3Pm8NVVHx/YAojHnx7AWGrhPHAR/ef+Dm9prtdoPj2nQ6bRRZodVuc35+TrfTIdj5rJZLbNMkDkKxHuiLFYekqPT6A+qWQOJ6LQ+1SRpb+zuSLOX49JTx0THtTpeirHj5+jX/4W//E0maMuz3sUxTWAEl4WE2DJ0g3lHPV2i6huvYtD2bo6MxhqEzn8/J8zkSAne82/lkSUoQxMyXa2RV51dff4vjuCwWi4MNTJJkirJCM2RkmcZZIFGXQuApq+LATNKMvKxQVI1ev4dh6wSBD1UtvMZ5AUWFaZjYukFhuwT+jjhNybMM07bwWm1M28GwLOxMcBjyoqCUavKi5NWrN9xNHojjBCSZXqdNr9fD81pUVU3RCKem8xX/8MfvmUxnpFlOWYquX5ZkgeJHgrqCWsC3FBls0xIrgf1Iv6oFeOIL7sCXor69UntvHdxrCeQ9ArU5WDRNExe+qrPdbn9ROJRliW4YmI0SXpIkgiAgSRLgl3kI+yI5DAKSNEbTFfqDPv/kn/wVcZzy4d0nQRGsK9LGRjYcDlA1leVyxSRNmnG0KwqmuqaV5YyPj9H1vR9eZjwe0W63SNMu263fBMzsWC5W3N7eUVY5lmnS9lo4TY6E1mC8dV3nVr6hLAos3RC8+FiEcem6ThAEQkxdCl1QnmdkhUgu9Votvj0aYxoGSRJzfXNFliWMRn063RYvvn7OqhH/+r7fuI6SJkPFot1uo+v6wS20z3542qwH9pQ+13UZj8bYps2P//lPPMymREnSrJJqliDs0ZKALwl1vyeelywlTa2DlVWWFUzVwPPa1BKUdcV8tSRpVpKWZdHpdrm7veXq6hM3kkyWJrx8/TObzYbhYMjz5xV5nlEUKYZiI9XCsociM+h10ZSnzLtt1quV4JyEEcvZnEW3x6zjNQVfhO9vqcuClitsiYZloOo6SZqy2KxE5kqcCIvhYnE4Y/c6NMuyMFQNvdNh2O/Sank4jsPVzYTr62txdjsOg8GA5VKwQ379619zeXnJcDhEVdXm64upqoLBoI+mCsDddrOmaKBdSZ7z5t07dMtC0XRm8wW3t3cMjo4ELyJJm46/jV/X7HyfJM1AFnkQpmFha2KiOV+s2WxFZLcoIqKDiBgEv0VYnCMkSRRsQRAymdxx8ehcIO6beGVd15GpydOEuvwzFwPdttfY+mqqsmTQ7ZIlCbc3V4RheFBNCvtIa796O0CF4jhmtVpxc33Dn77/gaOjYyI/oMxyLN2g02rR6bTIkoQPHz6QxhFfffUVw0GfKIw5OT7iw4f3bLciVc6xRdW8XC5Zr9eHsVAUR6RZjqZphJEoErqqUKd+/PiRNBVYZF3XOT4+5uLigofJvaiKGz9ynGYiatP1UBSNu7s7ZFmAU7q9Dq3SbXCbDqZlEgbhYYRnug6uaRwOvC/1AvupQNXQ3EQOgUfcEM0GwyFB4PN//p//nunDAw8PwpZ5dnbG8fExnz59YrFYsF4J/3scJziO10RhCmvSbic6fF0X04iO1MI0Lcqiava/EjfXt5RZRafdpsjF5dXrdHAdURgVWcpyG/Dxw0eyJOXy8hLtyWPmszlSDWVWcXtzT1UhoqNVmbbjYmq64OMD8+WCT1dXBFGA226TZCX3D3O8dodWq8395IGHhymKonA8PkJVhPMDZIoCFFVMLQT1TaKsJKIoZXI/FWCWL0JF/FCkyKmysF3mRYUkq8iKyvHJKb3+gDTLhJpYVcmylCyPCYKQrMjFKLwR3OR5QS1J5GUpIkklkGQFz/VwbZu6qgh2AXmRUqpCiS4rCo7roMgyjmULdkCDoRbpbTJqE60rKwqqoZLmBTd396TXKcvNmjiK8bc7Li5uabeFhsb3d2y3O968/8Dbd+8Jwpg0E19fVUNdlUiIPb7gFEpNd27QbrUIgkQUDAg2v9QUpL8kEu4TDTns+FVVPVzgiqIIDkAzqUjTtNEBlAeR4JergtVqxWa7PSjh939GnueHpmI/WQAOF41pegSBz3g84i//8i9Yr7Y83AthW1bkrDZroby3TR6mM2QqXNdD143DOiIMQopGVW0YNrru0Wq5QNUcqhrdbrch7dV8/PiJOIyEGNhrMRyODmCfOMt5+/Yds+mUs9PTZipmsVjMD+dcURR0Oh1OTk7QDZ04jdkFzeRQhrLMCMKMqAqwLAPXtcmLDN8XE69KkkjS9NDJ6bpOp9Oh3RII8v2lZVkil2IvGt4DfOq65vT0FBmZP/zhD7x7/17ECn/BTRiPxziOw3q9ZrFYiPAxy6bIhbp/sVhRNHHZ3U4HXTdEwVwJZ894PBbNyHx+AJw9TCZM7u5ZLZdsNxt2/k7gjA2LOIlRA7nRlcVYhhCUUpXIEvS6XfHZHI64urpiNpuxXq64v73l/PwIQ9dQcLjPcm6urgh3PqOjI7xWC93QhXaqsVHv7Xr7AuD8/PyQEaOpalMEyRwfjzk7O0XVVPxQpLAKHcHR4XPbanUO0799USwmPws0TeHi8hHbTYvtes1qsyGvJTRJQTMswijhp59eMl+sCKOIKElRTQvbdYijmDLPsTSdsqjI8woQWQVhEHOd3rK2HXRNRHHXSLx9/4HZdMZs/kBRpjiOQ6/XE/qiJhU2z3PW63XTyJas12u22w2hvxPCRVNM8PI0ocz/zGuC3XaNadrIkkyRl2iqQrfdavboQmGdZRkvX75ktVo16X/iQfV9/wCRGPZ73N/eUeUFSRQT+gGzyQNlXmC3bUxDKFbjOOb29kbkS6+3HB0dcX52ys8//8x8Nj3YFjVVCBizLGM4GjEGyqqmqJpgiqo+HGyWZR0KkzzPRTU7HBLHEXGZ4bkeSOKyDqMIr9VmPB4J4FEUcXFxjmkJRKumGfS6PfK8ZLlc8e7dW4qiJEoTjk5P6HQ6n0E2knT4gH3ZjdW1UL3PFnPOzs5QNZXziwu++813Yte127FYLNB1ncFgwJMnTxqnQcxuFzCdTgGJ4+NjNE0oV8/Ozri6+sRms2l2m4oIb9LErmo0HFPmNR8/fKLf63F+Nub09BjbNlFVGd3QUGSF2WzF+3fvWC1XuI6LZZrCnmm5Ig55t+X1m7f0ej3Gx0egqhSShGYYVNRkVUUhgdft4rouqqaTZjnxfE5R1ZimhaHqIhmuksQ/NFxRqUZWhF1Nkmp2uw1hFNJ2HLJUMNyTJKHVagleRFYgySqKKkKMakT3f3s3IYozTMtkOBgyHB/hui6+v+X2/oYgikACSZYpyoI4DFDXCqqukeUi+CrJhYinZVsYusFmtSLwd5i6gWWYIEFWlxiWSafXE7kBdU2SZASRUHP7u92hcJFkCdMx0Q2TF19/TW845PZ+wscPn8ijlIfJFFUR3ff+cNpstyzXG+EgaJI5kQQumbqgljjoPQBc18axHaIw/Swy/MJW+KV4cP+9JMkHF8u+k1RVlaK5xPM8P1Dp9j/+kiWwnwxUdU3ZAHk0TTtE2e47W+DwPOwL4zSJsewhSRKhaQrPnz3l7naCv9uK8W5dE4Qhi9UKr3VBr9fDNkx63X6TTOhT1RVZnoEiUM52k1+xbhLnxJ8rU5YVaSoCYs5OTwl2LmVecHdzSxSEnJ2doakak8mE65trikycE6YmYGDdbucwYex0OhwdHXF6eoqmq2yDLTe3t8KOZ5miaKtKqkysC3e7dZPAN0JVxWW8Z/rvi4F2u01V1rx9+5ayLA8ArP35EQRBE75mHyaP95N7ob1CCCZNxxbvQ1Hw6NEjer0e9/f3SJJYbT569Ii6LFBklR9++KGZUOp4bgvV1vA8TwCOtluKvGAwGJAXBW/evEGR5UMjtFmvsS0bx/VYr1f7U01oT+qCqpRYr5YNeMhG1kTRpiAfMm5kSSKOYizTJApDkiTG1E1sy8QyDTabFUEY4rY8TNvGcR2COCKKYwaDPrqqcHV1dZgMjEYj2u02cRiyXq2E60SSWMznTGdTposNw8ZCnqYiV0d8hgRUr9/vk2XZAQhUNyufzUYV4j/XBVUhKwvUqqTnubiOi+/7fPzwESSJTn9AVdZEUcJiviSNIsb9IYokoFxqs7ZWmxwFAfCrm2dFIVtv2Po+QRiQptHh/hqPx42DTDpMpZIkwrRM/N2Oqq5wGkfGXkSYJNEv7pz/q2//6GLg7dv39HpddM0gTTPRidYwHI44PlHp90XAxe3tLR8/fuThYXIATuR5znQ6pd1q0261sU2TNE5wLIu62xMJUYs5JR10TePs9OTwkNzd3rBcLijyXFj+bJswCPB3OyFGUwXKOIojIsPE0HXCKMZxBS2xqEXn4jgOz58/JwxDfn75M7vdjpqawWCApumEcQiSjOu1aHVVojhhsVximSaGYRKEPsvVHMexRaDHwKT64gFutdvc3d4Rpgl+FDIYDDg/P2+AITqKrCA1h9++A7u7u+Pd+/e0uj1evPjqYNvaT1OCIKDdaTOdTtlutiiKQpZluK0WrVaL6XRKGIioVbUB1/T7fWRZIo7C5gORURWV+BDKClani6poLOZL2p3OIShDlkHTReBKlma0Wy2+/vrrX1wMnXYHqRTK+U6ny3q75eXLV+RVycnxCYBAoqoKQRIjaxrffPsrTk6OsSwbZJkgiFhtNkzuH2g7LoEfsFmsSC0LqRZphehC/Z2kCVmWUpY5R0djXNOiVmRMwybPKvxdSByLcb5ti4TF+WyKH0ZQVTzMZixWK77++gVe28O0TGpq/DAQ6n1FpTUYYpk6RZ5x9fETq/W6UVDbQsTThCltFQVDU1FkBUUWRV2R50LMJ8ti3ZDGaIqgXRq2RS0LFbGqCc1CUZZkScou8pEVhVa7w3A45PjklL/83V9CXlLkBb4v9p26rrPd7bi5uTs8b2Lk1hQDZUVNKcR+zXOqaUJzYNs2srxu1gfiEKqruikcOICBaqEUPOwYgc9hRbIsUumq8pChsIfMQH3QxACHYqAsBY1w3/0XRYEsyY2wkQN3YF8cyLJMlqfYloWqajw8PPDiRZe//ue/R5YlfvzhB7brNXkupg5np6f0+kOKNGW5Wh9Gp7Isc3x6jGUbgsQmS1RVwXYbNyFFOqqqN2uLDEUWXXPbcw8x2YvFgropPFbbLaqiYDji17zdbhkMhpiGhqLIRFGEqiq4rkNVlSRpgaarHB2P6XQ7GKaBYYnAqs1qzfXVFWVV0mq3sB2bvChxHPuQYrfv9judDromVhJ7YFSe5yiycD1pmsrrV6+QZZl2u0Oe5SI6W1M5OTnG8TxGR0dkec6nT59YrpZkTTrqdDqlLArm8zmGpuEHIma+qmthny5LtEuV8dEYT9OEgDDPGAwGZHnObDYTayLTYDlf4LoOTy4fY9oODw/3KIqwBBalQD23XI/NZsN2s8Z1HWEXzQuRVtoUAKOBCE+6fHxJGG8JAx+jo9HttDk+HpOmOUVZUzUFaJ4JwWarcWiosszd3R3L5fJztHZdk4QRqiy0ErvtjjRNmM5mVLKC49hiDekHZGnKdrNlOp1hGiaPHz8m+f+x9t9PkhxZlib6qRo35yRoRiRBAqhCTXfPzq68N0ve/v+7M9s9U02KgCWSBA/nbtxU9f1wzT0TtT07JbLtIoEEAh4k3c1Ur957znfK8rhON3VFnmXH4CU/CDg9u5CMic6iOJ3PmJ/MyXY7oijm4sUVfhBSNpI54CXSzbFtje+L7ma739EaQ3/QJ47iY8xw21qiKOzcWEPqOj/GLh9Gdb+8/8Dz0wJrLaenJ/R6CXXdYJ0ljWMkSOkQGvrr8LB/k2Jgu8uZTE/pDUZ4fsnj0xPGGH7z2+94+/YrZrM5WZbxD//wD51COCBOYqaTCb7nkSQpRV50jALFLtsf4yL7/b4AHIqWuhG8cds2WGeO+FxZbCxp73XXpmx5fHhit91RVQ1aeTzvdmI32e3opz1eXF8TBkKWQ4EXBoyTOa/fvqU/GhPHES10kbwapUKSdMBoMmE0HvHx40fu728B1/ntQ5k3LlbYFrabjOFQLDG/+c1vWa63LFbPtKuaqswpi4xvvvmG8/NzfN9DoamNxbaGftrj+emZx4cHwjjuIi8Vzskicf3yik8fP7LLhABYda8dzvHdv/sbri+viYO4S7gay4VUNVR5waA34OrikqZp2O33BDpENUAIOtDEvQQ/8bl/fuDsdEYSJ4RRgPYU682e56cnmro5tlQPYjHf9ynbimq/I0ljXr9+iTU1H3/5Ea0cZxeX7HYbyrKUEBoNSRQy7Pc5OTmh15f5bpZl3F3c8eb8jHfvfuH+4ZGiqnBdm9uWLY2SDSYJQ0bDGcPBkO1my1P5dBRUHcYwnhcSjWIG4wFFXbIvdrR1jakbPKeI4pDpbEQQara7Nav1M9oLGE3meAo836OXDrh+pdisll0MMbi2RRmLB9R5Qas0vVREi6a1lNQS7OP7tA5sa2g6wFAQRCi8Y3zsIeTH8zXKVx2G2OFQJHFKv9/D1Q377Z5lHNG0knb2T//8Lzw/L7BGqJiqw0Ur57AKzPE+l8UkSYaMRjOiNMaPfKw2GNViOxyzUwpnRRHu0BKUBcJR8ATfHPo+vtZH8uCXo4C6ro9OAGvbznUA1jicVTSNtKl9zwPjujFPZ2/0PGxrMbqhUdCGPqatqMqSwI84P71gvdqQ7/dcXV/xv////jcC3+e//MM/kG125PuS7XrH4KIvwUzaozccURQly8WCwT4XvYunsc50dlmNwlEWBdl+QZYXpGmP/qjPoD9A6ROyDp2b7fZsNhuqsiSKY0a9HsZa6rbGj2PpXPo+dlsDhrYpWa2eWSwescrhxQGj4YjxdHQEJKWpjBI3+z2Vc0Rpj3VRdaTVEd+cnNA0Mt7b73c8Pz+iVUi/PyTwNfe3NxRFTqhAW4OPYToaUNcNyrSEWtFPY6pxn9dvXjOZzfHDkN0upywEOLbcLFmtFlRFzsNdzX6zwXYHi7qWa9irG1rrcJ6GwOP09Iwgjki6mX0QBsxmE9JYBH2mLvB0y3g4IPAS9PklKEtdN9ze3zKbzfDCkKbjS/hR1JFqS8qmpGplzIcH6TDFYGjrmkHaY9DvSYHnK5q8JMtrjPsi9VX7TIdjTNuSFQVhElPWFavthlkpxZ8pKyaTMVXTyOFAw2Q2ozU142FK4Mu97J2cku0ytustRZbTlBW2aamLkuXTM+9/eQ/OcXFxSVmWLFdLpqMxs9lUuCNpRBj7uNYQxwFnpycMeymlMdi2JY4i0mFKGobsNzXagucUrmpp2gIvTumPRVckoLFSxNFpTH8Q4flzEZmXJcvlkof7B56eHqmr5tilM8bh+xHGtDTGUrddtz7tMZzOjt25f7NioG5airJA6Tnj6ZQwjtDa45tvf8Pp2ZnM4bo3/unpCYPFYuknKfPZHBy8f/cLN7d3lHkmSWXdyWA6nZKkKVGSdgEWhl4vIU4iwjAg6iAwTduQZ5Kk14sT9nFHk/I9+oM+Z6enGGPkTa0Pi29nf+oIT3VTMxyNBMHbhXB8ePdLN3O3x5bdyckpURyx265ZLBforj0WBIGALOqGly9fsdeaqqkx1jAY9nl4umO/F7Xvbrfr4lTlub4X4Lq/syBd5eXf7XfH0YrMbD1ev3rF1QsJmjBNS77P2K7XbNbrbtFPjgLIw+hjm2e0rbQvB4NhJ9IS6l6237PdbSUoJ45QWrPL9qw3W4yxJC4GJWAi5Xn0+hFBGHJ7e8NmswHoiJEx2vfY7XcUZc6r16/YbNeyoK5WtN0p5uXVFcPhkOFAAlMWz89knbcbYDIaEnmayxdngm9ViiiS/1dXFduuy9Q2DZ7vi0sky48nykN3RU6n4ClHmsZcXJ7je7BdLcn3GVEY0TYNi6dnqrJgs1mz226JkwHnZ+f00hjbtignyXf9NGG73R5RxUkiKYJasoSOm2NZFux2e7I8Eyx3RyQEeU4cp8RRenSsrFYrHh4e6PVTlHbUVY1z8nfWBkxVY9qaLMsxrYiuNtsF7z98oCxK2tbIqV51BUFXFAj4R3bkIAjp9wf0BwOCMCSKhban2s7aRwf/6coK+HxycE7/CiN8ONkL9Cn4lbBQvOYC6mm7oCBjpFi39rMWwXS/pkMd/fvgcL6HNZaqLKmr+JjdcHFxyd3d7VGU9+233xCGEcvFkj/9y7/QNC277R7/ZUi/mwmXZcnj4yM3Nzc8Pj2S5wWXl+cEgY/2hLro+2FXfBmKPO8CXxocQi8cdSO9ejhis14fdUVRFzubeCmn56f4QUCR7fCjkOnpHBX6LDcrnBMMbtiBdubzuUSLb7c8Pjyw22cyS/dEi1FWDYEf4HsiAptOpwD80z/9E//H//F/0NSOJEmJAp/NSro7zjR4noguR8MBTWPYrDekacrL62tG4wFRnBD4Hp7vMZmM+LvB3wqA6/mZpqyoilIgcbMZBAH3Dw+YssS2Fq0Fbfz0/ExrWjbbLdPplOFw2F0Lll6a4mvNaDjgZPY3gMW1sFzu2H3cUNUlj4snVuvlsd1+6Cgd6JKH1zbtfSbQHgidcZwQR5IAWtcCXRK7tUF74fH6OzixGtMwGo+I4ojn5+cjnruua7SzJGlKuVpRtw2NaUnTpLM66i73wDuyLg571+H7r1YrPnz4wP39PXEU8/r1G87Pz5lOp3jaoz/s0xjpSC2XK0zTcjKbH9fVyrTkVclgMGAyEQuo1pI8+vT4yGq1AiDwA/B8vGBH07Sihyrl0DcY9tBKosnTJCE8OxfMeX9A2xoZKVkrXW7naFrpnDhE+HtIihwMBv+2xcDZ+RmtMay3azztcXp6yuvXr7m4fEGeFwJ4SRL8MGA4GrHqbpLdfi8Jb6enbFZr7u9vKYr8uEkeFKDnFxcMR+Kxbppa5usWTGNwVuFrD2cV28WSpm3F/jEaHsNgtFYM+j2J+zUWjRaaVBwJ1KUosEDd6QvW67UESJyess8y6qqiqippxRQSJzqbzRlPJnTrZrfISyspiWOiUFqSZVng+5qr60sWyydub2XuekDQSiuz5erF9RHRWtf1kVAFEuk6n8+PbdQkTSUsI5FENIxFK0W220urPIlZr1esVguSJCbo4E+DwYB+v39s0R5uDtcaWmdpTEub7Viv1x1oJUZ7Ho5O9BaGnA56BJ4vpz/nOuqfw3eOMIoIA7Ejfnj3gbdvv+Lt27cslmvxwFtLv99n1i0kZSmFyCGspKoq2qZBadDKdUKngMF4zGQ6wvcDnJHX48P7X3h+fjoipAeDHlEsVsO2NdSdJdNZ8DyFcoYizwS+UjUE2icJI4lM3mwpc8kHb8qKqjJEYcggvSLt9fC1oiwLFs+P3N/f43keJycnx3HVsDcgCMKurQ5+GOKUJityFs8LPM/j/PycpKvwV8slQZCz2+2Oepr9ft9RGr3O1+/hmpa2rMRl4CxBEKK0R9s0/PDDD3z8+Im6bXAOIRZ+QR38vHErPC155wc89pd0wV9bBz/nEhzV/V+MDA5f97kjgGysX9gJ5Ro9aAA+MwTowEda6ePmLxoOEWE662QDIQC+pD52GNbpmNFozM3NRz5++ECa9Dk7O+PVq1e8+/Enmu4ka1oBUe33+24GL2r4sqz59PGWpm65fHHRuQhK6qqkbkqxkMIxAyRJ0u76F2x1oD3hdtjPr3LgB8S9VLLkA58oDghCH987AHgEKOVrzW635e72lmy/P2qliqKgKCqW6/URAOWUZjqZHqFFRVGQpilpKiNIRYPvQRh4nJ3Nsa2EM2kNSjuiQISQxrSsVmvevHnN2cUJdw8PUrh3eozxeNKFe8VEvlA099udHB7a9qg5OOgVXr16xctXV1hrO8z3E8OhrN1xGDCdTAg6/cJ4NEIrx2q5pqpyyRJpG7FRa4kLT6Ne93uaI5HvwN6v61oi5k9OuiRMj916ze3NJ5bLZUe71FxeXBKECXn5mWRZlpJvE/gBylMkUUw/ScmLgqLIaa1hPhof45NN6Vh1XBahEi64vn5JFEYsFgvKskBrOndF0bXkpfNVFAXLxZLhcCg5CXEsnd7IZ5/vWS1XlB3QTPkhy80O7WmshsY0pDbBYcS1ksS0bcPz8xPPz0+0xgjqfbEgShImk0lH420wren2ofqYBzIcDplOpoRBxHa7I4oiRiOxpt7c3FA9ilanbdujW6Lf7/+KofH/9Piri4H/8D/9j2zWG+4f7mX+Px4znc8Ec2sNOvBF8buRNvF2u6E/6FFmOQ/6nl4q0ae9tMeLi3MO7PRDpTgeTzg9PWO/37FtW6xF5kUKmjY7LgRFXmCt5enxiXQ0Eg+tLxtXVQoVTDvHZr0mjiJGk7Fkpe/3NMaA7x0XvJubG+7v78FYJr0Bjg6e0kplnHb6gPPz8+NGfYie7KcJm+2Gm9s7mlZIYYPBgK+++uqYupZlGU3T8PHjR3DQNuZoW2nbllFn3RtOBQyy2+0AjvwBay1VVRH5YtOLkpSXL1/itKLL2uCnn37uNsz0qDo9VIuHxTgIfJzS2EZww1kpr+H85IQgjGisId/lBKXP2ekJL66uJPHr8ZH1ZiMo5LpGocjyjA+LBXd3MiNcbdY0P9YEfkTgh8S9WFIAw4gyL8TWV1WMOrFfWZZdaxL6/RA/DGnaBrXXNK3AbJ7un/j+z3/m53fvmE4mRIHXRf+Gx/G8Ug7tKZIkxkNh2pKHhzsWyyW7zQ5lHWkQEnoB+X7PerliPp/ia49hf0DjFFVRcvvpE0kcMRkLj+DkRCAph1n04+MjVVVxffWaft/rbrQA5fn0B0JLG49HR13K4X0rioq6e/8PeOGvvvqKqiqoih153lDXDZWr8P0AYyxRmuIHAaY7Pfz8yzuKqjwK/A4b+CEhEDiio3u9IfP5nPF4TNxBi/I8/5XIT2iB6hh3fCwR/sJdcBAHymZhMSY4il8PhYJz0gWQrHSJRT52BZztsIhiFbUHUYPSaOd1HZbPOoPDzxsOh4zHI96/f8fz4pnJ4yPz+SmXlxcMBgMe7u+PxUhVlazXa/p9EbQCWGNp6raD25RcXF7Q6yVst2t2+w2BHzIeT9DawxgpkoOuIG6rmsZxLNystcQdoXA+F9tfPxjw4uoKP5T1Ji9ysY5pRdsatmuxNydJcowjF1KeJQkjaeE2IgI9UA/X6/UxAXa9XvPy5Ut8rRn0+viehzUt1jRYY9jstlTdvROGPtPpRK7PuuTVm2v2+Y7bu1vyskJrj8VigReKuDuNJPRns1pTNzV1t5kcbKEXFxd8++23vP36K6IoZLlc8vvf/567uzvKsmQ6HoNzMoNfbajzHNM2bLdbWmsJQo/heM70ZN4hd8NjBHJZllRVxeXlJScnJ/zpT386IoWlCJzKybYo8XRAEvdEDIrm7PSCtN/nx59/OeoCQPQD+/0ez1Pyevd69NKU52dH27THjmm/38cq6PV6goPPKrbbjNn0hDQR50gUR8RJxGa75uOnD7x6/ZIwkoK1aURL8p/+03/i/fv3vHjxgl6vxz7fk3WY8JPTC7T2yauWpmmI4kCyTLQTnkhdYdu6K4YdaS8mTkKKomS337Le7vF8nyovMHVDnCSkaYJtfazr4qedI/Sl4B+PRiilj/k0k8lE1vUsIy+z4wHwkP9xQIL/mxUDcZJQ1TUPj498urmhNYYgDplOT5jN55ydnfH9dosf+MTEPDzes96saMqK3XbHfDYjDEPOuxCGpmmOilhZSAekaY/NZsPT4zOeL6FGTV2iahEYTcZjufGVIghkrpmkchIzTYP1NM4a0iQh0JrnpyfqpmE2nxGHEXUHnvB9n4uLC/r9Pu/evcMpy2Q6JQwC8rxgcOCNF8WxbX9xcUFd13z48IGiKLCmwRip2Ou2PfqhLy8vGA6H/P73v+f9+/cSkWoM9w8PBIG4K87Pz4mi6MjefvnyJcCxAoyiiP1uR9O12Iqy4O7TLQrF1199hQ49AeNYw3Q67uhlYoecTCYAv7I1FlnOZrmi2cocK4oisQsGAZvdphuNGDxfLEF+4PP2q69I0pQgFGjOaDzGWcunT7c8Pj9hcfzuu++4vr5mt9tgW0c/7ZN0oUCeUiw3G/IsB5C2uHVUdYVpJWxqv28IwgbnFNt9hh9EAoJZLPnxhx/49OkTTw8P3HW2IqfEZjgajY6xrkEQkEYBWbZlXxQ0raXf7zFMegRK0tfqqiLbbNHO0pqG/T7DeQGDwZA88OklMZ6C0WiI531OI3x6ehJB2T7j/fsPJEnaKconxEmCH/pMkojRcEBZltzf31MUBQBRGOKnQrYLw/DouLG2xbiQRCtCY8X6pzRNY/DDED8MaMqKp8Uzi9US4/518c/BnRDHMacnp8znMqqLooQgDI6QnsPpVfZ8heWzi0B3J0jxJ9hfFQOfswQ+EwQPrAx5nhNOAw5jLDjFgX742aWAhAk5AAMeOCfODe2JHVJigWVDSpLkyLEvy4qnp2eSpMfZ2RkvXrzg+fHxuLEARx3Ll2E+1rQo7VE3JR8+/kwcR0ca4nA0ot8boJRmt9uz2wlVczQcYZqG1WKJ53kMh0PyojgWwNY6mrohzzMWzw6UwJXapqUuK6w1mKqh2Gf4SjMbTyRYyhg8FJ7W9Hs9lPYo64Y47TGdSNG+2WyOccgHxLHnexTFHq0UoedJtr3yKUofFFRVzXqzIvBDtFasV5IL0tQ1WbbDKkkyjNMEz+9a8dpjPp9zMpvLoQfJOjnwSyQAre7sh6IV+vbbbzk7OxN31U5GHspaBv0eXidQq9uaopLX6vzijLq1XF5eksQJSfQ5tjiKIuI4PlIIX7x4wX/8j//xmOz3yy+/UFU1SZJS1w3r9abT24Qsl3KqPxwkPnMRFKoDfxV5IRHXdUORZdxWFdO6Otpbe72e3Gc1ZFnJp0+3aO0xGo8k3kIpNpsNj48P/PDD94RhRJaLdq3f77Pf7/nTn/7Ezc2NBBB5Gj/wGA7HaB1S1S2b/QpnNYGKMEg2hTFyCNRO9GBaS6et10slmtkYirJlv8/5+eef+fTpE+dnZ1xdXxNFPkHoHW54GQFYS5wmzGafLadN03QAqZjJZHIce+x2u2P3/a95/NXFgB8G0saMQuanJzwtnvn9P/4jr19/helsPVme8fLlS6q65sPH9+y2W/J9Ri+RBTTwPMoix1lz3KwOvk7tSZZ83DGl26aRxaxtuJhPmM+kraY9D2ctSmuG4zH9LrP6QEMzbUPg+7x584YszzFWJqSDfp/WObxY2ieHFqNzTuaGXcym6UYBVy+ujipn7emjna3tgk3CIO1wkA+EUcxgNCZ7eGS/23Nyesq3337Larlku91J3Gpn9fA7oElRFLx7947H5yemJ3MpRqKQwWDA9fUVP/zwI7e3t6KE9nySNKUuS7I8J1aR+IBb4fOfnZ8y6A8YDEaMuvbYgfxW1zVtXeMQzUUURZycndKYlu1mgzVWEskCj9Y0bHdb3v3y7rgwJ70ej8/P7DviWWsNo/GYr79+y9uvv6JpapI0Jo1StBOVdZEXFLnoOfa7nbTq2hZPa4HfOAcW9vsSYzM8P0R5HtoTX3wcRfzm228ZDvrc39+zXkliV9ml0AVhyGQy5vr6Jd98/TVxoOmnMWk/JQgTkigl1D5NXrJdrVBd+JBrDbvNhsVyRWVhMimYTsd4yvFwd8/d7Q11p4Q+8vVRNHVNTnG0LGpPXAKep3G2IQq6hb/rUslm+FljUtc1u/0e3/MIIh8dijPBWUmqa1tD21hs2+AqxXqz5f2HD+RdYXF0ENCdpq05Esnm8znXL1/SSwfdzw87VbU65gkopbrWt3QYjh2BLyxH6otR2KErcMgiOLSTD5uqFBfueLpv2xZn6fgKDtsVFoefpQ4uiG7Tbxpp83tdgIr5gtQpepcB1aLqRHV7TuZzfvObb3n/7h1lUbLsqIGnp6fHwkMpxXg0YDQakCQxVV10p6OqExIG9PvDo53L8zyqWhI+z05PxQESy0nZ933SNJUxmxOITpqm9AY9oihkt1mzKWSjifwAazTrSqxeWmtBdxvTCZ1lzOb7AVGcMJunxGmPIIwAe4Qc5R1cRmtFU5cUWQPWksQRbRMKQjnbo4NQcOh1jkIirpVWAi/abyVdFIiikH6vx3gyYzgcCha9u/eqsmJflTQdJ+Hs7IyqFG6+s/YI8TkUaL7nEWjNzq3J9hmBp4nDEOVr6qYmLzLOLi6Zz+doPxRLblGxfH4+dijTNGW9lkyHOIqOYXDA0e0xnU4JfIk6Pqxf3//wAw+PT2RFcRTMHUbLnlbowD9mMzhjCTyPdYeo1lqJaNn3iOOEs9Nz9vuaxWJJGPpstzuJrfcUcRQyennFZDw+5rJ8++03BF6IVr4E0P30E3mRs1wuGQwHtNajdTuq2lJUrWhBwph2V+IwaGVpezGR80hDX8LSOjZHURQdbjxlMJwSRTvpohYyct9ut4zHA/oD6fimaUrgB2w3HkVRkXSW00NS5HK5pKwq+v3+MXn3EHH/l4TR/9fFQByHlGXBaDTk7OyMn396h8KjyBt+/ukDxr1jNpuSlSWPT49kRdYJeFpwhtloiK897vL9MU3NOCflpe9hlWOfb0j6EW+/eYNtjaRthQEn0zFtU5N1KWcHEEcQhCwWK5TSJIlcWE3T4CzEccK8P6Ssa5wCX4fMkoQoiSjLkp9++om7uzuUUgwHQ5puNuo5y36/o6kLVosFVVGQpgnrxRPWtni6RWHwAsNgHGNdRZE3QgYzjruHR3abDePBkNP5HG0dcRgSRwmJH2DKkvubWz7e3PLjTz+TlyUfP75nOhvz22+/6dpAQ37z7ddY0/Lhw0dsEHD+4oLAD/C0zA69ToTlcKRJKq05T+NsC54i8D3yrGG9WpBlOWVbUpQ5JjdoXx0zs6XK9qXDUeVUeUaVZ/ykFWW2Y7ve8vz4iB8EDEcTrl+9ZDqdcHlxAdbx8cMH8jyj8iuUFbSy8jRxmqADj6IqyMsMtCOlh0J3p5WAci9q4CTWpKHoJ7RSaKvpvx7w1Zuvus2moa4lwvX+4YH379+zWC7ItmtMWxEEQ3r9HmnaRysPD4/AC7BBTBqkrNcrcIa6boijWISmykd7mnyXsVutxDboaVpl8Dq7al01rFY7msaRRj5JGGOcYbl4Ju2nxHGEqQu0gl7ak/bdeExTC6FQaykMNvsd6+2GIAwZjoZEUYBpGop9TlmVJL0eVVOzXt5TVjVPT0tubm+EIqckbMvaDhPcuRZQijRJOTs7YzCQlrJSmiBQwt/HQzktf2KOFEKtDJ7vo7EoZ1FOdR0Dd9AkiogK8JRCI+EzTWNwTrQLMnaQUKODTfDzmAG5r4FWdTkER7miA2tA2FJEQGsN1ljZqAwMe0Om4znr5YYqL9lvtlydX/Lbb37D93/4Ez/+8ANVWaN0wMnpGXVVsl4tUWhm0wlh5KEUXRfGHgW4gdLQtkcRpacsvudYLRfc399yenqCFyp06HBti8OifU2YBAyHfUbD4VEwWRQyxxW4mizwZSHwoMPmdkirk+nIIeUywFM+vvKIfR9US+A5VKCIAglnSpOQx9sFz09PmFYyTOqqFiRv2ufy+hV141ivc+IoYTI9RWnL8/KZIAx5/fYrWgtBFDMdT0n9EAUYa4j7clJ/fq74+MM7losl5xcXDPp9hv2JCHIbScaryuLIg3AOenFM0okCq7KmKqXIctqR9AaMxlOU9rq0wpBelPLzn3/gj3/8E+PRiK+//lriyQuJdr+9uSfPSuI4wvOk+BoPhtR1y3gyo6hqHp5Ev1M3LWkvxThL2zQkaYpTUJYVgefTS0KCMMDFjvV6LRqfUZ8gDKibGl9FhEFMGCacnI/5n/+3/4XxcMg+2/PLzz/x/pf3NGXNdDRiOhwDMBkM0dZxG4ZYFP1hn4vLc+kKO8tyvaFqDFmWs93nFKW4oQajCVGc0jQVpi7oJyG7sxMuToSyaq2laRVV5bBWo1RCkibEaUp/IDb87XZNXZfs8y1KGzwP6rpkvV7JeCkImZ6cMp/PxZa/eGa9FosoSknOQ5IShhHr9frffkygteLiQohNZVkxGo85Oz1nOj2haQ1pP+Hlq2s83zu2QapijzEt33//J/ppwlevX7NcLnHQsbdjlKfxAhHbPC+fCAO5eDebDY8PD/i+R1NkDAbSqtlutwRBwIsXL3BW4BxRFB3FW8YYqsby+LygrCqiJObk7JQ4SfACjyD6bLOYTCacn59TliW7fI8fePSSlPV6yU8//YA1hsf7O5SCNE2YzsZ4niKKfMCilLQU12s59Y1GI9brLUVRMBwO+N1vvyO/uiYKQqqyom1N1yEQXOR3v/sdi9VKIEIbmRvGcUxRFHiez+npGQ8PjywWC9abTYeqHRB3CWl++Bn7ao3txI8lXt1Iez+JUbNZZ930eH5+pq2lIzDpYECHKNnddkNdyhwwCkOWi2d22y2tsSglxRUcWrMBURRjm1Y6OcZKoltdUZYFtREITxCGaN/v0rRikqRHU7cYY9lnOVVVE3qSoR75AWkUE3QCwkOmfVFkmNYyHo25uLzgm2++5m//5t/x6dMnHh8febi74+npkcvLS3pJnyROGPWH2DABp7DK4jxHXTWgLGCFmqjlNWuamroscDY8ZjlIZ0rGO1EUo5RHmvYIfJ9sm7HPdmx2G9JeQuhpwiAgilN6YSwq8DA+qtzzTvHeSr+QrCiEcti0PN49SAzubIoKNMvlgu1uz8PDM8vlSlTByqe1Muc3bduFEUESR8zms6NS2A984m6x8Y7diW4kIDYEcJJf4IwUE8oDnJNRhP4cqUo3gvjsKlDH2f5BMHfI2/iyk2Ct7fQHXReDLllRge40Cl+OEWw3WpPEUVFxx3HCyfyE25tbnp6e+PTpE5cXl5yfn/M3f/M3rFcrEQk7SSrNs4xsnxH6Ho8P9/ie6oiMChBhnK80ntK0dU1VlqA1Sjl6vZT9Pufp8ZHZbMJoNKRtT1gsF6B8lNaMBkPmsxnOGMlJ2ex5enxGKUWVlXi+RxxJcupkMjnmtQhopwdKs93XbDYbvKI6rgOB7+EHYhFVQJokx9j0crdnvVwe3UZlUbHZ7kmt5v7hEds6siwHfAbDMU61OGp6gxg/iFB+wGQ6Y9gbUG12PD48UFYV55fnKM/D92Rs8eH9ez5+qLm+vubbCxkJfHj/kfVqhWm7blAQdn+/Ftu93wcCY13XTE+mjMZTnIPVak1dNwR+iLOOm0+33HdAueura7SnWTwvKKuSTbo5diCUUgwGA06mM5y1EgTm+4wmE3qDAWEY0u8LiO7h4YG0J53mYp/RlDLOdchoLookmXQ2H4NWlIV4+z3PpyhLLmZz/uZv/0aAcVXFZDzh8eGJKt/ijKWuBCe9eHrm5uaW9XpNGKdo7TEYjjDWcXd3y/3DM3XrDk1OtBfgOhiQcQqsYb/dsXjIuL/5xPXlBS8vLwV57QdMZ3PRNiSxjL39gMlUDrjn5hznWrS2OGsxpnNM+aLPqZqaui7I8z29XsL1yyvatmGz3cr7UlW8evWKr756K2mwzb9xMfCHP/yBV69e8/btW56fFzS1tJh832c0HvHdv/uOr75+QxAFtG3Dzcf3/MN//j/5/X/5L/z45x/4+PE9s+mYpq1R2qc/GjKdzRhPJzRG6Far5bIjpqkjd9/z9DHfO+pU0gBlVWG3O4xx3NzcUdUtpycn4smsDUXZdRLqCu17TGczQhfiBR7T6ZTf/va3HHjsP/30I/t9SRJFTCdjgkDx448/MBmP0J4jzzP8QLHZrAjDgOFoiLMRbeuYTk4I/IS7u3viSPCYaZoKsa81DJKU8WhMU0v40XAy5uTsnHQwwCrFzd0dz4tHfD/g55/fsdvtSdOUKAxJ4pj/8O//B/bZnrvbO95/eM/D3T2DfnqclR6ClrTWNGXFaDggSHuYWmxqRVFIeFBnZzxYEg/RoavV6sjtDzyFco7T0xMG/ZTn5wWb7U7sL4iIsG4bbm/vWK822KalbRpmkymzyZhekpAXBT+//4Xb21u8QGydZ2eXzGanFEVJXlayGRpLFETHuVexL3m8e0JrzXw2F6BKW7PbZZRlLpTJVmJSfV8gV1EUdQE+d3z6+InpZMpwMGaQ9qWIbFpqW6IDha886koS0bT2qWspnLQSnHBrWqqmJvACBv0evbSPoJGlo2Aacxy7GCeo4aSXMuoPUWiKsqFpt4zGHiMds8tKsiI/ikHDIEBphakbamOJtC+Oi8EAo6A2Defnl0ymDav1HqU8cRvQtUU/AwXwfSlCz07PjrPY3/zmNyRJwrt37zq7oCj+O0NhVwR93rgPp77Dw+F+JRI8FAJ+EKDV52LgS6T2IXzrS7ywsb92Mfzlzzk+uv8nBV9xfJ3iOObk5IThcHgcEyyXS85PTnj9+jV//MMf5Oe0DcYJTOn0ZI5yFmRSi3aqC5UKuqhj+fkHp44GtKdl7tuJeZ1zx41ce5o46RHHEvJTFgX3N7csFgucAWWt6AU6uJI3gOFoSK+XHAFCg4Gw8NebLTc3n3BO8gQO6YRRFBKECmMsvucTBiGj4ahDEk86qIx0GKbTGUppvCiitchBwZxjjUCm7h+eWG+e6Q+HDIYjBt2pVhnDKE0Zvn5Da6Xjtdlt8Zzm4vz86OjyfZ/pdMrLly9JYkEK39/ddwREaVGvFs/UZXkUwx4CcGazGZPxBOvkAJfts+P4KOml9IcDvDDAdO4RiyOK4+OI4FAcPj8/s12txDZnxPl0uIYmk8lRW3C43vr9PqfzE6q8YLPZSORykpCkKS+urtBatD6qs3sq5TCm6ZInW5y1bLZbnh4fUUrRHwwxFm5u7zoioXSvpYsZddemJc/veH5ekuclrRWqpdIexrYCR3IV1mniKAQUjXFUVclPv3xks94wnU64uDjn7PIcz5cxXJKk+H6EtapzLBnatsKYCtO0lFUpI+EoJEkTrBPBptIWz1eEocdgmLLPdtSVZb1akyZiQfS0xnn/xtkEouQMub5+SZqmnJ2di3Vwt+Fp8UjSjzm7OKGneyJkmI757e9+S5rGzCYT+mmP/qBHayxx2uPy6gUOSSsbhCHD0Yi729sjmjIMA85OTjg9OwHbHlsdhxPLer1hsy+Ynpxy2gEhNvs9q/Wa1WYHTnFyeooX+MduwlAP8QIJCjqMGg5ezZubT8hYTV70osg5PZ3R64U8Pz+QpjFKJeR5RVkumJ0ExEmPNBkQ+Anb7Z71enPMrbetIYliWi2zvzAI0L5CaSVaBHr4fkheZKxWYitsmpbFYom1jtM3Z0yGI3COoigYpH0GaY+//4d/4OPHT/i+dxSGHCyKo36f0aDPZDJhv9+T5yLeS/t91rv9EScbRRFFJ5ByTmJGR8MByhlM03SAJDlBF2VFWTeEYUB/MOq4DbqLy7SY1oguwjnssCWMIs7Pzyirkk+3N1IkJT3uH55pWkORl6Lkrmri0Gc0lICYwPfJOo6Asx6eF+CcxfcC0rQv0bmtRPR6nteR9sZcX1/z6s1ryqKU9jFKyIKjEc45ltsVxlm8wJPW4m7PeDTkfDSmLAo26zV1VRD4HgrHZr1hu90xHk2J45gwjLpNzkgIkTEoT9Pr9xhNxkyGU9rG8Py8oCoq6nbFZpORZVuKYisbahddfGACWGOxzjDs97uUuQXL9UrEhkFE2xjaVkR5ICI82dxNJ17riRB3MOgSyy54+/YtxhgeHh6OM/nP5DERArpOb3C4bo4buv5sDzy4Dw73Gs79im54EIQdeByHDf9LLPEXUoTj9z085HmfP69QR9Xzoeswm82OwksR2a15fl4wHA6ZzWZsN2vB4oY+STyil8ZowNfqc3JjV/QUhThnVLfxo7WIGpViNBySJv0Oe60+Y8vjBJQmyzPqsmK33ZLtxZIX9xJMY8jzQqytXQpq4Pv4Stamg3/e92XW/PT0zMXFxVFvcYBQbbY7GWEBw6GkaRrjcEoz6jY/07asVxviJCZSmsFozHQ6w/N8mrpls9nSWkfSG5KmfUI/wFcKU5dkpkH5EUmaMhnOCMKY3T7D0yKeffPmjWzgWUaeSyhOf9BnOh2z3+/EVhr6oCwSY10dtSTn5+eCA++nNNaw2+07qJzQabXySNKEyXQidsBa5tlfvf2K2VR0DIc8F4Cnx0eqQjqmnrW0XVriwf59TNHskvqiKGI0HtOmPYqqJK9KyUVREISRBPa0pitia5q2QmmPPM8ksKnX589//jN//OMfWa/WVFXGbDYljhO0H6CMgLPysmZz/0CaplhjyfMCa0UPY63BYVFW05pa9ES+Jx0830d7IUGYUlNSNoaH5xXLzZbHxYLT0znj8Yj5fMZsfsZoOAPkAFNWeScR0njaYZ1H1uzIigovCIiTULQiSmK1q6oUe6QCX2tqaymyjOXzQkZVf3lD/jcef3UxcLBaHYRSh03Uocge9/zzP/8jaMvbr9/y6tVL1usV7z9+QHuab3/7G+IgxLYtPWOoW2kP6k6J3x+N+OrtV6wWCz59/MTJfM5sLJz3NEkJQhGMFHl+vJGFRW4YpTGXl0Lbu729JctL6mbFJttz9uKS/mCAgq4SVZQdc6CqKk5PTzk5OeHq6ooPH97x6cMHyStX4mkfj8c0Vcnz84r9ruDNm7cEQYg1iu2mIIpGTKcjttstTWNpWyOiySxj0Osz6Pfx1WFBdZ1/tWa1WmJw9AYDFotnvv/+RxSayXgmuOeyQSnNxfkF1hq+//Ofufn4iaqu+OrNGza7Dc7Zo9XxoCpP04S2bfjH3/9XEeokcWeDybh9eGK/3zMYDBiNRpycnBzFJnEcg7PUVUHTSmZ7U5dstzuxWnZinuFIo5THIao57o/Z6g04i7Hi+46ahiiJmEwmvP/wnvVmx/LdJ3766UPnERb3AM7i+R5hGBBHMb1+j5P5iYyX7u7Y7bYMBpJemPaS7nQnp9PD6aqua5qmIYwjxuNxF+srYrnF84LatmyzfZdiFxCnEUEkHIa4F2GscBd0B60C0F0ELYhtbjYTXHWeF0TJliAKaGzDdD5lOBpQlCVhGDM/lXGZaS37fYYXBkx6c6IwwNe+xKeWFU0r95BtWpqylqhnpYjDCGeVpG8+L7oFx0Mph3NGwEKI7306nTKbzQgCX3QIQwFMbbfbrliGuikxtsa6BofFYbsxyeeN+Niyl+yUXxUDh839Sz3A4VR2KCYOJ+0vRXxaKxT/bfXyl46FohAR4cH3fzhVH/zoYShiud1uz9PTE+PRSBLp4pjzsxN8rfF9jTMtVZmTNw2mK/SjjtEfROGxKNZa41srmN04JogiuZ6d6YrnjCSJcc5y/3gj+QodHEhrEdjWTUOS9AiiiKIsJQbc92iN6dDQ4jTo9Xqs12t++eUXQTJ3RaHv+x0HQmQcbWtYrzfUdduF/cTd9+jhUNzdP3Dz6ZN09gYD3n7zjTAbHGRZwX63J4oTkmRMFAbEUch0MiL0faqyIGsaWmcomwY/iGmtBe2htT0WXMPh8GjvW6/XlGUpll1PeBhVJUK3k9mctm25u7s7vu/LxZK750eqsmY4HNHrDdjt9qLd0o7Wia6pampSBdP5nFfXLznA6Q4hTdWRw1GLUDyQjk2v18PzBA50iDs+FG3gaE2L7dZ3pSVoTjq+IWnco6yL49rreYqqlpFNmiT8/NNPXQptwe3tLWVVc3lx2Tk6gg6VvaEstnhawElVWTMaTnDsqDfCVXFKgF9R7B8PS9Y6cXv0PJTn09YNmJbWOhbrnKflj4ShRxSFnMzPODm5IArTzp7bAobA87trD4oyY7l8Yjodc319SRAOcU6JPqPnMRpOwGoyVeC6A8dqsZBAt79yj/+ri4ED7OHnn3+maQzWuONGESUxcRRx8+kTTVsTRQE/vfuJ/+vv/zNJFHN1fsFmuWI4GHJ1dc3zYs1qvaKsa9a7LVFHAfvd735H07Vnol6Ep4TVP+isZB8+fGC/WQsvHajqmsfnJ/KykHZ5GDA/OcFLE24fHgiiUFqBWpDHZVWyWq/J8pyTkxPG43FnX/Hp9/s0bU3oe4RBgh/4fPz4kaaqCYOEMExpWyWaBuVRVQKN6fdHbDYSNNHvD2jrmuXTM4HnE4cROIfteP0qkCLGGUOeZ4RRxKDfRzlYLJaMRk8MBgMGgwHr1Zrtek2eZdzd3LDuLDlpryfjENxxUxQgxYBAQV3I3H+/26JVh5ZF7HL9LqI17tp0l5eXRwtU28jsdrvdEPg+zrbHCjzv5py73Q66YiAMAjx3gNfo45zZOvG49vt9Xr95Q1bUWOfTtlboekaIYp7ngVJkVc2uKHlcrfh0/0AchvjGEniawbBPmiZcX7/g62++ptePKEqxzB1OYHVds93v2EV75rM5k9EEjOPD+0/sy4JkMGQwnBLHKWVR4zmweOR5cdyYlXLssxxRuEOv12c4GPL69Ve8evWaIAglwzzLuX24Y71ZEiUR1hp2+yeqtiZNe0SptBNrU+OsRxxKwto+2+Nag3YQ+D6BH9C6kqLK0U40B9PJhM024+b2ju12j2ktTsmifyAfKqUIo4iTk3lnq5QT6M3NDQ8P9zSdw8HrSHeHk/+hM3DYsL/clA+dAdWFFnx5irCda4cvCoeDs+DwPf7y1C/XwK/Vy5+LicPPdRgDTeOoypLVesX333/P3/3d3/HmzRuxAXZcioNtKssy4kiKg16aEgUyjmxrEShrranrhrKtqUxLbVtaZOwQpglVZ3FN0hSHww8CGWPhkecVq/USoSp6lGXBZpeRpCn9VHfiTOlg1E1J4xxRGEE3wjRVSd9POgqkdBkeHh744YcfOp7IsNNDxMfwMtHESMEnUdUVzkka5YHquNnuyIuSpCf3bX84ZJ9nPC2WOOtoW9FEpKkIiI86jk6cHXgeTisqU7NaZjStw+HhByHWGLRWnJ+fMx6NeHF1xdPTEx8/vmezkSyIQxdOKcVkMOby4hLXdSrv7u7EHj7oY1rbcWMykqTPixdXGGPY7jfHuHiHjBmfnp/Jd3uKQtr7oo/q4EB1zWa9ka7NdEIcCW79YLuU106urQMV1TmH53tEcURd19zd3xEFEd+8eksYBdw93lJUOdaKvc+Ylv1+z83NDU9PT0folbWW1XqN5/tMjcDvJpMJL64G1LXp9FA1rhsNdHpcnO20N3SdNKWwxlGZmjhJCKKIugXbiKMJ53BWutx5UbHbF6xWez68vyMMUjzPR2mLcy3OOMIgJIx8rKuxtuZ5+URRFpyezOn3B5KvMRoxn5/hrMbWTqLgtaaualbL1b+9gHB6Oufq9UvauuXH739is5bYysFwyJu3bzk5PSFMIi4uz4iTlKKo2e5y9vuCk5NznPZZ7zN+N5lgrOL+/p4ff/wJPwiJ/IivvnpLVdREQczTwz271ZpeEnN+fkFVNaCFT+0Q3KZqLHVTUVcNdVXRNi3D4Yg4SjjvpUxnk24NdDjteHx+OPqCh2nKi9MzQgVNvsf5Hm2R0+QlfhIf7Xm//PILRVYLTGMyJe31pepyArxJBz2G0xG3j3ecXV5ycXEpXP/Wst/tKKsKTynqqiQIPNIwFb+81ljTkucZGgh8D9sJ2WwSU+YZP/3wA4+fbmjqks12Lf5/z8M0LWjJGpd2MDhnKcqcBmjrCoPj9OKCs9MzFBqnNcr3CYNAFsGuk0CH/bRW/O5hEHWFTo32YDgeMT87J3x4IitK6tbQmBpwNG3Nvm3BWPpJglTpRsRobUPTNvTTmJdXLwiDmCzPeX5esViuZOFTTroDygMlp/G6MbSmJHAK0zY8rdZ4ynFzKxHTX33zBu1B00jAR9pLpXh0jrJu+PjplvVmz3w6Z3wyJyxK4rTHbHYCaNpmh8JDaXCeI1SK4WRKW9fgLFEYkiYJFxcXjCcTfN/j/vGepm0Jophev8/J6Qn9kQgvW9PS6/VxVk51eVZQNw2DftS1qi2+B671WW83bFdrtBI6mTUGHF1sbICt5bS8WW26DpycrGzn1Xed6r/f6zMeTwnDiMGwz8np/Nh+bpq6y3hvjjPPL0NKDlpClFw/WDGLK6vEevbFEeIABDrYYr/c1P9SE/ClkPAgUpQvEC0CKLEecug6gDJgtfAVdtsdf/rjH/nxhx84OzkV0M9sRhSGGNPge9KqrpuSLN8S+B5ZkaPF+iDXc5jgzxJ2RU7TNviB5Ds8396yWa9RaM5OzzBabLeWQmbJOIpSSJFC4hM7YD/ti/BMByjlg7YoL8BzLXl+CLryulOgxfNHeJ7MidfbPc9Pz6TpgLOLF+S1QINaW0MtTg1rLKZpJchGaW4+SWrii6sXpIMhtjXoQBgfVV3S60RzFshtKRuwcex3gtxWSuMHYl8r64Y4DDk7PWG9XfH+/UfW6y1ahwwGcnr3A5/BaMx8PGUynRBozfLpmeenB+q6ks3OWJwRW6Q4VGTjnU+nZLutCCE9zWw6Jcsy9lnOerUkjRP8QATMJ7Mp/VTwuWVRYKoW51sCz2c+nVKUpWTZ+D7JyRy0ojUtbVvT6yV4noQFGWPwtCKKQuI4QCuHpcFpRRAHgCLb5jw8PdFPe+wvMk6GM3qDHkUlwWXagW0bnh/umc/nXFxcsFlv2Ky3jCdTybSwTtY/7ZOmPb5++y1XL17ypz/9CWs+EPqBYNerSgiq2qK1z2EsJ2AhTWsdfqQIvQjttbS2xjmL1qA9X2b5zWEE52OcT20tWlt85YH2MLahLEpsbvA9hfIcOEP243t++PG9JG9ev+DVq1fEScTj/QPZdi/FwWTCUCkm0ynGfi7g/02Kgb/527/l6sUVtnW8uLxiu97x/pdfyPISz/PZZxmTKOT8XKA7v/3udzR1w88//0wYJfTSAYvnZ1arDavlgvVqTRRGPD8t+fT+E0mYYI1hNBihLTw+3LFYLOn3+9QHpKlSxHHazcgbrHWdj9Jju9nQNhLQIAESXZhN9yYVbUtTVPTDhIvTM4ZpD9PWbPO9KJMLiXtdLJZEccLVy2uU9nl8WIIDHYQEYQhA3dQYDJtsg37Q5GXBeDrl7PKCy+tr8qrkxz9/j2sbWRhbucAD36Pf69ECi9Wap+cFSdrjzatX9PuCXj1wvFf7Bc/1A1WVAbL5jUZTnNU0VtTgSZJgrNigmqZGBz55WdIay8lozGR2glKKolOzH051qmP6Z1l2bId5nRc3DEKKtqGqa/ww5Hw+p7bQPD5hjNjRmqahrCpC7RF0XADrZC5o6gZwGNvQ1BVpHPHVq5d4gc/94zP/9M//zMPDU+dhk/fHOa87FVlQ0KCx2u82JcN6X/B//l//hT/8+c8MR0POzk55+/YNQZDQNA6nAnr9PlVZcf+4IC8aJpMZQRCj/YCyasQSVpZYQ9e+LNEo+oMxo8GQJIoEVhX4tKbhebEkzzPKqqCsSlznKEjSpMuX8BiPR4yHA0xbSdfEtoSeIkrE+nRgRJheQ5Nl5FtNXVUYZEP2PJ/NboPdyKKQd3kWByKftUYU3KiuzRkwHk+I4wTP8xkM+gyH/WMrNcuyLtd8+6vN+1cgoC/uaYtDOXekBHpfoIWPH9ZKHsIXQsEvMbaHguPLn/FFCgGfWxt0J+HPokJnXdcud2x3O9798gvf/e53XCQXpL0eSkOW70nThCBQ5MWO1eqJ8XhCa2Qj9T1pvRsnQCWlfJI4kHFTVbLf79nnOUEQsy9Lim7UKfdOjTWNOEo66uBwOCRNU5rGYVqD7wUopP08GIxI0oimrthnGVXZYK0EMKE0m52kAIa+uEs8rdnuMha7FU1Vc3d/S1WUxFHM6ckJ/f4QTwtb4eHhgR9//BFjLa+//ga0R9phxa0zeErhrMXzfHpJwiGK2RmHMW3HiVAEYYTnKfqDEdt9xvtf3nNzc8vieQV49PsCdzs5Pefi7ByFoq0bbpaf+OXdT9huTNofDImimKpqqMq6A/pUlK0hDDyuX7zg4UEYIMlgyNu3X1HXDavlmrLMiYjkYNIIKMe1La61nJzOefv2DVEks+y7+3v+9Mc/SsEdh1y9vBKqYSeYw7kjnl515FFP0x0KKkx3Qi+Kgk83N2x3O1Dw8eGGxrXk2R7rLFEsbIOqMmw3K775+i1np2f8+c/fc393T5zEKEXnmJGRp1LSnRwM+zRNialL6iLHtTVOWYIkJM/LL+y00p5XWovAOE4IwpDYOtrW0tYVznUYbyOVuVYe6AinfRGHotDaF+eAU9jWItJYKdiVhrJuaKuKZrnj7nHBjz+/79DvBc5Yrq+uSPt9xqOBAPe6zJR/s2Lg/OyMsruQf/fddzw/LLqAn4amqjGmRWvF0+Mjf/zjHxiNhvzH//gfZaFoDWcnJ5xMZxK80FEALy8uKHIhtwmsBUbDIeeXl9KezvegtLCo4ThvA8GwTiYT0rSHtTK7+vHHn0XPMJlwcSnYyCRNRdBnLTqAtB8zHo9EDOWkv5PnOa2xDIZj6tbwtFiSDoekaY+0Jwpyh0N3or3GtKAMT08PLBYLtBK74J///CcmsxlOK8I0xrUeTVVhFJimIctyqtbQWkteVTw9LZjP4c2bNxIeAqxWK1GtNzXKyt/T2E6805rjScQpCMMAzxdFtnBpNGGc0BsMcUpT1jWz2Zz+cEQQSLDLcrmkrutjHLLvPnuy605d3DQtXuBTFBV3d/dUjSB429Z0Yw6PtqlR2iOIEnpDsf/kWcZuv6NpKsARhapbnPv0+n1Go3GnYG5ZrtadVUmB0uBJm9qqzpCmReylpFygAZm1LXb88uGOH3/+wOnZKQqhGvaHA6IoZrvdU5UVYRAzGo/QSkmCoFJkmQicnLJYGhQQBSEvr6+5vrpmPBrSHwyoyoLlakFVl/i+tHRRHmG4I0mSbmRWMJlM+OrVNf00Jg4Tgkl49N2jFH7skcQJVVHgdeOlujHgacIolBAl09LUJcbI2KfIBUZyEENZpbBWuj9JkjIej9BaHefPdacZEVLinrYrJA6PvxQFYn89QVTypONz//Lr3F/8v3/t83/ZgfhvPb7sJHz+WrGSHUZ4ZSUZAg7QnsLzFL1eQhQHLJ7XbHdr+v0e2/2ObJ+hHMIX8APKqgbPI01jdrs9+/2W5XJ5FJxmWUYQBF2seHTMqz+4DQ4Y4SiK0KrF+jImO6Cme70efuBhTEOvN6CqasqyIYpi+v0+y+WSPCsZX07p9/tsd1u2u4y6aojCGAWEfoTXdUQORV/SdaPCUDa/p6dn/DDEmhbT1PR7A5w1Ypa0wmTQKDwNCkPTVGy2G4bDIVEQoP2Qp8cFNzefeHy6RSlNnKZUZc0+y9hst6zWG5QS8fDV1Qv2exEztk0jVlBEx+JrH+10hx7edLCcpoM0hWzyHe1+y8XlBbPZDKUUeVYI8yQQpK8xDUUlls7GtjyvFvT7fYbDIWjFYDSkqmuC0Du+zgcq46HFPRwOCQJfNjxnhRGSZ7TW0esNhJGhBH8N4jZ7eHrEmpbJZIQfhXi+hylqKfLLgul0KnHfveSo65CY4IIwjNjtdqxWS+bzOf1+ShD6goGeTTi/uqSxhqfnJcv1liyvqGuDU0LmjCKfKPLxA78TEPtUeUme7WnqrqC2kmSL+nXnzTnhBWjt4Xm+OFiUcChECKxpW7BW3E4P9wseH5fdgYGOkNvy5vWrTsj6b5xaeH97Jx7Ib34j8btOxB+Lx0fOTk/RvsdkMma32fHpwyf20xEvLi/46tVrfv7pJ3DipS3zvBMJhaAU/f6ADx8+cHd3z2g04e3br2S2HQbUmxalNIZDVrpQvQ5zuajj1Zdlxfn5BUrJLPj07IKT+ZnQszrP9YHjnO13LBbP3RsvLfIsK9llOVEUc3omWeBFUaK0R9rvcdo/Y9Af0HQsbt0J31BQlgVxnDKejJlOpqz3ez58+sRuv6fKM4GsWIvuZqZaa1xHYcvyDPesSNM+8/n8V+lwSimUAWMU1ukjucr3fVpjutl8hdIiwgoCH2cdQRRxcnpKUVTkecGr10MuLy6I45Cqqri9FYuUCPAqyqqWuWrg0VYVnu+RpCmj0Ri0om5aKRiiAOsMoa+J/Jg4ComCkMlohFO20y+IHzYIQiDAWkMQyObeti1pEvM3v/uO4WDAh5sbfnr3gaJsAV/sWl2nQHcCqUPD2aEkYld74CxlXXNzt+TucYlWita2KK07CxAoJYKvoMPtfnlylRvNYZzYi5SDP//5Ry4vLjg/O+PNV284mc/x/AjPyKZfFAJgiZMErb0uVEZCZj5++EQS+b9qGTsnvIg8zyVVU8tNO5pM6I9GOP15UzTGcJYkKKXJ/vEPlB1q95DYJk12AWKNRkP6gx7GSKt6twvw/QMYxnXBJu1xYfxLFbHQADshIKCdfHy5EH25UX85FjiIBg8ak1+LBvVR7f2v0c4Oz+n4xb/63ZyzGGfJioKnxTOPz89SUHffJkkSTuZzojBku93Kdda2ci/uJHa2bcXeitJHnZHWWjpYRQFKEUZCckuShPF4LAW+PaRNhke0dRzHn7MJ4oSzs7OjkHGz2XB3J1TQXq9PGMbEkScCVTSmEbV7FMc4pQjimLPLS1rT4IwhCiOG/YGQSx8ejuJMrfVRzFyWFXkjokJrWwLtEfqeRE5bRdNU4uUPAzwFYejhe7IJGCPJeL1eH0/JidU6j9lkenS0BEHIarXk+WnJ999/z+3tDU9P9ygtJ+0DYbVtnqmrRmyKWlNme6oy6zDgvc7aHJL0e1TWdEWSARxB6JMmCc6E0nkwlrwoaJqKbbYly/fM53OyPBcyZxge2Q/OuS8onhw1CxJIJ84ph6x9280W5fuMRxPJmIiibmTmGI5GTCcTqrIg7kSk77ZbfF+Ku/1+1+lRZOyptYD16joALFEUsNtt+PjxPcNhitKWpi3Z7lbUVUnaj4nShDA8YzwZs1xuubm9oyhbmffbBtvWqFBGs1rHeErT1BVNXYnWwHVBK04dCwABi7nuHlOgQ5QTKJg1B2Q4OD6HyymlwThxw1nLZrfnx5/fHdeQclL9VXv8X10M/PLzO4IgJAljdqstq8WayXDE4/0Tj/cPDMdDAu8Fl+fnbNZr8mLPerHk7PSUj7+8509//CNRGAo20km762Br832fP/3pe+6fnjg9P2e7z8BCVTc8L5dYK4z+QRc7fOAuR1HEq1evAcVut+f09IzFYkmSpqSp2Aadk6S1sgDfU7StcL0PFZO0QiEIYwKEiBamsgiHUcLlxQXXL18yGY+5vb3ln//5n6Vq604tWSbEqIeHe96+fUva76NRtHWNbVts0xKFPpeXl6RJTNk06CDg9v6eu7s7tPYpCwH5vHr1iiiKxA5TNzRtLS0yXzGbzbg4f8F4PKdxLWXdvcHKdklYjyjtcXp2ThzFrNcbmsYSJz3CKKbfTxmNRhRFcaRSGWMwFllga9DOibVGiT0PrYm0RxiHJIhTwTVGcrdbQxCJ1aoyDdvFlqqoCMOA0Xgodp7G4XtKxJC7PWmvz2A44uuvv+Krt2/43XfPPC825LnAeG5uP7FcLaC7yK11XYEgCnUlUYfgBTJTdwqrwCpftA6twvcDKSaUJ63j1tEaJ750K9eelBzitcc6sqxkudzw4cMnPt7ccnV9zXg8YjobkyYxiRewXa+5f3gvDPbzC66vrwUQlRdUe/MrhG1RFIRhwPv3H/j973+PNYazszOxAw4HaN/v7EAScXpyekYQhPzTP/3xCN+RDR266oa4w057nqauS8oyYzySa80Z2Yg7gYHM7b+Y4x836MMG7hyqs+gCRxjQXz6cOyw2spkfQmIOeRtfcga+LCK+fBxGAlpr3L9SoBhjcU0DIJt9VQmgSSnaVk5BMkbS1JUBJ77uII65vHqBNRZPabTvd9avnLouqeuKosglQc5J92/eZagccN1pL0Vh8Tx9XFuqqurGiyJ4O1BK8y7r4fn5CWthMplKRkbSQ2uPzWbLbrunPxphLMdxkPY8Qs/DtsK3qKqGomstf46JVkcEtnWOrC5kI3Dd66o0Eg/dRUW3LUkinSXPAz8KkObGZ2un5/nM52eMxhPGkxFBGIBztKbh7PyU5emKh/sH8iLj5vaTALe0wllhNQx7fZIoxjUtxT7H2pZ+PxWhcncYy/McYwWc0zQlq5V0RsIwIvA9cdsUctDSnsLUhqSXMJ+fMBoKbc/sLAZLY1tUl7dyuK4OQUfA8RA4GPQpipyyyGmbhjLLGA/HBJ7P2fwEjebm9oan+wdmwxHjvnT61s8LBoM+ly/PieOEJI35dPOR9XrFbDamqoRhcHV1ief5HfW0ZrNdcXd/g7MOpSxJGoIzkh3RVmgdMB72ha9gW9qmBGOEaWBbem3NcDTG0z6Br/B91RU99li0H+Zqh9vdmFZ0afhoP0B7Pq2RveDg/tGeh3UW5esj3l85xFrrFFXTst3nPD59RkL/9x5/dTEwmYgg78Mv71kkz3jKZzwe89VXX1FWFWVe8MP332OsVK0K+P3vf08aJzw9PnJ/d8d0PCFNk05VDJ6nicKEly9fslxt+OXDJ356946yyDmZz7sbsiEIvCMcBKQ6PFTvj48PR092lgks4vr6irOzM5IkPm5+Wg2AmDyTrPrNZk2a9un1hmjPoEyL5/u0jaOuSibTKa9fv+b6+vro6Qb45f17si6WuCylsnVW8ec//4mrqyt+8+13/H/+w//I3//n/8zy6RHjFP045s2r11RNyafbO1TXtpxOppRVfUxQc84dk+/iOMYlls3GEkY+f/d3f8uLy5f0eyNaZdnnGXVd4XdxuDc3fdbbnVzoSUoYJbSNQWmPLM/xPRgMBsxmM25ublgsFoRhRBgeLgHZUPJcsiQ8Tzjl2lcEoY/yFRDhWYh8X1jYZUZRpWit2Gd7MIrxeMx4NGG1XlIUJU1dsFw8c3N7R9U0vHhxzeXVFUEYcHk65fryEvAoq4bvfxrxX//rP7DZ7imbWtDFStF29h17iGr0NJ4XgHLSMtcBnhfKrM2TwgBAWUHLWtPStHJit1a8+kZ1uF0nN6NDsc9KfvzpHb+8/4jnKeIkIk078mKZHeNtky5u9He/+x2vX1wQdotXnhVH+l3gh/R7A0bDMavViqwo2WY5VmlaY1itlsfC4jQr0LqSEU5TywlAgUM2C8/z6PfF6hqGPmXZMJ1OeP36FWmcSI5500j7uUMJf7lRH1v4SjoB6vDnl52Bv7jfj24DoO1OKodr9NAZ+FWGw1/+rO538H3/M/TnL5wHh59hkY3xYAs75B1UVUNZtlSlwSYebQuKAGulIJEiQx2fb7qT03wuFrg83xFGlwRBwLtfPvL09HQcEwgLIKDIhcdx+B0P/A3Pi9htd3z48OFXYJ7pdCZdvX3GerUhTaXdvXhe8Pz8TBBH6CzHTyKibq1TrSQVNnXNpw8fKYuis06OBIHdubIWiwXr9YaihaY1hKFP1O9Ey53gFGRU4ClNGPg41+KHHv3RQNrlreb9+w9k25wwivFCn7JqCeIYsDS1kTCu0Qjf9wRja1s8T96PpOuOpGmfumq4u79jt8uYTAd4Xr/rlOUYI4eCsm3oddRHpRRpmuD74vSQyHDTFd5iwYzTuBPfChbbDyRUa7/fE2hFEsdHR9XBI3/QqESR+OvzvOMiOIczjof7B6EOTudcXr6gbWp22w0f370njSOMaaiKnMuTM2azKcPhCK09rK25fHHJ9fU1P//80zEWOAgCypIOUNSwWi0ZdPwF015hmoZ9ltEYQxDENK2V9aEpZbTT4cLLsiDLMoqioD8c4JyjtSXW1TL47DoCyunj/eec0E+VtmitCJSMGZySLq3ttAlKWbQPvj0UinJ/O3dgiIBTiiiW5M2/5vFXFwN/9z/8B+qy4ubTDbvNFoyILeIk5NWra6azKbd3t/z45x9IeglKw93dHetuRi0BNDGT6YQolAXbovC8QEAuvlzcm9USbQ29NGHQYT3jOGI6nXSLj2Y0GnFxcU7TtHz69InFYim2oqJkn4noazQSxazS4PmdLagqiaNQTphO0TQt1h6wtwVBFGJMS1PXxFHEixcvuLw47zLPFfPTOd/9u+9AOT58+JntbkdRlLSNwNb/63/5L7jWcj6fMR0NWT4+MBgMmIxH7IqCu/sbNrs9/eGQ6ckpZy+uSeKEKBJfMlqsSfNkynQ6YTyasFwueHy8ZzqXdMgoTGgxhHEoqlYjc8fdds/9zT31rKHX76OUpq4anFuz9D0CX+ae4/GY09NTAHbbHSBzNq08yqYhz/aURc5gIDGg2mnaxtFasRrFQUQv7eGsY59lMuM2Is47mZ7QH/SxztKaFmNajHWcnV9wefWSx+dn8fVmGV6hacOAfh+0HxD6it+8fcOon/D4tOTh8Ynn1Zq8KNnsMvHfeloo90c630HV7qG0L4FHSoOTHD6nLFYZWgd1a7C2xTkpBlQXUOK0lm5Clx7onLgE6taRFwWL5eqYB6G6KrasatbrDcvlkruX15zOZl0UaixchLRHFKecn1+S9gZkeYHyJGmyaVo+3Xzi518+4pyjKBuCMMTzfD49PFIag/UkD8BYg0P0BRcvLkiSGN/XTMZTJpMhcRQxm02EfWEFfHR/f0+7z6SXotSR6NmJA5B//dwR6Jagf9WLfBAQ0rVq/9I58GUa2l/qAY4Ew07bYK10AA5CYK1kBHQoHpzjiI211lGWFUVest/JZj0cDHBoDJqsqOjXNcP+ANu2bDbrbrN0JHHMcHgmzI1mJjkM2sf3wy4hsGC7dYxHY6G5mfgYqrXdbNluRYCWJj2atiVJY6pNye39A3mZ8+rVK3q9PlEcs1lv2e423Nze8OGX9zR1wzbfc/X6JV//5lsuX7ygrioe725p24Z9tmez34J1hE2NsY0UtR0hMgg8wsiHwKPe7siykvFwwOn5KVpJ7sg+27JcClVT+xrfCRp90Es4Oz1h+bxhuXymyCpm81Pa2lBUBdYJMt5a6Q5UhdjtLl6cEwYBjw+if7JNS1U3tMahtSCBjYWirLi9fUB7mvF4IuOCQUBPK4xyoDxGkz6+52NbS1PVAiAyhraRTqdWmiIv+PDuAwqYTKdHB08SRWgFg37/aIHmi+vD8yTGvKpKQXzXDc5AUxu2qyc+Vh/59utv+fptn69fv2Gz2eL7HqfzOXVZUGR7Ls4uGCZ9enFCWVZ4CCZ78fTMzacPVGXBdiNIdN1Fg2utqMqSXhIzGo3QSoqx1XJN07SMx1MWqw3Dfp8kDGiqWmzE2sOgqKuc9Voit31fAu9sK+4lOOC/QdxCMjZTWsSi1tE5fWIC7WG8gMYqnDLHO1Z7nebqGADXCRmtFO9hkjDt9Gj/vcdfXQzMzs4kM2C3l4umlTe4LArSNObi8oyL8zMWz09kmx1JmnA6O2G9WFHk0tJ+eHhmNpsxHPUpipKsrEl6Hs7T6EBUwFqFDIf9zkIijPciK9hpn6+/+ZrhsI9zYivU6gBAcVxfvzjmz+93O/K8YDwed3N4BVjaVkKJJhOJGL29u6WqCpqmFGFj1zrHWhbPz/z804/Yzi9qrFSy8/mUt998xd3tB9pa1LyBHxB4AUVe8M//9fdcX74g8DwuX1zy+s0bZidzwsgnGiR8/8NPWK3xQo+k12M8mZB0uEtBWlaEUYLTBhUphvMxRV1SG9uhfA1BHKCQnOyDFsK0cmJ11lKX5VFQJhecMK7ruj4m3fV6PXDQlocZNag44uzstOtMJERRLDRCJcVUVVXUZUO+XdIYOYnVZUtWSEfGOoOxLXXjZKPWTixM/RFhFNEbDI8nOtO0NJVEjtIagg6Y8vXbt7z9+rc0rSErSj7d3PL9Dz+y32estntWm83R9naYJyptsaaRkYKl6xCEwkowLRbh7zvAIpQ67bqN0dOgPJySAkJ11bV2Dqt8sAJUMp3mw9NCKsRZNus9v1//QaJmO356FEaMxyO++eYbphOhyHlBhHWwWC559/4XPnz8wG67IYkj8qImjhOsczys1pTWyejD0an4IUlj0QrYlsXDmraqeUwTeZ9/+y1nZ+ckSczF5SV1U7PPC4EdeT6q+/t+/ufnzfrwYY+q/88b++HPw2Z+aGn/pbXwy8eXxQJ8jjz2PA+cw0cjzZ1uVgryHnSvfRwnpKnAwbIsZ7/fst6syIsTyrqkNi2NNTjPYzCacHZyCrZl2O+z321pm4pBL8XZirZx4DRR0MMasXD2e33B1rYNdVUT+IEovk+ECCjpb6vj6dBaw2DYI0pDlK+o6opdtiWKQgmLmU0YTyY8PT1RFgVN3Yjt2fOYzWZoFLcfP3YaJdVdC1IYtRjWmxVJFHXwrZCT3ozpbEJtYL3dU5YSaeyHAUVR0DQ5zrOM5yOGoxG+70tErXKMB316ccTCNBTZnrJsyIstQQcPMs5QFGXXadJ4oY9tLI01FNuC7X4vttSyYrFcE4Zrej1hWWy2OxxOArGUx25fUtVWUMSzCev9ln2R40Wuo6zKoc0GUmTTGBk/egFt2VCXktehcJycnHDeodGXiwXL5ZKmaRiPx4AUpEorLJbNdsNms8E6uL5+RVO15FnF6nnBzaePFFlGXRTCV7DSTm9bCQ0bDMcEXkC23rJ4eOR5sWK92Yo+rCwpiy3OWfabTQcaizBOg6/Zrbdo5wgCERCGkXAa9us9SRhyfnJCsd/z9HBPVWTi/AC5ibtY+LLIiXxPRq3mUADILeCs7U713T3E53vNOIVRCuX7dFxYjFXHvf/wfE91YDst96Z1jqpu8byA0WT+V+3xf3Ux0E97/PijxOpWdU1bN0Lby3OuX71E+x5+GPDtb39Llu3RnmRFn11c8tNPP/Hx40c2ux2L5Yog9FmsVlRVw2jacP3yFf/r//o/U2x2PD89UxTiAU6SRPKpyx3LqiY/P2fYT3HWCM2wN+Dq6grnHC9evOjaqX0eHp6ExR14+PgEQUTdVkQmYD6dHC80tOHD+/e0RuKP41Q2wKCLa16vl/zxT4LINdbga4/JdMJkPCFAETiIwqjLXD/nxeUVvufRNi1np6eMpxNRwtc1fqA7RWzAerPFKrEBNXVDEkbd3NUDJaryqq7ww4izs3MJF9puKfYl4+GQi6sL2rY9Qnc2m02XZR8dW60HJW6v1+tuCBFLFkUhjPVOqONFfMaMeppAibjT9yL2+4ymaRiNRkzGU8qq4vH+QRamVsh9tgOClF2ISJbtGQwF8RpFIQe+/nq9Pp4Ui0LIaaZu8Hyfqlsk+8MBSZKgfUuUJPRHAybTCeeXF+x3ez7e3vF//qf/zGq5Emueljax67b5JA6YjGdUVct+l9E6mXcLKOqA9G1xGEBGS86C6dpuSmk0+rhhaq3QvuoKNXMU+Sjl0EruRmOFPFnVNft8jQI+3jzyy/tb+n2xAPpBiHHwvFjwtFjQWmnpV22D5/m8/yQnx4Ml8LAI4sRBM5/PBarliW7mZv2JRRdZe3d/x3Q6Yz6fMZ1O2e+liyKpZeGvZ/kKnD5QAGSRdceN/9cb+mHWf2jzq07c9CsNwn/n8eWYwHYYW6cEctQZDkUYx+f44oMmqK7ro8aj6TQFBw3DYDAQ4qS1pHHM5dkZ++2G+7tbdts1xjYdLwD8dY7nRVRtw2635/7+njzLaI0UpV9/8xW/++47Eahpr7MhhoRBRF5IqqTSislkKlohpVlt1gRBxHg0FvHhcMDV9ZWo9bvW8L/8y7/gi6JDotFNe3w9DsChpjF4XkukxVprLLTGdvAoSBKJOd5sVrRti1KWKJaiczAYMJlMhNanHK5t2Gx2LBZLjBVnV9PUpIN+N14KjwAnnNcJIEOy/Z62tUJV9ETTZYyVEV/Tslo9URYlk9mEOElEfJdlNOs1q/WaF9UlUZfJUFWVjBYtaCvrSxjFhFGMCgI8LyBJYobD/mcYUTcSDcMQ1an5DxkgUgA1KK3w/M/XYhRFXF9fg9Ns13umIxmf4Syr9Uo6k22DaRsWzy2h72MDn5ubj7RGRmqtEdCYsY7ZfE4vDbi7u5HMkrIiCEJxJnQz+qZuUE7masrByXxG7Pu0bd3hmee8/eoaR8vzYovpDibOgNKyftSN6USAdJqQTijcWcW/1N+IuFhGFXVNlzPyWdgrI4bDfXjQ7Njj2tU0hoeHR/7pn/6FzWb3V92vf3UxoKzj6f6BIs/xfB9jLTrwcZ6mqCuyqqSpayYnMwbjoVSZjeWrr77mf/gP/xO3Nzf8/T/8PVVVULUG3w/xw5goDKmLnEEyY3r5gn6vf9w4giDg8vICfXrGzacb1qsVEoEu0Ju8qLl6ec18PsfzPD58+MDt7a1gYa2haWu0r2hNw36/ZT6fcno2kwUNxXQ6xLlLvFuP3b5i0O9z8eKSMIrYZxleIMr9D+/fSz5Ar8/iscXWDZPBgGo8wRjDyckZv/n2N8xmc5T2+Pjphn22p+7CXZ4XC7QH1kqL+I9//DNl0zCdzXn79i1vXr5iMpkQxSECfJPZ9m67pd8bEIURNx8+8Xj3xLfffsPsdHYESez3ez5+/Ci46FbgN4e27EGglqaJCIO8z4tzUeSY1tAUVScGA5T83Dz30Crg6emZ3W7HcDjg/Pz8uJAFQdANnhWmU2QfFpuiKIkimcdq7cn8zIk9tKqk6BLE7A5nDaPxGC/0qOqSalUTlxI2on1FY2ra1hFFPmE4RinF8+tX3McSCdvr9ciyjCzPCcKIN6/f8t13f8N+n/P7//pP3Hy6wXWbWuDFXetdxhe1EfaAbUUBrZSWQB6l5TSjFBpF4Edo7dG0tbSisYiOUVp5IGMH3AE6IjPver1jvduitRDftO9TNwavw1mLaliz3mdUH25Ex9BaFD7W1OBk/u4HEcPhSHQu6zWD/oC/+9u/JUkS4jgkyzO22w3L5bqzIIpdL03T4+tzWHT/b4Ag6FT7HQjoL9r8hwLg8N9ffv4v6YN/+d+H5x66A0rprgjr2ALIh9IS1jIYyDUWdZ7ow5z44CI6aBNMVwSLq6Elz1vauiL0pOj8eHuL72tev36F0j6L1Zq8qNFel/TphzRmz9PTkjiKhHuy3jCfB/SHQ16+fIUx4glXSuPVFa1pQSv8blNfrVYkidz3ouzumA2e5uLFJUEc0dQ1aEUSR6z3G3b7XaczKo+/v0YsckEYiXPGQWuMILK1IgwjcQ4ZQ5omjCcjoqhDLccRg8EQ3/dYLp65+3TDer0hz0S8uqv2xNGEJI7ppSniNilpm0b4FZmMrJTSRFGMVppa10frqe+J+2g0nDAYDFEaBqM+dEVt2zaiu4giGV/FIRaOKXsAvh8RBBHaM/QcHTY5YTKRgLv1es12uz1eY/1ej+DqiiAIqDs88Xa7la7EcNAhuINjQbBarHl8fMRDrp8Dmz9JEwaDIfk+wzmDr0XFXzQV1pmu2AtI4kjuTaVYbzdHUWyeC8gp8COxcHoeURji+57EC5cVJ7Mp89m4E7xakiTib//2d6JpKP7IZtcFNjnX3V/us/1Ra5nxdyAxRUcB7daqYwFv6ZwFDda2f3GvHSBhrivkZQ1TfBYnNrXll3cf+PD+5q/a4//qYuCn738Aa7k4P8chqvuD3eZh8cx6v+NkPmcwGTOcTjDLNdV2z/3TE8r3uX79mqKu+eGHPzOejsVX7xxlkdNLYvppQr7PqSoJtACZF1VVzXwy4ezFhSBzjaQ47XYb9HZH1dRcXFwAolFYLpegNe9++Zn+MOHlyys2mzWD0YA4EbtXVVVEnQ1lNptRljVNu8L3ve5FF/++52vyshRLX2dV85WmKSomwyFtt8EpZ/nw/h03Hz+CDmg7tOW+EGFSb9Cn1xcva7bPWC6XVK1hu9uzWq746fsfuLq64vWbV7x5I95QKXhanp+f8ZQAgZq2Yb/bs9lshDamZZN9enrqNo6A7Va81b7vHyvsq6sXKOXwfe8YcNE0VVftquPCag9qe+fQSh9zsX3fJ8uK7vMdFjcMu4IAoiTGpsLeN8ZQ1RVB5eN5iqKoaOr9cbM4+IYHgwFKOZIkkkQzZSmriqLMRYCqRadQN00X1OMYj/r8L//f/4n9bi/FVl3LiUlLl2U+P+X8bIadzyl2O/abDVleYIztbkiF8nwRwEn8wLFVJzegRilfLIzySYxVYrWSvn33AdaJ7xv1xY3c3ajaOrmOlCVMEpJUYkuV9mhMS91U5EVOW5Vi/Wna44zQHpRAgNYevbTHoD/AGMOnT5/Y7/a8urrmzZs3DAaXjEYBNze3FEVFHCcdVU8dO2u/jiJ20hnoxEXGOZSzMvrQn0/7h8XoMDI7jAm+fHxZEHw5PvjLzsHnz3VfgxNWRTcGwVq0J/jhy44vchhxZVl29OI7J/jzthMyPj4+0lYVVZGjnWM6kU6BQ9MahxeEJHGPIjfEzuP07KITJ54xP9l2yN+a3T7j3S8fCCPJv1hvduz3e+Iw4PTkhKIqWW82eL6H16no+8MBSdxD+x6eEvtxEITEUcLZiwuqpmG/2UJrUM6SFzmLxeKI1A3DkDiOSKKIIAywDpoOIWycgLaCUE7Rkr/B0TYWBCFxLFHtT08LnBMG/WYtFL/hcECe5zhn5N6qG9ZLcU+ZppHNXjlM66hcg0ZRFyXbzZbdVgp03/fRysP3Ay4vr46ZF+PJRGKLcUeR33a7YbVd4zyF3wn+2rYROzByKZtuNOh5HpvNRpwxZ6dMJhMWi4VY1BcL4iji7OyMtm0li6LraNRtfUw3PdhGlVKdqFtiwJMkIYkjnBX7uaprtOo8954mTWJ8T9bLFgemJQwCrq6vWG+2NI3QRNtWsONRJJHrWmk8FEkQkaQxpqkl32a/R8URvudLnLujQ0+P6PVTqqbBlDUY6Th6niINxZpsjKVpJMfEOYen1dHdc7h35FqW1++QS3KkdyqNr/3uECK5I65b0BQOpRxK+d3XiRXxr3n81cXAw+0d/eEA7XvUbUvZefQNjqflgqzIGU8nEpfrS/ZzEMQ8Pz/zL3/4I5PJhKZpyPISP8q5fvmKJAzYrBz9XsrF2Rm/vJNAjjRNj5GpVVWx3u8IopCyrdmVBfP5lGSQUhQyM394eKDsNu1Xr15hlLQT93nGertmn+1I+z2U0mT7kizPCIOaKBZs7P39A7d3D1xcXtLahsFwyPx0TlbIRtsaQxwEHWrSUecFRVuwXi/RWoQt1ho2mw152ZIXpXQDfI/xZCLCxK2I14ajEVfXVxRVTRQnhEFAWzf84Q9/4Pb2E4+P93z99VtGIwEHPdw/kGcFQaeUt9ay3qzxPImxnUwmzGYz8jzn29/8BmMMf//3f8+nT5+4vb3l06dP3Nx85Jtv3jCZTI8V5iEJDyMXn+cpojDB970uFKoF1DG173iy5IsrS0neuQ58PDSub7sNWohuWssmlCQhg8FA2sX2y6Q8gXTUbUuQh/h5jnOWINDUdYFxcuEr5XDGoFBMhgNG/R63t4ZNU4sgNTmAYWJCXxH3Uv7Dv/8bdtsdf/jjD1S2om3tUdVM1xlSSh+LAK26FEjvc4qfUsIwkFO8CNSUEyuUswZPSRSuA2H7fynEU0ISqxpDtd2BliRGPwhwSuGHEbImSRSv6TQYcroXy53v+4xGY/zAZ71eCQRlsWS9XPHu55/57rvvePX6Nbvtnt1uR1XW0j6eTgnDkPl8zvOzjN0OCwwcugESX6SRDs9h//6SHyDv068XKeDIvDg8vuwKfNnmPBQgTdNg2hblDsRJd6ipQCmCIODiQqA1h87Rzc0Njw+Px5Pj0XlgDGUpDqHdekO22whi9jFlNB4zPzkhigXjm2Ul2/2e6WTOeDpkvdlgW0d/1GMyHwsbvyopqgo/CjuSIdze3zNIEt68fkMYJ6y6E7cOGqI4lDj0MJao4zgl7fW6RE1FXgrrIQwCwlBohTJOiI4b2WAw6JILpRXulMW4Bs/zxAZdZUwigUuJk0o0FTjwvYAwiPBiD78rTtq6Yb/Zde1ncQSFYUC/36MsDNluT969H1EUEXg+qut6Nq10yIwRemsUhoRByNPTM01juLu7xxrL2YtTsfVqxXA8Io6Fu2+c2Dkba/AjOXGHQUjckU1xjrppCKKY2WxGVVWAPY4GfN8/6pGiMCQIAlarFUVRcHJywmQyQXfCu9VqdWReOOeYzmacnm5599NPrFY5T01N4HkMhn0C7VFXpYyscdi2IvB9AZJFDu0JUGo2nXSdp4O+xWe73ZNlOb20ZJCmnf14T9vWJFHMaDDA1wrbtig8NFLoBDokTVK++eZrdvuMH9994Gm5xjh1hIwFQXgsBppaLLpodZzTfVlUf15nD/eXyIG1Up3YVnfPN1gnhznlPo8K+FeSw/+fHn91MWCcxfN9BqMheVGyfXzAD0NOTk6ZTqV9M56M8bSAf4r8kBcgorF9h1kty5KRGx0rqjhO2G5Fyfv8uO6oUOOj2EVpBZ6iaVtMsRd8q6cYDPpoT5CNbSupWrPpjKurK3qjHuvthvv7O1ar1fEE3SoNjWW/yyiKZylctGa727NYLhiMhtRtw2q9Yr/foX0fpTr6lfagtew3W8q8IMuXZHkmbaYgIO9O4V4QEdmo84PXlEUuyWoKfF9z9eIFr16+om5bqSabhv0+Y7Nec3t7w7/88x94fHjk8vKC/mDQER5brLH0kj5R4FNbCQI6xLOORiNAvM2e73F1fXVMbqvrhvF4QBjGKKUoq6rzzCpsY8Dq7uTnHU/JAiHSlF1A0UE5bo1DK/Hpt0aKBSESinUP050QncPUrSABPB+jHJ7v880339DUNR8/fmS332Ixcn1rTZzExGkip/GmIS8KEe5ZR1MLnCX0QlTSze8x9PspaRqL9VEpAk/hKYevHdcvzvjdd9/w8dMNRZFhjMyglVbd13/RDUB31ig6h8LhxpSqvJMKyKcPPn7k72lbe1TFH1vq3UertQT9dJnnWhtU23T2w0P7UAP2KKL70ron2fZjTGuEbpcXxxCg3T7nx59+5nmxZLfbsVyu+OWXD1xfX/N3//7fMxwPmc9PmEwej4jjQ5DUYUx28NN/+fjyZK+U5BIcTnUHiMmBsHj8+m6jOXyNPhQD3XNs1+oWEeNBsdAVHc6RJgmvX71iOpuiPc1+vePhTnLlDwJG9cXPsMYSBiGz2Ywkisj2O6GUbvckjaFZVKxWG5Kkx3g84fzyHOWpTnQthd1kOhFbrrEEgWxISimCKKRpW4n69QNeXL/EGMv7jx+k7Z8khFFAVTesNmuiohIrXiwOm6IqRdjcXTBta1gsnnl4fMT3fa6vr6nrmn/6p3/m/v4ez/cYDUedKE0gV9pz/Oa33zIaTZjOpkeLnVaa8XjaYW6DjvqZH50YnzsqBb1eilj9UoqyZLfdMplMCLp1wSqoykpO8U5E0FEQkaQJgR+wyzLJuGhqPM9nvV3TuJrhcEiv16dpG4qVdAtP5yc0zpLlGaY1xP0evS5BtWwqUA4vkO5THEc4JwXdw8M9y+Wy69RJoWeblu16w+P9PUWWMRqNOL+8QCm61Fqx3O12O4qsBGePYuqqLFCJdFCtakE5er0UX2vWq4UwKTwlouG2JQhDcV7ke3pJih+GGGuJ9hlZnvG8eKbIYkJfupxBGHB99YJ+r4czBq2EEKi1h+eHBH5A6Ae8vL4G5ZGkA374+RdWmx0OhXfgOGgPPw5IE4+2tdRt01lj204P0Ll4Pq9Eh5tTrn+njlHSuhuztV1t7uEfOwjOfXmn/fcff30xoBy7PCNMEmFQLzaMx2NeXr9kNp8JdrWqxFPdIS/X6w29NBVIRizJht++/YbzswtOp2dCzWobPn76yM2nG5pC5jmXly8YDkUc17QNfhIyThPiNGaz6VPkOc/LtWROax/teSSeTxIJ5SkOQk5n005Us8F1EJCn9RoP4RSsdxtW6xVBFMrpej7HGEMcRdzeLnh6fOLi4oK4PwTERlnsMvL9nqaqqMqGyA85OT2nNxzSIgEUbeso84qirlivFoKarXx6wyFxmsoC1glxbDfH2+4yeHHF33z3O7bbLcYaojAkCj2C/gDfD1CI6CdJE3Qgb/jT09MRBauU4ub2Uye6giiOuLh4gbWWk5MZg+FAiINFgzoOOr8wl3Ut/N2uFBJb1OsWd1Hna+Xhexqv0zS0RXacj4MgZV0HvSly0SO0jWQc1Kbl4fGR0WjI2zdfoYGPnz6RtxJLeui+iwK2JisqrJEjcpkXtI3MJ1sLWZervlw+0+/3SdKp2G6aVtjh+Q7tGgJtcG1GXe2o6738ft3dZTsE8mfoi5Xqmy68p+tIOKfQnU1RaS1dke6Gdh022XaqZWW753b7vHXmKBoTHG4XBvRFaIg+npRtN+sz3YcsBGEYEIYBZVmxWe9oGksQxF3xocjLhuzuToSMzlJXgn011oHyCaOEtNdHez6ubeQEYtXn16FzRcifHFGuh+tB/oVjh6Brq6AQTrxrRSRlrcU7FAAcDjmuQ+h2+QZYKSBAxirWHTkW0+GQr756Ta8nWRtVWRD5PvPZjKoWCNABKWytZb/NSKLecQG1KiTuyz31+LTmpx9/ZDQe8r//7/8bZ501uGkEG2yMZb8vO6KdkuAvB7e3t2hPxjhnl5eEWovhzzlJL+zJ6FJpT2J5FWx2O8Kg5uV4TBRHLB+eKcoSraRo0GFIXpe8e/+O1Ur0Hm1rWS7XfPjwvotDTlDKx8sLdrs9VVVinMHpgCgZMp6dcHpxjlIOU9dEYUQUBRRFyWLxyMPDA+vlljBMsMaiVYVWAe9/uWG3K7l++UqKNy3jjV6/R+D7lHmBqxqaqpLNx1iscjTWUNeGytToQBP1I9lQfRklNk3NgRRY1yWLp2fGwzHj4YhYCfI59iN8P2BXbXEKdOyBB2VdEoYBvTgWJ9R+i8LSSxNZm7KMp9bgmgZlHfluTz8Wam3bXRdt2+IpxWa1JPBCmrIBJ0E+wSBlPB52Loua2EsIvD6B55P2ep3OwQcFi8WCsiq4+fSBum6IA3E71Noymk6oHh5ZrJ55agxhEDDsDzidz2kqw3ad4WsII1/Cm7RCY2jKjMXTAypKePHimr/93e+YjKYslxssEHgej4/PPD0vQfkoHRCGPi7Lsa3FiOiiK3As3d3UWb0+d+AchtZUoFoCPxAHSzdKcNp1p5HPB5q/9vFXFwOXL14Q+AHOKW5v744tm+B9QJ7nx5vrcPq3reS0f/r4iffv3jEcDBiPxpyfnfPixSVXVy9ompLF8pF3v/zMbrsl1BGr1TN1/ZLZbIZzMVVdEfV6nF9cEAQBu+2W5WrFbrdlt16xWi3YbJdUVc5mteD+4ZakF3N6fkYcx2w3G5xzEnyCRF+enZ/z8uUrwljS9EAxmUyOYJGmafjHf/xH4jjmxXiCM5LI15oWlGy0aSz+2LOLS7woojaWMI5RWkswkDNYBb1eQuAJvtj3/Y6QJgjgA/40ihKapiWKIt68fo01lrqp2W7l1KeUptcJwuIkoawlGOcwGjm0H3v9lIvLc4IgYr3e8PwkjAffD7rFXryzhhZnLF/Gzh9Otb4vl8RBtKX159mw18FFDjMs66wExUQ+oLoURSEHZplAetI0YTIdk2UZf/yXfyHbbInCgDLPUEHH5LYivjpkSIhHXhP4HoNZj37a62Aj0vZbPD3z7qefUQo2qxWjwYiq028YY45ixafFhsfHJ8qqQnv+UexlnJBx/3IOfnwoGQcdW+eHf3eHzx9cBYfOgfvV5vnl40sBnnNOWvKe7pwQWpoNiuMJ7fBcpdTRfbLZrMmyrPt9xUqkPY+mPcT3ChLXBQIbKasKa11ndxSXSVWXx+/taS3Alg7446z9v7UqD3/+6nfqbLxfcgacOnRKDjanz86EL7/Hv9aFOHzf6XQqIV1BQNs0NHUjLAwF9/f3XV6GOArKsuSHH37g/fsPRxLi1dUVL168wBjD7cMD2zxjOB0xOZkzPTmRTawTHiqljrPduqoo/v+0/VeTJVmWpQd+ytnlxs2chAfJjMjMbqlqQAaoBjAyPxlPmOeBAANpdHVnkazMyCBOwt3c2LXLlJOjZx72UTWPrG50lEzjikR6OjGzS1TP2Wfvtb5VlOP3r7KMyXzGixcvcICiKnn99o0QOg1qVzU9thYBWBwlPH/+gpcvX7K+e2B32JOmKVEUE0QhTdeSFjm2Y9Gs7UIAAIz+SURBVBMnMbbrsNluqOpGotYdh5OTE/7qr/6KIAhYr9fc39/zuN1y2B/4wx/+yGy24OLiSnDCoUfgi35jvV7z+vVryVtwfKbL2Ti+ef78BWCx2Wz46d07Y9mMJB4YxO+fF6zXa3FkxLFomyYJXhSSFbmsd3WN47ocHR8JcKdvQYt4u2tbsjwVPovtEQbhmHFye3vL9rDFCixevHyBZdmUZWEiiSdG+ClY+sFh1PdK3BvVDg0ycrYsoiQ2LfqWKBRgUeD7uI6gyS0L4jjC9z1m84mxnmt2uy2tcSQo1+XzV6/wPBfVd9JF0pqPHz9yfX2NUj3L2YrZYok/iQl90XP0dUvvSovf9hy0ZVG3LZQVUegRWMI1aduOzWbDP/zDP/Dh+ho/mTCfLUimM6IwYDqdsFyumEwSlosl8AO7Q26ajB22rU1nUo/3j9n/Gf7304dmEJlLAN6nY81B8/WX994vefziYsAz1Lm3b41iv1UURUFRF6wf12MrDwQdaTsulhaIxPHREXmWE/pCkNrvt1TVMWEklq+yLEjTA7EfkmZb0mzL8xfnzOcLERDZPrPplEkyYWawoekk4ZaO3XbNZrPG930uTk7puprbmy1KdRwdH/O4XtNrzQmWbLJNy5vXbzg+O2M6mTFfiLK1ayTNbLlcEkURHz9+5IcffmBf1ZyfnzOJEpLphPlszjROCMz81/E89mlGb9lETkCrFYeipO4VduART2cEgYdr2wQmf35QTAtFUdErI45qG1TboERqOgYLtW2H70mc89nZGa0Rb44Lstbs93v2hx2z+ZQvv7zi8vKKpm7Z7fbc3d2Z1jNYOKAVTdMZQZIzXkhgjW0nz3MNSUxsUMP8rzMtvSAIcL2YIArQlqYsJUjJcxxmsym2ZRH4Ps+fP8d1bd6+ecN+88if9ntUK97/YJJQtzWq7/nmN7/h6uyCpm2J/Z2MGCyHtpE5ndaa2pJkyekkYTGfsd/tOOx30It3Ogh88549sn5cs97sKRoRqGkEgd1r6NXTTfJzX7w1in2s4YRv2pdtp8eq3TbKwwErqo02XoqGcfj+M5HdQM+UpDITMmNZOLbD0wbL+Fk4jmQgaC2F1VMHSDQUvblGXM/FdVxsA2Rqu47CjI/kPQnwAx9SM5HBQluCMO21Nid0KVLGxeYvnvvPFpO/EA3qpydtZpV67FQNr2WwSZo2w/g1w2k/SRJ8zx/fp0N6EFuZEYhK7kU7XvNJMqFtRbw8qPObpiEvCu7WD3S6xw0DosnELOI8deN6mVfPZjO22y3v3rxht99RVgKz+SyUHPu+lQLCdV0m0ymdIe61ncK1JURmOp2ZcV1N03ZMplMs25awnemE9JDStC3PXrzAshwjDBbQmus4PNzdc3NzQ1VVrFYSbvT8+XNaBev1mqLITfZKI12DMmeaRJycnpIkssEcH53gOT6+448q++VyydnZmXQZDZWxa2VUW5Ulvu+THvbsdjtB/kYBcRAShgFBFBIlEVVVcnNzMwbQua7NJJqiVM92u2W9fqCq6tGdNIy23r17x7fffsshO3B0dsTx6oQ4jglcjzgM6NuGh/t7iqIYi5f7exllWchYTXUKz3OZTmdoW4q3ruuYJAmO7RCEYsVuqoauUTJ6wDOUwCVa91RVwX6/JUszfNvl5uajjFNN/T9bzCnrmjiRnIV0k/G4fmTSK2zXJoliYj8UTYUWHkPRVBRNheO5WE6I7QqyvaoqdvsDWBIN37Yt6WFP23YUeYXqFHVV4ruSNPrixTOC+weuP95S1SK2tOwex7XNqPWT+2ccq42NuU/AQuJjgQHc9c/vzV9aCMC/oBj445/+RJEXZFnBarWi63q+//57vv/xe5n3ms5AVVXYts3pyRmBH+B7Hp7rcXZ6yvnZOWdnp2y3G/7Df/z3nJ2d4LgOz58/Y7tZ0zQVbVtTlLmoxVs54UwmK9qqpnFcVNdx2O54WD9QFiWFsU3VVU3dNFycX8hszPXomxbVCOazSDMmk6nMv3YHLHfL6mhF4IQM0aqSCd8ShiFfffUVm+2WP3//He/ev+dXX3zJX/3uX3F5ds4kTijTgsf1I4+bLYe8oFU9VddRq47tdkPb9QRhTBBFIspxHUJP5nxJkow+26qq8ByXvuvETnPYmw0GlO5M50A28TRN6fueIJYL8WlmZFNVJWmW8o//+I+kac5nn73i2dVzoaUFAYdDyn6/o6s7ervHcRQgbWyp0EFjA65xHXwyJ+61aZsDvUWHxnd9ojgEC4q6oDZpc7jyeUeRZBw0dYlWNsfLBb5lERjGeNcp8rYZO0ie7ZCEEaHvQ6eFDQDUVUXbtHRNA1rRK4GsHK2WrJZz5nP5L47jsSB98fI5b9685h/+8EfKuzUSXCRiP1s7aOspuOjTTetpvxvcBxrbQeyInRRB6N60Bcd//UlB8LSR2raFZTs/m3e7riuna0c2zicr4hAD3I/ag8EaqJQaZ/6CxZWC2jxpMKlnrutLp8FxRp2HZUnA0WDHUl0n27XRDDiWsTH9RQfg0/fmU07Bp2eU4TUNdizRivRm1qnHPILh3/Z65KU+iRMtcT2Ix9xCdQLG2TxueHh4GO14g5B4wAKfnp4BkKYpSZIwnU5pmoaPN2Irdhwb1XWSD9Cb02OS0Bsngud51HUtSFvVj6jlu7s72l6x2+1wbccI2Bas5jOarmNjUhVdzzWFiUWWFSTxBIyQK5oktErx45vX1HVDWRVgS869qqT16/uY+zpgv9/z3XffEQSBMANsG88PDUMgRnWKb7/9VuJ62wbfdbnc7rFtW0aVgUXg/fy9BnHrzGYzdPekZXlYr3m4v6fqOooip+87hvwo1xPGibYkoGm1WlDXJc+fP2c+n5LlKftDO4r9+l4Rhj5RkDCJJriuy/X1NT/88IMIFf2APC1Y3wtoLgh9DvstjuPQtI0JqxPniLjGanol5EkZTQpVM8ty6DscM6ZyXE8ad705TdsQRoG8BtemriVY7JDuZCzgubi2TVFm9FrhBgFxEhsRr0fdNiitRStS1eRFzmwx57PPX3G0WKHoedhu+OntO8qmJisKwihC2zZlVVOWFXVdge1wfnlJDzzuNlRlIYJgBVEQMkkSJnEsXaIoJPBdKVayPTiu+ex66TqOWiLN0Goc7r3RNTDqAnqUetq/xgblv2Q+YB6/uBh4fFyDlqRAudAW2LbNT9c/EQQBl5eXHB0dkWUZ//E//kfevX3LYr5gMV9gaU1h2fR1yySJCUOfQ7rn/fsS17P41a++oq4qfnr9jq7rKfKKm4+38gRdj9VKU1cNdV2x2Wy5ubkhzzOCyCcMYvTMwtIa1WqqsmE2mxhspGaSTNhuttIW7zW25ZIkUzlFtR2209G7Np4jQqk0TVmtVnzxxRecX17w7duf+Pt/+Hv+6Y9/pO86Fv/P/xeTJEH1ikN2YLPb0fWaplcU9xWVaXNGYcByPiMOQhGGqB68p3CSzmz+aI1n2/ihj21putaiaWrTvpUTuW0JlEQSFnPaXpFMJ+OIwHHklOK4DlkuPPWu7eiVZrlcYdsO8/mCIBChU12UqLajLHM61ZqLSvQarqFkNU2N40ie+6BaFWDJk8itrms0mtZEmjq2A9pkgiuJat48PpKEPkkUc3osdtIkStDA4+FA6AcEYUgchGzWYr9SndgkPcelaxrqUk6GdVXSNjUWmsV8Rpam7DYbkxwZYts2q9WKk5MVUeTjeB5nDxKGtN1mKGWhcbCcJ8Hfz2x3Ro3ddSJSclxTilutWOJ6me33/ac2H8woBdOiGzoMjhEN2uNIyLIsHNel7TuauqFpWlTXyLVr/PTDc5pOBcBUVZXpzvxzf1CvtbQakcLDsqVgq+vG8PXDn/mWh43f0nJAGjZ46fT/p08RP7MNWk8CwKeTizU6BHolLgvL4pNCUjbbvxwSDMWma9LmbBPjmuUZ+8OeqirN/S8nz8FGKqwLiQPvuo6XL1/yu9/9bvw8P3z4IFqTvGDzsObF1RVBEDCbzoySHYYMhSRJmM1mtEosi2VZ8vd///cEQcB8Pufrr7+mRzOdz0nzjPXmcXwewsxwmUzm2NYjZSV2vuPjY0mrPBzwPE/sfX1vnCIay3Lw/ADHUApfvHhBmqbc3NwItdC26XrhD1gW5IW4kPpeQS8s/tywBMqyRCnFbDLj9Oh4zDdQSo1K/dgPx3u3axq2mw3X19fkVcbR0YooCpEgOIlm3mwyOtWbboDDId0Lr8VIRuQ91AImc12aqub9+j15npu8E5+zszPp1FQlutfUZUlZZtB3+IGP1g5YNmVZ4jgO8/mcKIqoiwrX8cbxmFKdEVdv6FoZ8wzjCNV1oDVB4OE4gSnchRI5oNDD0Md3pSure41la3p60izlkKZsN1vKspCxThDhWRbKhslqwfH5GdNkghv4JKsFQRjy8fpaWC66p+t76qJm/fiI6zisVitzncNkmphwITikBY4Fgefimy6sHfqcnh7xqnhG25Yc8oqmUxjRwLgWyRrz6X0zWHRFvCzCZ1vuLesvDzT/NxYDXacIgwjP843S/8CLFy95+eols9mMk9MTJpMJWZZh2zZv37xFNYIK7TvFx+trbq6vsRz47/+H/47VakHb1VRVjuc7/Lf/7X/DyfJULENphm2vJUkssDgcUrI05+bmhjQ9jEAienA9j9nUkxOKYZpHUYBjW+MMebFcMptOhe6lYBXFEiNroDlWLzHJtjlVNW2LHwj9b3pyxunpGf/f//1/589//o79ZsevvvoV5yfHVE1Jqxpa1dP2PU3bcXe3piwqjlZLFtMpgedxKArswB87GJISKHkNURwQh4I/nWlJWBQRYU/dtkb4JpWfUmKrOTo6IplOuL+/Hy1BruvQtIba2CoeHh7Y7zNWqxWz2Qw/COmVwnc8CXJpW7YbyPIDQ2zmsPCLrVMCc7zYIwwDqqqmqkp6ZS5C16asSzrVorQyzpCQwPONelxOibqt6ZqabVlAr+na1qiWY5MX0KPalpvrj5RVSV03OJ7PdDKBXt4v3feCRXYsVoslQeCjEf3HZrMxFilpg3qeK2pfDWfnZ7z88ks6BX/84/f8+c+vyfLabH5yYnyatyGiOtWPN7XYLg30Q5kOCYIOtWzLCOksA4oCbQJHhpmerZ88/k/JfTxtkl0nITRamRuYsSuwWq2wLMtoQ2psW2KZxz6nBRY2PRZKIxuIuU8LE2WsdY9tO8ZG+QmKmEFjLO17rTWWtn62gIwdAYzuwXz/T8cJtmWZAsF8jW0Zi+aT8l/rp+TDT7UElmWLCtsRi5xQ4zrWDw+kh1QKdXOfiw2vNfoX0ZXUhrD5/r1kPEwmE7q2NchjTV1UbNaP0Pf4xpLrui6hmTtLERJS5gWHNEUpgXQNHJIh2dCyLLIs4/r6mnfv3hEE0qJu206AOlZhMiFumC8kXCeKIi4vL4W2WRWUlTLCO5vZVDoZjuvh2S6/+93v2Gw2bLdbdrs98/kMpVqaRoLTbAewBDOre/l8t9udQeP6RFFMVZW8fvNaMkZsx+CURfTbti1ZlnFzc4vue6PF0jxs7kbk+3K5MmmAUnQf0hStpci/vbthuZRQLNtohgb9Rtu2bLc7fnrzgffvP5DEMZ9//gXJZMLUtpk2DaUZN1xcnHG0WqG1pqw7DoeUNE3xPZ/lYiHXs4YoCIgCX4pqx2ExmxKHAjTzXJfZfIbnuhzSlK5zmUxllJZlB9BawEWLOb7nsN/txXGlenrV03YduumojaUTC45PTvA8j7xo6F2LZy9f8M1vf0scRTyu12Tre2bzOZ9/9SVXV1c83N+T7g88bjZopWi6jmiS4EcRdVMTRrG4m2ybx8c9RZ7Rtp1YJC0Ju+tVS+T7vHj2DNe1eHd9x/3DI8rTNE0HdEZ/pQc98nDXSNFj21haYzvSbdTIutVpJaJDU+T/SwuCX1wMlJU23G2LoqjoepvF6pgokrS4umpx7Irbm3t0b/HNr78mDkL2WxFyNFXJ7c0N28c1H979xBdfvoJOodse2/WI/YjLywtevnxJ32vaRo2LxW5noDXYBuBiS4sqdE3okWXQmRsUDU3XSIywwTwmk0RsJOtHiqZjuVqJshsLz3Zx/RDPdUblRl621G1KUQsxbhIm/Nv/7t9y/ewlb16/4Q9/+pbXbyVdSyozZG5ZV1gWhFHIfDVHO5rWUlihQ4+iqiu0EquVY9u4lo3V67GACsNwPAm5nkc0SdDI3/e6x3bAD6QYWB2foHu4f7gjDKUYwOpxaweltISEtC1FUTBfLGi6msfHNaEX4F9e4ocu0STiUB7kknsKtsN1HJRjY/UK3dX0Ddiqxekbem3RadANuL5oJjwnxLdtQs/Ddm06ehxtEXgBdddQdx1NWcr80bJpLY3b2tjaxu41um1p2462EuV4qyUVTGuN6uSk2dHjKKRdqORGSIKY4MSnUYqiqtAKDrsM390J8rjIKaqGi4tnvHr1ktvbe4qyoNcK2xnQoLYZI5iTLLZYgD6Zc+vegb7FQpvQoqdoWctxZYQyOCs+bbmb0QQGhdwZYFbd1fSdehLbaVvGD46NUvaYPV9VFZvNhryo0difnPKHzV3eDxvpIvW9Qpv466HL8Gkh0tOZmEKN1Q8qB22cAj8/WYytf8uoLYfHJ92EocjobRtlBIKWbZvwFAule5TuR3WzZcYSjiN+bs/z0Bo6LR2uOi/INjuqLMdSUqBaGrqmZb/dkR4OJFHMyxcviIwiHTS77SO3Nx+pqxrbc+gti7JveX93w49v33J1cT7CrtbrRw6HTHI3HAdlRi/TyYzl8oi6UyPr5OjoaLTt9n3P4XAwc+kjfF/usYeHe25v70izA3EiWSrz+dxAtUTgpXpFWbZ0Xc9+n/H4uKfrFPMk5tmzZ1xcXBEEER8+fGC9XrNazDharTi/uiCKA7zQ53DYc9hkNJX4yZu2RkSmE4osJ08Po87ED1ySSUzgBVhK7m2tej5cf+Dk+ITz83OuXj4TUE8kce9+EFLVChtHgnpsQXN/3H3EtT3qukXIFEIc1b3AxZquwQ0CLNehqBv2WUFc1PieJxHuqufVy8/5/PPPcBybND1QZDmPDw+khwORH1KnmTkUKGxHXEuu67BcLViuFjiWg+2Ilkc1JfQOuiuhV3hBIOLbXrg3XWvhezGr+YI4CGkbZbQ5TyO61uhQsGV8VVY15ftrJlHEV19+yeXFOb4fjJC6OIqZT6f4rsfVxSUfr6/54fvv2WUytvXbhrVBRjdNjWOBpSXAyfE8lFYcsr0EktmOsWVD4Ho8O3+G7QWm49UDNnlW8PDwKFHX6mmsZts2WC62bcTcjoNtu6heAFJK9VjajAjNeOxf8vjFxcDf/M3f8MMPPwj5q+sIw4BhdgGM7Uw5vafMJol4UasS27I5OT0R9HBbc3tzQxwHNE3NZJJwcXE+zkUdxzM3o9hT6rqmbqQNHU8mqK6l61u5aMzcfFgkB2RpVVW4jhD4chNPqlSP5ThoS05OSZLgGghOlmVGcCUin9PTU46N1VD1mjAIcR2XJE548fwF+/1eNn4NURyNudt9r1lvtmRFRRj6kg7WCnff7gU326seS4sKXfUtZVGagKKOxXzBdDbFdTyzONtEUSTP3ZKRiR8EMm9W0ubkQbPb7VitFkIL9DyapkVrSfSSBdeEfOx21J7PYj7Dnc5EWGbJWMK2BuW7LAa96ui7DtV11KoXu1Tg41sejVJst1sW0ZIvf/WVaACqGtV17NMDeZ5jdQrHC8SnblnYWnQHriuCN636MYhHay2WqTCi11AbtXGnxDozmcpYp6mk/WpZlolZFRV06Hq4nm8YF4UBHsl75wYBtm1xvFry8uUL2rYjyzNhhTft0wkaOdU67hOpY+iS2J6P77rjTTm07G3bxnY9o6fQ4wash1b8gDbWlgGNtNR1I9YxLSdWtGzIvbax9BMYxrbtJ1yruRYw1/wAArLBFLU8aQEsi6aVuW6SROPma4/WQPOwGDsFo7dZPyURfjrTH4qfQWvw6WNYpMa/H8cm+mci1091AiLSdBhsU50BGA1riOs4dLZNbfI0BuJgbQRrp+dnXL14xuXzZ7RtA5bF7c0NNx9vsLAJk5iT8xMWizn39/do1WLbmqZp2e3E8uy6Hkk8wdbCFjg+PuZ/+p/+J24fRNzm++4IQXJdl6urK7IsIwgCTk9PUarn4WHNT+/e43kek8mEqqq4vr4eRY9BEKCR9aNwK1RXk2UZ2+2ewA+YxbGM1Pp+dDGt12uSOOH582ccnRyT5Sm7naQtOq7DbBYbNsqOPM9wXZcgDEaBZFVV41rmOi6B53N2eYrj22z2j/z47gce90uOT085PTulU6Lid12XqqrJigzVd/i+oHrDKDY2xq3BpVskk5hew8ePN/zw3WuytKIoSgI/4v7hgSCMmE0n+I7N1eUVL148NyLQmqqu2e/3HPZ7mrrBdzxUK2MXrS08D3zPxfc94jjGc11BmqPp2oamKdG6lzm9BZYt13zguRJqV+T0ncI3eS+uZ6E6sbUGYch8MReapOtiOTZ1U3P38ECntAim247H9aMRdAoKXnUdu61giF3H4fjoCNdxuH944PHxUdYCx+HUUHXLKqcsKlbuEadnF7KPVTVN3ZHmGbnJfLFtW56LbXN+fkYUxcznS/Ks4OPHG968fk1udGLSjTFaHMcGc9CwLIkYx9ZYjnQL6eX3/7d1Bv7H//F/4Ouvf83//D//z3z48J6+7yjLwmRMy6a6Xq/ZbrfMZjNOT0/48OE9jWoI/YBZMuHk+IimbcbFomkaTk9fcXV1SZqmxHFCVdVsHrco1ZjwEIlwxbZo2ta0du1RXTls/q3x7Lqui1bazNnBtku6TuH7FtPJFMtrxnb4sPANVjTZOPXYJlRKcXd3O/6+16Lmns2m9G1Eb+a8NhZRIIIgmeNuzIagyQ85jmObm9Wm0wrd9biOQ1mUpIcDfa+ktep4BFEMti2Wta7HdX2Oj2Nms5kIcYKQIEooypq6rkdaW9NUrI6OsB3JtxYlibgMtvstGlmc4yD8mV5heJ2t6lCdRWsKA617fNfFH8iLwwaIJnRd6BV5eiDd7wCL0PNRSnF7e8v1x2t8y2UaRsSug6UkqcuyLFzbJXCEwtdZgjWO4gRj10drC1cJl0JrodfNJlNOTk/plYgu1+s1WZZRbjZSjNo2yWQ6kt0G6+Z8ucD2pHIuqobf/uZrPn/1irpp2e23/Pjja+7vH6RlqI0Hnk82LaAzo4OenyfyjZshn8zPP9EfgASJKI2ZBUrTz/V9XOPTt7UUFF0jvHjFUzFgWZJyZ9k2rjWklMms0DbPoTdoWWC8B23bpm1a41QZipYn3cDPnrv5s0+1E8OfD8r0wf41FDtj1+M/s9AMo47h8VSs2wzEx09/znAKHYqHMAh5+fIl2SHl+9c/igYAEdwNHbKHxzXnlxdMF3PJ6NDgBj5nZxckUcyLV5/x6stXhIHP4909dZmhkZb/1dUFdd1ycyMYWwfBNzdtgx8EYit0HLquYb1ec3t7y/n5OcfHx/zN3/zNmH4o8enX+K7P1cWlFHRIEFiWZex2OyaTCZ999hmTJKHIS8pcgERHyxWz2ZwoCkZVfZIkY5poV5e8ffuO73/8kTTbs0132LbFxckVk3gm2qZsN2pJlsslxyYhc7DN+b6P67n0Vk+nW6aLCZcvLlF09FpzyFIsRz6PIfTM8z0RJjsenepF7DyZmnyLGtcTN8R+l9L3Ct8L+eqrX9Eri6qsKUsRfLuuBGqdrBZMJhO22y3b3ePo+Dk6OmIxX1DkOYHno5qW6+trwlDWuek0IQikwLJt6C2D3DWjra5rUWrofgnPpDHYasdx6DsJD/M8D91DlhU0TYvvB4I2jiXEy/U9mlZGT2enZ/S95mAK8NaMih8fHjjsD1RlKYe/MGQ+nRFEIWdnZywWC+q6NpkLS2zjlivLGsd2OT2VvI3tdscP3//I/v2BQyYjEtFcwer0mOcvXrBcLImimF71LBZTIh82Zq17eHigbhp6pem1RW852Mo10ejQa6Mt0faoJRrNib+wQ/CLi4G//dt/z1/99V/x8uULNpu1aUFnRixkj26COI4lIthzaNqKyWxC6AcmG9pmHos4JIz8cUP2PE/AOkY4lWU5j+utcP9tG1w59W33Ow6HPVr6Xlydrnjx/Nm4EA62Icdy6RqZsXlugGWJmK631DjnHB5D+3Cw++12O8kOqGtevXqF7VhkuQTOiApeLjpbgVayQKZ7ySg/Ozvj8uKc8/Mz6qahKqux9Xc4ZLi+R+gHeI4jp9+6RlnC1ZcWtURED3Sp+XxuWpWz0cbkuC62KzzzZBIThJ6hp0lxpYG27dBgZq0dXdthOeY0nSSit0Bu2vPzczzHpcgzVNeg+566adC6I/BMZawkJbJtW9K9+IBDz5WLv+85HFIaz6c19itpYxvrZN1jm03KdR16LdY2x/PQNni+x2w+ww1CMI4FxZN2Yb1es9nv6S2Yz6fYnotrUiUftxvyQlS7Z2fnvHjxYlSWl2XJbrvBDQTu0WMR+h5JMhFluVY8f/6MP/zjH/nw4QO2LSx223YkKdMs6paEikvUMtbPNjrLsmg7hR4tmfa4kYopyMzNscZWuWNZJnpbwDtojR6imE2rflC7D3PSXon9T5tZ+cAIsLFwP3F9DBttEPjDM8QyBZfjSNej/wR69OnjU0HlkCX/adftU9fApwXRP//9k895eD7jWIW/7CLI++KYLIjBPhgEAfbMwnNdWQTrmuVyOQoI3759y8PjmiiOCYKANE15fHxkPpkxfT7h2bPnnJ+f07YNd9fXbDYbbEcRxwmuq/n22295/foNr159zstnl9SNhIn99P692BJdF617drstj4+PLBYLnj9/bu4Vh8V0Rjyd8ugH2Fq6M9EkZrFasFgsKIqC9+/fk2UZjmOz2Wy4+XhDXTfYtsNqecxvf/MbyiLj/fufxnVLKSWe+qqk7RqU7o2+qAVL87h5pCqE3VFWMpIsywrPdbF6KZwnk4mMCnyfXvfGmSViy8VqQZREcl3YHqrv+fjxI4fDgaOVOKuSyQQQvVUYxcwWS/KyYr9PieIJYRCw2xVUdclsNuXqyytsS7pHZVHTtmJFniQxk0hGOfv91nRApOjzAhlFuq7Larlks340QlPp0klaYW1GEja+55nMjeG6EvCOZQtMbtCGea5rxlNCLhVmim3e15w8LzjkBymwXRc/CCR5FY3nPAmgdd9z2O6ompr1w5rskDKdTLg8P6drWtYPDzLytSySyWTUtLiuSxRHuJ5HbMsYrNe96AriiMtnV0Ko9KQr8f79eznElgm+5wE9VZXheR6npwsC53Pehw5VNWea+GR5Jg66uqHTFnXdovoWjS1ERCw6LewNC9HwfJp18l96/OJi4O//4fcyv/IdlssFRVmwfnxgvz9wOBw4OztjMplwdia2n8fHRxzPZWXigpXZlPpKGVhIS9e1o3hGKVEC+35AXUvcaBRFaKDuS45PTwmTmEOekmcZZZHTFgfiKOT09BTf97m/v2e73Qkr2hpO+Y1pg1o4tmBxh0VsiPkdEvB8X5K6hi5BFEccHx+x3W5I08OIBe17jYdD4MnJKc8yEeRttzi5w3Q+43i1IghCkmhCdviPFHVF3XTYjosXhFR5TtUqZrM5y5mcaNEIRMIShaiIlHxWq2NjH6zxfY1uFaXZLM7Pz5lOJ9zd3bJPD9S1UV07LovFgslkSl7k1G0twrT5At/zsLUkHra9EnvWJKFtKsqi4LDfUpbSPdBxjOs4Y8vWc6WTEIURSyOQrFyxrVVGre37HpYyrWbbxQ3MidBx0I5N3jQEloV2QFWa9WYDlm26AxZV346n0NZ0MfYfUxbpBNuyTKtdi/+7V0ShFKCDYn+AObVdTdPVFEUpLgLbQ9eNzIkDl+V8xn/zb/6KL7/4XAiLnoeF+Ib3+wPv37/n7u5WSI+dMglkTw8p4AYHgT2ic83fYlnicR++bFAH97qj7zQDLGzYiAcFcVUJIKhp5Np1jJ1Ym0aA1UsHZbiOx7wHM8IYAqr6Xo0jFdsW0JG2fm4XHJ4Xn2z0P3MQ6J9bDMcOQ/9kg/z0Iaf+nwsRP/3aT3+vDKLYdkTd//j4yO3dLUkk4JdBDDe8hizLePnyJWeXFxyylK7tWExn5IeUm/fXPHr3dHXH1YvnKDqzEe0Bi67TZGlJ1+V8+PCRx8ctz56J4OqwP/DmzRvWm0dsI8yzbekY5nlOmkoEbBiGTMIIqxfWQXpIcSyb6WTCfLng+Ew89ZPJBMdx+PjxI/d399x+/EiW5WL/tGxyN4Bec3J8zMeP1zw+PtK2LYvFQvQG52c0Tc0hT8nygMl8SqdadGsxn895+fIFvVa8e/eWsixomxoHcXq1rVBXBxFs2/cmf6BDaRsvjM3na7EzPI66FszwPk3ZpjlhFLFYLEhmc6aLJX4Ysd8eCLwIx/EpChFa+l5Anpd4rovrCj9FqZ6mkbVpV0uGhOPaBIGH57mAEErTVD4/37BMBiBUnud4novj2uwPe2wbLi7Oie1QNDFaIQFnIb4XYGlrZExoc712StG0nUkbjMb1wLYdHN8x4xuEJmphOgv1qBnDssjSFA0Enkd0fMxquST0Ax52B/bbHUr3BEk8Wn9BQpFm8xnKErsfQNcarPhcupST+YxdeiCvStJCxgWzsqQpC3xX0OR95xDHIcvFjPWtT1dXvHh2JRk4SlGWNfs05+PNDQ+PGykyB2y66nCQ3AXVKaqu+mfr1n/u8YuLAdd1eNys8TyX84szPnz4wN39Hb2ycB4cdrsdl5eXxHHMdDpBWy5h7PHs6oooEFtGUzccLVZ4jkeaHVivax4fH7m7u+fs/AzP9zmkoiSuaonfPT075+ZRSHqBL4E3vu9ShAH1/pG//du/5ejoiPPzc/q+l3nULmc6mROF0biYOa6F7bgmflmNitiBYhaF4oufJAmnp6ccrVZyopYuNAcTcRmGoh/wQvEWC1xHQkjSwwFsTds2OJbFbLpkMZvxm6+/IatLWktmeaEfkKcpD+6DxG76Pn4gNKuJ8V2XZUlVSmLabDYjDEPu7u9loTeKcU3P1dUFk8mE2WxGVVfYtiQclkVJWVbEcUIcx4SETCaC5vQ8jzgMyfKc25sbfNeT06RWlEUhnQVzKsnzgjiKxvfJtW1C4wt/uLuV1hUa1w9put7AdYSE5Qc+00mC73smfU7mXEWa0vQK15PwnroTOEvXayzHRYcuPWIr066N1g5FVaD3ewLPA8APfOaLOU3XjlkLsnn2oq3wPTQuXd+i+17sUg5gOVRVgdNJyMwkiQm8AQbjojVUlc9yPuPi7ITbu1v+8R//wI9vf6Kqm3++semnre9noA9rQA0P4yxGToHuJbjHscTr3/dqtOdZljUq2oeZu9XbONqMAi17DCDp0ePmPmzsfd9TGX6FMhaxyUSy6+uq+tlm/LPHJwXCUAj8bCzwsxGI/PtPHQODJsH6xBv96fv0l0yH4fsEYcDpyakpBtbkeY5ru2SHlMfH9Wi3G1I4bdvm9PiE1WLB3d09oetzslwRuBKQU9cVf/u3f8vy7RLHtpglCaHnU5Y5Ayjo9PSCLK2oSuEXbLYbsiyT+ySJTTcD4jgeE/TEwVDz8PBAkYkAEW1xfnaGH4S0qh27ilprAbKZTa8qyzG4yDWaqCLPubw65+XLl/zhD3/gp59+Ejrq2SnTZ1fipEoizuxTehse7u/Yb+XUqGEUOWbZAdW2LGZzTk9PcV2X9Xot9sGipDJFQRCEzOdz2XQB29LM5nO+/OorDocDaXrg7u6eXlvMF0tcVzqh8/mcr776Csdy8Vyf9cM9jiOckKqqzfogmOfWEhCUsCLW5IcdlmVxcXH+s2tHmU7hoIvxHJcgDCVJ1Fw7w4HR9z2augatUEpyPeJExsGu7WAh39c3qGqllAQz9qIR6ZWM08Rx5RHEAdPZzKyXNZvdFg1keU5ZVli2hR9IpPQhPdDUNWenp+w2W7q2lS4wFlESEQ26MyUHhYEd06FQSkBPw+hkv9/jeQH39/c8PDywXq/HVM4sS4XQGPpAj+o0Fh22svBtD9dySMKEyKzDvuMTeSGesetv1J62FWeDb/Usj5ZcXorGRbIfnuKP/y/3+F/0r5CIzd3hwPHRivlyRtWsKOucwy6V2bMlixy6I4kDtPbI8o66ajg9PuPXv56x2+9oyop0tzdeUDlVNI0APIIkZHPYcSgKOiy04+OGCdGk4ubjR9q2kdOE1ri2R3x0zGG35c9//o71+pEvvvwCLJuiqsnyB2Ij7gvCEFyXriyxOsfMQaX96XtSBIRuQOIn0raezJjFM5q6Zr/dgdYcHx+PrS3HcfAsn7o0tjfbpq6q0R/c99BWLTfWLY7r4tgOURwwn8byfPyQ9CAxl+nhwHa/w7JtcRi4LnEsISOW41I3Hde39xwfH2O7Pm1RodEsV3OzSMqN2TQddd2ZDQQJGWkKLAtOzk5JEglSWa/XKBPsVJclVi8Ro7WWrHiwSSZzXHuCY9vjWKN1HAI/EGKdme9mh5S2a/F8H7RYxXwTmGLZmjCJRXnt+TInyzIc1yMyiNxhT2o7hbYd7F7h+D6959J2MtKxbEuQx46Lblq6tkP3iqYW+1WRlzyuRZl8dnYqi2gY0vctrepoe5mxyekkxA9C47wQQaNSLY4tAiCxY1k4jrTv49gnCByCwKXpWt6+e0fXqSe7HpbghbFH/UrXKRxH6IS2I+I9gQD1T3N3o3zusWiRll5vNB5aQ9tKbO2w7zpYYv3rFU8ndVvIin+x0QJjKlqvemyTduk6UvAMm/ewKT8tv4x/92lB0KpOgl3kC8aiRf4/YGxOnzoOBh3Fp7jnAaokP7ofX8NsOmEyTajqkoeHe7L0gNX3pIcDSilOTk6Ioog0TTkcDqzXa/78529xXOngeL7LZJLw6tVLnr/8jDBO+HB9zW67x/d88rRAK0mYnCQTojDh/OyCwA8BOGQZfa/54osvZAa8XBKGgUBo2pbf/8ff837yns9ffQ7Aervj/nFjhIUeySSheqjYbrZY2EynE+bzGWEU8PL5My5OT3k8Oqaq6rHIDoIQUDR1yWI+4+rinDw9UBUFm8dHmlo4AoBpZ/tia9UWh8OBoiywrJ62bWhq0fg0dU2vFNpxaNrWJLYeKJoG34+IohlnZ1ccnxyhtaLKa7quxvdtqjrnYf3A6mjOu/cf2R02FOUxx6fHLJZLXr54wWQiIt5oEpKWKYuVxAt7jmzK9JpOtRRZxrt377i7vaUqcy4vL5jPZxJBrI2l1RLtTBjHOLYEurVWjwPj2KtrezzHZ5JMARE5l2VuHDoWQeDTYQmxr5dsDI3GcyQ8CMuj7RS5sUP7QSgi297Gtj0cN6A6ZGx3KUopsqo0os8QpxNmRrEv0Fqzvd9Kt6NpcT2P+WyGY7ugOvIipypywjAE1aGahs7WRiDeG5eAMrHSBV3XsFotKIpj2lZSdweBe1u3gMK2oOoUXdlha4vFZIHvidZI616yUGyb2WzGy5evmDzuaJuONE+x7I7f/u53fPXVl9zc3PL999//0i3+lxcDVVGRHVKeX10RhQviKOLlixdsHmQetlwuOTk5kQvEddFo5rM5utfc3NyYjsGMuzRns9sahaSw/pum42H9yN39Pa/fvCVNCy7On5EVBdvdnrZruf54TZamuI7DNDFzscDi9PQMpXo2mw3v31+bdrHPNtuzP+xH328cx0RxRDSJ0GEsIkQlrXjbslGR5LrPpjNUp/h4/ZGqrmh0he8HTCbTcZSgtaYpWrbtI3VZSR54nslp13XHEYQy6W6TJOH44pRoPsH3Q66urjhaHdHULRZQF7lknSfJyGrouk7Qm36A5Vo4ns3x4pjFag66F2ynSYPb7/esHx4py0pAHGHI+fk5J8fHRLG81qzIedysub25kTGC7eBa9lgYzGcC/hC0a81uc0fXtbjJhCiKSJKEpm4E76wkwTKMI/xeVLuOF2C7Hm4YoC0ZCwWm4g/8gLwsSPOMqFf4YUgYRXgmq6EsZVzR6x5bdbSVTWf4CYOALYkTnBjyLKMpK1QPTSXxsEkSU+QiLoviiCiKhJRWNpRNg2U5Ii51PFNwOTKrdiQ1LM8LrE4xnSRMZzOKojCOkQbLhqOjJWfnp9w/3AsRDbPZ245cO30vY4RejQIqL5Aqv+/F+dEbhHTXtQjWmHEOL3uyHhHFfzmTV2bWMGScO7Yj6FJL4kyGf/9pd6A1jhKt5VQ0aHrgkyLgL9qHn379cMr6FIQ0OBBEc/PkLNB/+T3/ojgZHr0pZvr+SSg5m82IolCifHVPGIX0WhTugNETuaMYbxS/tvK64jhCKcXF5QUvPntJWTVwfW2gW0a42feEjkPtNjw8rA2Y6oT9fs/D+oG6qTk6PhJh22JhCnEbz/V48eIFJycnnJ2dkefSms2yjLZp8T2f+XTGJJZCe7vZcXNzw3b7SJJIaM5ivuTs7JyiKNhsNmO0d9930qmBERzmOI7MvXnqWG42G0Bwyr4XjNeZ54nrx/NEL1BWFW/evSUIQ8mmsCz8KMCLAqJoRhBEZJnkW1xcnuE7EXd3H+l1QxgFfP31rwCH4+9+YLc/8Lvf/Y7Ly2csFyuSZDKm6Pm+x2q14uLiAq01Hz9cc9hJJsN2u+Xu7k40V1WF7jpubu6I4wSQzcuYawS5rhSe7+MCtilqPE/0Ltqy8IIAP4ikOAdc16dtaw6HdHz9gRsIFv7TEVgPnq/BcmiM1kf3EJhRQZZm7HZ7docDVSPdptlsztn5Oa7j05QVu80ez/XJ0gP5IR2tplEkB0xsjeolo8ECeqWEiQL0roj44nhCFMbs94dRmzaZTGjbVg6XriuAKEc0F1Vd4zoQ+D5DqqjvB6O7yLIk3M5qbSw0oROxOvJZLAQsp5Si6ytOTk6kqw08f/ZMnu8vePzyzkDZsF9vuf94y+efv+Ly7Bzf83hx+Zyj1ZGInczMtq5rgsBnPp+Lhc+0+LbbLY+bDUVRyQadyBtz/7Dm7uGBzWFDVQl0x7ZuOTkxDHYX9rsdb16/YRLHvPrsFVEQUFcibJtOZ0RRbBZSDNEqGRe0gRqWpntU39J3StZjrYmiGMeWhc4PA1aGovjh+pq6qUhmCWVZcf3h4zi/nM1mJFGC7Tg0tYw6srIgmk64vLrEcRzu7+/JMuEj9Gj2hwNlW+H5AuaZfDblqy++4Pz0lMcHYZQPVDLBffb0fUealWg6mqakKFI2mw0OQu1yHGdsBVWFnID8IODIcM6TJPnZhbR73Aj613GxzOneNR70uhZNwSjI2grZb7PZyEzQFgtMrxRhGOEHvhE4lbSqQ9tiZcLc6G0n3IDZZEqve1JDKMOyODk/w/M9euWNzH7Lkhu2aRoq4zFHS5qa53u0GnpbBHN2GI54YCk8IzrVkRclRVnRtB1pmpLmGUEUico8SWjqTnjqnoPnPkGA+k5R1yVHK4mLTdN0LMgGQd0Xn79CdR0//fTBYKFBBIUmUrmXPPrTkyNm8zl9r9inB0nNHEV2GtsaTt3SLehVbwRNg4BRxHqyefY/+9U2mgTXFadMj4VtYEHDfH/gI9R1Lc4dRxbAOI5F0GT86fCfhpJ8OkaQ79ePo9SnfyOv/T/1tUObYXgu/8mRBIw6ndPTU+JQYmdPT06pypJ3b9+y2+8JI+mkDUS7oihMR0We04BZfnx8FI5+rymbduwgKsOlCHyfKAjJ85zr6+tx4725uZF5c56bw8R7Tk+lu2QZHcNgUS3LUlDGtoNvO/RYnK6O+OLlZ6hOcbI6pmoa/vCHf+T9+3fEyXPCMESb5zp8RkM2Sdu2P/u5k8mEq6srjo+P8XyZAylDRdztdiYQyCVwbbquxQamyYQg9GlbcRGoXsh4lutwdHZifPctrhsRBOKAeHi4J88PTOIZTVNjdb2I8SwH3xMnx785Publi1cm4Mwasx+auiaJE85OT+najt1uR1WVfLy75ePNR3ZbCdRqmkbsfZZDVTa8f/+Rsmh4/vw5x6fHRBOftntiYbiuy2w+x+6F49H3GqeT/AZtI10n7RCGMVgWdV1JN9RR6MglcqQbWVbivnEcCU5zXTkEqE46bmVRYje2YX9Y0vE01+d0OmWxXNLULbqVQ52sGXLAGgrC4XMpypymbWiaDqU0Wne4bkcYx0RJRBDG4m5yBdg2uHuqqpKOlidFlWvExpbpmMQTwRZn6Z68KnEtF1xhsiRxwiwMsHyPvMjpOkXgSMfz6vIZk+mELDuMo4iqqow7Y/pf3N/hX1AMWNjcfLwjjiJeffYZjuXQ1C3bnVwAA3J1eLMG6+DgVa7rmtevX7Pf7YUc6Av9Kg4CUTu7LrPVksvL59ze3vHm9Rt++OF70jSlUZ3QoA4pbVVzdX5JWzd0dDT20E7V5hThk8QTgiASRO4nSussO3A4bMnzDM8NcF2fKAgMhtcVypnREvRaU1Y1aZnRGt92lhVsNo9MJlPOT88IPZ/ZbEYcRqx3G6pWImTBYjKbcX558TRXNeYjx/FwsKjzgtgLSYIQy1SJ+72Eh/S9nHDn0wlFkZNlGW/evB5tdb7jc3pyytnZGfPpDN/1OFodUdUVt3e3fPzwgSiKSZKY2WzOYrkgjGNOT07wXZeubqmqkrIoR8Gd1prHx0fz8xXT2YzpbErXtnR1Y7ztkrLnuA6e79O0rVC9tEZbHZbb09vQqo6iKrF7ib0W+BOEccR6s8E77Ec+wODj1mZujraIHA/HdFi6pqGuKlzTxrcwYTtmTm3bNqqXmGXhyz/Ie91rJvMpy9WSIJAbUnVP/msbaOuGupaF1XNcmroW/3PT4Pv+2AUCODk5Jo5jvvzySwMEKVGqJ8szrj9K8tnFxTnPnj2XObdjcXP7kX/6p39CKcV8Nh+tp+v7NXlejEWqoF89PM8ZRwl934/WsYErMIyo9HA9acbT//DQWl57nufUdW3azXJvOEMnAyk2Pu1C/OWvQ5vfsUXUZNvOJ0JIk9bY/3zDH0cMZhP9NLBo+L6f5mnMZjPOzs4kw6KSAni72/G43RJNEpbz4bOrxpOyfA8ZH2ktr/Pu7o7b21s0FovVCs+x6VU3PlfbFj3J27dveXx8HK+dIW1Vaz1uyqvViuPjY7As2q4diZCDbVV3ggV2LBvXdmgqEcuhBZH94sUztts1k0kiave2wdbS2RhARMpwOoaxRxAEfP311/JzkfhroUfaY5jT3d0driXURNuySLO92JJVRDKRjmLbSXrew+ZR1O7mfff9hPlMGafXis32gbubB4LQxbJ6bBuWyyOSWPHs5TM+e/HS5AbIfWVZFq5l01sO0zjGsx32+z11UXJ6cornChPg7u6WNM3QfU9TN+imo20asiyVkUYrWQ3nz04JwmDM7dBaE0cRriPjN9WrcQ+xHEfAVb2F5wVErocbhJRFQVaUVE1KUAlXpOs6EQs6Hta4D2Fokp3oUVybIAzQlkVRFmx2O9EJhIF83gq6+smWGwQBgS9OuPV6PXamqrqiU+IgCAwieZJMmc3mJMvp6BAbOBLDwXQoMoZH3/fkRU5Tt7hmr2i7lrwsyMuCvu2xM4fpdMpytSJOErQ5xDSNrOO97vACB41Yu3/88UeqqvqZy+6XPH5xMTBbLHjz7i3bfUqaF/hhyG635e9+/3u01jx/LmCJMAxN+7VDFWLpGARx9/f3tE1naFDSog3CUOhqShMnEYEfEn0WM5vOuL295fXr17x+/ZamqvFcD0trto+P9F1LEocEgXQjmrZht9uT5yWXFx7T6fxn/ui+73Edm2kcYfU9bdNRZiltVXF6fk5W5GDbePf3hKYtjgVt35pWnY3nOUIpq2vubm+Jwwjn5BTPkblsbywuk9kU3yQUCi55ynSSEIXBCKCxLGnTVwaNOQiCBgSqVN57M2+2yPNS7GaNwrJb8iznXt9jn9scHx/hui7b3Y70kFI3NW3d8JDn3N7c4vke8WRCFIZM4gTbg836kY8fPxLFEScngpIePMdKWbi+dEzKopDTuNmAnV7T9T1ZUaCUMoLMnl5D07W4oU8YGQZDIw4Dy8wIj09P8cIQjaaqK9pSOkSe7+OYQ6VjAY5oOqpa+BFKKRxT2HVtazgKkqQp+gSb2XwBnwj1bGN37XtNlj2d5F3Ho6pKXEtgN1ppXMchDCIsc6IeeOlN04z5D56rSKKAo+WC3379DU3Tst8f+On6PZaljX9aCgbdd8STCa8+e04UunRKFmLX0ArztOLuVjaw8bTbi49a9epnm7Q4MuS59+J7Gk+Z5tg03qOjWI+eoiwoilJmtTy5DbB+Dk76y8enJ3rHceRnWyKItI1O4Enf8M87C5+KKT/99dPnN3SqptMpkyShqxsebu/48PGaIAr513/9V7KAl2LPHTplQ6z2p86JgSlxfi4haHEcj+Exg+VMNQ2tEmQxwN3d3RjBfnZ2xqtXr4jjmD/96U+8efOGDx8+EMYRq9WK+Xw+HiqexibiYS/qim26J4kTgiggCD2uri55//4d6/UDdV3KAcUOiaOYvu+ZTCYsFgv6vufdu3fc3t5ycXExFnpxHI+nfUGzp+MBwfFMlyMMmU5j1uu1wNqaltlkgu26FGnG/nEjc3nPxYuk2Lm/fzCKfbi9ueX1D29wXUkjdFyHLz7/ipOTc84vWrRSlHlO32uiMMFyJSCuV1IIBZ7HYj7HtR18zyMJIiZRzPF8yXa7NZ+18Pg3mw2vX78my1K22zVZvufm4ZrPv/icZ8+ejRtmmhmAkum+anOtNqqjLkowkLUwCghDD6U0Zd3SY9NbNodDhh8ErI6XTCcT4jAgS3PZwFu5Z1zX5ANo4WRYGnzHRaFp6sZwFDAarHYc31iIU2DIttBam2RZ22DYI9Ol8sx6k9HrFNsW0WWSJFiWNQbTDYLYIeirqEqwLDrds9ntcGyLtqnogVb3OL2MVg55RqM6HNdhMpsJ2betKaqCdx/ekMQJm81mzKwYulrDdf9fevziYmB1ckoym3O/3fD7f/xHnj97xuGw56cPH/ANKXD44WdnZxwfH+H7vlChTCDFcrnk9Y9v6HtkA3BdHKXQdS2sgijEsmReNplOeOZdEUYBx8enT8l1vSyEge+TJOFY/biuj+cGI688DCNms9lIb2rbFq1aHDpcC5quo0hTgjBCtR1FVaO0xvU95hZcPn9m2AM92912zAGQcUNKfjiMGyTmpOH7nkSYTiakacrdw70JEZqymM04XiwI/Mgs/qBaxSFL2R5E0TosbuMCqp98r6rXVKUw8qMkZjETZfDm8dGw+13Wj2s5oczmZFnGfidgEtcXMU3lFzRljec4VGU5CuaGi2dIkPM8F8t1UKoTS+EwTsAyoUVQ1UIdsywR81iOh9ICDrIsSCYTdKfoWzMn1qIzSCYJeSnCHNuRk0EURUSThK6XtmhR1pI417TYmjH3wLYdWi1Vu2tQ1UopLMfBMkmLTdPQ6Z7A8QQ32itj8xFue6MaqrLDGuf7npx+tE3vuuhObJ1VVbFer6lrod6tVkszRgjw/YDFYkEUhmx3j5wcL7EdB993sG1N21S8f7+mUzVxEuO4LlUlWoM4mvD1r3/F82dXvH79mj/96U/StVASmfvpxjk8ei1FAkryADDFgm25OJ/49p82XJuyKNnvd0wmCY5j/r3zVDj85x6fjgmGOGvLeno+Bpfws7HBf+7xl8XCE29AbHonhgvfG4/4w/09949rTs/PObs4J/ainxUCQwAPlj3OVF3X5dmzZ08R0UASR0ynE7pO1O1JHDOfLZlMJjRNw8PDA+/fv+fHH38c2QWr1Yrb21vx3B8d8c1vvuHKzFsHsmlRFBRlSd02uJ5HsphzdHYqjIBWwsmC0Gd1tOSnnw6s1/cEQcR8siI9pOz3ey4uznHdZywWC9I05d27d2w2G25vb58KgiTE8+KRvHg4SH5I4HgCqPI8HEc4DPSaMs1o8wLP91FVQ1fWdL3Cj0LCSUIcT/CWMVEoJ9jrj9d8+PAe17VJjDK/bTSHfcHR0ZwkieXghU0Z1PIeYKHabjyxO7bNYjYTXZDlYCuNp21025FlGbPphNOLIy4ujgkjlx9++IH0cKCsKsr7gjiJOTs7GzuySinx/FuStCmAKlC9om5a+q7HsiuUlmS/vofJdE5VN2y2e/mck4QoTmRdaBvyTLpv6SFHqR7fD4hCH8eWMCjXzNl3+z2N6ngWBqyWx0R+AL3FYS+HMct01obCUJwzT4XvwMfY7XakeYpypZtn2y5xlDCdintBa3EVDEXG0BlxHIcwCg3SvBWti2XhGGCaWEQV6+3GMCkiMxoPObu8QKPQumO/O5Bl2QhNWiwWUgxM/ysXA3/9b/6arm959/YN282WKAqxbYurq+cSB1oWYgFhQ5HnZGnK8khOrGjT0uzh8XE7CmlevXr11J5vegK/NCMFGS9EQUzoRywWM5q6IY4iQYF2csENm/EgY0oPB7L0wO3tHd/r7/jq178iMP7k9LAncGymkYfVa0Lf4+L8jPnRCsvz6auWyGQDhFGEZdvkRU7fKiI/5tdffc10NsP1PcqiJEsPqK5FtcJL2O13bHZb8iLn++++HU94URyTlRZdW5Pv97iOh+f5KCVeZdfzQNtmDiZAI2UWNtt18H0P15Mkwb4PBOlZVmy3O6JInm9e5HIiqiuyPMfzfeJYaF5t02A7Nr7v0ylFlqaygdiWcAgSUfTmRUFRldSOQxD4KEvY42VRiiUxjM1GIMKtSE+w9jLLDKcTLi6ucDyftuvYbrdycusU2SFFW7YBikDXKtqqJQwCfC/A90M0FqpVqK7D1jau7eGELrZlU2lp7zd1i9f3qEbeIz+KmU2nspD0mryuuL9/EBxs20nqXxwRBD6+H3B8dGzmSXpcaNbre/b7HXXdcH5+wdXVFTEJ2oL8cKAsctIso64r8lTALLblmLm9x2634+72GtsBx4Guq+n7lt12x7fffUteFZwen+A4jgiqtCYOE6zO5eXLl/zmN79hMpnSqo66rri/u+VhvSZND9S16Fp6jcTffgIFGk7XrvOEc5bXJfeqZWs61bDf71itlkRRiESi2ibl0B5pbmM+gfVJKPNYWAhQbNCLWKabYlsW2KDtfz5mwLATMKO74Y9ta8hScHAdh8V8zsnxsaTaVQ1t09F1PR9++sD19Q3PX77g5OiEJI5J4kQ81r1AaIyJY2SGWLZNVZbkRYGFxWw+Yz6bGeuqRZpmZEWGRlrHhzTlkKVmnNSjldA2T46OqIqSZ1fPSKIY17IJDAxN9T2TKCGMIrpeOj2ff/E5z0wqooUmzw4jwGoymXJz85G7uzWOI6K6OIo4Ol7SNCWTScT5+SkvXj7np5/e8cOP37Hfbzk5PeHq4gLHcbi9vRWY0nxuDjQNlWURRr5c82lGnuZUeUGZZSyPloRJhO4V6WGHzh0qrTk9dZnZLrp3yNOatmxoyopkueCLz74gThKuP3zkT//0Byy7IysyZtOZnMRDERXP4hme7aJUZzqCNpMkIY5D0r2D5zlMpwl1PaVXHVEkJ+Y4jvlNGHG0OuLdu3e8ffeOoq5GQeUwrvF9n9l8JvhyW4iVggm3CeOIvpUNVtIqW3rVEUQhPRZ39/dstluOVivCSASls0jivy3bw3IcirzgkGXs9lsm0wmWbVObICWNJj1kpIeco9UJlu3geA69JaNdyduwx66YY9u4vkdvaZTq6buWvChAayazKfPpQjqbZcVhtyc/CDNHGdtv27Sj9icIQkI/oNc9dVnJwcQ2Yz23w/EkMK63NH0vrB6le/zAI4wDgiigaUWXsb5/YLN55FDsQcNEJ3ihSxD5/JLHLy4G/u3f/A1XVxf8r//r/4d3795yfHSE57scggzbzJItrbDoaZuGsm64/vBRFsK6HltdQ471zc3NGLM5zHvapmUxXxBHsckpcHh2FZAXkhq2Xq+xHVugHp6LrW1Up4jCgLvbj/zD7/+OqiroNaRZxnQx48XLl3ieS55mpFXB5NmFWLV68CNp4cxWR/h+xGQ6Y7FaMZ1P2R8OfPfddzzePEi34/wcx/Vxjb+2aaTb0HbiB2/NXDzwPSDEsq2Rfy1cA42tNJ0tqMy2VUSRwHLUZktdt0Shh0bR991YLbuua9LIIIpDHAfasqOsaoPBDFF9R68VcRKTFvmYB+E4DuqTU5Q2inbtSvaB5zkiXNE9lpaL2jE2pboX24xSirPzKWenAkLJC8GYRmFI1yuU1jieS1lV2G03xhcP1bNlBEFay0awmC8I/ADd9wR+QDKZEAQBeZ4bQImLb9weju2CFkGqYztGtdsblLKoboMgQHeKx/WGf/qnP/Lu3TuhkRlx2WKxkBOI47FcLgGZA7qWzAB///vfk2UZV1dXvHr1apwZT6ZTnj97JuLP/Z6ubtAmGWzzuKYoS3bbLWXXYLnOCLDqzNx2t5dgkul0RhSGqLbDBnptcX39nrIqePX553zz268lta9XlHnG3d0d3377LT/++KO0ufsex/fpzOse2AOaHtcTy6XqnrofvclZ6FXHZvNIFIU8f/5cTuVYQoDEpje56LJnD8XEzzsMAI7t4nm+dL0sC7Bk/tsoWp6EjiOgSL5abFymqyWjDlnkPSOCPFoJklZei4XvC2/AdTzWm0fpiHW9tPCT2Fi0xDprm9Pj0GmoqkqSPlXP0fEJV1fP8H2Pqi6pmwrVK3N97amqajxtu56I1PIsY2pYDF3b8v333/PmzRs+e/mSL774AtX3FHkudmgkNGsY6RV5zosXz7Eti8e1dByG8c9stuDo+JQPHz6w2W1wnGOpkrRGK0Uyifnyy8+xLNEspNmB1dFyVOb/7b//95yfn/Obb74hz3Nub29pumbk2WeZcO7LvKCtGyHd2Q7xJOZQHMiKnMPHmvSQEvoBq+URk3jCLJmwnM85OTrmX//uX/Ps2TPe//QT/+7//D/57s/fUdUVlxfCjAmjCN/zWM2OWM1X9H2HRuO6osvI0pQPHz6QZbLhTedTJtMJnu8RBgFgER9NWS5WHB2dMpsv+XDzgSzPeffu3SjgPD42IzbV4wbi5Oi1BlfTOh6t3RoxsU8UhdBLR1A7Ds9fvODCpESKQr+nrBpjywxR+wOHLJMWvQNZXRgths9kOuX07IzecvCDgPQgACFtaVzfRXUeWkmibRBKeJFt26PAdGAMVHVlitwF08mMXms8R0Bywowp6ZRiEic40yc8+ED77NqWzpL703GkyxwAVVUbIqzodgLPw/dcvMADG7Z7YVscspxWKbIipUecWXVb0ekWx/8vdwT/RcVAEAR88cWXhi7V0DTi8Q3DkMgEUyRhiOtatHXNLs1YPz6auWtn7DR6ROz2vbT89vvduNgM6t1hvJCmKWdnZ5ydnzGbzXj79i1ZlpFlmfzcKCIMQpI4om0qgdDcV9RVTZfnvH//nufPn7NaLunLmnc/fEdft6IsrgpqbcFKQddj+RZ1VXF3eyMdAd0TRxF712W9fqSsKtbbDX4ogkcJG+ooq0LifnvZ5MHCc6QajeMYpXsRFeph5qsospK6apnNFziOi+24tG1HkswIArHSpWlKb+ack2lCWQoJrWkEQ+q4poXrWNRtg11kzJcrFssF2T41ntpPFvm+oyoL2rYV1kEQ0LUthQnMGCA3lmXh+T6e48iF65pfjSrb9xZ4nnRzPMclDmXWvt/tqKonKI/neaNyWplRwZDMOJ6UkQhq25z2irLA9wPpMNQdQ2Tz0AHRWhkkdDcCPmzb5vbunh9//JG7u7tx5j2QJUFOgw8PDyOJzDLPYdjIJpMJk8mE3W7Hw8MD//RP/8RkOhVOwvERV1dXzGczKXrNHPP1u7fCbKCnR274QcAUxzEnlhAXP3/1iqvLy1H1X1c1t3cPPDw80DY1q5NjlqsVyUTe48vLC2Jju/zTt3+iqSWIx7FdbK1pkdapbdn4roPruXQ20BqhkMlEB8ZRxxDo5LgSa4sF2nboexFsCs3w0zGDfIa9Fm6CZbXjvD4IQiaTGVXVUFn1WAgMAj8pSfjZ9xrEj74RYk2nU66ursSC6bqErkd4ckyaHgh8DxuhY7quO1r9Bua+ZXQLw2EBGDfPKI45OTkxQk0pALpOSb6GFpvZMFYYDiB9Z3QIrsPRyQkvqprtThLoNvsdzvufRu2R73mkRc5mL+O3f/iHf+Dy8pJ/+2//Lednp1j0I/jn9evXokswuprFYoHWPff390RBKMJMW8iKX3/9NT/++CObzYblcsmLFy+IJwn/8Id/5P3Ha/703Z+ZTqdoSyyGyVRGcHXbUJTlmCmA7VCWFVGU8PXX33D38MC1uc50pyj8ABcL17FZLuZMJ4lYdeuay8tL/vv/7v/B425DpzqJ560qVNuybRo2d4+cn12QxDGuJ5TJzWY9Rs6Ly0OzWCw4Pjs2QXa9CO06iXu+enbBbD7h8v5CPhuleFyveVcUHHY7Pvz0E+l8znIpIx3X6JGU0li2g+c4krIYhaiuFeCZbbNarQzCWMTfeZ5TatHLaK2F1PfwYDZxOGTpKHjPPA937+K4wejdPz4W4qvnefS+ZB9oITWZLoEz8jU+FfpqSzq8di4JuF3XGcusHu+fi4sLjo+P0VqP121T1+heDrXS6WywkEI/jhNjC03RvSQHO45L2/Xs98LesLC4PLtk728p9ykWojlzbRfVyHjxv2oxUFUVQehzfn7GX//1X/N//B//Ow8PD5wdnxOaWZTcnD2q72maCglOkP8kUKWn62qwel68eME333zNzc0NH95/wHECtJYEPtu22W637Pd78jwnigIc1+Hy8nK05Di2g+/648KfJAnPnz1nuZiTlxXvr6/Jsoy6qjieLfBsh+P5ksV0RhLF1EVF14iNpDikWLbHdrtl/fiIHwQ4nkvfa9paeP1pmkrojRFweY7kKTi2R61q04oP6Woplvq2IwwiUQRbDo4jymylNE3bEkQhXuCLTsH1KUs5WV5ennN0dMLR6piqrtG9KG1nswnL5ZLra4tNtxXyYRAQJyFJIrbKnp7lcsHJaiWWSkMQ7NqGXsnsa1BkD1jhtm7Hbs0wwwqCAGxLTk6uK2OJzRbQTCYRs/lMrF7mhkaLpzcMAtr2KQTJdWSxtw0fvO+FOz4k7zVNwyGXEwW2LaKYtiPNDqRpNgqq4jgG9Ci8GeyrILPn1WrFb37zG16+fEnbtqN9Z7PZjE6J7XbLycmJATo90dCGsJFnzyTjIk3FvlmUJTf3d3y8lRTOy4tLplPhW5ycnvAN3/CwXktOgGnVD8rh6XTKzc0N79+/57Db8/zi0qSvdcRhyPPLS8JAWOP3d7ekhz3Pnj8jNuKp+XTC737zDXm657vvvqfrxG88X0iqZdt2pOkBpQUGNgB9hHPwJAzsuo7D4cD19TWz2UxEl57AdHqtsaynhMYhs+DThEE0ZoTXjJv+oAkauA1DhsKndDkpQhm/37CwDgXi5eUlx8fH1HVNejigu5bA96mrkvlsyuPmAdsRcuKg9h9wtSKubUjTA1VVjb52x3FYWTb39/dsNo+AqLQBsCRDHqsHqzevVUZFQRhyenoiITZNw0vX4UvvS+qm4eP1R7I8Zz6fc3Z6IoeWww5tW6MWahBzBkFAHInQcLCgbbeSr7I6PpYOQ9viGNR4nudYrkPQ9+PGlOc5h8OBpm2Ik4Sj42PevH3D//L//l/4/PPP+c03v2G+XBDFEWVeYDsOVVMTRJFQNB2bQ57LydHxCYOQ1WIhrA5sPNvDtmA+mzKdSH5BWWS8fv29IHMXC56/+FdkeTa6KNq25fbmhrvbNXe3tyZNMDJrjhBF4zjGM2TDrhdevhckEgWO2I+1o5hOZ2g0q7ZhNp3SdYqj5YrTkxOjz2nI0pSqrARJ7IiDJYwTjo5PiCdTotBH656mFl1E14kdebj3PM8jniTQSajX4bCnaVsOaUpZldRtNYral8slz1+8YB6Ik6A0YtU4Fj1DHMfsd3sKMwKoW6GtBn6AYw6vcr/J9alaY102Tglg7FLGxmIvo5fQ3HM9WZrS1jWubeO5PmHgYVmRXLO9LV08p0f5sq71raJuFUVRjiyBk6MjjlZH6Lplmkxp6hY7kg66Vpr97vCL9vhfHlT0H/4D8/mUpqnGE9+3f/4Tu82BxXyB5zpYfU8QeLR1TV4VdL0Eb+S5AEQcR04lYnmbjuCR6Ww6qoPLsgQGBoCo+jVqFF1MJhPm87l4cNNS0p8qjepajk+OWa0WtL3m9PycsippypqP7z+gioqriwsWiwl9K7AL3cu81HZsulb4A/vtDj8MODo5NnNOmZNGRl1sObKJKXrZ7LyAIJCMccu3oOvprJauqanykiCOsC1BVjZKSIFK9xIIYlmsHx/plcVsOudh/cDHj7emIJAIzWEUUecVfS8gnsVyjtYS9RmEHq7v0DYN+0PGbD5ntlyBhsK2aJsG1watOnxH2sNqOD0qqE035ujoaDy5DRtJY4QxdVmiOkEzV3lJWwvWVrWd4YH3HPZ7HMdjMknwHRfHsunqmqpTNN2TVchxxPsbBAGHNB05EK7rMjcq60EFLje4xJfK/NoliqJRsANwcnLCqy++IisKsiyjML/e3t6aWFT9M6iLCE4VjXqy3GRZxs3NDYkJcVoul7x4+ZKPd7f88ds/ieYkzzg5OWW5XJIkMX4UcnQiMdfT2YzVasVut+P777+nqiqWiwV5mvH9d98R+QGXFxdgwobiOOHF1RX79MDt/R1KtZR5hmrEPTGdTJhMYv7qr/41kyTm7u5R0kAnCV+8ekUYCls9r2s+fLzh7ds3RijZjRvdoG5qTWZG13X4nj8qngWB3KNHN8JTSNEwvtNa07WaTkl7vleKtulAW5yfX4zhQXtjx2yahtK4YT4tBgaq5tCZOT8/J0kS0wkUNWJdldgWnJ+estk80mtNYsYDn2Y11HVN1zbkeSao36ri17/+NZ9//jlBEFI3wua/vbtF654kicZ1x3FsIz4zhYvqwHaouw4/jiTBsHGJphOa/Z5dupf8j8klXhjw5s0b3r57x2Qy4ejoiCRJ2O12/PnPf8ZCc3y0ZECiv337lsNBFuEwjoV9EkgmQdcIjto2Ra3WeuzWtV1HbdIqvcBnZu6JZDZjsVrihwF129L1gvsOwpDpfM7q+JjQAMPausZ1HSazKb9OZBTnWC77zZ7NeiOnz7YjjkQvhJKOkhhHerRS6F6h2gZLa46WS9AW2+2OIAhYrZasVktU36F6xWw+Hztrm82Gd+/f0aM4OToiCMQZsd1KkmOe5xRF9TM88dHqiBfPX4xFflnKur7f7+naltYAe0CwwfvdFt918MNQAuE+6RqNBNdeUm7LqiSeJJycnqB6RdVUJq8ClqsV5xcXHK1WNI0an79goc8kJ2I6FciUKYpFsGyPibXDOtU0zchEGUbfw3MS7o2ESw0kzU+/VvcKx7bwfBfblutS9UNGjQv0BH5EmVfs9gexHFoQ+AGr6ZzACaCV9TyOJtSxFEYODpvH3X/9YuD//Hf/Dj+Q2aZlQVFkNE3Dh/fvubu9A93T1hVh6ONg0faNOUWLEGk+nzOfT8eKzHVtbm6uKcuSKArQ2kI1PW3bj97aIAg4OzvDsqVjMNLHYFQSe65LWRaormU+mxPHITgOfhTRtS131zdcv3nHIowJT47HPG1tC5TikKVkTcN09qQYPWQpu82WMIpwbJeTk2POzs85PjsVD31R0FRCCLQdEbo0TYXuwHM9WsfFpqUqK5quw/VclFZ0XUtRVji2Q9crsiKnKrf0nbgwfvOb3xIEHl3X0HU9rosJwsjY77d0XSsdBkcIjwpFXnUUlQAw0jSTuWbboHuxgnmeg+Xa9J1NrSt0pwWyhEB8Pt0kBwvMcNKz2hbHHlIlXRGoWf04gvA+uejbpkd1PbZlj8E40g2o8X1nbOkCI+lw8MpKPrdJuMMBq2c2n1JXlYQboej7IUhHmPFD9+jm5obfHp8wc2eja8TzPNbrNTc3N2gto5aTkxNRG0cRaM1+txcLUN+bBapguVyOauGVoY0N2fSb7ZbY2E33h/34WpIk4eLigvl8Lt2jwTaXTNCqZ7/Z8ub1a8osJwgCfM9jPq+ZzebEYUgUCkFtMklQTc0+E6fKZDLB9zz+1W9/y1eft/z+7/6eD+/f8095ThRFnF9cMD1a8fz5M9L0wO3tLUoN8CZxq3xKFKyqynAGxLbpOJ7pEokOYCgEhnb+4Ie3TEEsTBTbWKdE3+F53mhdqo2FtKkrVPNU+FmWtMLn8zme50neyOnpyA9wHAfXAq0dXNfh5cvnbLZrdocDZVnwww8/yP3WNMRxzGIu3IzZbMpqtWK5XBpa3qWwL5qO3//+9zys77AsZ3xNrovhOPCJCM6hU5DlGWEkY8dHwxtwXZfPv/iC2WwmCYC9dDzLshRip4F+XV9f8/d///c8rh948fxKAn/2ex4eHsSLX9e4Zs2bxLHw9LVkvXiGDDkES1mWJSS7psFxXZarFV9/8w2LxYLlcsl8PqcoS7a7Ha5li4vGtsWds90RRyEOoDoZh5ydnOJEclDAFO1BKFRPx4Kry0sJ9DK5IUHg0zU1bSWxyihXyIOqI45CbPtIumNJhOe70EpiZ5qn9FZP3dVEkwjLstgf9niOTZLEtG3Dfr8dNSdixXbI81w6LHmBawtwLYli6ihmEickUSyppFoKwd1uS5oeKPKM+XSCznOyqhpP38P1lSSSvOjUNUlTg2OTTBJZM7VQHQ+HgySC6p6qqfG90DipvPGwMRTGmDVxYHcM/IZhM8+yjM3jI1Mz2hg6BoNNfPxs+6cQsZEhYllYSg5aWlmmUDW6H4Beos4dbVFkBTcfrtkc9mjH5uzklJP5Etd26ZoWW1vEYYSadNRNTa96qqL8T1qA//8qBkCTpSl1XSEQE5evf/3NaK3ru448zei6RsJbrB7Pl5hIz3VNdRSDllbjbDoVZrrrGFWwxeFQsHncjLMfy4bHzXqEMfS6p9GVePqVJI4lcUzXNnRtQ1FWIqDyPPFj2rJRK9VRlAV3tzf4vm0CiBy8OJJcgbpit31kuTri889fkRcFddMKcCKIuLq65PziQk4wRh/QdkqibquSPM+kvVWXaNt0GcwHECdC9curgs1+Q696Aj/Edb2xOtRaKGC2DXEc0XaCqeyUMmIdeR8dV8YwQ7tU9SKmAhsXjySO8X1P/Pe9pi5rmTuFIcpuzZxViiDLsjk6Smj6nsPhwPYg9ppkkpgEwkr0CbYtVnZT1dmfiMyGIgJgtVpgW0NMrugnojjg5OwULOHIy0lQ3Apy8kvo1GEcXQy6At3L947imKZu2G525HlGMp0QBOGoas/Lkv/1f/vf+HB7x69+9esROx0EAb/61a948+YN9/f3HB3J3H/Y7NPDgddv33J7d2dUzLJRhUEg3mNfMLl5VXJ6dsbyaMXz589ZLBZjwMgo7rRtrk1M7uPjo9xUrovj2Cxmc377zW9wbBFc5rn4nu/v71iYlr/v+0KLDCNKJUFQZV2RZxlB4CPR3i7HqxXX799T5jl1WaJ7Rd13zI9X/Oa3X/Pb330jxe/9Pe/fX5OlhWRnaCESqlbR1g2uB1pLt2W4r8UqKEWm47rjYtcbL7bQ3LzRuWBZNodDiuO4zOe9ATQFoxOmscV+6vuesWHOOT07G50BQeBTFbncw1rh2tIqbzqFZR3xxedfst3uCIMEpTXpTsSB5ydnPLt8xnI5xzMe9iSO8V2PvlOUbcEf//Qt/+7f/TseHm45PjkmjuVeG9q2rusacanNer3hYOxnAyL2q6++MojskqLIxd6mOqOVSHAcm75XxLFsekdHgjb+V7/7LUkiWfSe71OUJev1I03bcnJ2ZvDjFR8+XNPWNbPpjMXRctxQdrsdIF2qDx8+MJ/PWS2XTEyB7hjVfVPVJjHQZz5fEoUxtZKci6qqaOuCvhXkddcr6qLisD+INQ+b5WLBbDbDM1yTodBpzAm7Kir6rme6mHFqRiN9r0jziv1B1rjNZiOdDdvCC3wRc5t5eRRFrFZHKJORkufFGLzleSJGDc1Iua4rs8YGo3hVAHCeCLtnc0kQTTOKsqIspEPk+z5ZntO0LdoUsRIMN2U2m43rm+5FVG3bFp7r0HYdSnU4JycsF4txvenajtAXd5XAlrSBgdniYtGMm7fowqTA7syIbtBC+Z5PWzdCVzR22K6TRETLBsvElnuei2VbAmbSGm2JgDvNSywLwlDej7ppRlaFNs6G1jjYdAdtVYqIM0uxjP3Y8z3Zcwx+fBi3/FctBva7zVgNiWrY4mh1jB+6VGWB6jqSOJLQCNvGcWySSWwukpogDIiiCEtrppOESZIgCMnKoDQ1aSo52cN8OY5jDvsdkReMlfhwYlHKBJ/0gqQlFP9+3YqH3FYWru0Qhj4vX70g28ks7nR2ShSLRSieTAmiGGVZ1GBacwGW7eCbXO6yKjmkqdgNLWuMNp7NQ6OU78mybKT30XWslqunN9jwp539hkZ1ROEE3w8Iw0iEIK0y3ZI5Snfc3t+aSFOBqSyXC6Mu9VG9CHdsWz74dJc/jVK0lrmT4wrgRPXUeWWqWsVuKwATmZmL5Ur1Gs/3sR2bqq7oM4H1VGVFlu7NSULEdo6hoTkWT7NpDXpQuauOJJngOR6tViaZz0J1DWUtYj9Jz5MOg+o60RswhNjokfhX5HIySZKEJEoEcwyEsegsmrqlbhv8KMSPQv7u7/6O16/f8Otf/5qvvvqKJEk4Pj5mOp2yXq9Hh8AwBthsNjRdy9GpaAiGLHnd9wQ4qF6ETY7r8Oz5c07Pzzg5Pha+hDnNDL7zu7s73r17x9y0SofFvS0qAsdlYiJtoyhiuVzi+z673Q7LslkYwp4lhmoBuMSSAVGbbAvP8wi8kJPjFb/95ms61YEWDU9T5eQH0XicngqR8re/+TX3D4+8++kjH69v+PDTB5qyxjZci55yFFli6XG+bzk2vvms20/GOjafkA+N6wAkDEmEY1LUJUnCdCpJoaWJZg2CgCRJZGNbHTGbTohDOb3u9zuy9IBtwzRyyXKHqhbg1cXZFacnl6Pm4aMh/LVlxeP9PW1Vcnx8hK2hazuy/YH9Zst3P/7If/j933F7d4tlaZq2EeJcIAXBp9om13VpWsXukI/z5iAIWC6XtG3Lzc016/X92KV0XZMuqXvS9MB2u+Ho6IgvvvjcAMosmlbGKY7rcX5xyWQ6w7IsLi4umCYTto+P1GXN+v4BgCIvuL29o2kasUob501eFFRFycXFBYEXSJ5FD0p3EktbNRz2Evr17NlzFqsj/DAkzw483H4k3W2YxCG97oSAaEt3kN4yo0AHy2LEIUdRxHQ6Ndd2jcaSX7Wc5CeTGYvVMctcUhgH3G3diR10OpvQ1a10hDxN30o0ddf1QM9kMmU6lZN530sgT1EUWDYsFnPm8yVhGI3X1RNp0sb3AzynkjAsrYWVMJsKobjX9Eo0O47jEE+SsbCxtBb2DZowDMbk1Kqp8ZWEdslBUY3jCdt2RhfSsNb7ni8FgBbqpownRfeltPBdjo+PWc7mdK1Y/ywlFMWmbcjKjLLOScyhMAhCgiAk8kPTbYMwFMBbmqXUdU3dyuF1t83Z71NWqxVHR0dcXF2gtOLDT++h17gamqpiZzoRw3OPDeFwGIP+Vy8GiqIY53/DbFkENB5d20Kvx3mz64iXuG97irqgqRscy0G5isD3cGyXLCs4HA5j66XXmlbp8UQ9Fh22PRJOPuWvD9Vb17a4vohGlFGZd1rR6+4JVBKGHJ2FXP/0lkJ3eJ4NkY8OPJRrYzsekeuCbdN1EgZjGeBK11Ts0oNkTzcNXSfV5nw+l/a2aWGlWUqRF9ArjpZLZrMZSqlx3nl0dMTZ1QUWFq7rE8cJlrG3NVUtbS7HpUPeS61krltVFa6pavu+M8WAqL+LvAJtE/iRmesK9S/df6Aqa1Ca1fII0KMC/3A4UBRirZFiY44HJJ7EEuumwQNm0XRMaeyUIs8y8DR+5ONaDq3WcrKzLIpDStU0RtTpykm0U9TVgbKqKet2bI8Ngj5xLYRMDR3LQmbH6eHA4+Oj5Kmbtr4UER4361vu1w/UlWCEBw1BGIajGruqKn71q1+NuoNBDzEIJIfW37/5N/9GFNpaU5Ylb9++5cP79zRKhIAfbm+wXIf5aklr5tDDDFgpNZ4eu64bI4KHWfnHjx/ZPqyZJ1OWiwWL5ZLFYoHnupydnXFxeYnue4lQ3W7RWnN0tDIbV/A0ejFOj85RLA0Nr26akYh2/3hP0zb0umF3/8AilsS886NjkniCrTXrmxvaUjIGet1Bx8+IQcMmb9mMo52qqsaf7bvez2BBn+KFh/bncD8uFgsmkwmB7406kDAMx26NbUuHqK5L8jQVxr4FuW7NSUuTTOb4oQfYEkqTKjoliXw9LVm2x3HkRO55Em/7ww/fk+cFb9+/p1Mdl5eXfLz5IIhi3Zv28WxsyQ/jCd0/ZQUkSULbtvz4449jV6BtGpTpfpVFQW5GPcPmMZ/NWa2WPG42/OlPf2Lk7M9mHB0dsVqu0GiBqdk2mUmo+/o333C8OuLd+5948/bteJ1Op1Ojoveo6walBuS2OD90L+Au13OpTVYDliWb+m6L48BqteTZ5SnTOOJxu6Y4iKo+jmKhuwYRTdUQ+DLyPRwOBvQluqBe61HL8Pj4iOd7zLs5y9XxSBudzqTIGSLV1a4blfJRFI3gGxALaJLEklFjcNuH3YHdbo/qFJYlr2+49ob5++BsGhgPw7UnjpZAyLMmgKhTCqVF1T+MWIZD4yBgdSyLtuuME8ASwqAZKYIUlaBGBPRQEDiOZQLExAmGFndI5Aha3Ea6Nr3nU5vOtNU/3SO9uU9E4NvjOC6W1UiqaK/xA1/swo4UPo7j0jQtuigNAj8zHROPq6sryfM4ORGrfJqSTCfjIWcYVR72+5HB0dQ1dfukufi/evziYmCYJw/WKZmnGiqgfuKRO7Yj/ko0qhO1emcq5rZu8V3fZGFX1HUjL1wDWnjjmKpwmCmJP1qKkeHPhxvYdmyZjRgbmeNJO12hKOsS1bbUXUuLxWI+Z3q8onYssr5Fdy377QbPC4jjhDCMsRyHpu2omw6lRSmbTCZMJxNOT0/Ji5z7+3v2hz0P93dkWWYuOKHbATiWRZ6mo4VuEEkmswQLoe3N50v6XlPXjVASI1lUbdvG9xwC3xPyViuirCgKOTk5QiPCP8eRz+H8/II0zczcKqdrpILODjl1VWMpcB2PwPdH0trYiteaMs9RVWlYBrZhImgDhhE4kuu4tLpFuSYCtOmEQti0dI2McyahmaF67ghMwqjKVduNHvHHx8fx+plMpniuYJxBNtgsTVk/PIxe+mEm+91333F7e8PmsCErC4q8pKoatGYEAM1nwhMYmPjD1w9FxWABGrQms9lMYpyNgOvly5ecHB/TVjVVXfPu3VvSosA2n2dVlePGV5alnIzqelQHD3N5rbWEg8QJoeuPQCqNzImXS0mxs22Ld+9+4ub2VvC0WixUcRzz7Nkzbm5uyPPcPO8G6IknEZGO8DxXBFaHLZaSCGRV1+T7HV1ZUrUteV3j9IqvPn9Fnpcc9gf2WUarup85DoZwMVF9V+Osc5iZtp/kQAwn6k8fg9Og6zrCUFrpcRyPs9NhAQcRM/Zdx2G/EwiY75EkEYZSguOJRc5xbCnMVc9kGnJxccxms6XrKi4uTljMl0CPUi3397eUVSXUwG9+je0Fwud/uKOiJMtSrq+vcV1/TG4cnpOcpsSX/vDwwN3d3ag09xxbAqwKQVfbQYhr27x89hzf95nPZsynU5l/Y1EXJa3ZiJqiJNvv+eab33ByeoK2LdJDynq7oaprgiDg2Yvn5GVBHMccHx/LYeFMLNTb3Z7r648o1ZMkT92mrm/RwHQ+J5nO0FpEch9uPpLlGYHvspxOWC2mqKZiv9uR5hnTZEoYhDR1Q5VLdorlJMxnM84ch/1BEjqV7ukN9c+2bbKqJLKgP+xFn6Z6drvdeEiTcWlL3VTM5/Pxc9/v9zw+Psrp34LJJGGxmDGbS75DkVdYyLgQ5L3Js4JeP6VkDgcGuZ+frMmOLR2aupbOZNsIubNTHdpwTTzfxzWjC+FYIAJSz8W1pXhoy5I0z5hNp5JmyBMro2lqtttH4jgkCn0kVlhSRW1bYyPcAYxLqm4qQTU74FiGGosmimO80MP15Zoe7qGiKKiqhulkZq5JZ/y7vheXz5B+ClL4Z1lmnHURRycnnJyejpTB2WwmhXHTyKja0jiu4cz0HU33X7kYODk5GT+c4STUti3ZYSthCUrhIDfZfDobyX9VWeN7Nqrtae2O2hFxm4hlhErYK2EvDweWrutGUVcQBHjHp0/5Aqbq832fMI5wXAlBGvyftiPzIq+XmU8yfFPfZXZyTNc1aM+jdxzJabcdessSSl4nsIqqEaFfpzpcz6ZHE00EczlfLuWGr0p8z2G324miPJmO/PlBKFIUxbgJ51VOEIcsFium0zmu61EUUo13jVgIwyhkNpkwn04pq5K9Sc5Tqmc2n+H7HlmWPhUYyZQoSri+vjZtbglliaKIJJ7gOx5RGFMa/v2gEh8WF9W2qLLFcyUz3ZOBMr3dY3ly0ykwylaM3aWnMilpw+ZqOza2Vrj9IE6TjonnuOC4aPOedF03CqECP6AsKvI0pSxLoWzpnjAICM/OCAJZ1N++fcv79+/Z7XdMFhM+++Jz6qrhxx/f8Pi4Icsy5rMFFxcX/PrXv2axWAi8RGtWq9V4s1jmBLXb7bi9vSUMQ44MITPLslEp3CtBIs/mC66ePyeMY+HDp3u2ZiEcUg0HBPd+v0cpZTbDCc+ePSMKQlzLHougAYaUJAnrzYYwDIWW2HWcXZxLMpthQgw+64eHB9brNWVVUVQFgbHY1k0toTCHHavFUqr/uqZvW4IkIQwClosFnz17Rm9CnA6HlDfvfuL97R3v3783HSf3KVys7+RUYz1lBwBjUbVcLscT8acZAUOHru97iqKgbWbgSofw52M9UUxrzXjqjKIApTqSWOyDjueh7Zyml3sGJafDq6urEV1sWdCpFk1PlqdClJtMSJIIy3F5/fY93/75z9i2zWeffUYUyUl+u5V/NxQmA2cgzSsT6OSPbHwJJGrZbjajADWJY379q1+JXmWIF358ZMgU+ebrr8du6fv376nKisD3mc/mbNI9H29vaNoWy7HZ7nYcDge6ruPZs2dcXFzQNA3v3r0TgFIqfIT5fMHJyekIbitqAR9Fvi+kOmz2+wOH9EBVFajOw7c1Fi1aTeh1T1kUNFVDXTV4jnRlPdej6WrSTMaGjuuimwYzFRCMNwLSsWwBWTXdBqV6oZIaxn7ViGh06KwMj+l0OmoQyrJA6562q8nyVGBCnUUYRiyXR6hOGRiUWM6HVjfIWqOUGjsDgim2pAOqOpOO2BNE4ahz8Y191XIdIWvag+df0ahupFBWdc0hTQUmFEU4noOtLLM21ux2GywLZtOEKPTok5Cm9mlqoalavehsJLFUID+WLWROR8vPdjyHwPGxHMs4WSxzD8nmPKDfPTcYIVpd15loe4fpdEbbqlGcKymRFX4YsjxakczlQGP7HlXbkqYHyqaityTZ07ZsbNfGcn4BO/xfUgzIh/HkFR44/b2SmExLg+1aaCXIRd/zOT89J/BDNptHulYIg2iNUv4nvmwTvyqsUlmYzIJ8OBxIkmSEDA2nvnHGpyIc1yH2nk5+gvRVdAYH7EchvmlRtohQz49ijk/OJLQHG9/zsW1J4fPCilb1zMxM2/Es4jhCIxWl7ThEScw8iXh2cSYVtVLMF3N8zzevR48L4KAp2Ow3HNKMLCsAi5cvPyOKIkFZVhXrhwdU3zObTomThLIq2R5SeU6eS1UXnJycUNclaZohYUfQth273RalegLXJgg8ZhOZR03CBNeVAmLw9386ZrFsi97qUYjYyNKiGu9bTVMU2I6L6zwFtIhroKIxvHjXbCSObWN1HY5pLQ/CujAMcYMAPPFfDwug7/vkRc7mYTO+R8PDAnr0mGfx4sULVqsVH64/0FuKy2dXgM3JyRnv3v3En//8Z2bTGZeXl6MYamhhD52lgVwHMmsf0uvOz8+5uLjgw4cP7Pf7n22Ctm2znC+4urwkDkOyIjdZ9DavXr3i1atXvH37lrJ8Sn5crVajL14KYXnPe92z2+8oypLnoWgQVqsV2/1OrJ++ECEtnmh+YRhydXVFpzquP16TFRmWI/HZXd9RdTVe4HN2fsrj5pGHxwfCQ4jt2cRhjNuLALVpJVb14uKU6XyG7QdcX18bi9gKx3HEgaKkGBhmrmOhh7gBPvvsM05PT0ehZNu2Y7E7fH5iE61RnTUqqaMoMqFAMj6Lw4iz8zNRmG83wgxxJL9jOp/ihT6tUpRViaoGfoEDOKTpAM1KefHC4eHhjtvbj1xeXlKWBVkhro8kSVitrji/OGM+n8qircTy9+OPP44dF8uysB0fzyRUDjbG9JCi6op0tydOEqIgYGKEj7Y5mW63O66vP9DUDZeXl5xfnIvoNQhpqpquawn9gLZpqJuak5MT0JrbmxuysuA//N3vuf7pPXEcc3p6SlmW/PGPfxRmgivdyrKsiGPRY+wPexplNmwsE9AUcXF5wdnFKbYtYVkuPa+//w6lOnzfk85CpwwwLDBAGkXdVhLfjXQCWzWQLWUMqbUwI+q2wXYdVNuBJbko8SQxs33hZqyWi9E7P9xjg2W4rktTQA5EVRk7XVxccXJyyt3tPYdDTtfl4wx/GCuN65TqTXCQEb5amrquKIuS5WLJ+cUFWS6guMl0iuv7KFG+CjjIdO3SNKWsKmpjgx26Yn3fY1vQ6w6teyP201RVQV0XxNHcdGMdQ15sQQv4y7FllNCoTjQZQUDiJVJAtc14wh0EifDk0pF1qjVrllhfAcIw4ujo2AC/7LHgHmyXs8WClWPjhwGu79H1isfthroqDQgwkCwTyyGIfKxfJhn45cWAPFFRHvdmPpNmB+qiANXjeT6OLTGw9/f33N7esVyuuLq6oghCduVOLD2d2B6An50qZDE0YTiWzSROiAKZN4beE3BkWOiVUgRNjet5cloypyNR3ov6EqBvhYwVhFIUxElCHEQy74wnnBwfkyRTbFcU7p3qwbJpWiFcKd2ZGb086romSzvaqgSlmEwnhKEIJzebjdFWOKNQp64rsiwfF8qqqo1V8IDv+SK+bCpao2hum5qyLHBcoU9NXfGZlmXJ999/j6CKlYhn+l6EKk1DFEU4rk/bKpLIJYpiXMvB0rbhOPQ/a3PHScR8NqXMBWnq+T6e742jDZVlVHVJ0w3HBU3XKvJSwCiqU6xWMrfF0gZnLDevYy5813Xxo1jAPGbDuLm5EXGe42AzOBJcbNsZN6BWSaU9cNFXqxWz2ZRGtwIHqRqSScIXX3xBkiQ4tsvp6QmO62DZlslxkAJmUGefnZ2RJAm2bXN2dsbj4yP39/ej/93zPFzPHUE3nusReNJuFPbAQmyoxo446BB++umnMcO9bVujZnbp/NZkAohd6Fdf/5q72zvCKKKqa9JMoEpOFBEEIY7rYekex/Nouo5eC89/tTzCCfxRONiY+8VxJEwqnk6xPY/tfk/XKyqTv+7Z4sMGm/1+S1YUBGEyYq4HFfTU5DtUdTnmH/h+MHbpetP+Pzk55vPPX3F+fsZ2u5Uuy37Hw/3DOIP3AxFpYRwlw/1ibnZ8Y0WcG21BnqUGXy3CVW05SEqnHgmTqpWx4RBHqzXUTcNmu8XzfS4vr8Cy2B8OhPGE3/72t/R9z08/veVPf/oTx8crXr16xdXVc5Ik4dtvvyXP83FcFAQOWvXkWUa6P7DdbWWW3QtMK05ifM//VGaB47hGMDmlDWUx3252eK6HBuIowfU8LNshzXKKsmI+n7FcLOi6jru7O+mGac0hTbn+8AGtEdqksQQDtE0jRdtyKYLHrpaMBQ13dx9Js4yLiytWRwumEwki8mwLy7G5ub+TNaeT+yhGs5xNsbDZPD6ySw9Gt+MZ66bYEaMowvakQ9i1HW35/2vvzH4jO7Iz/7tx98y8uZIsisVaWi1ZJbd7bGEaxvj/f2zPW0OSu3tUi6rITCbJ3O++zMOJiGT1DDwyPE9WHqCgQgFKJu8SceI73yJ+I0onbp4/uyAZJPr9agl8l+lEQrtkbcmFPe9Avz9gmAwpylzGNnmBqzxc5fHw+IjnBzStQO6eH9I2heRO6LVDmi8l3iyNOEoWZQV0iKut0sTUoczfo5DJdKqPfKLqMORdw5da65j487MzcTBsW5TjkG635FkGehwRBqFwc9IDTSWHn7LIoRPpu3KU5GPg4Lkurh6zjocjzsdnlFXF8n5JWRcEYYSQDkvqSt5fz3XpupaiyGka1/KvDBKiXEWZphRFTqXH7E0j3gNiJe5YKeRms+GQpniuYjSWMKXNZm1N41z1/5lAqFyZK5ZVQZodrIGEh8NoMKTfT3BAO9qFeJ5Lnqe8f/9WiBRtrTcvBfWRdPTU7ayr5OQd+QFJr3/U92oUwrh9mb+DsNm9OCb2Q5qygrqloqTlaJEaFAGqezqvb5l/uoW24+rqC4IowI/6FpEQs5Wc/XaDo6NwjZohyzI2qxXzmzm0Ag2bWWSaphSmQXFd2805jiRQhUHIYZ+y2q5Z3C6IopjpZMzFbMJwNrQ3XvzfK8qiAkcRBEK4TNM9OJAMBoRBaF3QQj8kCmThXz7cs93uJeMhijVrv8SPPTvHDXo+V1dXDJMh29Wex4dHPN+zTGtRguxYr1YcDilN3Qn877mEvT7dfkdel+yLjJ7r4CkPz1XgiH1to2GwQ1XQLwo8V5Cg0PNpVIXCQTmg3AY/cHGVKBSU22oCZ6vlbvLcuK4kI0ZuwCHL2Oz2VHVLHEV8+3ffaIjZFZIPrd7Yai4uzsh11rkh/DmOONt99dVXVl1hrXG1t4VpOK2Xhe/jNxHXmqFsnAoNjF+WpaRULha8ePGCr7/+mkSnlG23W7b7La7v8/Wbb4RNHPcoypqiamQhcT2U8kB15F3H7fv31IWYD/XiWKyD6UjzFFXWYvXqBWyzkvl6w9lsxtWr17gKwtCnqxu6usbxYTIZUdcd+7Rid5AYcXPyN/NfyXs/mqgY1r1SDm1TEsUBYeQR9wL8wKXXE8e2/W7KbDZmtVqx3W41YapGKd8iebbh7zoO6YHtTppy3w/ojcZUZcViPpe1xHdR2v9D5sIF9/f3AEJMDENaBTiKulOMp+ck4ynb7U4sm70jE1wp8e7fbFaaOyJKjjdv3nxGQN5vU7Ybkbc6OJxNphqdES7Qs2fPCOKIum2hrvF9R8zGtCHQYrHgZjGnHw8YJROCKMLzfRpcsrJl1E8YuSLJ7Q8GnF9cgF7zXlxds9/t2G+3vPvpLdvVWhZvx8HMUF0H8aJwFWl2IE0z0jxjt9/xw48/8vPNR775+mvOJhOiKCQZDugPx+zzjPniDrTzZbNZE/T7DJOEtC15WK/EbOtuYQlzvV6PIAoZ9MQ5tSgKdrsdnz7+LCMToGpKXr9+bdHhqnbwAofWaWT80BYUdUFaZBz28r5JOuKQVqNV682G27sHyhaGSYIXBQRtRV2ISyq0+tBjRk2SRKkcrH4+6AfiKdBLWK+2bHd74rqlqu/JywJcODsXBZFpcgyJWGnEajqdyjO2XPLp7XtUIxyYru1k5I1LnhYcGk1ybxu7CXt6DKFaRd/vMx6O6PUGMr/3fNxCkITJ9ILJdEpV17x7904QBRzhmTmdPTj2BwNafaAUCbjC8xW9fkhT+1RVYx0Su1YMhrbrHdvtz2w28h6PJyPG00vyLMfzA3phhOe6OH+7mf9nm4EkSSz0+/DwwGKx4P7+Hg/Fi+trcRR0lDAt45gkEejexCkGmsTmOI7AUxrONfV0/miISqFOKjMzSmMqY0xxDIPbkArNnKopGhoa+/lN05Aag4tcurzNZkPXNHiu+IaHcWJNRQxkuLxfstenCMMQHgwGdlMxCX2G3GK6Oj/w7enGzBzDMGQQiYFJkZdk6YaqqgkDn6wfW11qq8OE6qah7lwdKCSs5fPzGTgQBaEdnyyXS8sHyPNUZvllSb/Xo/I8Vg+PNE2JH7iWyW9MYh6rRxY3S6pKZvni++Dh+x5x4BFHEbvdnjwr9cvfUdQ5nu9+NnM2i69l5poTvg5zaurOjpcM7yOKAzoqQV06B2OSo1SH6o7BGga6l3msSPm2aYryfFTn4DnqM6MP48f/8PCAcsXCOtayUPOsVFUluRF68zOw5nQ6Pc4pm+PzY6J/jXmRyQe/v7/n8vLScltMYMvbt2/t72qIaW3bcnV1xZdf/pbADy0J0Xzfs/Mzzs5n2pDLp6lq9vsDeZqxzVM22n53MBhoO2CBUt++fct2s2EySuj3h1SlkBibtmEQDegP+oBL1IMsv2O729mRSaq5JL5e2M2IxDQDnudS17Ig7/d77u7urAFV0zTaTbRvkZXdbkfgB5bMZNC6/X6vTyti+e35IsfyfUHPDH/G1WOutpUNYLlc8uHDB87OzqxPxGazIc+lmck04dHwcp66VwZBwOXlJZvNiqIo+fjxE0kiRkWGoT2dTnl+GdqIYDiqK+pGfoZpDj99+oRSiuvra0uIraqjyqRrHNraQfkeV1dX/P3f/46yrnh4vOfT7QdmZ4IkGV8JB3D7A7HiXS4lwtj3JExKjzDMu2RMdc6mM7ZabbN6XFHkOUEY2M3NxHwPR2OatmGz3VG1Eh5VlSWb9YYoCHj+xRUX0xk///yR5XIp1uHKpRfF9Pp9xuOxJcV2Xcfl5SUfP35ksVjYfJgjx8JnmIh81NyD/X7Pbr8nywo9Koq0CkDQEuVFZFlhFn6CMJR76IghmgkcAhk5mDFPFEUkSXJk+yMclrKuJLkyzynqCi/wiCNJLzQollmfzTNpyHhRFIkKwvVZLu5sxLB5FprmOGIALD9iMp3Q6NHKaDjR72NEVUpqaZpl2vclYLfbcXN7K8mVvd5x8zVqm0FiZclpmtp3rPI9lBJ+hYNisVjy+PgoCGFVsttv+PDhA9CRDAegsyGqvvDBBv0BcaRzMH5B/eJmwMwxJ1o2VxSFSBnWG6q6JisK8cfWc6iqEtKZMYIxRkJt11q5hfk34LNZ9lPEwCzIhljyVAMahiFgZpWlJRbWXW03FCMtUUrhPLGIDMOQpmm4vb1hPl8wnMxIkqFdFJSS5KyiKFgsFsRxzN3dHZPJRL98EYqjC9WxOnvyMvNxpYw/gMP5+QVd6xCGd6RpRtfBdrsXQwozOEagyM7ptKY8Q4ye5NRubF09TZ4U2LO17Hmn63j27BlN3fD+7TvarubiQhYjw7dYrVakh5RPP89JBon2H3C01r8lDpR2J6tpG/HGb7uOrmota9jkBriuy+pxpU+5LrWSBdV1lGzyHGfxgZ7PhoGP43oy+8tzuhbg89Q8s7mbvy/v7/jLT/8Lx/cZDMfs2i11LhHN8aBvraqN5XGSDDmbndtFykhfkyQhSRL77BwOB/76178yn8+tdMnMGk20qhcEtEhTYz7HOOk9Pj4ShqEO4Gr59OkTq9WKqqqI45g3b95YRGK323K/WLLdbrlbLMRRLopQvkcyTPA8j+fPryizwsrZ6r1AopeXl/Z3c10JkLq5WfNxf6C6mNFWFVl6wKEjDHyGoyGH/R7Pj8GRWOvpZMJ6vSZNU9uwStSrstJLi9YhMuEwlObFJI2aa2MaO+NrX9c1eZpzf3fPbrej6zorHZZ3SpoHc41Ncy2hXy273QaBgFviOCRJEs7Pz/nd737H9fW1vS8PD49Ha1jnaOkrIS/o9zvg4uJC2547duxgonOzLGOYDHnx/BWz2Yyu67i7u+Pt27fyzKnuGHqkT2zGqto4oVZVRZIIQ36UTKjLlqXmU4RxjBf47PZbmraxjHB5t4WHY3hIHVDVNWVd43SdhAn5EpFtbLKHQ8mvv/riC25vb6nKkrIo2aw23N8/MJvMmE6net7fEcd9zs8umC8WpPs9g/6AMssoDhnnkxluIrHw6X5PromwA/1zxuOxdd80967f7/PNN99Y9YhZ18xJ3qzBBnESMmljG6eOhqaRYLI4joh7MR0NWS6cEddTeCrAe7Jem6YcOussGoahHWUabpbruvR0tLTjKqI4JoxD2wwYF1Fxu40t0lzXNaPRCLqOw2orfjjaFfK4J8mo8fHx0Tb4SZLodU/P+MOeNpFr2e0leXSxvENpd8jb+ZyVJpobzxOjHAnDUA42+jCVJMkTgzZF4Evy4Wa7se9Unmfc3HwEYJD0mc2mKIVG1Ty7xoK2lW9+WVLRL24Gnnb6vZ5E7yZJQpHpxbpuqMpSHo2uoyhLfM/9jHQIIluptf7T/pu96XIDwlAWgjiOLXPVpJSZbs40DeYhNJCkchVu5+oQVaz6QClFGEWEkUBgkXaLohXpSRiEnJ2dWXmY2eBN8pT5LPn9Y5rmOK4wD2Rd1+wPexzN9jcdnjQynr7hHpPJmKZpWa3WMot0XWmQisKSmQZJgh+GOtlqrQNCJBp5t9vh3rp6gYgt8cm4Dwa+EAUNwUu5fEa+NN97v9tT5AXj0djOkWWBDXAcCXIxc3fXFcVG3VaWRQ/YefFiPqdrW1lAo0hb4rZUVYNyBTF4ylRvuw6lkaA8y2ma1nIHXM/XP9/5jPwX93qyIYYhyWiC77gs5wvW6zXb+YJ8nNuQkcvLS9q2w1Xek4ZMni8TDGOQjH6/bxeI99p73iAApvFxPEWrn1VzHT3PYzab2WbXnEjPz8+l2UpTe3/iOGY4HJKnGR/f/YzrKM7Oz/HDED/UUqhOGPlZB3kqFq2B7zMej5n5IofMc3F5a9uWq+dXfHH5jLIoyA57sjTFc11GwyFB4FmCEo4kY5pT0J2WRX6GfjiOPakY0iV49PuxWIzrE/NTy2cTvGJQv/1+z/x2zmK+IM9zixAopfT7JM/En/70J9q25dtvv+Xy8tJ6tz+uHnh4WNI0Nb7v8fr1a37/+9/b0/lOK0+EO3BsxM197Drs9/I8GVsFgY/Evx6Nk8y7sFqvyNKC6+fXXFxcMB6PKYqCDx8+sN6IGY/5Y57bp9fN074RbduSHQp8V3H9/Dkt8Mc//pH53YK4F+GHijffvmE8Htt1z2Q9dB00XUuv3+f1b14LSz87RmF/+PBB1DdhSM/tMR6O+G//8A86PbbibrnkL//2F7q6I4pihsO+kEGbjmEy5v5uycNiyaK6QTkOz7+4Yr/ZStplXfPyxQvxzN9u2W42FFVJlmVMp1M7WjPvj0E44Ugmd5yOrq0t6mvWY8kyUbbxKytxrm2aGtfRuQJFQVkWOn02pCk/9/s3PgFdd0SRzX0/3n8hvjZdJ1y2tmF+t6A/7DMaj+zeYRC4vkY+zFq+2WwINQpmFDOm4ZfUS8nBePbMs2iJOVz4ep0Ve2uZ4xt0ar/fyyjtcGB/ONh19/b21nq9tG3LZDoliuLPkCazVjogPgRVqw8Jz1FKsd5smC/v8DyX7777R16+ekGaHlgsFvb/jeKYrpa128q9/x/1i5sBM683sBxIhzsYCmxTFQWHnUS01k0j5AptvmA6OiGbQa6NP8wNNp9rYP8gCBiNRlYSZhz+zAU0J5enLHR7otTyRtMMBEFg50ZGy+z7PqEXUBUyf3IcZc1EzAZk2OiuK5uuIbV42lTncDhQZKm9+WYx3O53DAYDq/00DYwX+NqVq6aqajzPtx79F88u8P2AxWIhc+x+X9zLlKM3FEnmGwx6Iu05pJZZanLAm6bh8fGBxeKWh8dHmkoWmzAMiePjZmjKvFAGwrNZ8bojV67Y8w4GFbvtXqRMSqFQDD1lNwWxGfUpy4L144qqLCVPQnMoDllOFPWFoOgfm4KOBjQE+vQeuq6Lo46nU3Ofm6bBD31msxlVJyjLoNcnur4mGSbczsX34YcffuDdu3dMp1MCPwCUZWyPRqPPEAdj5mEMO7788ku7EBjYM9AOjS2gnCPp1TQFZvGAo2Vpv9+3iI0J8fF9n2fPnjGIe/SimJcvXjAYjxiMhqAcqqZmr9GEh+U985tb6MQ/Ph4OcDQiZJ55R5i8Ei3tB4TDIV3bEIUBg6SPUg6FJnKZchAd9tNraz7L0/4Pxk8EpEl68+a3RFFgN8NO8ybMaevpie38/ByFYtAbsFgsSNOU6VTserMsZbm8Y7VasVwuLZHv5cuXfPn6N4xGI16/esXr1y8pipy6lpO8WVjTNGWz2WinPlc7eLo2REYY6IE91dV1eVQ8tS1R5NtclF6vx3A4ZL1es7i5I8/kJHZ5eWlVKe8/iFLk5kbUCpOJcI0MKmMsqcuyZLlcsl3vuby4oj9MiIOA2dmM3WHP4m5O2WSMJ2Om06nN1TCuoXSdtfiuilIOWHnJjz/8yO3tLe/evbPZF55ytUvilO/+8Z8IgoDvf/iR1XrNZrPj9mbObtej6xotPw4YxD0uzs7IDgdubm758+ZH7m7nDMcjzi8umE6m+J5HnmbUdUVTN8znc25ubqyO/dWrV7ZBdHTT6Dx9sP4vJUoN127EURzieQpHOVSNOFlKiFFFGAYSJJQX7HVq6GazsX4gxmfgaUMg74EmROciA3eUksZKJ4uaCGODhppnwaz15sDneR79MGSUDK1svm3FU2G9fmQyGVtEzDS3u+2OrW5ulePqMSdWHXA2OyOMQqI4omoafv4oJlhGhvzw8EDbttzf39NqhYHv+9bNMwxD4iiQdFmvIwwjXr58Tdu2/Ov//Fd83+M3X77mxctrRqOEJOlpQmKhSYOK1W7NSstff0n9h5qBp/99esNdz5NTtu4M21bMAxp9E8z832ymfhBYC1hzojcnNgOXGDJbFEV2Xm8ijTebjYU5n34/u8A5WJtVS4wJAonz1dB9FIVEQQBtR1XXbHdbdlo7fmwejmZLZtMz/+77Hgo5MZiTg6uvRRRHFnJ9ihDUCEM3yzKyTGRSOA6HNOXZs4Tzi3N6/Z68dMqxSXDmWj2da5ou/HA46O+jxyhK0bWt6HvbjuFoKOFNNHajM9fcc31cUqtjNrKyrmsZJYLAiN55pJ2zXBqnoapLmxhnJGTDZEiZF2y3W3HG0rrjrChpO0XvCSogsKGD68lmPBhIo9Y0kvnQNY0lWQH2JI5CbJMdRV7WNEUNjWTEv3v/jvV6/WREkOD7Aekhs3PP0WgEyJjA8zwL9ZqFzpBBjU+BbUTaVrLMXWUbV9PEGLvav0WqDCRtvrsxzarHU7I0w3EV6SFle9jjhtKwTmczlOMwHCSEfsCH9+8p8pz+eCiIW9taDTaIZ77rukRhQOj3UY4QCKGjbqr/433lSRPwt4u5GZ2ZBbSuazs6Mtf0qVWr0dOb+x1FEdfX1/zhv/+Bw+7An//8Z3766SeiKOKrr74S86X1infv3nFzc2PdIufzOR/evefi4oJ//uc/8PLVC0ajIWVZsFwuLTK42QhMKs6GvvApdEx1VVUaIndpO0cjdFuqSqRtMqI5uvwZC+/AD/j4/oa7uztARpomFKjtRP1jTLLMvTT3Ns9zzXQv+fjxIw/LFUVW8eL1Ky2tdbm8vORuuUAp8SP54YcfGI/HXF1dcX19ba/zoC8cno/vPzAYDPjm774hjmL72d9//728sy9fMZ1MqOqaOI75l//xL/z266/5+cNHttu9Pkx1PK7ESlwBD3dz2qahF/eIg5D1asVDeW85KL7nkSQJgS/mZFXX2vf6cDhYWD4IArbb7Wej2n+vDApomoGqLnBdJdklzjHJst/vMR6PmE7GeI6iKmTcYVQ6gtq15PkRjXwqAQ70+K5pW7E7BpJRwtnsDM/37CHTzOpHoxGz2YzVamXHTFVZklWNWPx6np3fm9/DHAYNfG8OfsYkyUG4BUZWHmmUOYojWjo83yeKY7744gtWq5XlMVhCdxTbhsXwNEykuPF22W53fP/996RpysPDAy9fveC77/6J8ViyGKDj4uKC+/uVlnB64gN0ONB/wlP4d+9Z99lqcapTnepUpzrVqX5t9cvwg1Od6lSnOtWpTvVftk7NwKlOdapTnepUv/I6NQOnOtWpTnWqU/3K69QMnOpUpzrVqU71K69TM3CqU53qVKc61a+8Ts3AqU51qlOd6lS/8jo1A6c61alOdapT/crr1Ayc6lSnOtWpTvUrr1MzcKpTnepUpzrVr7z+NyC5Sp+yZzTqAAAAAElFTkSuQmCC", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "is object 4 visible: yes\n", + "describesHighlighted: True, notDescribesNotHighlighted: False\n", + "ref exp: The penguin bends forward, pecking at the ground.\n", + "\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "is object 5 visible: yes\n", + "describesHighlighted: True, notDescribesNotHighlighted: True\n", + "ref exp: The penguin resting low on a rocky nest with minimal movement.\n", + "\n", + "--------category: penguin, frame_name: 00110\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "is object 1 visible: yes\n", + "describesHighlighted: True, notDescribesNotHighlighted: False\n", + "ref exp: The penguin bending forward and pecking at the ground.\n", + "\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "is object 2 visible: yes\n", + "describesHighlighted: True, notDescribesNotHighlighted: False\n", + "ref exp: The penguin standing upright with its beak slightly open, facing the penguin bending forward.\n", + "\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "is object 3 visible: yes\n" + ] + } + ], + "source": [ + "# 전체 데이터셋의 vid_id에 대해\n", + "for i in range(1):\n", + " vid_id = vid_ids[i]\n", + " \n", + " #====캡션 만들기====\n", + " print(\"=====================captioner========================\")\n", + " captions, valid_obj_ids = getCaption(vid_id, data)\n", + " cats_in_vid = list(captions.keys())\n", + " print()\n", + " \n", + " #====referring expression 만들고 QA filtering====\n", + " print(\"=====================referring expression generator & QA filter========================\")\n", + " ref_expressions = {}\n", + "\n", + " # 각 카테고리별로\n", + " for cat_name in cats_in_vid:\n", + " if cat_name not in ref_expressions:\n", + " ref_expressions[cat_name] = {} \n", + " # 각 비디오 프레임 별로\n", + " for frame_name in data[vid_id]['frame_names']:\n", + " print(f'--------category: {cat_name}, frame_name: {frame_name}')\n", + " \n", + " if frame_name not in ref_expressions[cat_name]:\n", + " ref_expressions[cat_name][frame_name] = {} # Create frame-level dictionary\n", + " caption = captions[cat_name][frame_name]\n", + " if not caption : continue\n", + " else :\n", + " # 각 obj id별로\n", + " for obj_id in valid_obj_ids:\n", + " ref_exp = getRefExp(vid_id, frame_name, caption, obj_id, data)\n", + " ref_expressions[cat_name][frame_name][obj_id] = ref_exp # Store ref_exp\n", + " \n", + " all_ref_exps[vid_id] = ref_expressions\n", + "\n", + "\n", + " # with open('mbench/result_revised.json', 'w') as file:\n", + " # json.dump(all_ref_exps, file)\n" + ] + }, + { + "cell_type": "code", + "execution_count": 33, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'003234408d': {'penguin': {'00045': {'1': {'ref_exp': 'The penguin bending down, inspecting rocks directly below its beak.',\n", + " 'caption': 'One penguin bends down, inspecting rocks directly below its beak. Another penguin stands upright, facing forward, with its back to the camera. A different penguin crouches low on a mound of rocks, settling into place. Nearby, one penguin tilts its head forward, appearing to interact with the rocks beneath it, while another remains positioned on its nest, partially turned to the side.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00045',\n", + " 'isValid': False},\n", + " '2': {'ref_exp': 'The penguin crouching low on a mound of rocks, settling into place.',\n", + " 'caption': 'One penguin bends down, inspecting rocks directly below its beak. Another penguin stands upright, facing forward, with its back to the camera. A different penguin crouches low on a mound of rocks, settling into place. Nearby, one penguin tilts its head forward, appearing to interact with the rocks beneath it, while another remains positioned on its nest, partially turned to the side.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00045',\n", + " 'isValid': True},\n", + " '3': {'ref_exp': 'The penguin crouches low on a mound of rocks, settling into place.',\n", + " 'caption': 'One penguin bends down, inspecting rocks directly below its beak. Another penguin stands upright, facing forward, with its back to the camera. A different penguin crouches low on a mound of rocks, settling into place. Nearby, one penguin tilts its head forward, appearing to interact with the rocks beneath it, while another remains positioned on its nest, partially turned to the side.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00045',\n", + " 'isValid': True},\n", + " '4': {'ref_exp': 'The penguin crouching low on a mound of rocks, settling into place.',\n", + " 'caption': 'One penguin bends down, inspecting rocks directly below its beak. Another penguin stands upright, facing forward, with its back to the camera. A different penguin crouches low on a mound of rocks, settling into place. Nearby, one penguin tilts its head forward, appearing to interact with the rocks beneath it, while another remains positioned on its nest, partially turned to the side.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00045',\n", + " 'isValid': False},\n", + " '5': {'ref_exp': 'The penguin crouches low on a mound of rocks, settling into place.',\n", + " 'caption': 'One penguin bends down, inspecting rocks directly below its beak. Another penguin stands upright, facing forward, with its back to the camera. A different penguin crouches low on a mound of rocks, settling into place. Nearby, one penguin tilts its head forward, appearing to interact with the rocks beneath it, while another remains positioned on its nest, partially turned to the side.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00045',\n", + " 'isValid': True}},\n", + " '00070': {'1': {'ref_exp': 'The penguin bending forward and pecking at the ground.',\n", + " 'caption': 'One penguin bends forward, pecking at the ground, seemingly gathering stones, while another penguin stands upright and faces it without movement. Other penguins in the background rest low on rocky nests, with minimal movement across the scene.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00070',\n", + " 'isValid': False},\n", + " '2': {'ref_exp': 'The penguin bending forward and pecking at the ground.',\n", + " 'caption': 'One penguin bends forward, pecking at the ground, seemingly gathering stones, while another penguin stands upright and faces it without movement. Other penguins in the background rest low on rocky nests, with minimal movement across the scene.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00070',\n", + " 'isValid': True},\n", + " '3': {'ref_exp': 'The penguin bends forward, pecking at the ground.',\n", + " 'caption': 'One penguin bends forward, pecking at the ground, seemingly gathering stones, while another penguin stands upright and faces it without movement. Other penguins in the background rest low on rocky nests, with minimal movement across the scene.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00070',\n", + " 'isValid': False},\n", + " '4': {'ref_exp': 'The penguin bends forward, pecking at the ground.',\n", + " 'caption': 'One penguin bends forward, pecking at the ground, seemingly gathering stones, while another penguin stands upright and faces it without movement. Other penguins in the background rest low on rocky nests, with minimal movement across the scene.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00070',\n", + " 'isValid': False},\n", + " '5': {'ref_exp': 'The penguin resting low on a rocky nest with minimal movement.',\n", + " 'caption': 'One penguin bends forward, pecking at the ground, seemingly gathering stones, while another penguin stands upright and faces it without movement. Other penguins in the background rest low on rocky nests, with minimal movement across the scene.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00070',\n", + " 'isValid': True}},\n", + " '00110': {'1': {'ref_exp': 'The penguin bending forward and pecking at the ground.',\n", + " 'caption': 'One penguin bends forward and pecks at the ground, while another penguin stands upright, facing the first penguin with its beak slightly open. A third penguin lies on a rocky nest, remaining stationary. Other penguins in the background engage in varied nest-building tasks, such as arranging rocks or sitting within their respective nests.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00110',\n", + " 'isValid': False},\n", + " '2': {'ref_exp': 'The penguin standing upright with its beak slightly open, facing the penguin bending forward.',\n", + " 'caption': 'One penguin bends forward and pecks at the ground, while another penguin stands upright, facing the first penguin with its beak slightly open. A third penguin lies on a rocky nest, remaining stationary. Other penguins in the background engage in varied nest-building tasks, such as arranging rocks or sitting within their respective nests.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00110',\n", + " 'isValid': False},\n", + " '3': {'ref_exp': 'The penguin lying stationary on a rocky nest.',\n", + " 'caption': 'One penguin bends forward and pecks at the ground, while another penguin stands upright, facing the first penguin with its beak slightly open. A third penguin lies on a rocky nest, remaining stationary. Other penguins in the background engage in varied nest-building tasks, such as arranging rocks or sitting within their respective nests.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00110',\n", + " 'isValid': True},\n", + " '4': {'ref_exp': 'The penguin lying stationary on a rocky nest.',\n", + " 'caption': 'One penguin bends forward and pecks at the ground, while another penguin stands upright, facing the first penguin with its beak slightly open. A third penguin lies on a rocky nest, remaining stationary. Other penguins in the background engage in varied nest-building tasks, such as arranging rocks or sitting within their respective nests.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00110',\n", + " 'isValid': False},\n", + " '5': {'ref_exp': 'The penguin lying stationary on a rocky nest.',\n", + " 'caption': 'One penguin bends forward and pecks at the ground, while another penguin stands upright, facing the first penguin with its beak slightly open. A third penguin lies on a rocky nest, remaining stationary. Other penguins in the background engage in varied nest-building tasks, such as arranging rocks or sitting within their respective nests.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00110',\n", + " 'isValid': True}},\n", + " '00165': {'1': {'ref_exp': 'The penguin leans forward, appearing to inspect the ground or its surroundings.',\n", + " 'caption': 'One penguin perches atop a pebble mound, seemingly motionless. Another penguin leans forward, appearing to inspect the ground or its surroundings. A nearby penguin looks downward, possibly interacting with the stones. Several penguins in the background rest on their pebble nests, some with heads tilted as if observing nearby activity.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00165',\n", + " 'isValid': False},\n", + " '2': {'ref_exp': 'The penguin leaning forward, appearing to inspect the ground or its surroundings.',\n", + " 'caption': 'One penguin perches atop a pebble mound, seemingly motionless. Another penguin leans forward, appearing to inspect the ground or its surroundings. A nearby penguin looks downward, possibly interacting with the stones. Several penguins in the background rest on their pebble nests, some with heads tilted as if observing nearby activity.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00165',\n", + " 'isValid': False},\n", + " '3': {'ref_exp': 'The penguin perched atop a pebble mound, remaining motionless.',\n", + " 'caption': 'One penguin perches atop a pebble mound, seemingly motionless. Another penguin leans forward, appearing to inspect the ground or its surroundings. A nearby penguin looks downward, possibly interacting with the stones. Several penguins in the background rest on their pebble nests, some with heads tilted as if observing nearby activity.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00165',\n", + " 'isValid': False},\n", + " '4': {'ref_exp': 'The penguin perched atop a pebble mound, seemingly motionless.',\n", + " 'caption': 'One penguin perches atop a pebble mound, seemingly motionless. Another penguin leans forward, appearing to inspect the ground or its surroundings. A nearby penguin looks downward, possibly interacting with the stones. Several penguins in the background rest on their pebble nests, some with heads tilted as if observing nearby activity.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00165',\n", + " 'isValid': False},\n", + " '5': {'ref_exp': 'The penguin perched atop a pebble mound, seemingly motionless.',\n", + " 'caption': 'One penguin perches atop a pebble mound, seemingly motionless. Another penguin leans forward, appearing to inspect the ground or its surroundings. A nearby penguin looks downward, possibly interacting with the stones. Several penguins in the background rest on their pebble nests, some with heads tilted as if observing nearby activity.',\n", + " 'cat_name': 'penguin',\n", + " 'file_name': '00165',\n", + " 'isValid': False}}}}}" + ] + }, + "execution_count": 33, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "all_ref_exps" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "referformer", + "language": "python", + "name": "referformer" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.10.16" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/mbench/gpt_ref-ytvos_numbered.ipynb b/mbench/gpt_ref-ytvos_numbered.ipynb new file mode 100644 index 0000000000000000000000000000000000000000..bf3e23a8bb5243bf7834064fa0eee034379f7b12 --- /dev/null +++ b/mbench/gpt_ref-ytvos_numbered.ipynb @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:5fd89176d8bf426500d18caf6b5983b0765f147d17a6bb59f41c4edcaf3c3158 +size 16214561 diff --git a/mbench/gpt_ref-ytvos_numbered_cy.ipynb b/mbench/gpt_ref-ytvos_numbered_cy.ipynb new file mode 100644 index 0000000000000000000000000000000000000000..e93e43965d30c451cf8d31b2739208eb267302fe --- /dev/null +++ b/mbench/gpt_ref-ytvos_numbered_cy.ipynb @@ -0,0 +1,1447 @@ +{ + "cells": [ + { + "cell_type": "code", + "execution_count": 1, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "dict_keys(['003234408d', '0043f083b5', '0044fa5fba', '005a527edd', '0065b171f9', '00917dcfc4', '00a23ccf53', '00ad5016a4', '01082ae388', '011ac0a06f', '013099c098', '0155498c85', '01694ad9c8', '017ac35701', '01b80e8e1a', '01baa5a4e1', '01c3111683', '01c4cb5ffe', '01c76f0a82', '01c783268c', '01e64dd36a', '01ed275c6e', '01ff60d1fa', '020cd28cd2', '02264db755', '0248626d9a', '02668dbffa', '0274193026', '02d28375aa', '031ccc99b1', '0321b18c10', '0348a45bca', '0355e92655', '0358b938c1', '0368107cf1', '0379ddf557', '038b2cc71d', '038c15a5dd', '03a06cc98a', '03a63e187f', '03c95b4dae', '03e2b57b0e', '04194e1248', '04259896e2', '0444918a5f', '04460a7a52', '04474174a4', '0450095513', '045f00aed2', '04667fabaa', '04735c5030', '04990d1915', '04d62d9d98', '04f21da964', '04fbad476e', '04fe256562', '0503bf89c9', '0536c9eed0', '054acb238f', '05579ca250', '056c200404', '05774f3a2c', '058a7592c8', '05a0a513df', '05a569d8aa', '05aa652648', '05d7715782', '05e0b0f28f', '05fdbbdd7a', '05ffcfed85', '0630391881', '06840b2bbe', '068f7dce6f', '0693719753', '06ce2b51fb', '06e224798e', '06ee361788', '06fbb3fa2c', '0700264286', '070c918ca7', '07129e14a4', '07177017e9', '07238ffc58', '07353b2a89', '0738493cbf', '075926c651', '075c701292', '0762ea9a30', '07652ee4af', '076f206928', '077d32af19', '079049275c', '07913cdda7', '07a11a35e8', '07ac33b6df', '07c62c3d11', '07cc1c7d74', '080196ef01', '081207976e', '081ae4fa44', '081d8250cb', '082900c5d4', '0860df21e2', '0866d4c5e3', '0891ac2eb6', '08931bc458', '08aa2705d5', '08c8450db7', '08d50b926c', '08e1e4de15', '08e48c1a48', '08f561c65e', '08feb87790', '09049f6fe3', '092e4ff450', '09338adea8', '093c335ccc', '0970d28339', '0974a213dc', '097b471ed8', '0990941758', '09a348f4fa', '09a6841288', '09c5bad17b', '09c9ce80c7', '09ff54fef4', '0a23765d15', '0a275e7f12', '0a2f2bd294', '0a7a2514aa', '0a7b27fde9', '0a8c467cc3', '0ac8c560ae', '0b1627e896', '0b285c47f6', '0b34ec1d55', '0b5b5e8e5a', '0b68535614', '0b6f9105fc', '0b7dbfa3cb', '0b9cea51ca', '0b9d012be8', '0bcfc4177d', '0bd37b23c1', '0bd864064c', '0c11c6bf7b', '0c26bc77ac', '0c3a04798c', '0c44a9d545', '0c817cc390', '0ca839ee9a', '0cd7ac0ac0', '0ce06e0121', '0cfe974a89', '0d2fcc0dcd', '0d3aad05d2', '0d40b015f4', '0d97fba242', '0d9cc80d7e', '0dab85b6d3', '0db5c427a5', '0dbaf284f1', '0de4923598', '0df28a9101', '0e04f636c4', '0e05f0e232', '0e0930474b', '0e27472bea', '0e30020549', '0e621feb6c', '0e803c7d73', '0e9ebe4e3c', '0e9f2785ec', '0ea68d418b', '0eb403a222', '0ee92053d6', '0eefca067f', '0f17fa6fcb', '0f1ac8e9a3', '0f202e9852', '0f2ab8b1ff', '0f51a78756', '0f5fbe16b0', '0f6072077b', '0f6b69b2f4', '0f6c2163de', '0f74ec5599', '0f9683715b', '0fa7b59356', '0fb173695b', '0fc958cde2', '0fe7b1a621', '0ffcdb491c', '101caff7d4', '1022fe8417', '1032e80b37', '103f501680', '104e64565f', '104f1ab997', '106242403f', '10b31f5431', '10eced835e', '110d26fa3a', '1122c1d16a', '1145b49a5f', '11485838c2', '114e7676ec', '1157472b95', '115ee1072c', '1171141012', '117757b4b8', '1178932d2f', '117cc76bda', '1180cbf814', '1187bbd0e3', '1197e44b26', '119cf20728', '119dd54871', '11a0c3b724', '11a6ba8c94', '11c722a456', '11cbcb0b4d', '11ccf5e99d', '11ce6f452e', '11feabe596', '120cb9514d', '12156b25b3', '122896672d', '1233ac8596', '1239c87234', '1250423f7c', '1257a1bc67', '125d1b19dd', '126d203967', '1295e19071', '12ad198c54', '12bddb2bcb', '12ec9b93ee', '12eebedc35', '132852e094', '1329409f2a', '13325cfa14', '1336440745', '134d06dbf9', '135625b53d', '13870016f9', '13960b3c84', '13adaad9d9', '13ae097e20', '13e3070469', '13f6a8c20d', '1416925cf2', '142d2621f5', '145d5d7c03', '145fdc3ac5', '1471274fa7', '14a6b5a139', '14c21cea0d', '14dae0dc93', '14f9bd22b5', '14fd28ae99', '15097d5d4e', '150ea711f2', '1514e3563f', '152aaa3a9e', '152b7d3bd7', '15617297cc', '15abbe0c52', '15d1fb3de5', '15f67b0fab', '161eb59aad', '16288ea47f', '164410ce62', '165c3c8cd4', '165c42b41b', '165ec9e22b', '1669502269', '16763cccbb', '16adde065e', '16af445362', '16afd538ad', '16c3fa4d5d', '16d1d65c27', '16e8599e94', '16fe9fb444', '1705796b02', '1724db7671', '17418e81ea', '175169edbb', '17622326fd', '17656bae77', '17b0d94172', '17c220e4f6', '17c7bcd146', '17cb4afe89', '17cd79a434', '17d18604c3', '17d8ca1a37', '17e33f4330', '17f7a6d805', '180abc8378', '183ba3d652', '185bf64702', '18913cc690', '1892651815', '189ac8208a', '189b44e92c', '18ac264b76', '18b245ab49', '18b5cebc34', '18bad52083', '18bb5144d5', '18c6f205c5', '1903f9ea15', '1917b209f2', '191e74c01d', '19367bb94e', '193ffaa217', '19696b67d3', '197f3ab6f3', '1981e763cc', '198afe39ae', '19a6e62b9b', '19b60d5335', '19c00c11f9', '19e061eb88', '19e8bc6178', '19ee80dac6', '1a25a9170a', '1a359a6c1a', '1a3e87c566', '1a5fe06b00', '1a6c0fbd1e', '1a6f3b5a4b', '1a8afbad92', '1a8bdc5842', '1a95752aca', '1a9c131cb7', '1aa3da3ee3', '1ab27ec7ea', '1abf16d21d', '1acd0f993b', '1ad202e499', '1af8d2395d', '1afd39a1fa', '1b2d31306f', '1b3fa67f0e', '1b43fa74b4', '1b73ea9fc2', '1b7e8bb255', '1b8680f8cd', '1b883843c0', '1b8898785b', '1b88ba1aa4', '1b96a498e5', '1bbc4c274f', '1bd87fe9ab', '1c4090c75b', '1c41934f84', '1c72b04b56', '1c87955a3a', '1c9f9eb792', '1ca240fede', '1ca5673803', '1cada35274', '1cb44b920d', '1cd10e62be', '1d3087d5e5', '1d3685150a', '1d6ff083aa'])\n", + "dict_keys(['003234408d', '0043f083b5', '0044fa5fba', '005a527edd', '0065b171f9', '00917dcfc4', '00a23ccf53', '00ad5016a4', '01082ae388', '011ac0a06f', '013099c098', '0155498c85', '01694ad9c8', '017ac35701', '01b80e8e1a', '01baa5a4e1', '01c3111683', '01c4cb5ffe', '01c76f0a82', '01c783268c', '01e64dd36a', '01ed275c6e', '01ff60d1fa', '020cd28cd2', '02264db755', '0248626d9a', '02668dbffa', '0274193026', '02d28375aa', '031ccc99b1', '0321b18c10', '0348a45bca', '0355e92655', '0358b938c1', '0368107cf1', '0379ddf557', '038b2cc71d', '038c15a5dd', '03a06cc98a', '03a63e187f', '03c95b4dae', '03e2b57b0e', '04194e1248', '04259896e2', '0444918a5f', '04460a7a52', '04474174a4', '0450095513', '045f00aed2', '04667fabaa', '04735c5030', '04990d1915', '04d62d9d98', '04f21da964', '04fbad476e', '04fe256562', '0503bf89c9', '0536c9eed0', '054acb238f', '05579ca250', '056c200404', '05774f3a2c', '058a7592c8', '05a0a513df', '05a569d8aa', '05aa652648', '05d7715782', '05e0b0f28f', '05fdbbdd7a', '05ffcfed85', '0630391881', '06840b2bbe', '068f7dce6f', '0693719753', '06ce2b51fb', '06e224798e', '06ee361788', '06fbb3fa2c', '0700264286', '070c918ca7', '07129e14a4', '07177017e9', '07238ffc58', '07353b2a89', '0738493cbf', '075926c651', '075c701292', '0762ea9a30', '07652ee4af', '076f206928', '077d32af19', '079049275c', '07913cdda7', '07a11a35e8', '07ac33b6df', '07c62c3d11', '07cc1c7d74', '080196ef01', '081207976e', '081ae4fa44', '081d8250cb', '082900c5d4', '0860df21e2', '0866d4c5e3', '0891ac2eb6', '08931bc458', '08aa2705d5', '08c8450db7', '08d50b926c', '08e1e4de15', '08e48c1a48', '08f561c65e', '08feb87790', '09049f6fe3', '092e4ff450', '09338adea8', '093c335ccc', '0970d28339', '0974a213dc', '097b471ed8', '0990941758', '09a348f4fa', '09a6841288', '09c5bad17b', '09c9ce80c7', '09ff54fef4', '0a23765d15', '0a275e7f12', '0a2f2bd294', '0a7a2514aa', '0a7b27fde9', '0a8c467cc3', '0ac8c560ae', '0b1627e896', '0b285c47f6', '0b34ec1d55', '0b5b5e8e5a', '0b68535614', '0b6f9105fc', '0b7dbfa3cb', '0b9cea51ca', '0b9d012be8', '0bcfc4177d', '0bd37b23c1', '0bd864064c', '0c11c6bf7b', '0c26bc77ac', '0c3a04798c', '0c44a9d545', '0c817cc390', '0ca839ee9a', '0cd7ac0ac0', '0ce06e0121', '0cfe974a89', '0d2fcc0dcd', '0d3aad05d2', '0d40b015f4', '0d97fba242', '0d9cc80d7e', '0dab85b6d3', '0db5c427a5', '0dbaf284f1', '0de4923598', '0df28a9101', '0e04f636c4', '0e05f0e232', '0e0930474b', '0e27472bea', '0e30020549', '0e621feb6c', '0e803c7d73', '0e9ebe4e3c', '0e9f2785ec', '0ea68d418b', '0eb403a222', '0ee92053d6', '0eefca067f', '0f17fa6fcb', '0f1ac8e9a3', '0f202e9852', '0f2ab8b1ff', '0f51a78756', '0f5fbe16b0', '0f6072077b', '0f6b69b2f4', '0f6c2163de', '0f74ec5599', '0f9683715b', '0fa7b59356', '0fb173695b', '0fc958cde2', '0fe7b1a621', '0ffcdb491c', '101caff7d4', '1022fe8417', '1032e80b37', '103f501680', '104e64565f', '104f1ab997', '106242403f', '10b31f5431', '10eced835e', '110d26fa3a', '1122c1d16a', '1145b49a5f', '11485838c2', '114e7676ec', '1157472b95', '115ee1072c', '1171141012', '117757b4b8', '1178932d2f', '117cc76bda', '1180cbf814', '1187bbd0e3', '1197e44b26', '119cf20728', '119dd54871', '11a0c3b724', '11a6ba8c94', '11c722a456', '11cbcb0b4d', '11ccf5e99d', '11ce6f452e', '11feabe596', '120cb9514d', '12156b25b3', '122896672d', '1233ac8596', '1239c87234', '1250423f7c', '1257a1bc67', '125d1b19dd', '126d203967', '1295e19071', '12ad198c54', '12bddb2bcb', '12ec9b93ee', '12eebedc35', '132852e094', '1329409f2a', '13325cfa14', '1336440745', '134d06dbf9', '135625b53d', '13870016f9', '13960b3c84', '13adaad9d9', '13ae097e20', '13e3070469', '13f6a8c20d', '1416925cf2', '142d2621f5', '145d5d7c03', '145fdc3ac5', '1471274fa7', '14a6b5a139', '14c21cea0d', '14dae0dc93', '14f9bd22b5', '14fd28ae99', '15097d5d4e', '150ea711f2', '1514e3563f', '152aaa3a9e', '152b7d3bd7', '15617297cc', '15abbe0c52', '15d1fb3de5', '15f67b0fab', '161eb59aad', '16288ea47f', '164410ce62', '165c3c8cd4', '165c42b41b', '165ec9e22b', '1669502269', '16763cccbb', '16adde065e', '16af445362', '16afd538ad', '16c3fa4d5d', '16d1d65c27', '16e8599e94', '16fe9fb444', '1705796b02', '1724db7671', '17418e81ea', '175169edbb', '17622326fd', '17656bae77', '17b0d94172', '17c220e4f6', '17c7bcd146', '17cb4afe89', '17cd79a434', '17d18604c3', '17d8ca1a37', '17e33f4330', '17f7a6d805', '180abc8378', '183ba3d652', '185bf64702', '18913cc690', '1892651815', '189ac8208a', '189b44e92c', '18ac264b76', '18b245ab49', '18b5cebc34', '18bad52083', '18bb5144d5', '18c6f205c5', '1903f9ea15', '1917b209f2', '191e74c01d', '19367bb94e', '193ffaa217', '19696b67d3', '197f3ab6f3', '1981e763cc', '198afe39ae', '19a6e62b9b', '19b60d5335', '19c00c11f9', '19e061eb88', '19e8bc6178', '19ee80dac6', '1a25a9170a', '1a359a6c1a', '1a3e87c566', '1a5fe06b00', '1a6c0fbd1e', '1a6f3b5a4b', '1a8afbad92', '1a8bdc5842', '1a95752aca', '1a9c131cb7', '1aa3da3ee3', '1ab27ec7ea', '1abf16d21d', '1acd0f993b', '1ad202e499', '1af8d2395d', '1afd39a1fa', '1b2d31306f', '1b3fa67f0e', '1b43fa74b4', '1b73ea9fc2', '1b7e8bb255', '1b8680f8cd', '1b883843c0', '1b8898785b', '1b88ba1aa4', '1b96a498e5', '1bbc4c274f', '1bd87fe9ab', '1c4090c75b', '1c41934f84', '1c72b04b56', '1c87955a3a', '1c9f9eb792', '1ca240fede', '1ca5673803', '1cada35274', '1cb44b920d', '1cd10e62be', '1d3087d5e5', '1d3685150a', '1d6ff083aa'])\n" + ] + } + ], + "source": [ + "import json\n", + "\n", + "caption = '/home/yejin/data/projects/yejin/VerbCentric_RIS/ReferFormer/mbench/numbered_captions.json'\n", + "valid_obj_ids = '/home/yejin/data/projects/yejin/VerbCentric_RIS/ReferFormer/mbench/numbered_valid_obj_ids.json'\n", + "\n", + "with open(caption, 'r') as file:\n", + " captions = json.load(file)\n", + " \n", + "with open(valid_obj_ids, 'r') as file:\n", + " valid_obj_ids = json.load(file)\n", + " \n", + "\n", + "print(captions.keys())\n", + "print(valid_obj_ids.keys())" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'giant_panda': {'4': None,\n", + " '7': '1. the giant panda leaning forward and pressing its body towards the other giant panda \\n2. the giant panda lying on its back with water splashing around it',\n", + " '9': '1. the giant panda bending forward with its head near the ground, standing on all four limbs \\n2. the giant panda lying on its back, raising its front paw upwards while turning its head slightly',\n", + " '11': '1. the giant panda bending forward and pressing its paw against the body of another giant panda \\n2. the giant panda lying on its back while raising one of its front paws toward the giant panda above it '}}" + ] + }, + "execution_count": 3, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "data_len = len(captions.keys())\n", + "videos = list(captions.keys())\n", + "\n", + "idxs =[10, 30, 38, 58, 164, 249]\n", + "for idx in idxs :\n", + " print(captions[videos[idx]])\n", + " print(valid_obj_ids[videos[idx]])" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "/data/projects/yejin/VerbCentric_RIS/ReferFormer\n", + "/data/projects/yejin/VerbCentric_RIS/ReferFormer\n" + ] + } + ], + "source": [ + "import os\n", + "print(os.getcwd()) # 현재 작업 디렉토리 출력\n", + "%cd /data/projects/yejin/VerbCentric_RIS/ReferFormer" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "metadata": {}, + "outputs": [], + "source": [ + "import sys\n", + "from os import path as osp\n", + "from io import BytesIO\n", + "\n", + "from mbench.ytvos_ref import build as build_ytvos_ref\n", + "import argparse\n", + "import opts\n", + "\n", + "import sys\n", + "from pathlib import Path\n", + "import os\n", + "from os import path as osp\n", + "import skimage\n", + "from io import BytesIO\n", + "\n", + "import numpy as np\n", + "import pandas as pd\n", + "import regex as re\n", + "import json\n", + "\n", + "import cv2\n", + "from PIL import Image, ImageDraw\n", + "import torch\n", + "from torchvision.transforms import functional as F\n", + "\n", + "from skimage import measure # (pip install scikit-image)\n", + "from shapely.geometry import Polygon, MultiPolygon # (pip install Shapely)\n", + "\n", + "import matplotlib.pyplot as plt\n", + "import matplotlib.patches as patches\n", + "from matplotlib.collections import PatchCollection\n", + "from matplotlib.patches import Rectangle\n", + "import textwrap\n", + "\n", + "\n", + "import ipywidgets as widgets\n", + "from IPython.display import display, clear_output\n", + "\n", + "from openai import OpenAI\n", + "import base64" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 1. 데이터 불러오기" + ] + }, + { + "cell_type": "code", + "execution_count": 35, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "skipped 57 short videos\n", + "\n", + " video num: 3471 clip num: 3414\n", + "\n", + "\n" + ] + } + ], + "source": [ + "if 'ipykernel_launcher' in sys.argv[0]:\n", + " sys.argv = sys.argv[:1] # Jupyter 추가 인자를 제거\n", + "\n", + "parser = argparse.ArgumentParser('ReferFormer training and evaluation script', parents=[opts.get_args_parser()])\n", + "args = parser.parse_args()\n", + "\n", + "#==================데이터 불러오기===================\n", + "# 전체 데이터셋\n", + "train_dataset = build_ytvos_ref(image_set = 'train', args = args)\n", + "\n", + "# 전체 데이터셋 메타데이터\n", + "metas = train_dataset.metas" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 2. 마스크 그리고 숫자 매기는 함수" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "metadata": {}, + "outputs": [], + "source": [ + "# 색상 후보 8개 (RGB 형식)\n", + "colors = [\n", + " (255, 0, 0), # Red\n", + " (0, 255, 0), # Green\n", + " (0, 0, 255), # Blue\n", + " (255, 255, 0), # Yellow\n", + " (255, 0, 255), # Magenta\n", + " (0, 255, 255), # Cyan\n", + " (128, 0, 128), # Purple\n", + " (255, 165, 0) # Orange\n", + "]" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "Processing Videos: 1%| | 42/3414 [00:07<09:40, 5.81it/s]\n" + ] + }, + { + "ename": "KeyboardInterrupt", + "evalue": "", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mKeyboardInterrupt\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn[6], line 27\u001b[0m\n\u001b[1;32m 24\u001b[0m valid_video_count \u001b[39m=\u001b[39m \u001b[39m0\u001b[39m \u001b[39m# 유효한 비디오 개수\u001b[39;00m\n\u001b[1;32m 26\u001b[0m \u001b[39mfor\u001b[39;00m idx, vid_meta \u001b[39min\u001b[39;00m tqdm(\u001b[39menumerate\u001b[39m(metas), total\u001b[39m=\u001b[39m\u001b[39mlen\u001b[39m(metas), desc\u001b[39m=\u001b[39m\u001b[39m\"\u001b[39m\u001b[39mProcessing Videos\u001b[39m\u001b[39m\"\u001b[39m):\n\u001b[0;32m---> 27\u001b[0m vid_cat_cnts \u001b[39m=\u001b[39m number_objects(idx) \u001b[39m# 각 비디오별 개체 수 계산\u001b[39;00m\n\u001b[1;32m 28\u001b[0m cat_names \u001b[39m=\u001b[39m vid_meta[\u001b[39m'\u001b[39m\u001b[39mobj_id_cat\u001b[39m\u001b[39m'\u001b[39m]\u001b[39m.\u001b[39mvalues() \n\u001b[1;32m 30\u001b[0m \u001b[39mif\u001b[39;00m \u001b[39many\u001b[39m(cnt \u001b[39m>\u001b[39m\u001b[39m=\u001b[39m \u001b[39m2\u001b[39m \u001b[39mfor\u001b[39;00m cat_name \u001b[39min\u001b[39;00m cat_names \u001b[39mif\u001b[39;00m cat_name \u001b[39min\u001b[39;00m vid_cat_cnts \u001b[39mfor\u001b[39;00m cnt \u001b[39min\u001b[39;00m vid_cat_cnts[cat_name]\u001b[39m.\u001b[39mvalues()):\n", + "Cell \u001b[0;32mIn[6], line 7\u001b[0m, in \u001b[0;36mnumber_objects\u001b[0;34m(idx)\u001b[0m\n\u001b[1;32m 4\u001b[0m vid_cat_cnts \u001b[39m=\u001b[39m {} \n\u001b[1;32m 6\u001b[0m vid_meta \u001b[39m=\u001b[39m metas[idx]\n\u001b[0;32m----> 7\u001b[0m vid_data \u001b[39m=\u001b[39m train_dataset[idx]\n\u001b[1;32m 8\u001b[0m frame_indx \u001b[39m=\u001b[39m vid_meta[\u001b[39m'\u001b[39m\u001b[39msample_indx\u001b[39m\u001b[39m'\u001b[39m]\n\u001b[1;32m 9\u001b[0m cat_names \u001b[39m=\u001b[39m vid_meta[\u001b[39m'\u001b[39m\u001b[39mobj_id_cat\u001b[39m\u001b[39m'\u001b[39m]\u001b[39m.\u001b[39mvalues() \u001b[39m# set() 제거 (딕셔너리 values는 중복이 거의 없음)\u001b[39;00m\n", + "File \u001b[0;32m/data/projects/yejin/VerbCentric_RIS/ReferFormer/mbench/ytvos_ref.py:147\u001b[0m, in \u001b[0;36mYTVOSDataset.__getitem__\u001b[0;34m(self, idx)\u001b[0m\n\u001b[1;32m 144\u001b[0m img \u001b[39m=\u001b[39m Image\u001b[39m.\u001b[39mopen(img_path)\u001b[39m.\u001b[39mconvert(\u001b[39m'\u001b[39m\u001b[39mRGB\u001b[39m\u001b[39m'\u001b[39m)\n\u001b[1;32m 145\u001b[0m imgs\u001b[39m.\u001b[39mappend(img)\n\u001b[0;32m--> 147\u001b[0m mask \u001b[39m=\u001b[39m Image\u001b[39m.\u001b[39;49mopen(mask_path)\u001b[39m.\u001b[39mconvert(\u001b[39m'\u001b[39m\u001b[39mP\u001b[39m\u001b[39m'\u001b[39m)\n\u001b[1;32m 148\u001b[0m mask \u001b[39m=\u001b[39m np\u001b[39m.\u001b[39marray(mask)\n\u001b[1;32m 150\u001b[0m frame_annotations \u001b[39m=\u001b[39m {}\n", + "File \u001b[0;32m~/.conda/envs/risall/lib/python3.9/site-packages/PIL/Image.py:3247\u001b[0m, in \u001b[0;36mopen\u001b[0;34m(fp, mode, formats)\u001b[0m\n\u001b[1;32m 3244\u001b[0m filename \u001b[39m=\u001b[39m fp\n\u001b[1;32m 3246\u001b[0m \u001b[39mif\u001b[39;00m filename:\n\u001b[0;32m-> 3247\u001b[0m fp \u001b[39m=\u001b[39m builtins\u001b[39m.\u001b[39;49mopen(filename, \u001b[39m\"\u001b[39;49m\u001b[39mrb\u001b[39;49m\u001b[39m\"\u001b[39;49m)\n\u001b[1;32m 3248\u001b[0m exclusive_fp \u001b[39m=\u001b[39m \u001b[39mTrue\u001b[39;00m\n\u001b[1;32m 3250\u001b[0m \u001b[39mtry\u001b[39;00m:\n", + "\u001b[0;31mKeyboardInterrupt\u001b[0m: " + ] + } + ], + "source": [ + "from tqdm import tqdm\n", + "def number_objects(idx):\n", + " \"\"\"비디오 내 각 카테고리별 프레임 개체 수를 계산\"\"\"\n", + " vid_cat_cnts = {} \n", + "\n", + " vid_meta = metas[idx]\n", + " vid_data = train_dataset[idx]\n", + " frame_indx = vid_meta['sample_indx']\n", + " cat_names = vid_meta['obj_id_cat'].values() # set() 제거 (딕셔너리 values는 중복이 거의 없음)\n", + "\n", + " for cat in cat_names:\n", + " frame_cat_cnts = {\n", + " frame_name: sum(\n", + " 1 for obj_id in frame_data\n", + " if frame_data[obj_id]['category_name'] == cat and frame_data[obj_id]['valid']\n", + " )\n", + " for frame_name, frame_data in ((fn, vid_data[2][fn]) for fn in frame_indx)\n", + " }\n", + " vid_cat_cnts[cat] = frame_cat_cnts\n", + "\n", + " return vid_cat_cnts\n", + "\n", + "\n", + "valid_video_count = 0 # 유효한 비디오 개수\n", + "\n", + "for idx, vid_meta in tqdm(enumerate(metas), total=len(metas), desc=\"Processing Videos\"):\n", + " vid_cat_cnts = number_objects(idx) # 각 비디오별 개체 수 계산\n", + " cat_names = vid_meta['obj_id_cat'].values() \n", + "\n", + " if any(cnt >= 2 for cat_name in cat_names if cat_name in vid_cat_cnts for cnt in vid_cat_cnts[cat_name].values()):\n", + " valid_video_count += 1\n", + "\n", + "print(f\"Total valid videos: {valid_video_count}\")" + ] + }, + { + "cell_type": "code", + "execution_count": 47, + "metadata": {}, + "outputs": [], + "source": [ + "# def number_objects_and_encode(idx, color_mask=False):\n", + "# encoded_frames = {}\n", + "# contoured_frames = {} # New dictionary for original images\n", + "# vid_cat_cnts = {}\n", + "\n", + "# vid_meta = metas[idx]\n", + "# vid_data = train_dataset[idx]\n", + "# vid_id = vid_meta['video']\n", + "# frame_indx = vid_meta['sample_indx']\n", + "# cat_names = set(vid_meta['obj_id_cat'].values())\n", + "# imgs = vid_data[0]\n", + " \n", + "# for cat in cat_names:\n", + "# cat_frames = []\n", + "# contour_frames = []\n", + "# frame_cat_cnts = {}\n", + "\n", + "# for i in range(imgs.size(0)):\n", + "# frame_name = frame_indx[i]\n", + "# frame = np.copy(imgs[i].permute(1, 2, 0).numpy()) \n", + "# frame_for_contour = np.copy(imgs[i].permute(1, 2, 0).numpy()) \n", + "\n", + "# frame_data = vid_data[2][frame_name]\n", + "# obj_ids = list(frame_data.keys())\n", + "\n", + "# cat_cnt = 0\n", + "\n", + "# for j in range(len(obj_ids)):\n", + "# obj_id = obj_ids[j]\n", + "# obj_data = frame_data[obj_id]\n", + "# obj_bbox = obj_data['bbox']\n", + "# obj_valid = obj_data['valid']\n", + "# obj_mask = obj_data['mask'].numpy().astype(np.uint8)\n", + "# obj_cat = obj_data['category_name']\n", + "\n", + "# if obj_cat == cat and obj_valid:\n", + "# cat_cnt += 1\n", + "\n", + "# contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE)\n", + "# cv2.drawContours(frame, contours, -1, (0, 0, 0), 5)\n", + "# cv2.drawContours(frame, contours, -1, colors[j], 2)\n", + "\n", + "# # cv2.drawContours(frame, contours, -1, colors[j], 3)\n", + "# cv2.drawContours(frame_for_contour, contours, -1, colors[j], 2)\n", + "\n", + "# if len(contours) > 0:\n", + "# largest_contour = max(contours, key=cv2.contourArea)\n", + "# M = cv2.moments(largest_contour)\n", + "# if M[\"m00\"] != 0:\n", + "# center_x = int(M[\"m10\"] / M[\"m00\"])\n", + "# center_y = int(M[\"m01\"] / M[\"m00\"])\n", + "# else:\n", + "# center_x, center_y = 0, 0\n", + "\n", + "# font = cv2.FONT_HERSHEY_SIMPLEX\n", + "# text = obj_id\n", + "# font_scale = 1.2\n", + "# text_size = cv2.getTextSize(text, font, font_scale, 2)[0]\n", + "# text_x = center_x - text_size[0] // 1\n", + "# text_y = center_y\n", + "\n", + "# rect_start = (text_x - 5, text_y - text_size[1] - 5)\n", + "# rect_end = (text_x + text_size[0] + 5, text_y + 3)\n", + " \n", + "# contour_thickness = 1\n", + "# rect_start_contour = (rect_start[0] - contour_thickness, rect_start[1] - contour_thickness)\n", + "# rect_end_contour = (rect_end[0] + contour_thickness, rect_end[1] + contour_thickness)\n", + "\n", + "\n", + "\n", + "# # 사각형 및 컨투어 그리기\n", + "# cv2.rectangle(frame, rect_start_contour, rect_end_contour, colors[j], contour_thickness) \n", + "# cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1) # 검은색 텍스트 배경\n", + "\n", + "# cv2.putText(frame, text, (text_x, text_y), font, 1, (255, 255, 255), 2)\n", + "\n", + "\n", + "# if color_mask: \n", + "# alpha = 0.08\n", + "# colored_obj_mask = np.zeros_like(frame)\n", + "# colored_obj_mask[obj_mask == 1] = colors[j]\n", + "# frame[obj_mask == 1] = (\n", + "# (1 - alpha) * frame[obj_mask == 1]\n", + "# + alpha * colored_obj_mask[obj_mask == 1]\n", + "# )\n", + "\n", + "# plt.figure(figsize=(12, 8))\n", + "# plt.imshow(frame)\n", + "# plt.title(f\"frame {frame_name}\")\n", + "# plt.tight_layout()\n", + "# plt.axis('off')\n", + "# plt.show()\n", + "\n", + "# buffer = BytesIO()\n", + "# frame = Image.fromarray(frame)\n", + "# frame.save(buffer, format='jpeg')\n", + "# buffer.seek(0)\n", + "# cat_frames.append(base64.b64encode(buffer.read()).decode(\"utf-8\"))\n", + "# frame_cat_cnts[frame_name] = cat_cnt\n", + "\n", + "# buffer.seek(0) # Reuse buffer instead of creating a new one\n", + "# buffer.truncate()\n", + "# frame_for_contour = Image.fromarray(frame_for_contour)\n", + "# frame_for_contour.save(buffer, format='jpeg')\n", + "# buffer.seek(0)\n", + "# contour_frames.append(base64.b64encode(buffer.read()).decode(\"utf-8\"))\n", + " \n", + "# encoded_frames[cat] = cat_frames\n", + "# contoured_frames[cat] = contour_frames\n", + "# vid_cat_cnts[cat] = frame_cat_cnts\n", + " \n", + "\n", + "# return encoded_frames, contoured_frames, vid_cat_cnts\n" + ] + }, + { + "cell_type": "code", + "execution_count": 51, + "metadata": {}, + "outputs": [], + "source": [ + "def number_objects_and_encode(idx, color_mask=False):\n", + " encoded_frames = {}\n", + " contoured_frames = {} # New dictionary for original images\n", + " vid_cat_cnts = {}\n", + "\n", + " vid_meta = metas[idx]\n", + " vid_data = train_dataset[idx]\n", + " vid_id = vid_meta['video']\n", + " frame_indx = vid_meta['sample_indx']\n", + " cat_names = set(vid_meta['obj_id_cat'].values())\n", + " imgs = vid_data[0]\n", + " \n", + " for cat in cat_names:\n", + " cat_frames = []\n", + " contour_frames = []\n", + " frame_cat_cnts = {}\n", + "\n", + " for i in range(imgs.size(0)):\n", + " frame_name = frame_indx[i]\n", + " frame = np.copy(imgs[i].permute(1, 2, 0).numpy()) \n", + " frame_for_contour = np.copy(imgs[i].permute(1, 2, 0).numpy()) \n", + "\n", + " frame_data = vid_data[2][frame_name]\n", + " obj_ids = list(frame_data.keys())\n", + "\n", + " cat_cnt = 0\n", + "\n", + " for j in range(len(obj_ids)):\n", + " obj_id = obj_ids[j]\n", + " obj_data = frame_data[obj_id]\n", + " obj_bbox = obj_data['bbox']\n", + " obj_valid = obj_data['valid']\n", + " obj_mask = obj_data['mask'].numpy().astype(np.uint8)\n", + " obj_cat = obj_data['category_name']\n", + "\n", + " if obj_cat == cat and obj_valid:\n", + " cat_cnt += 1\n", + " \n", + " if color_mask == False:\n", + " contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE)\n", + " cv2.drawContours(frame, contours, -1, colors[j], 3)\n", + " for i, contour in enumerate(contours):\n", + " # 윤곽선 중심 계산\n", + " moments = cv2.moments(contour)\n", + " if moments[\"m00\"] != 0: # 중심 계산 가능 여부 확인\n", + " cx = int(moments[\"m10\"] / moments[\"m00\"])\n", + " cy = int(moments[\"m01\"] / moments[\"m00\"])\n", + " else:\n", + " cx, cy = contour[0][0] # 중심 계산 불가시 대체 좌표 사용\n", + " \n", + " # 텍스트 배경 (검은색 배경 만들기)\n", + " font = cv2.FONT_HERSHEY_SIMPLEX\n", + " text = obj_id\n", + " text_size = cv2.getTextSize(text, font, 1, 2)[0]\n", + " text_w, text_h = text_size\n", + " \n", + " # 텍스트 배경 그리기 (검은색 배경)\n", + " cv2.rectangle(frame, (cx - text_w // 2 - 5, cy - text_h // 2 - 5),\n", + " (cx + text_w // 2 + 5, cy + text_h // 2 + 5), (0, 0, 0), -1)\n", + " \n", + " # 텍스트 그리기 (흰색 텍스트)\n", + " cv2.putText(frame, text, (cx - text_w // 2, cy + text_h // 2),\n", + " font, 1, (255, 255, 255), 2)\n", + "\n", + " else:\n", + " alpha = 0.08\n", + "\n", + " colored_obj_mask = np.zeros_like(frame) \n", + " colored_obj_mask[obj_mask == 1] = colors[j]\n", + " frame[obj_mask == 1] = (\n", + " (1 - alpha) * frame[obj_mask == 1]\n", + " + alpha * colored_obj_mask[obj_mask == 1]\n", + " )\n", + "\n", + "\n", + " contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE)\n", + " cv2.drawContours(frame, contours, -1, colors[j], 2)\n", + " cv2.drawContours(frame_for_contour, contours, -1, colors[j], 2)\n", + " \n", + " \n", + " \n", + " if len(contours) > 0:\n", + " largest_contour = max(contours, key=cv2.contourArea)\n", + " M = cv2.moments(largest_contour)\n", + " if M[\"m00\"] != 0: \n", + " center_x = int(M[\"m10\"] / M[\"m00\"])\n", + " center_y = int(M[\"m01\"] / M[\"m00\"])\n", + " else:\n", + " center_x, center_y = 0, 0\n", + "\n", + " font = cv2.FONT_HERSHEY_SIMPLEX\n", + " text = obj_id\n", + "\n", + " font_scale = 0.9 \n", + " text_size = cv2.getTextSize(text, font, font_scale, 2)[0] \n", + " text_x = center_x - text_size[0] // 1 # 텍스트의 가로 중심\n", + " text_y = center_y\n", + " # text_y = center_y + text_size[1] // 2 # 텍스트의 세로 중심\n", + "\n", + " # 텍스트 배경 사각형 좌표 계산\n", + " rect_start = (text_x - 5, text_y - text_size[1] - 5) # 배경 사각형 좌상단\n", + " # rect_end = (text_x + text_size[0] + 5, text_y + 5) \n", + " rect_end = (text_x + text_size[0] + 5, text_y)\n", + "\n", + " cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1)\n", + " cv2.putText(frame, text, (text_x, text_y), font, 1, (255, 255, 255), 2)\n", + "\n", + " plt.figure(figsize=(4, 3))\n", + " plt.imshow(frame)\n", + " plt.title(f\"frame {frame_name}\")\n", + " plt.tight_layout()\n", + " plt.axis('off')\n", + " plt.show()\n", + " \n", + " buffer = BytesIO()\n", + " frame = Image.fromarray(frame)\n", + " frame.save(buffer, format='jpeg')\n", + " buffer.seek(0)\n", + " cat_frames.append(base64.b64encode(buffer.read()).decode(\"utf-8\"))\n", + " frame_cat_cnts[frame_name] = cat_cnt\n", + "\n", + " buffer.seek(0) # Reuse buffer instead of creating a new one\n", + " buffer.truncate()\n", + " frame_for_contour = Image.fromarray(frame_for_contour)\n", + " frame_for_contour.save(buffer, format='jpeg')\n", + " buffer.seek(0)\n", + " contour_frames.append(base64.b64encode(buffer.read()).decode(\"utf-8\"))\n", + " \n", + " encoded_frames[cat] = cat_frames\n", + " contoured_frames[cat] = contour_frames\n", + " vid_cat_cnts[cat] = frame_cat_cnts\n", + " \n", + " return encoded_frames, vid_cat_cnts, contoured_frames " + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": 52, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "text/plain": [ + "({'ape': ['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',\n", + " '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',\n", + " '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',\n", + " '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']},\n", + " {'ape': {5: 2, 13: 2, 16: 2, 26: 2}},\n", + " {'ape': ['/9j/4AAQSkZJRgABAQAAAQABAAD/2wBDAAgGBgcGBQgHBwcJCQgKDBQNDAsLDBkSEw8UHRofHh0aHBwgJC4nICIsIxwcKDcpLDAxNDQ0Hyc5PTgyPC4zNDL/2wBDAQkJCQwLDBgNDRgyIRwhMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjIyMjL/wAARCALQBQADASIAAhEBAxEB/8QAHwAAAQUBAQEBAQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQAAAF9AQIDAAQRBRIhMUEGE1FhByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3ODk6Q0RFRkdISUpTVFVWV1hZWmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWmp6ipqrKztLW2t7i5usLDxMXGx8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/8QAHwEAAwEBAQEBAQEBAQAAAAAAAAECAwQFBgcICQoL/8QAtREAAgECBAQDBAcFBAQAAQJ3AAECAxEEBSExBhJBUQdhcRMiMoEIFEKRobHBCSMzUvAVYnLRChYkNOEl8RcYGRomJygpKjU2Nzg5OkNERUZHSElKU1RVVldYWVpjZGVmZ2hpanN0dXZ3eHl6goOEhYaHiImKkpOUlZaXmJmaoqOkpaanqKmqsrO0tba3uLm6wsPExcbHyMnK0tPU1dbX2Nna4uPk5ebn6Onq8vP09fb3+Pn6/9oADAMBAAIRAxEAPwDlt1ANNp6ivlTyBwFSBM0RruNWhFgU1G5QsSYAq0oAFNRMKKGbbTlohlqMjApzkEetVBJil833rncmxNiOetV3qR3zUTHPFVFCGUqnmlC5qRE5q+UBRTlXPWpFjHWnlcU7MZAy4qvIwxViTiqcjVUBMryHtUDVK4JNN2HNdBIsa5q5FHUUS461cjxipY0ORatRxkiokwKnRwO9Zu5QhQimN0qUsDUT9KFuBBIeai6NT26mmjmtQJY6sxrmq0dXYF6UxokSPNSiEEdKlijzVlYsCqKMaeDniqrREVtyw5PSqxtec0hWMnYd3SrMKY5q2bYU3ysU7DIyKYwxUh4NNIzUMlshNAFOIoAqGQxVFSheaFXNSqtIBqpzU6LgUgWndqBijrT1qIH5qnSpYhwzUi9RTAtPHFTYCXjHWmMOPekBzSHNaRRaI3HFV3qw/Sq7irsFiMinKtNJxT0ORSsA8CpUFMVSanVOlOw7D14FOpBxTWbBqGhjjTXAweKN4prNmgTKrpnNV2jwavYPXFNKZ7VaYjPaOljXmrTJ2pBFiqAs2zlcVqwzDArIjGBVuJyKzaGaZYFaqSR7qVZOKfHyaFEaK4t8HpTJIOOlagVcUySMFeK0GY/lYJp4AAqeRcZqqW5qHqSyZQDUqKBUUfSrKDmpsIidcVWkTJq+68VXcDNUgKDxHmq7REmtFkz0pqw57UXAzjCaabYntWqLf2qRbb2rRTQzFW0OamW29q1DbAHkUqwe1J1BXKSW2O1TC39q0EgGOlSmAVnzgZJg9qjaHjpWsYBnrUMkNWpjuZDxHNSw27A5NW/KBbpmpkiJHIrneInUfLRXzex58sXVrzdPCq9r3k9vl3ZXEagY60hdu2KtsqKOSM1l3TsS2GCj61rSwCqSvUfM/P8AyNaeVU5Pmrtzfnt8lshJbmROQ/6CqcmqSp3/AEFVZ5HX7shqmw38sxJr2aWX4dLWmvuR6Ecuwlv4Uf8AwFf5Gj/ad5ISV3Af7IB/pTkv7hvvXLIfRox/hWYJ/KXCt+VRNeOOh/OtvqOF/wCfcfuRX9nYP/n1H/wFf5GudQuQeLhj/wBs1/wp41C5VclyR7qKwxf3WcKB9cVt6bpGpaknmNxEOSxp/UML/wA+4/cg/s7Cf8+o/wDgK/yEbVp1TLMAfoKy5PEN6oIEwz2+Rf8ACtXUdIaBCu4nHU1y09sySEN2pfUcL/z7j9yD+z8H/wA+o/8AgK/yL48RaiTj7R1/2F/wo/4SDUcf8fP/AI4v+FZQwh6ZNPUbzzxR9Rwv/PuP3IP7Pwf/AD6j/wCAr/I0xr+pf8/P/kNf8KX+39Szzc/+OL/hVEQgjrzTvIxS+o4b/n3H7kH9n4P/AJ9R/wDAV/kaI1zUCuftH/ji/wCFR/27qRJAucf8AX/CqJJHAFO49KFgcL/z7j9yD+z8H/z6j/4Cv8i4da1P/n8x/wBs1/wpf7b1IAf6Xn/tmv8AhVEhG4INVnUIx+ak8Fhf+fcfuQ/7Owf/AD6j/wCAr/I1/wC3dR/5+P8Axxf8KnTW7wjmfP8AwBf8K58zbfelF0CfSolgcN/z7j9yB5dg3/y6j/4Cv8jpV1e8bpL/AOOj/CrKalcNtzJ1/wBkVy32zaOGrTs47+6CtFbuw9e1ZSy+h0gvuRnLLcJ/z6j/AOAr/I6W3uvMG2U5z3qZl2jI5X1rDKX1uAZbeVV91q3ZagVYJIDs/lXm18DUoN1KHzXf08zzq+Cq4VuthFp1j0fp2f5lwtzUkbdKhmiKMGTlDyD6UivxWcZRqRUo7HRQrQrU1UpvRl9ZMU7zRVAyY70wz+9NQNS60nNOjnKtWaZ/enxOWNDhoB0VrMHxnrV4LxWJattIOa1Y5crXPKmxE2cGmlweM1WlkI+tQ+eQ1SoNMpEsi85HembDUolUqKdkEcVtsDIPummsRTpQKr57UmxdSQMKUnimDgZprSAVGrGNNVJ2606WeqjzZNCixWIX60xk3U5myaeg3CqaaJ5SEJg1YQYFSCEkdKcIyO1Fyie2O1xWtEQVFZUZOeRWlCflFc9RjuWh0prUBhig4rDUCIqD1FU7mAEjAq1I2KrPJk81auSUpbUEdKqNaAtkCtQsMVSlkCk1rFsCuYwvGKiZAakaUVEZBmr5WIb5OSSaciBDmgzHHFQtIc1XKykXS4I5pvmopxVIynHWoWlOetFmM0jc847U6O5+brWQshLVOjHrQrpgav2uo2mDGqDMwAOaWNyT1roUhl73pC2AKhDmh2+X8KyqR5kIeJlz1pxZSOorIkkdDkGmC6foTXG6IGwoBNTrCGHesqG5YY5rXtXLgV04enYY9LIN2pzWYAIxWjBGSoxUhiPpXf0Hc526tAE4FYstpluldhdW5A6daxpYTuqWgucuEzUgTFSKADUm3NYcpmEEfOavBOlMgj4zirIGK0irIBmMCqcrfOauOcAiqEnJNc8ndiYByO9G8nvUXPpRkip5SWWFOaUrUaGpgQRTS1BCAVMgpiipoxyK0jqMeimlYVat7cyEYq61oiryBmtOW5Rgyrx0qjInNdDPbpsOBisiZAGIFSo2YmZ7LQFqwVzSBcVqTYaowKmQgVGeKTJzQMtA5p27FVlfHFPVs1NikWA1DNxTFPNSbadgITzSAVKUppGKYrDkq7AQMVQXOatRKeKBmvBtwOatYytZ1uWrQiyetRKQ7jTES1JJDhc4q7GF4pZVDKRRBjRjstMZeOlXZIwKquQM1rKQMoSD5qZVhk3NQsPNZ3M2ysVz2p6R1dSAelOMGOcVIiBI+KkCYqZY8DpQy4FSBAaYc080z60wBfvVajU4qGJctWhHFxUgRhaGAxxU5SmMlNIpIrg84p4GaeIjnpUqxe1Wiiq6VWcVpvHx0qhMu2qApvTom5qN+tCHFMC9G3FS+YKqRnIqYA0MY/zOetRyOacFOaZItTYTGeZnvTkfmoWU0qkiiwi4oBFKVqGNjUwahKw7EbLSY/Optuab5ZzVAItTKKEj5qQJipEPRc4q3EmKrRcEVbXkVSKQ880hB2mnoAaWQAKaGMy5+AapjrV2ddxNQiA1JLEjzVuM8UxYanSI0xEbnPFRFc1beLHNRFcVLAg2U9UHanlaVOtSyR6R1MsWaWMZqyijilqBWMFRiIhuRV4jFRsKlgRbdooAyaeVIqs91yEgwzscZ9KidSNNXkZV8TTw8eao/l1fognaOIEMQW/ujrUEcD3D5ZWVMcVoWumqF3zjfIecHkD/ABpLq4Ftzg1MaM6+tTRdv8zkjha+MtLE+7Dfl/8Akn+n6lVbXYfY1HLKkSnJ6VDd67DGpG3noKwLnURcEnOAa9Shg7Ky2PXo4aFOKhBWSNGe+UnCj8ax7y84J6fSopblEThwTismeZpGPXFerSpRgdsIWJzcM560hJ7mmpsVfX3pGYNXQXYjY89aVQGIpwRSetWYIgSOBTEX9JsRPMm4fLnnNd0tzHBGLWMCOIeneuY0+RI0A7irf2gs+e1WgJ9VRXbapyD1NctqVoqklRmujmlDLyeMViXkqcgfjSYHNPCQaRYypq7KVzxUBIzUgOjWrAK7elVgRTvMC0ADJycCoyMdqsK6nFNkAbkUAVJHODxVKWQ9KuSnYcVSdd75zxSYFdnfPFMUSM3FTTLsAA6mhm+zgM2BxUDNvSorWyAuLzDyfwoRwKfqXjuS2wluwGP7prkL3VHYnax/OsmSQyHk5NHMhHf2vxJvBKBKVaPuGXOa6KDXtB1pQQ4s7lurfwk/SvHgpNSxSSQuGRiCKHZiaPbY/PtRtn+eDoHHTHrSTQMvzx/MnX6V57pHjW/tAIppBJD6NXe6ZqEV7EksDZjYcj+6fSvCxmCdJuvQ+a6P/gnh4zBToSeJwtk/tR6P/J+Y1txHFV5N4NaUsQDnAwKieEkdKzpzU4qS6nTRqKrTjUjs0n95m5ar9oc9aT7MauWlmzYwK00NLGhbLkZrRjj+WktrQqoyOKupEABUSimSZ8yYNVygzWncxZGRWeVO41jJJBcQoccVJASTtNSxplORUscY3cCsnIRE8WQeaz5PkcitmRcLWTcIS5qb3EV5piqjFUXnb1q5NHlDWdItbRRSGPKcc1XZ+aWUMBVRmYVrGJRdQ5q9boMisyAmtW1bjmpnEReVAB0p4RT1FMRhjFKXHrXM4sGhdi9hU6HiqMlxt6UR3fHJqZU2ybGkHwKY04A96pvdgrwaqvc5zzUqkxIsyXQBPNRNcKfSs6Sbk81WeftmtlSLsaklyoXFUnlDkmqhlYiiMnFV7OwmiVzxVcualbJpFTcaqKsTYEJIpxGRU6wDbxSbOa1si7FGYECq5NaskG5DxWfLEQalpCZEhxzmp0l6VGE+XFMKkGoaQXLTTDGDTUnVWqm+4DPNQGVlNXGIzbWQMODTiwxzWXbzk1d3ZFE46CGTqCM1QlIFWJ5DyKoyHJ5rBLUEy1BLzg10GnSDo1ctAcN1rZtJSuDXTCwztLYqQMVc2jFYFncsMZrXjmyua1sMfNEHTHesS5tD5hrVkucDg1VaQMxJqWBwvk5PNSrGMCkaQU6N8msYpEFqEALTyQKjQ4FBanN6CYyVuKpnqankOTUDcVzpXZI00mN1RluetSQnJrSwmPRDUjAqKlVKkaPKnip6gVo3yauRGqKDElW0boa1ihm3Y44qxcHAFULKTBAzVuc85zWlyitMflNZE4+c4rTmkwp9azWO5qzbBkOzIppUVPjijbVokrFKaU5qwVpu2mBBtIp6A5qTZUscdK4Aie1TKmR0pyLVgRn0qHIdyr5dNaLir3l+1MdMU1ILlJY+asxClCU9F5pjbLNuOlX14UVShGBVoNxis2ImEhFNab1phIxULketXEaY55cmqr/MaVmyaEpsTY1I/mqdY+KaMA1YUZFSIaFqQLxRtxzTwQBQVYaI6jkWpC3NG3cKVhFB156UzZk1cePmhYqCRlvHzWnDHkVViTBrTtkzQykRGE03yCe1aPl+1L5PfFS3YozTBTxFgVceOo9tCkTcozLwazZkJNbUyZFUnh5rWLKRjvF7VGEPpWpJB7VGLfJqxlWJTmrSDNP+zYpyR4bFIQmwU1o81cWLIo8r2poRQMOe1RtDjtWqIM9qZJBx0oAzVXFSKKlMJB6U4REdqllDUFTKgNKsZ9KmjjORSuK4JF7VIbc1Yij6VPtFJsTM7ySDmnpuBxirbRimiPmjmAaCcdKSQkirKINvSkaIMahyDmM4xZNOEWO1WjFik2U+YVyNY/zqdUwvSnqlOK4FHMBVkHFV2FXJOTUDgc0xlcjmnIKdt5p6pzQJk0YwKnFRKOKkQZNOyEDU2pigxWfcyPNMbWAf7zVjVqKmrmGJxEaEOZq7eiXd9iOa4knZo7dG4bG5T/nFXrWw8oDADNnO4jmonNvpVjJNJyqDLH1rjdW+JIQNHZKFH94itcJgJVX7Srv+RGDwE5S9viHef4LyX+Z6I0Eyp95VPvWNf2F9cKQksLnrjdivLpPiHfGTLSllzV638ZyXUZAcqSOu6vcp4SEEe1GlY1dSsLqElZduQe1Yku9Cc5p765I5wZC31NRPdRTqSTj6VuopbGqViuxG7OaFcZqFn3PgVr6X4fvdSO9EEUQ+9LIcD8PWtUhlMHI4pdpzXXWvg61x+/v5GPfy4uB+NXF8L6ErYbUJt3oRTsK5xaIMg1dh2AcZzW5ceH7C3kx9pfBPy8Zz+XSmLosS5ZJyQOpxTtYdyjHcCL+AmpTeueAhFaUOlWr8NcMR6gVZGk6VER5k7sfSqAwDcSvwAWPoKgew1G5z5VnK2e5XArrRqemachitoEEndjVG78QttJa5AX+72pMRzo8L63Ic/ZY1B/vSClbwnrKKWMMRA67Xqe68YxQAhZk+uO9VdM8T3iz+ZJIWjJ4PaloBQl0+5jJV42U+hFVHhdGw2Qa7+38TadfzCG4iHPBNLqeh2NxGZbUjpxzRZAefx5VsE1YyNvWrF9pzW7HjFZ7DZxmkMiuRk5qmW2mrbtkGqcg71LGRPLtkDelUrmTcSanmXJzVRwCMGoYjKnPzmmx43c1PcxFWyOarDipAvJtVeajk56VEjGplxigCEbga2NC1efSrxZUJ2MQrDPGKzWAPSljzyO1KSuhNXR7v5ZkbAGT2qUWjbeRWX4LnZ9DsGmcs+0oTnOOSB+mK66TYyAEYI7ivmsP7sHTe8W19x4OWO1F0W9YNx+57/MyVs1wOK0bS3CkcUkaDdjir8K7a15md5KiADGKfsFCmnbqtSCxFJHkEGqTwgE8VfdhiqsrjJrKoyWRAADAFKDg0ZFNbArnZI53BqjMPm9qmZ/eqk8yqKSTJuMcdaz5kG41M10CetMfLHNbwLi0U5IdwqhNblW4rcCDFQzQgjpXVEZlRKRwauwuVpPKxnilC4rOTGXkbK5JpryAd6rhjt4NV5HfNQlcCxI+7gVGCwpsRJHNShD6U3EQ0liKgZjVrbkYqF4qSQFORjnvVcjLdavtATURtyDWiSKRDGmcc1YWLC8U5ICBmp417EUSVh2K2w05Fwc1ZMRz0pfKwOawbsSIh+XrR5RznFGMVIkmOD0pcww8v5Ko3EQOa0WlXbVSTDZo5iWURHikZKtFB61G4wcUJ3BFKVOKoyLzWpImRVJ0w1dUEMhjypq4knGDVcKaeqNu6UTWgx0gDVVePJrSS3YjpQ1tXNazJsZka4atS1OMZqFrZhzip4EIYDFXF2YG/alcA1ohwF61hxy7FxUhvDjGa2UkFy/JMM9ah88ZrPa5JqP7R707DMQnPSrNvCWINVlBzWjFwgFYxiQS7ABioJcrU4NNnXdHkVU1oDKLGoXPFSsKiKluKxSRJWbrVm1Xc2KX7MWFWrO3KyCrewWLSQnaOKkKAKasY2pUDk4rLqIzJRiWnoxOKbcD580Rg8Vqthl6GUrj1qd7h2QjJqrEKshcipbArnc3Wjy6mZcCgDIoQEIU+lKVqYLSlCR0qwKhSk2e1WChBpuKYEO2noOacRSotAE8S55q2iA1BHVmOsZXEDIBUEgzVtxxVZhzRECELzT1XFJUickVpcCWIYqTJFEa8CnFc0uoyJ2PQVGd2KseXkU7yxitEUUTnNSIM1I8YBpY1FFhDdp3VOp2jpSgCnMgIzS5RkLynpTQ59aGWm5xSEydeTVlUytVYn+arsZ4oAjMee1II8VZwDS+XRYRAqc1p2a4GaqpHk1dhGFqGBZzSFabSM+BU2GNfniosc0PIM00MM0NCGSdaiMeanYZpoIFVFlIqSxe1RrHgZq64U1CwFbJjuQsBTVT5qeRQqkGkyWToBgVIsYaoVYirMHNK4XBYaGgz2qyBT8CjmHczXt/amiEZrRdcioRHzUOQXIFi9qmjhFTrF7VMsdAEIjAFMI5q2yfLVduDTsBGRxUYPNSM+KiHzNUsRZj5UU/bRCmVFThPapFZlZlzUTDHarzRjFVZF9qQDVNOb7tMAOakVeKYFWTg1ATkValXrVYrimMjGc1OlR9+akU07iJgM09flOaYp4pScCncB7SDGKyHuvsiX1wqZZckfmaus/JrPkj86G8jyRuyOPxrBv8AfU793+RxV9cTR9X/AOks841Lx3fXtq8EmNrj0rj3dHb5uh64qxqULQX88DE5RyKobTnFfT07KOh9BTsloK6DHy9KbHK0R61KVwvNVpOtXc0uatreM74yfpWqXkYAKCSTisDTomMwbHFdPYrmdXPRTuqkI6fQ7Ox01UudRTzJWGUTsKvah4ngABSCYYHY8D6Vy15q7HIHJHQHtWW+o3U52FflPXFXcR2i69HKodZnQjsWzmopPENuUKyKMj+Ida5ApJgYbFRvG+SzSgj0o5mM6qy16KOfzrh9237q5p83iO3uL4PCpzt5I9a4mZ5CNowB/eHepbS9FkjYOWbqcU+ZiOul1wq3zMS3alufEMUen4SbE5HQVyhnaYbjkD1qnOsBYkOc/WlzMZpy6lOAZC5Zj3JqkHuL5judtvuapoodgAzEVq28lvax4kk5pXAILXyvmlVW+pzTmnnjX924CegFPGpWWSVjdz7LTZLy3uE24MZ9MUXEPguLhkO5hj24ra0vxHcWxEEh3R+lcobhocjkj2qW3u8HPejmGdtd6nBdD5lAz3rEu1USfKeKzUuSx6mrW4uuarmuBXk4qBzkVO4zmq7gg0hkDoW6GqdxE0Y3dquliGxUr7JIhGMbz0zUMDBO4xGUjK5xg1Xk2MOFwas3VrNAxDjA+tVhGzHilYBETJqQqQKtR25WDzCKjxvNFgIAeakFK0ZFAU+lJiPYfCMPkaFZHuzbs/lXWcscseBXN+Hx5ekWYfjav9a12uyQqovyjrXzMq1NV6jk7XdvPTTofMU8bQp4itKo7XlZLd+6rbK73RYN0kZO7Ix7UjakghEiP1rPkmDscMq+2az5UjYhWvI177Tj/GtFiKPd/c/8jp/tLDd3/wCAy/yOugvkeMHcMeppGvlV/vAg1y8aOyqY7hXUHqK0Y5It8fmA7V68VLxGH/m/QP7Twb/5eJet1+ZtJMZOR0qN2waYtxGE/dsGFQPIWNKVmro6lKMleLuicSc0rtlarKTnmrUY3VnyjsU3Jyaz7pzgitua3BTOOaxL6PANaRgQ0ZbzbW4qzFPuGDWbMSGpYJMMRVqNiVdM2o3ycVOIt46VRtmORmtqBQUFac1jZGcbbLVG9tgcVrOgBzTTEGFZSkMyBEV60GAMORV2SDDZpBFSUkK5TS3xirCw8VZWIAU9VGapzFcpNB6UzyDmtUxjHSkSHc3SpUwTMo2x9KaLUk8it37FuHSozZMrfLWsZFozDa7V4FIlt83IrcS2AX5qd5KDtROWgzFNtgVXdOcVuTQgKcVmSx/NXJKWpm2Z7rxUR4q7JHVVlwTxQh3I26ZqAuatYBGCKhZATVEsRSGFRSDBqZEIpJIiRTjuCIQu4cVVmtznpV0KUIPakm5ArpjKyLKccHtVgQ4INSwgYqRhjmolUC5LDGDH0qKSPDVLA524pJjk1O4EQRSMYphQKcipA2KrTynPB4rOTaAc8hAxmovNqtJMagafBqotklqWfAzVf7W1QtKCMZqAnnrVqbKRsLa47VOE2irT4UVUklAzWjaRI6o5JBtK1E05+lQl8msnITY48mpI4d1Rrya0bVAwqUKO5GsGB0q3BDg5xVlLcnHFTCAquavoaWKcuRx2qq54qzcHmqrc1l1M2U5xlulLGuakdSW9qeiYrToSPRcDFWF6VCBilL4GKVhg5pUHFQGTk81LExqwLKqKkMfFNiBOKthAVzQMpNFUTRe1XytMKClcRRMeKVV5qwy80qx5qgEjWrCLQkdTqnFJq4DdpIqq4wTWgF4qpMvNTawitipI15oxipIx0piJkHFTKoOOKagGKljxmgpCFMDpSFeOlTMBioycDmruUVJQRUSk81JM2TTIjuNK4JkyKTU4GF5pEGADTic1ZRA45NV261bZM81GY/aoZDGRL8wNXE4NQIuKmGaSEixGealNQRtzVlRk1dih0XWrg4HNQxxVMybUqWgEZwBVWWbJIzRITVZjg1ICmShZKhzz1pyg1NiWWg+RSGmoOKkxxTQ0Rc5pCKkK00rzVDIgMmpAop6p7U7b7UXJIitSxnbTcUCpEWlbinhqrq9SI2TioYE+M05I+aVEqwiYqoopIjEdPC1IFoIrVIqxG68VTmTqRWgaryrkcUNCMts5pY/vVO0WaUR4qJIksRDC1MORVVJMcVYWQYqB3BiQMVA5FPklBHWqpc59qQmPxyaenSmrzTwMU0A10BFU5FwausRVaTBp2Ar4NOC04LzUgSnYAAwKZI1WPL4qrKMGiwEJOarZ8q5JIwrd6nPWmtEJFwevY1z1qbkk47rU4sZQnUgpU/ii7r/L5nBeO9AZ3/tC3X5tvzqO49a88X5sEV7xLEVjKSqHicFeRXkWu6U+l6lLGwIjb5kbHUV6uAxqqP2ctH2O7A4+NZum1yzW6e//AAUYrHjFVZOTVqU4BqqRk16p6poaccKxraspSGY+3FZNpciO18jC5NaFs2ENNCIp5MucHrU1rJHH80hwKotncTUbscHNVzAbT61bI21IWfHcCo31i2YfNauD67awhczBsRuVHtU0d9KhHm4dfempFdC7Iwn+ZcbarNbsWyEY49qWNsybowGBPSt6yikeMs20cdKe4jGEjFMAYFReSrtz1q75Ej3TBcde1WZLXyV3Oqn6UWEZrxrBHux09KgLpseaYfJ2XPWtG+tkMQZOB3rGlSW4ZY0VmUdAB1qbATQX9zK4WKSO3QdTtzTJLuZ3Idg+Oj7cGlhtrhDt+zO30U1qQ6QMebdfugOiHqadhmaJmdcE0KcHitRLBWjLCPp7VSkj2tgUgHwk9a0oWJiIrNiB6VfgO04zxSTAU5z1pn3jT5OtNA2jJqhlWRcOcVXlYjGDVtgGc471XmjweaQFZy8kZUkkDoKmiij2qixEsepxU1pb7iZCcBe1W45UjfOBxQBSmgaKIhlIDVX+zKqg1cvblZiMUkK7wFxRcRSMY6Yp0EHmTLGBkk4xV14FTLE9K1PDOm/ar17ph+7i+6R0JotcR19nNJb28cPQBRVlr4xxMqglj3qkq+WWJkPPTFGNwOKx+p0+ZzikmzCOGpRk5xik3u7av1IptT8sNtyWPU4rHN/ulYtnHrW+I0Ee1gpqk+nwSEqVA+lUsMka8iKzX4RBt6kdRWrps7SkOzsCB0zWQ+nCNhtJAHQGnx3L2sqZXioqYdSjZk1KSlG0ldHVCUtjEbYPerSzsBgjJ9awrfWGcbRgD+VXVuZs5ZAyeorxa+XypvnoaPt0Z4dbLZUZOtg7RdtVbR/5M1Y5gxx3rRtjn8q58sdu+M81qafdEsFkGD61lSaqLmXz8mb4bERr0+dKz2a7PqjUbpism+jDg4rUflcis+4HBroSOg5O9j2scVXhzvrTv0yTVKKMhqUjN7mhbqSAe9bltylZNqBxWxb8JWUi0SMKaM0/IJFSlBisZFFZ03CoxFg9asY5pGU4qSSEgU6JQWphzmrdqnPSrWot2KYxt6VLBENwNTbBipoohxWkYmkYjQgpSo9KsFcCoyK15bIuxWlGBVVn5NWrogJmswyZJrnqNkSZOzZWqEqfNVgv601k3c1y63Mb6lcRBhzVS4gwCa0ghBximSx5U5rSLKRhPlTimrknmr80AJPHFMWDFaLUYyOIGpDAKt28Oe1WTbce1XawGLJACOlVXjx0rant2A6VQeH5qnnGUkQipduRVpYMLTjDxxWTlqJlEnZUTz8mpblSpIrPkzW0XoO5I0+TULtmo8nNHNDQXIJDzUDVYkXJqB1IqkhEHNPC0oTJqUJxVWRSNySTINZ8rHNStLuqMoW5xSd2JkYUml8s4q1HFgcipTGCOlSZtMpLxir9nJhxVSRCp6VYtFLMDTSuCOghlTYDjmleQFcVWUbVGKcCcVo1oaX0KNx941AeRU1wfmIqMDis0tSLEe3mlAp+3nNBGO1XYLDWqNgalxkUbKBFVlOanhB4pdnNTIuKLiJ4jjFWkcEYzVNetTR0DJz0qM1KBlaYw5qbMZGQM05BkjiinxjBpoRYRQBTyABTB2pS1Uhi8AGoJFBzUuOKjcUWCxVYYojPNKwOaVVNSSTK1SI3NRAYpwzmpYEzOTTCxwaACacEp3HcrOpNLDERzirccWTVhYcUJgV0jOOlKY8VbCYHSoJjjiruVcgJxTC3NDscmod3NSyWydealUVDH0qZTQgJAMGrUZ6VWWrEfWrTAuxHAFSvgrVePgVLuyMUyirKKqOOauyYyagZealoTK23J6VMqcU8R5p4TFSIRFpxFAIFBYVSRSEGD1pwQVFup6SZpsbRKMUbaQc08LxmosSRMOKiz1qWXGKhHNU0FgzVi2G56rGrNp9+s7aiNWNPlFShcCmxnIqTPFaxRohp4qMmnMaaRTuDDNMbkUpppNBJEVFRPxUpNQuCaGhEGcGl8wil8omonRh2rKSExzOTSKeaYnJqQDmsiSZOlPzTU6Up6VURjHbiq7HJxUzc0yOIlxV2GOjjzirSW7Hmp4bfAHSraR4FWolKJQeLYvNZ9wvJrcmQFTmsa5XD0NDaKYTJqVYulSRrzVhUFQ0RYhEIZcMAR6GuU8WeHl1GxaEfK4+eBuMg46fQ/wCHpXaYGKz9SUtbjH8Jya56sZJe0j8UdUcGPpS9n7aHxw1X+XofPV3by2szQzIUdTgg1XCjIr1bxb4fi1i3+0wxhbpOpH8VeZNbSRyNHKhR1OCDX0dCvCrBSjsz3cPiIVqanB3THQlFGDWlAnybgeKzVh5rYtMfZiD2FdPQ6Coy7nNLDZmWTgZA6j1qTbz71c091jc5704oCrLp1rLnYwt5T2boaiGi7SPOvYAv+zya2LiFZGGYlZe5J5FQrZxE4VSTV8qApR6bHG4a1nZ07hlrahtmktWAcKPQVWSDyjwMIOSfSrcN38hjjheTn71NIQW9m0aIsbqG7lx1qe7haS3Ky+WfdalSRpMK8QQilmQeScECqsBmQWiyW+1xu+tU5o54GxbkLt44Aq/FcFRtVkYr1A4qSTyn+chtrDDjH3T60rAUo3vHG15STjr0prxiNiGkMkp6EnpUkztbhY51Hln7s6AkY96gafS7dNwnaWTsoz1pWAtC6iht/Lz9c1kACV2f3pkryu33CuelPRTGuCeTUMYDCmpFJY8VDnJqeEYIpIY8A55qWVd0eRTwmT0qz9nwnTrTAo29tuO49RVe5jLOTWlzGCBUEqZBNIZR+zMY8qxFQG2lZiCTWlaSIZGjfHHrUszxRgtkewpk2MtYEi++eaeZ0iIEfLegqU2kl2xYkolTxWyW6FU6nuRzRYZFBZzXsgMnyxnqPWunsoktYBHEMD0FZUEgQCtK3uFyM1aQWLyrI1TLFJtwKbDcJ61dSUY+U1YiutuFGZDxRsjXcUP4VJLG74bPFCRFhg9D3oGUpVLrVVUB3Bzn0rVaz2NhWLcVTltysuGH1xSYFeBCxIXANXBNJH8suePSqzweWwYZB7VajIuIirAh8cGplTUkS1c0LW4+Uclgx6+laMM+3BH51y6O9tMQcjBrVt7wMRuPB714WNwEoN1aDs+3Rnh4zAVISlXwrtJ7rpL79n5nSx3JZetRytkGqMUu36GrBfg1y0ayqX6NbrsPDYqNdOys1o090Zt2m7PFVo4QOauzdTUSKKtmzJrdMVqW69qowDkfWtKDispFIeE5pXJC04HmptoZeazHcpqSWqcJlaXycMSOlTIo24qGwsU3iAarNvGAM96jmRs8A1NCSOtVEETEc1Yhx3qq/HIqSN8CuhSsbJosOwPFRE0wyj1ppcHNJzuJyIbvlKxpCVYmteZsjFUJYt1ZS1MpFRZSWFXoxkCqvk7XB7Vci7Vny3IsSiMEdBUc0QC9KsqOKSXBFK1i7GPKmDUYxV2dAc/WqezDVURE8IwavIARVGIqO9XYWyeKtsaHmFXHIqs9jGzdK0QOKaeWo5S7GVc2gSPK1XjhLHpW3MgMfNUo0wxFZSjYTRk3tqBzisiWADPFdLf/AHAKxLgYpxZJjsnz4FSrCcdKlVMzCraxj0q3KwjNMPtUMkNbgtgy1WmgAPSkpjRlLB7U7y8dqviADtTWixT5xlSOIk81pW0A6EVFGgq/AuCK6eVCQptV21XaLbkGtRnQDmqU8iljjpWcrDZRePJqzaxhOtNHzGplHApRauSWgwxUckoQH6VHkjpUbKW71ruMqyMzvk1IgyKnEIIzT1iFCQEIWh14zVnyacYeKb2ApolKU96teUBUbDmsiWiAJTiMCpDwKZ1OKaRIinkVbgXOKriP2rQtI8jpTsMkEeB0qN04q5sNRTrhPerKM8nnFSp0qAj5zVmMUrCH4PalxUqR5odCo6UWAjprHnFOUEmmspzQwImXnNAGBU2zjmoiOahksBT1GajFTIM1DESqlO2inKMCnKMmpGOhXPPerqxjbmq6DFWkORVpFJEbpgGsyfhs1pTvhcVl3DHaa1sMqSPgnmow/NNc8mmoMmpaJLsTEirCDJqC3TpV+KLNIARanTANJtwOlIqsWApp6giznAzTPMqZYSUqOSIjPFWUMzuppFCHFPrKTBiKMUPwM0tNbkVKZJEWNJkmnEY7UlaXKuGM0qjBpM8VIi7hUthcchqdc4pipg805jgEVUREMpyeKiHFStio6pjG81Zt+CDUA61MpxisepJoxSY47VYDZrNSSrUbkkVpEqLLW3NO2ZHSkTkVKB61oo3LtcrtH6VBIuKv4FVZ15p8omig7YNAOabKMNT0AIoJsPGMUjIGzSgc1KgrGY2im0W3tTcc1cmTIqoVOaxaM7DlHFOxmkUcU8A1SAb5WTVmKAcURrk81ZQYrSKKiPReKkxxQMU44xWq2NVYhk+7WVcLuJrVkOQaoSLuJpMUmUk+U1YVhjmmGL5vanFdoqbGY12wDiqNw5IOeOKmmnGdgHPrWbOCxyWq4R1KS1IcqkhOflPWsPxB4dttWhMsLqk6jg5HP1rZYA8dqrPaRM+VlwfrU4L93OdNbJ/mjiy+1KrVorZSuvmkzyzyHtJ2hmTa4PerCj5eK7u/0i3uhiUKx/vYrAm8NvFzFICnoTXuQldHuxlcwHGOcU1HIPHWr91aNFbsT1BwazFGMVZZppdTtGBHCJD9aeLt4QVkRRL/AHR/D7mq9rNcRBhbsFdhgE1Z0qya7nwcuOrMR1NaIRYtIZLxt7AbMYHoK0o9PVBnzGL9gOAK1ILJEXbuBx2FWPsyKDtHJHWrsBg27bpmjPLZ44q4ywoo8xNx9MVBpiCS/uT/AHTgVtBYIBulZRnoWqkgMZ7M3UZMNtGCPXgn6VlMksd0yxN5Nx0KScq/sa7UNDMoKMCPUVXutHju4SrKC2OGxzSaA5KW6RldBEYLtRkwPyj/AEqq13lOdH2y/wB4dKv3tmVYW92pE0fMUvc+1VftTQgrLlmX+93qWBTlVt29wAx7VSlbLH1qW7ujcS5UbcdqrbHJywNZMY5RU0chBqLaQOlSwgeYoJ70DNWJQY1buRyK0rMCRCG4YdKz0TYgdTnjirltNuiZicSKPzpgVbpNk201C4AQ1YumEm1+9Vn5XFA0ZMsB+1FwcCpYVTfuYZPvTrjj8aZGcAGkBqRyqy4xiop12ke9VhKAp5xUpmDRqO4FUAhk2454qZZ+m01SkbNOhB4NCEbds54NbFszkZ6CsC3Y5WtuC4+TbitEBfRsEszfIKeso5PbsKrJzxn8KtRbygXaMeuKYCOxdAwyAafDCrxndnHrT3iYHa1LHFK0g2glF6gUxFO4gXYRjJA4NV4Tt7fNW7Kkfl5Ix7HqaqSWZwroh5pAZlxH5nzAc96hiZo29RWmYmXOR1qjNGUkzjg96mUboGkzStbg7FVqvh8LisW2cMAhOD2rVjyyLkYI45r5vH0lRrRrdHo/0PnswhHD4mniNk/dk/Xa/ox0nIpqripTGT2pRHjFB1iRkgitKFqqRIM1aQbQCKymMtouSKsBcCq0UgBANWS4K5rne4DWbFLG+WqJuadHxQkNFrap7UMgxwMVGsmKmVtwraMSkVJg46dKjDuBWgY9w5FNNuMdKbiOxlNO6t1NSxzbsCnTwhe1VSQhzms7NEO6LmN1L5akdKginBOCean3gClcRBJGAaIxg0SSAk1HuqkUi4CMdailcDvVfeRyDUcknHPWiSKGyuOeeaqO+DTZJDmq8j45pKJDLKyc1YguMOOeKyxIM1YhOSKdho6KOQMg5pcYOaz43O0YPIpxnkHGaq9i7lmeUBcGqqyqDjPNV57j1NVhON3WpauK5NfHcAR0rHuOa0zJn3qlcICSajlaIKMS4kq9HCducZqCNMPWxaoCg6UpCII4jt5FV7qHDZrXKhRVKZfMPNZXGZZjxUTLk1fliIye1VGQ5NK4EduvIBrTCqqZFZULYPSrJk+Wu/mAdNLjvVF5sk80k0uSaq7zmoYpMvRMc1aVwRWfG9WEbNTsQmy0pBqQJk8VFF2q/FCCM+tXGRdyALxRjFWGQAdaiIzWvMUIpJPtU7fdqONRUkg+UUriuRH7pqpIfmqyT8tVnGXqCWxSMrmmKPmqyqfu6jMeG4qkNCjrWjZ8VnhcGtG24TPeqGWjVW4bjFTFqp3D8VQmVjgvViJaqpy9W4ulSItxjApJmxxSK2BUcp3GmMcmPxpWUE1GgPeplXNIYwrkGqzj5q0PKOKhkixzSYmUuc1NHTSvzU9RWTIJx0p6nFMUfLS81SiUTq2TVuMfLVSNDVtTirSLRWnVi1Z9zwprUlOazbjvVAzLYEsanhTgUjR/N0qeJaRJahTpWhEuMVUgWrq8LWbkkA8oDU8NtjkioIny1acBytKLGhvl4WopIsg1c25prR8GqbZVjFePDdOaciE1faEMaRYQKxlIhlNkIphWrzIDTPKBHSlFiRRdaaqE9qvGCmGHHatkxlQpzU8KUpQk1Ig20riFIqB+tWKhYc1cRkRyaasZJ6VOkRY9KnEOBVMZVEVIeKubKhkTms7EjIzzV2Ed6qxrjtVhOBVIaL8ZGBUwNUY3NWUerTNEyWoJhmpS3FQyng1Y2Zs4wxpEenTYzUJOOlQ2QWlcVYiAIrPjJJ5rQg4XNRuwHlAaieFT25qVmGetNPNNxG0QeXinqlSYzTlAqOpmAXFOWlNRlsHiqTSKJw2Kaz5zUPmE0o5NPmHcfjPWo2UE1N2ppFF9AK7pUDqSKulaayDFRcRiXMe0cDmqnk5zk1tzW3mAkdazZ4wgIIrWMgRnToiEFWBz6HpWfNJFk/PluwFXZwuSq+lS2enhiDt3MfQVeBoe1xFSXay/C5y4Omp42tPtZfhczIoJJT8qnFLc2sipjbgV10Onoqcris3VkSJdvGQK91UlFHtRjY8+1BAI3jUY4rl5Ewx+tdjeBJA5xz7Vysyfv2x0zSsWLbxPLhIz8x4rtbG3isdP2qdzkZJrndEtibkSMPlA4rqhGShParQGC2qmHVCHJVQeK6S3uY7mMMCOmTXHa7ZyfMU4PrVHSrzULeREV2JHY1V2B11gi2aXE8o27nJ5rm/EPiVLiJreAAgHtVfWdbv7smLbtK8EKMViW2nT3Mod+pPpSchs7jw3cu9shY9uldUkzAcCuX0ezeKHb0IrpoYWCDNaR1JKt/Zm9hP7sM45U9wa5m9tI54WBUiReuRXYyOka4L7W7VhX8Yldmj79TRJDOKlg8tuRz61NEN4wcVoy2Z3kuD1oitIxnAwaxaGUmgUioWjAPHWtGaAgZWqZQhuRQ0Bo2IDwbT0FLIphJIPBNR6cVBPPOas3Hyu0bDkDNIZVYhhmq8hwKJJSGIxUJcscUDK8xy1RgkcGrMkeMcfWoJABzSQFeWQqetPhlLDk1UmcbjzT4TTEXQct7VahxVOPrVqOmhl2KQoQV61q27YAJPNYkZ2kEmrsbnjFWhG1HMn3t3OegFaCSloiAcEGsW3Y7hwD61rQvG4IUEccmqAuW6vK4GDj1qf7QYGKoT14PrUCOxVVBwFHfvQlw2/Y23I6cUxEzCSVzKw+Y9BVy1YSA+b1B5qk8nmLhnZW7AHrTrI+WSjDdv6A9aAJ7qDdKcDCH7tZN7CVHAyBW2WOTn5iKz7l9zlWj2q/SkBiZKOGFdDC63FqJEHzLw2KwpwEk9quabcmGTBPynqK4Mdh1WouHc5MdhliKEqT6o6CBVljXileHApLBlCMM/NnOParLkEV4FGo6lOMn1PMwVZ1qEKj3a19SoqBSKnzmmsFz1poODVSOlky8NVgH5arISTVhQSKzaEBbApFl59qbKCBUQziiwF1XVsYPNXIQMVi7iDVy3uGAxmmpWKTNWmk1Asu4dakDZPIraMrmiZUvfu1lSNgc1rXZBU1jzkHpSkiZDA4zUyTEd+KoEnPFCufWsmjMvMxLUcqKqpKQwzVkvuWrjEaI3lIqtJKT3qdsGqc/HSq5S7jDL83NRyMCKiZsNSj5hSsRcEJJq5C2KrRr81W0X0pWGi7E/y08nNQxA1I3C1lLcq5n3DHfzUI56VLcdTUCtitYIkmXIpkhBFG8EUxjmrlYY5Np7c1dhYKMCs5W2mp0kwMisZIk0WkJFVWkANR+dx14qu8gJOK53ELk0kvBqsxySaXO7vRtqbCZRU7TTnkGOKkMDEZAqu8TjgqcV2IqzIWBdsUpgIGcVNHCS1aC2ZdM4zQ2aRptmWqbasR1JJCVOMUxRg0kZTptMtRHpV5JcJVCJSe1WArY6UXSKjEf5hYnJoLUioc81Yjh3DJppluNiFcjmh5DtqaUBAQKps2aozYzec+1OVdx96iPLVPHwRQQyyifLQIskcVLGu5amSPNOO5SKxiq1EmI6m8nIpwTAxWgynISDiqdw1aEqDk1QnTmmyWRRDnNXIxxVaJelXEHFIBe1NIyKkCil244oGNVasQruNNVc1YgABqQJdmRVeZODirROKhlYBaGBQ8oA80bQKc75PFNTk1Ggh6Dmp0TPamxrzVgDHSqQIkRBtp4joj6VISOMVRZC8dU5YM54rQNRmPdkVFxMx2hwelKidKvyQ4PSoTHg1MpWJCMYFWF5FRquQBVqNBiuZybZNxsa/NWlAcCqirVqLgVtFlotg0pqNW9admr5rmlxjLUZGKmPQ1GzZrKUSWQsKRaHYfjTok3URiyepIBkdKikQjnFXFjGKRoxtrSzHymYFOaQ9amlGw1CMseKFuSHakC5NSrFntUoj71tEpIbEg9KnMeVpqLg+1WlUFRVFJFFkINRsmavPHUJTmk0JorBKeFqYR89KkWL2qbCsMjQ1MAQKeiY7U8jAqoxGkR4qCc8VYNV5eapgyjJyTUW3NXGjzUXl4NZskbHHirCsVGKaoFNY801oCZMp3VLgACq8ZwalDE1MpDuOFPzgUwcUpPFYuRIu6o3zR60tCkAxQakHFAWn7apFIN2aO9AGKdVXAaTSHkZFKwyaYcis+bURFIrHODWNqMjRADdy1bTthST2rkNSvGnlJGfm4UHsKbcnaFP4paL9X8jlxdWcUqVH456Ly7v5IfBJHv3MckdAO9bdpOFwyJj1rBgVIlDHninyaht4Tt1r6XB4WGGp8sfn5vuepg8HDC0+SHq292+5u3mrrEv3cGuW1DUTO7MT1qO6ummBOc1lSMzHniumR1FWTO5jnvWE8WJyg6k8VtzvtOBzVnSbFJJ2u5QAoPBPrWdtRsdZxva2gC2hbPJbuK17P96nIx7VpWhiYEDkVO1tHnco2n2rRRJMa602KUfMP0rNj8PqJt/Rc11BhBFQshBwKdhnNXehQ+YCig56mpINMVFA2gYPpXQeVuGDSfZRRyoRUt4VjXkfpUrSyt8sae2SKsiNV/D1pJJUAA3que9OwykmnsZGeZtzdhSy2olXYkeD64qwI5VuH/exup6HNP3mFN8rgKOg9aTBIxLvS3VO2azJLZog249DXWyP9oiLgbUHAPrWHqEav8ucH1qRmNJHiImsqaQA4K81oyu6AqelZVxzk1MgLNp8soKnKucn2NXr4qJg4YZK9KxrR3Eowe9Wrl2MiknPFZjIZwGl68mlW3KMC3U0wj96re9WUcyuQ38NAyO62gAe1Zc5IBFXrxsye1Z9wfkpgZsz/NVi3JIqm3+t/GrtvxQIuxirMZqvFyKnTNUgLK4I6VZQbUDVUjbBANSGU+cF7elF9QNKG4UkE5AxWhaSkW5x1J4yax4jk8jgdKnEx3AAGqTA3LN2EvzkkeppXn33gIAVQO/U1Ut5CWA5VQvOepNIWUPvY85wCe1MDat3iE6NODk9D6VPvAl3qASufm/pWQJmYfvH6dABUzSlY4xESV96YWLrXhMhfpxgiq07yyADPPaoI5GlmZSOcUgeRlER++vSgCK5U5APDDqKS3bDgGpLuRyyuw5AwagUgnIqGroVjo7WYpskyMHg/StBnGMg5FYmnyAxNG3pxWjET5SivlqlJ0cTKC2ev+Z89CDw+MnSXwyXMvJ3Sf3vUexyTSL160hNKikmhnWy3D0FW0xUdtDlaseTjvSSHYglXINQ44q68fy1UcYNDiIjYc1LEppnWrESnHSsbagiWNSDUskgRCaYDgUxzkYrpgtDRGZdTyZPNUvMLGtC5UHNZ+3a5ptCYbaaRipeMU1sGlyksYvUVdjTcoqrGvNXoRzzVxQIhlQiqMy5Fa7KCOaqTRAfSqYzFZDuqWNDU7xjcaljQY5rJkkKpVhF5qRYgamWEcZoGh0MfGe1LKgwadyuMdKXlhilyjMefqaqMSDxV+7j2k1QfINVawDQ5FLv4qMmlHNS2SDSGpFk+XFRFCTgVMLdgoJHFQwGtJweah3knGakaM56VEwxWbQiZGxyakEoqmXoDmp5QOitLQSqDVh9IV14HNJpEwdVBPNdBHHxW51U43ZzP9jlGJC/pVyGy2JyK3XUY7VAUHWspTR6EIKxz17YDG4LzWaLQ78Yrq50DLjFUDbfNwKl1UkZ1aaM6K2C8mpTDgfdrQW2wOlRzKFU4rD2jkzmaSM8R/NVkDYlQiRVbnrQ8+4ECuuKMpsguHyTVI9atmMuxpjw7e1axMGQKpJqdFNEaVYSPNXYLEkB5Aq6g71UVNpqZX4pWsVYtBh0zSsflzVF5trdakExdcCqQCO2fpVWRatiFiM4qvIhU4IpsTREi1YQcU2OPdVhYiBUXEIgoxzUu3HSo2BAqlcYFtowKlhJzUC8tWhbxgjNOw7DG3hc4qlNIxNbDICtZ9xBgkgUmgaM/OTUidabs+bipEjOazsSWoxVhVzVeIHIq6o+WqiNAflXNNBzTnHy1GhqyiXHSmjg07PFN/jrKQgdQRVdlFWWPFVnODWM2SwjA3VaRarR/e5q7GBWMFdkrcVVqUcCkXHanEVsUKGNSK/AquxxTfOAqoopFlmqu79eaYZx3ppcGtHEBhJL1oW3K1Q4LVdtnG2ko6glqW6Y7cUpcYqrNMOcGrZbK1y/JxTIDnNQTPlqlgbjNJRILSnFSBhVcuB1pDNxxVgWw+TVhDxWdFICavp0FCGiQ81EwxUpOBUTsKpjYIBmpgBioUapA9SUmSU1jxTWlAGarm4zVcyQmyVmqCQk0hk4qJpOaV7kskXpQVBpgegy4qWxCsoFMwM0hlzSBgai5JKi1KqZNRoRU6kVnJgG2mEVKTxTD1rJsBgpwGTQBUirVQBIAKU08LTWrexdhtFKBRioYDaNuadihmVFLN0FRZtiSuZWryiC1YA/M3AFcZLMrzNxjb8tX9Z1RzcFj8+5iEGeAB3rDeQgAk5J716GV0pVKnt2vdSsvv1ZzZfF4nEPEte5FWj5u+r/AAsXQ+FB3cfWqzTEsR2qAzHGO1KHXbk19Bc90UzEVXbLHrRI+OlRh8tzSEQ3CEMK6DeI7WOJQMAVgXEwyBWncTbCpU7qQzVt7jYoO0DjHFXlulI5IrmvtpKgAAUJekHrVqQjpvPXHUU3zVzk4rEN18gOcVCb1l6NVXEdB5ozTWuAOlYB1NuhGfpSm7Z1yDg+lK4zbFymcP0od7ZlIMYP1rIjuBj5yfrUU94HUrGxUeuaOYC3N9mjQszbSDwAaqx3HmylpmxCvCe9ZrNtfdJJuC+tRpdmRsMPkHQCpcho6SC4jZCquxAHC9qzL8ndxwR60yzvPJnBAAXuDVm8eG5jLleg6ipuMwbll5DYrKkGcjFXJ4pImKOckd6qscA1LYWEtUw5b0pbhypyQfpSQthHP5U5wGtQ56j71SMS3xK2T2p7HYTjpTLcYy3tTJW5oAjmfdmqkozEamc8GomGUIpiMllxJVuIfKKZInzZqSPgUAXYT8tW48AVRhbg1bX7ooAlGCwNKzEtkAGmJnnFOHrQBZhkwQoq7EU3sV5KjPNZUJ/eFvSr7SoreWp5I61SAvxyZ8s5+duxqaVTIQCQADnIrKjV92dxyOlaC3S+QBjBHXNMC7E+CMBenfvS2zb0cM2CD8qk1TMwXbgirDOJBhdpGOop3AkbcpWQHBHXFPL+YQSPxqtEk6rkIzqB3pfNbYSV2nuO4FMRclTzoQwGWH3h/WqYGCeOamiYsBtYnPQiomJWQhvvDrmkM0LN9pFbFuA0hTJyfu1z8Eg4+tb4doxHOhGV4wfxrws2p8vLXX2d/R7nh5xD2bhil9h6/wCF6MkkUo2DT4eoq3NEsgBBBzyCKijTa2K55ROi1y9bHirJFVIhg5FXF6ZNQ1YQvllhSfZVY81KhBqQU1qNIpPZheRQFAGCKukZFVpuAaTgPlGquaGhyCajWQile7K8DFaRRVzOuQVJzVEj5jV2dmkYk1XMZLdKbQiLZkUhXB5GKtrGQBxTJkJwQKLCGIoqwikjgU1IyBVuFdopEkLI4HQ1EyMwxtNa0aB16VIIFHOKdrlWucxNEyv0pFPFb9zaIwJA5rFnj8pz9azkrEOLQ5WwtOWQk1XR88Uu8A0RGjRXBWljXOajtju6VfSIYzWqRdjFvQMnisqVecCt+8tzzisWXIJyKmWhDRRYEGnIOalIBPSmgYbFYk9SzbxBmBNa4hRkAxWdbEZArRSQUJXLsU7izwMrWNcDaxBro55Bt4rn7s7nNPkE4lUEGl4qM5U00uaOQg39LlMUi88V2du26IGuAtpcY5rsNJuRLBgmnKJ1U3Zmixqu7hOtTMRiqF42BiuCpFpnWqlhWkDdKQdaoRSEuRmrgauaW5nOrcc7bVrKuZS2QDV+Vsis+SJmbpW9KNtTmcrlMgs2akUZ7VajtCT0q0lhnFdakjN6lAL6U2RCeorXWzwMbarXMGwjFWmTYzlTFWIwKRyFGDSI1aKVxj24pN+KlMeRmonQgGm2NlWRyz4q7a/dqh/HV+A/JxVRBFxGB4zUc8QK5qNSQ2T0p8kwK4zzVMbRHB97FWwuKoRsA+Savo4Ydaz5SLD/AC+Peqs3GRV4Y21UmjOa0SGiCMfPWrbD5aywCprQtZVA+aqKiWiuaingZ0JFSrKjHgUSSADAqGUzHNuyuSRUqKKtsA46VUztbFS0ZtE6IBzU6kYxVVZKXfzSAtFQaiK4apIn3ACnMtUMj7UHin7DUbDms5EsKgbrVgDio5BzXLMhkaDkVYViKgzilD1UFoNF2NqV3xUEb05+eKtK5UUDvxULPSurfhUHOcVtGNjTlHZJqRcmmIvNTKuKpksTNSRybelRyDANQh8NQkBoNMcUxUMhzVdG3EVoW696dikipJaZ69acluVXgVfZcmmnpVWG0ZUzYOKgMhBxVu5j5OKptGayZmTRyEHNaltLuX3rJijbpitC2UrzTQ0i6zZqs7HJqeoWGWNDYMRXFPElQuuOcUic9aSZJLK2VqvUzHIqMjipYhrNgVCzZNSkGmFDTiAgciml6dsOOlMZDim0MaGNKrYPNIoOfanhazYieJ8kVYziqafIacZqiSAtb+KUHmqXnEVNC5fFYtMRdUVIAKjRTipQMV00omqQh6VEx5qVulRkZraS0BjQeKUGk20orBoQ7NZGuX6W9uYONzqdxJ+6PWtR3CIWPavPvEN8zys27BlcjI7qOP8ACo5ZylGnDeTt/mceMlO0aNLSU3b0W7f3Gdd3HmXbfNujXhcfrTRhl3Y4qG3iLjeKsHhMdq+pw1GNGkqcdkezhqEcPRjSjslYrEAv7U2Rh5gUdKdMyLGTnmq0UypJuNam5NJ1AqtO4Tbg1Jc3auvy4FZskxIwxoEJLLySTWw8we3VxzXM3EwY7VrVsJvNtmjJ6ClcB5ucMcmnJcjI5rLnkKu1MjmJI5pXA6A3GUABqFpfU1QExGPSp1XzEznirQE/nKKPtgUYFVyyIMHmq7lQ3BzQwLjXhPVuKje7Q8FjVFiWbHapI0+bLDilqIleRpsBOg9aRWaMgZ5qTAA4GKYFAkyaQy/asHxmrdxhsIAygDII71nxuqIGFOTURJIFQ7iKBle++chgelZkxwK0wMqwc85rNmXLkCpBEIYqMU7zd0BiPrmk24PtTMYbIpDLiMqR/hVZ33MTTJJcLioRJk0CJWxTCMrikDZpy8qaYFR05pqrg1YK5alWP5qAEj6EdKuKNoUHrVWMAsRVtv8AXx+m3mhASxbSeaazAMVHX0p8G0lhnn0quTm5OKdwJogFUnPPpUkbbm3E81WkJXtxSK56ikBsQvu4zSsyfNk/hWdDPiQHpxUrSc896YGhE/KqenvV5NojZhwT1rHhmyVU9a2Q4miAUAYqijY0fUoo5As0SSJjGG71JfXOnFspYLCTxkHrXOqzRS4JwQeK04547qAofvj1piIpRChIhBXHOD3qtK7yfP8AxCp5UIIOORwahdPlzTESW7dM10doxlsmTG5uoFcqjEGtzTbnY6k9q4sZSVSk4Pqc2KoqtSlTls00dHaP5tqpAxs+U/hQPvE1UtWCXThCdpXOM/Srqjmvn6E5clpbrT7jyMBUnKilPeLcX8tCVGxVsSfJ71TFSbiBWz1OwnWXDdasxtuFZuWY8VPE5Ue9JKwI0OgqvKue4FRtM1MLk9TVNlXGsoBqB15qUnmo2IoTFcgKCnxwhyB3pTyKntVKkfWquCHrYZWq89oYz04rYB4FJKqsmD1ouU1oYijHapAaZc/I3FVzNii5BqW8gHFW8AisSGc5rVimBQZNNM1iPdQQa5/UxjJrcknVQeawNQlD7h71ExVNjNSQh6c0h7U1Vyaft7VkmYJl/TXOea1Xk24rHtHEbDmtIkSgEGt4yNUyRh5q81j3luFc+9bYHlwbqyp5hJKRwaUwsZZgI7VAykNW08S+XnFUZIOCR0rAzkivG+09auRzZHJ5qg4KmojIy9DVIEzRuZwE4NZbnLUgmLttJqcRcZxVcw9yo65XNQlDmtHycioGjIPSrQWETIxW5pN4ImwT1qjJaFRkA02KNlYdawVaLKTOxS5V0BzVO4k38VRtZiowTVk5asZtM15yOJCJM9qs7uOtIqACo5GxxXNyakSYFgT1qVIweSeaph8Gp45gataEF6NFFWFANVEk45qwjimpO4IlwKrXMYYZqfdUcxyta3KMSePkj0pEQCnXD4c4pqNmuimiSwrADFI6gqaQCjParkhsounzVNFIEGKVoyTUTRsDxSRBLJcgD5RVcykkmk2nd7UFCO1aXuO49Dk1ciftVSNKsRnBAqkhmjE2VFPdNy0yHG2rCgEUXAz3jIamh9lXJUyapypiolIRZglA6mnM5ZuKoqxU1aiYnBI4rPmKTLi4Ef4VVkjySRUilmOBVpIPl6VYMzQCvUU0tzVm5i2VVPB96RJat2q0OaoI3Aq2jfKKlyAlJwKgY5YmlkbioC+D1qW7gTAgComOTTC+e9JuwKycbsgJDio9/wAwprvkmmKDnmrjEdi9E1W0XJrPgPNakAycVcY6lxHeSpTmq7QLu6VoFRtIqt5Zya26GpAIQOgp3l1NtxTgAay5tTNlGboapPnNaU8dVWizWqYkRwMd3Wti3IKisqOEhulXo3MY4pXsWmX9oqGTgVF9pI71C8+49aTmgcriyANUPk72qwmGXrUiRgtQtRLUSK3UKM1OsYA4qYIAKY3FVYYxuKrs+HqSSUDvVfq2azZmyU/MKj6GnqOKCKkQ09KF5pGODSKalsRIEBpRGPSnoMipQtaQKSK/lgdqa0YIq06/LVc/eq2NkPkY7UGPBq0FBoaPNZNCsUnXAqErV+SPiq+w9qmwWIUjJatC3hwAaZBA3Ug1fRNoqlC5SiIFxTqXFNY4rSMbFWsNY8VH3pSc0gFNu5IuKCtSKBSyYVCfQVHLcaRz+u3eyNbdHIZvvAHtXmk97JqN5zwg4VfSup1/UCq3NyjKDu2pn6//AK65OzTOX/KujLaKnWnVa20X6nLgKaq4qrXa+H3V8vi/P8zXi2xQbfbrVeS5AUqR+NMMjFSoxiqkzYQ9zXvI9sjlJYkk8VAWAOKR3YjHSmkgDJ60ANds1RuZscCpbiQ7SBVBVLMSaljCNWeQk9K0rGQRy4JGDxVVQFjJzzVaSbacjrmkBpX0fzkiqyRkEcU5bljGu6NiAOoqs+rQxuFA5oEa9vC7jgZrTtoYmG12246iuUOuP91AR9KZ/bU6j5f501NILM7o6XG6ZXp9azptKCsdoP51yo8Q3qniUj8aB4mvk/5a5p86Cx0TWYTrUU0sUbouQK5uXxBcyA75D+FUJb8uSxdiaOdBY7pXgIyZB9Ka0lrn55QPauC+2SHAUtntzW4vh/UTo39puv7r0xzg1HOVYtX+qJMRBbHv1AqWCNrSNHbJJHOKx9GtzNqBcHKKeTjFdikSS8Ypp3EZ0hbaJFOVYVDjO4mtm409ktCdvyr0rGY4B4wfSmxoqucZqJXyGJ7Uk7kA1V8w4PNSIdI5Zqco4FRqMmpwOKABRUqD5TSKvFOX7rfSmBHjmnKMMQetBGGT3p7D94aAGQgfacHgGrLMBOqjrVRuHVxzg81I5xMr+3FFhEyfJJuzzUSuPthHrUkhLpuXtVBXJvU9c4oGbLQl1zimxWEpBIHGa1LG3LxAt0x0q8kKqNtUkBz/ANidW9DSGF0b5633iTzASOlZs4DyH0osBDCse9cnJrYhwjhAc8/pWOLdVYNnvVtHkDb0boe9FhmjJARccDIPSnxoYHJAwwNIjsZN7HggYqyzBlRu2MGrQmTqFkjBA5Iy1Z0xaOTae/Sr0Ts0RC9Ox71Vkt2kiHm5Vh0NAFYqdwIH1q3A5TBHrzVaVWC7UPzU2CYkYzyDg5qZK6sJ6nVWswd4nRvvEA1rA4NczpsrK59Rhh7YrfiuBMoYDBNfMSpcterGPdP71/mfP0YKGKrwXdP71q/vuXAcipAMioY6tRrzVROsdHFnmlddtWYlGKSWIEZFMpFY/dqBnIOKllfYMVUL7mpWuFibeTUbN270meKaOW5p2Cw9RVu2b5uagwMU6M4OaY0avG3jpUbsACT0qIXO1OaqXF3u+UUmymytfSKTxWZuLNiprmTJNJbIC6n3oWpO5bt7VioNXFUqMHrVm2UFRUksPGRVFGVckgHmseX53xWxe/JkGsnjzM1MlciRJDabhmiWzZRkVeg4Tipcbqz5Q5TGVSp5qxHcNHUlzFtYkCqgBzVx0BKxea8LRbSeKhtrXznJ96i2sxFaunoYyK03KRM1iPKx/Os+S1Kg5WtsscVWm5BrKUbBJHM3MQUms6RcVsXpG4isyTmoMyrBEXmxXRQWieWMgdKyLZcNnvW5C3yKaCoi/YFA4ArPuYAkhXFbyHKZrHvnXzjV8w2OJzSeVkdKR0eMZZSPrUsMgYYzXlyhKJk7oYsZU5q7bg456VDwT1q3EAEFOLY1JjiOKpTyYNXJpFRDmsiaTcxNbRi2Ve5KoMhz2p4mjjJyaovdeWMZqq8pck1tGlcdjWe/GcKadHqB3DJrGQsx61KM1qqCGb4vgQOaetz5gwTWAjscDNaFuWVeaHRSAknQEmok4NSuWY8A1GqNu5FaRjYFcm3cZpY1Lt0qeCyeUDjFXFsjEMkc0+UTTKRhApjRD0rQMXtULx4rN6Eszmgx2pph4rQCA00pzgVpDUaKHl7RTUzv61otbORwpqA27IfukfhWrViiaFiAKtoxNVIxjFWk4ArFskc3TmqcxBJ4q27ZWqMpwTUsTIguWx2q7EmQMVSRssa1LRdxHpSSBFu1tsjJq4IQBinxKFUCntitlHQ2SM28iGOlY0q7XrevBlaxZuGNYy0M5DE7VbjPy1TVsVbhO4VlIgc3IqB1z0q55eaYyY61cVcaKLKwFMLHOKvbAeAKfHp5c7sVqqYcpQCYGTSba0nsHA4FRGxkB6VXLYrlK0I+atOBtpFUDE0bYxVhCwANZvRiTszTEgIpOKpoWNWkBxS5my7iOuRTF4qbHtUL/K1Yy0IYOoIqLy81IGyMU8LVRqBchEWKRgAMd6sNjHSq0mSamUwbIGbmmE8cVIUNJsPpUpsm4+FyBir0HzHNZ6ghuavwnAreDLiW+AKik5zQXOKYCe9bNlshaLcaesOBUgFPUVnbUmxWZSp6UmM1bKA0CMCq5Q5TPkQ56cUIK0GiBFQSR7eazcbEuIRjipe1Rx9BUmaa0KWw1jxUBwWqZulQdXouJkydKkC5pqDipRVbjRBIuQaIoucmpiAacgAqVHUaHKvFLjFKCMU3Na3saAaaVzTxS7eKNyXqVyvNKBUpFAWlYVhAvNZmvXbWunSBRmR/lUVsqvNcd4j1GO6mEduwZIsgN2Zv8KirU9lG61b0S7s5sXifq8Lx1k9Eu7OJ8RXGxYrRVAX/AFmfzH+NZ9o4EXNVtUmMmpsrMSehJqeMBIq9rA4f2FBQer6+p25fhvq2HVNu76vu3uPaUBuanttPvtURvsNpNMB1KrxS6Xpb6xqMdsjbVzl2PYV7hpVvY6RYxQQ7Y4kXt3966tTuSueAXFjd2kwiuLaeJ/8AbQioJ4L63J+0WbxRH7rk5z7n0r2XxHq9pc+fGy7lhQsme5rCt9b0u8hSwnt0VygJJH3qfKxPRnlMpweeabgbc13mt+DrKTN1ZysFPO1e1cTqOnXVgf3i7o88Oo4o5RFGSXjHaqwBd8U9vnY1atLRi4JHFJK4y5YoduCKtafpVjc3d9BPAryk7kY1Z0608yN2A+7zVPUHksbuO7hbDcZHrUyi2hrcxbrSmsJWVQWXPGazpo2GeK9Bs44dSt95XJPaqVz4Zc7mVOOv0rLla3NbI4Bw2ajYEDrXVvoLvKY1ALDqKhXw/NMxRI8n1ouS1c5QgnvT4oHkYKoJzXaWPgya5nVG2r657V1ll4P0yxljDuHm7heRTBROD0jQJzOjyR4XOc4r0dp7VfC0kBX+HaBViSyitpCUH7vHIrmtTnjlm8iE7YwTwDRytjasZGnWiwh2A+hrcsUV1Ldx0qiyqkQRSK07E5CjaBWyRiacjhbB1ZRyODXDXDbWf613GsmOGzXYwGRyK88u5SM89ach3KdxNkkCoEJOKa2WY1NEvSsmBOi8VOq8U2NeKnVeKoYzFKgyr/SnbeakiGFf3FMRC68RH2pxXLNTymY19qP+Xgr2IpjIYQHleM8ZHFJIm0ICeO1PZdjiQdQcGnzRiW3YY57GgAgDKJEboBms4EHUEAIPzdqne4IhZc/NjFVNJi3XRLZJDZFJbiO6s3URAd8VciAMgJ/Gs62A2rV2Jjk5rUB14gAJT1rFlyHbJ5NbjyAEqRkY5rHkj3SlnOB2pAMWIuoAOTU8arE4R+hpI1CvntUuQ3K4Y9s0AXEQHAByvrVtIxtx2FUoFbYc/K3pV+2UGPkknNNAThFWLjg9qrSuWiz3FaGzYNrAlfasyd2EhUfd9KpiIGiJw4PzelNkhKESbMZ61PEeCCQMHirKq8qmPHykVIMispdrhvWtqCXa/XAzzmuf2Pby7GHI71qW8m8KQevWvEzTDae3h8UfxS1seNmuGdvrVLScPxS1afc6a2O4CtCNMVmaY6vGoB6DBrYRa44yUkpLqXSmqsFOOzQgGBUbTYyKncYGKqTKMEgUzSxUuXy3FQKeafIDupqqS1NICTHFNPBqXb8oqFhg1bQ7C+Yc1YjYECqBYbjzU8UmCOazbsTcsTHCVUKk1Ydt5AFPELAdKyvqIzHiy3NWrW3G4U6WOnwNtIrRMI6GpAMACrHG0k9KrRSDbmklm+Q4p8xpcytUYc4rGDfPmtG8Jck+9ZrqQaDN7mtaHcoFXkQAZxWFBcNGetXRqBxinYu4t59/AqKG33t0pvmGQ5JzWrZxghapRHa5CmnnIOK0YoAgAI5q0keQOKa67RmrtYpRsROg7VnXbiMHNXXuFGQawtSudxIBrCbJkzKupN8h5qqfWpHOTTDn0rMyCE4ete1kVwEzg1jAYNXLYMzdaCkbhISPg54rGuVLSEmrwV/L5aq865+tOxVjppLYSRkFRj6VhXNsICSoxXVMQyYrB1GPrjvWtWkmjScVYxxcc85qwl2QnBNZpUhyKmUELiudUkY8pNLcswOapvJwal2E1HJCR2rVQSHYpSsSxpiyHpVhos9qYIG35IOK0QEkJJarYTim20OSKvCDjpVoCokfNatrFuXpT7WwMhHHFblvZJEg4BNKxaRjqhDDI4q3HaB8EVcuLQNyuBRbqI+P1oTDlJ4IAi1I8YK08dKRjWtlYu2hQmAQmqcxB6GrN43Jqi2cVzyjdmDQDrir1nY+YdxFUreMySgYro7VNiKK1pRKhG7FW0UKAQKguLJHH3elaqqMdKrygBuK2lFWOlwVjmZYDHKRinBSEzWzNEjHJHNVpYk2HA7VzSjY5pRsZEr4BqhNL1q9OmCaoSRZNZMzYyN8tW1YkA1jpERjir9vIQRVxHE6KNgRTyaqWz5UZqwWrW+hpcrXX3TWTJEWbitl1MhIp8NkCc45rBxcmTyOTMNLGV+ccVdhszGOea20tdopHhxTdHQr2TMnG3rTJFz06Vdnh7gVXC/NipirMjlsNht8sDjitOOMBQMVBGuDmrcfaumJpFXHCJcdKieEA9KtjpTHHFW0rGvKrGXPbKxJAxVcw7RxWlIpOeKgMWa5pRMJR1KqLVhRS+TjtS7TU8okgqrMfmqyc1A6nd0rKoDIR96p16UwIalXisLakWYbS1Sx2oY5NEQyc1eiXArelT5nqawimV2slxVZ7TaTxWqRUUgFdToot00jMa3BHTmnKm0VZZPao2XFZONjJxIs0U7FBWkACpU7VD0NSIaaGiVRT8UwHBp+7itOhoMNQTcipXfFVXfJNZSdzOTFXgU/NMU5FPpEpiHpTNvNPpcVLAVOKkFRinFuKqLKHZpy1AG5qQNVXBMfRSqeKKdirid6kFIB0p2KqIJCEU5RSGs/Vr5rDT2kj/1jEIhxkAnv+QNKpJQi5S2RFWrGjTlUnstSrrmqgj+z7NleaQmOT/Zzxj0yc49q46cAGY9fKGM+9ddZWC6bpLXDxq1243A7eUBHTnoeufrWJDo/2mOQSsUEhJJ9RV4DDznP29RavZdl/mc2Bw9SpP63iPia0X8q/wA31PMLnM2qkhSzO2AFGc11ul+D9Wv1G6AQRkfelOMV1WkaTpOlSPKqeZOPvORz+FTaj4ijtIid6xgnA9TX0MIWWp7K2H2mjaXoFv5SnzpsfM5OCTUVzrZ8pkzgKPyrjNS8YRmUpCCW7k1zN34mucttc4PUGqbSC7On1nxFFAp3KWkkOACOCD1rlNRv/wB7buH2mLkMDyRWXLrXmszTEN/dU9qypbmW6cHYztnhVXtWfMB1UXji5gkKgfJ7GtSDU7fVrCYSOASeVPPXvXCxaXf3J3LblRjPNb2l+FtUkK/Z5VDMM7elPUdyA2hguSgO5c8H2rTgZYk6c4p1/p99prrHfR4fGA46GqbSnIHai4zf0iZNjw/xspAqtNbrewmM/wCsSqulzrHfIzDjNa1+jQ36zKP3co6+9MDOtkn09t0eeDW/b6vLLDsiKhyMYIqndxeWvIz81Z99odw9ob2wuCJkOSoPapkro3hqtTQnv7qFWVLeJ3bjfjO2q1vNqUFu4jaNWccnHNczFq19E5SaNsg45q8uo3cpBRCBjqaw5GapI6C2dVj2Sbi3dgcGpl1O1spAyFifSudEl9cfKISD61JDpspbdOefQGrUbA3FG3ea41zFsjztxjms3G1GlcHceeaVkisodz9BVB717uQBfljHarSsc85E9sguLoB2OPaun0aHy4i0oGRzzXP28PlzKw6muilMdnpvmEZBHIqjMx/EN0N21H+X0rirmTc5A9a1dUvfMkODWKDuYmobGhETJ6VZjSmIverKHgUhjlXpUyDimLUq00NCGnxrlWpMVNGMI1AiMD5CKaRiVG9eKkGc+1Okj/dq4P3TTAa8S+cQThTUbqYwSO361cKKyhs54qvOpKE0MDCvupYU7R3wzOT3pt8MA0mkDMjg9qSA7OzkPlA46irnmkLzWZZudgx2FXJCCOetaXET7iwJz1qnIjGQ88VLFcLvVMdOtMfIdgOh6UAQhirjJ4qxGgJynfmq5VmfGeanhwO/IoAlMhJJz9a0baVQoVTz3zVIRqGAyOe9W7XCy5wGVeopoRtWzoUUSPkYwTWZfwAzERA8enellXYNynAY/dHpUccjO2OhU9/SqYEPktEV3g5PQVftxKsozjkdKezrIjEqMgcCpoJVES7iuRSAgvIxtLMME81DZPlwtXJ7iOWMlk3e9ULdts+e3asq0bxJlsb1tM9pKJMEoeDXTWkiyxq6kFT0IrnbVllQBxlT1FaOh/JPdRgkqrDAJ+v+FfKuDw9ZQj8Mr/Lr9x85ThLCYhUo/BO9l/K9/u/r13TEHAqOS3GOBVlTkCgniutI9bQw7mLa1RR4DdK0btQQ1Zw+9xT2ILAXK9KikhzmrMa5XOaGHWmx3MaZCj01Hq9dJlc4rLZir4rCZm9zUtsMRmtVQu2sG2l5Fa0chK1CRSHywo68iqDoI24q+zHbVFsvLiqHYfHKenapCdwqWK0yuaV4Nn0qlELMzZ4hk1nTRDNa8ozVGSIk9OKG7ElEJg04DnrUxjwKgcEHNCkCZZjUZGK6CwjXyxxXOwEkiuhspQFUVtGSuaweppDioLhgF5NDTAd6ytQuiIzg05vQ0k9CvdXCjIBrFuPmJOabJOzOSTSg7q5Jas55akHlZPSneVxzVyOMcU94gV4oEZjRgGrNngMAaZKpBojbac5oQ0a7j5eOlVpBzTY7kFeajmmBHHNaqxZ0K3yn2qvdyI6nBGcVXwc05Yw/Wso1bkc7ZleXmUnFTeTlOBzWodNUjcOaYLVkHIrRySKKQtSF6VE8ecgitkAFcGongQnOKn2sRNmXFZbjk8irIs1J27ea0oIkA6VahgQtnHNKM7sEZMWlPuyox7VZ+wMn3hW0IxjoKa6qQcit7WRfLZFe3VUUAdauoflrPJaN+OlWUlytZe0sNSJJGqk8yo/WpZpgqE1kM5knP1rL2jbFKRtxzAr1pHkPUUy2TCDNSumV4rqUtAvoZ1wSz5qS3tvNHNJMuDVyxO5OKa1JSuyeC0RTwozV9I9opIU796mPAxXTFaG8Y2I2k2Cq7yZPWnTVUdiDWVSdglOw9mzVeZsLzTjJVS5ZmXArmlUMZSuUZ23SYFCWpbnFNET+ZlhxWjBgrioUrma1KRtSB0qIJsathk+WqM4UPxWlzS2hatm+QcVPvzVKKTAxUqSc0uYi5o26BjWlBGKzLZ+BWrG67Rg100WmdNOxLsFVpsLkVK8wHeqcsm4mtKjRpJkTjJ4qIwjOcVOoB5p5SudRuYuNytjHFSI2KRhg1FuGaHKwti2JaNxaqucd6sRHNEZ3ZSlcfszTGix2q1GuaVwMVty6FOJQKUhWppBg0w9KxkrEtEJTJpywjuKkXk1JikopkqNyEwrjpUEkW3OBV2opBnmonTQShoU0YqcGrscoAHNVJE71B5201gp8rIUuU1/NHrUTPms43PFLFPl+a6I1rle0uXWNRsQajaXNIrVMpXJbJAKXFC07FERbkLLg09BTyuaMYrRRGLSMcKaWopG4pvRBfQhkkxUOdxpJiaIhyK53uYvUnQYp4FIoqQDigtDaKXHNO25oSuCGCkapAuKRlyKpxG0VgTuqZTR5PzZp4UCkkCQ9afUY4p4NaooeKXNNzSZ5p3GONc9qscc+vWsM7HyfK3EA8fxfzwK3JpFiieViAqKWJrgrvVDPcfa5Wba/K842jsP1rKpT9tKFO1+aS+5av8Dgx8faeyoWvzSX3LV/gdXf3ZMJMR6Vzo1wJc+TLnceFzgCsO48UhbaZJTI1uw5Mec//rrn7q+ud7NpzJdo3I3DEiD0+tfTU4RgrI9vlNfWPEgivGjgZY7nBV1OSo9xXJT3Yky01zulBO9y3b2q5/YKamrSz3RtZxyQZNxqe18P6XaRs5X7Y/UFwSSfaqbbGclJPLdXhisopZHbkKBzWpB4SvrhFe8uVtg3Pl5yw+tdbp9nPCPNURWqMOEVfmNXVsxKgV1CoeSzHk01EDCtvCulxBVSFpmPV3PWtOLS4FOYYUjUYXeBzn2q/mxs4wGmDBeQM1n3Xia3EQihdECnrjpSskBpf2WumW07zxB97csew9KZNONPa0lVVjXdgEHqDXHX3ijUJiFNxujYfMhHWqttr1yIzbXUgeFD5iFzyB6UuZDsegXmpw6lby204VgW2nj7voRXCT2xgneJj8yMRx3pB4tT7WqpbnBPMh71YeYXUhmI5Y5NK40VGiJIA4zXXzTw3+jGNCBJCMj3rlgMy57CtCxmaOcDcdp4NAxYNRSI/Z7ntwCanjd1/eQsGXtg1R1uyCENjkjrXOtJPbnMUjAegNAXO4+a4w0lohPc45p5RIwf9FC59R0rgjruox8fanxUb6xfygg3L4PvSuhqTO4kkiizllQdetZN3rccZKQ/O3rXN+fPcnMkrE/WpoIFzjNK4SkXGnnvH3SOT7VftoNqggVTiAjIFbenlWyvrVEF7T7ZHKPJkEGqviLUJYkMDAbF6HNT3d0kFmUyQfUVy2o3y3KbNxb3NJjsULplYkq1VlY5pJCTmliGazKRbhG4VOq4NRW/BFXhH0460DGquBUyrSheKkC8VSGRkDNSp0IqPB3VOFpksjA5qVV3Rsh7imhfmqRVPXNADIAfLCntTJhtyKkVtrsMVHLk4zQOxhXyEk03S02yyN+FXrqIMCarWgCNJ+lITNyxYqevBOKuyOQx7VnWDfNtPfmr0qEktnOOlUBIg53ZwaikmlUkgDA60iE4yeB602SQHgUALFO0p+7gepqchVwEbJ7mqyruHC5qRYyuSeppiL0UqiMKwyQetaNtcRY4Q9c/WsSOKXYcdTyKniLxyZbI9jTQjoYIzcSHbwTVeeJo3Y4KkHFFnP8Au1wx3k4OKt3LER7m+YZ6+1UBStpBKx3HGO9SqnzEhiAPWo41ywIHDcirvkYi3BsjuKENBEsflHB5bqKo48ubBrTWDzwDHhdv3s1V1G0ePEg59SKUthMv6bJvP0rW0uby7m5Pqw/ma53TZSkh54Na9q+2WU+p/wAa+cx8OXEU36/keHj42xVH1l/6SzqY5dwBzTyxNY0V0UUc1KL4nikmdVyxcMTmqG1l5p0s+4daarkiqGWoZxgA0+RhnNZzMVORT/PJ6mgVhZ2yMVlyj95V93DVXZQTnvWMlchofZxF3AA710kNqojGRzWRpyDr3rfT7o+laQiawRTuIMLlKrQW+SWI5zWqwyDUHCuRSlGzG1qKEIHAqORcoc1ayCARVe4OENXpYpoyph1qq55qzM2c1TJ5rmqOxhLcRhmq8ic5qx3odMrmlFtk3K0R2mtK2uAnGaySCJDzVmOri9RxeptPOhjzmsO+mDkgZq0SSuKpzxck1o2acxnsneiMkOKnZAeKRU2tnFRYkspwKf2qNW4xSseDk1AivNjJqm7YPXn0qzJk5xWfLuDZxTC5KZiBiomnI71Azc00sKBOTO22ilAx0qXYaQpXGmxWaHrPtUCkZ91REU2oqVGHMSA0Z59qYDTs1h7Riux6nFWYmIIqsMVNE3Nb0aruOL1L4c4prsfWkTOKVlNelGTaNrtojIzSBcU/aRSgc0nEmxWnjZlqCC2zICeK0WAK1XHyPUKNmFtS4FwAKXFNR/lpQ+eKvm6Gl0NeIP2p1vF5R6VNHHnk1NsGK0Se40iWKUEc05pAehqo7bO/FRGf3p+2toW5pFh2zVaQZNPDZpkhAFKTuYydyo5w+KcFG3OKieRA/JqdCHT2rnaMrFd8E4Ap8K7D1p3lgEk1E7EnCmiJaLDsNhwazpMkmrIDHqeKRoCeatsG7lZeAKcsm1qkMJpptnPO01jJshpl22mxWgk/y8GsWNXQ9DV+EnFXTlJFwk0WmlzTC2aaTUbNWvOy3Jssxtipt3FVYck1MVOK1WxaegyZ8CqhY54qd1LNipEtxxmspJyM3dsoPIwqe2ucMMmpJoF2msSSZ4bkrnoayu4sV2mdTHKMZzRJL3rEgvvl5PNWRcFxwa6I1m0ae10LLyZpu6q+STVmNcile7EpXBWwaf5tBTioWyDVO6RWxZDg01uRUKGpS1TzXQ73RDKo2ms2QZar87jGKpbcmuaS1MJkQXipUG2jacYqRBVRRCQDJqRRT1Wnha0aKsxUFSjFRL1p5PFEXYtCmmE0heo2fJrRSE2PZsCq8j4BqTrUMnWk2S2V3OTU0Q6VCw5qeIYArFkFlRTqYpp45oTNEGKUUuKXHFaRQ7WEpaQnFJuFNsY/ApMUBs0uaVxiEUdKU04LnrVCsNGT2pdpyKsogx0qRlHYVqqZoonO+I5oodMaBuXuMAADggdc/wCe9eVarqTT3JjgkGxPukd/evQddtheajqyOzHybUsgzwOF4+nzE1xOmaUqwxT3RVSTtU+pqsup+1rutL7N0v1fz/I8/CQdfFTxE9otxivTd/P8jOs7S81GVVhJjfPULkH61uN4Ygfeb4mSXcOny4/KtOyk8oM8ESqynaSKnM6ySl52yWXoD3r3j2itYeGtK8sO80mVPzRvgoR296rXfkiZ4bf/AFanAITHHsaW/wBR8vaQoj47c1l3esM4Du0e3oCeOfYd6q6QFj7RHZK0rq2V6lzk1iX3iONwcNlj09qr3kt1qx8mJNq9yw60R+C5AqTTSZUjPJxms+YDHdtQvpCyEBc9WcAUHETBLmOGT/cf5gfwrXkWwsQLcafPcT+iMGP5VLFb39y4iihhsIxzuZA0pH07UtWMwZX0/GPLuIiem/kVWMUMowsE8rgfLtXg16FYaHbZ81o3faMs0gDFvw7Vtai2l6fdJN5aiAhchB2I60coHmth4NvdShW4WMW6HoG6n8K1ptFudMt42lUtEeBIBxmtuTxVbxXobyx9jGY+B6dxVmXX9MbTXijmE0Mp4Q8ladkByaxAnPrViA+S4ccMOhpZIxHKVU5UdD61GwOzk4oKsbbeVqlgIWA3quFJriNQtXtpTHIMe9b8Fw0PINLdPHeoVkUZxw1DEcVJECaaqqK07nTZo8sg3LVBYJfNK+U+fYVDQApxxVmAkkYqIWNyzfLEwHqeK0LTT5FUeawU+1FrATRQNKRitSFktYySeaqiRIF2qPxqnPMzZzmncB17ftLkZyKyGGTViRi5yTzURHNS2UV3SnxLgUHk0oHSpGWo1K4NacQDIPWqMPzR4Iq/bL8lUNClcdKUD5cU/GacqcVQESpzVhFFNCc1MowKCSsx2TZ7Yp6k5plz2x1qRGBjHrigYjqAciopcFRzUm/ORUEo4pDKcvQ5qgjYnwKvTdDWY2ROCD3oBmvaylJK1DIWXINYaN8uR1rTtyfLXd6UECysypgHvUIkJwSR9KY8ryzeWB3qU2zAYIK+9MZZiYADc+0GppWRGUR5PrmqI2qNucmlF2EcKFy1NB0NCOU7lx17Vq28a3D7CP4cnPaqNnJAZvMdeSOBV9AWlVlyGkbGBVokfFaTDMtupwOxqXzzkRTkqQORV2KUofs2GC/xECn3cUdxEXG1egz3pgVgVBJD5GOPpTI5zKwC9M8CmmOWMZx8hHBxU9pMIpGcRgEDAJ6UASC5AIjjB3DrjvUlxIY4gzHf6qelKXH2NmCBEBxvB5aoJoWjtRwdzDkHk0AESKH8+D/V/wAaf3f/AK1aEEg3Mf73NZFuz2sgAXGVzyevsa01UBFkUfIf09q8LNY8nJV6Revo9Dxs2/d+zxHSMtfRpq5eMh29aaspzTfLJUEdDTShXrXOk+honpdFjfkVYhJK1lNI6EYyav2crSYBFaJGiJ3jcngVVnWSPkg4rfghXblutR3lqHjOBkUNFWOe86lWXJxT3tGEmMVLHYMcNUWIsWbKQhgK3oZVK8msARmI9MVYSZivWmnYpXRry3EcY+9VRpPMbcpzWRcyPu5JxUtpK/aok7sG2zXWVkGKjlYyDFSRKZF5FSrCBVJOxfQyJoGweKoyArmujkQHPFZN5AFJIrCcTGUdSirAinggr1qu2VNMWTBIzRGNkKwsy4INPTgCoXfcetPRsgUnoySyhwKSXBFCHIqOZqrmGmQlVzTWAFBbJpDzQ5DEXO6iRuKdjA96hdju9qzEOOAmarSKJM5qaRsoMVGg6mtEMyp0KSYxTMGtC4jDyjFR+R7VXLcLHe+XTHj461cVMjmmvH1rKVJG7gZsiYzUQGWxV+RMjFUwu1jXJOmY8mo4JgUhGKeDk4qbyQEJI5qVRua+yVikz7at2qeZg1mXcm1wvrWjp8hCjmtYUbMzUdTYgt89amNsPSo4pgtWfOUrmvUpxVjrUVYoyoEOT0qo1xGM81NfTfIcGueeVlck9Kxm9TCdkzVN0KYZVc5FZLzsRgU1HcEc1Bnc3Em4xmpo35BJrNtdz1eVWGKzW5KbuasLArUjMAKpRyFBintIWFdPPpY35tCKeU5IpiZNDIWep44CAM1zcrcjLVsFzimSIzjC1Z8oAU+NAD0rphE0UTIbTpC+easpCyAKRitYRgjNMki46VcqOhbp6GXIhK4qDZjNX5lK9qoStgGuaS5TCSsSLUoA4FU4ZMtjNWfMC0J3JRZSIHtVlYlx0qC3kVhnNXl27a2jBM6IpMqS269cVCI9vTpV+QZBpiw7u1X7LsJw7FM8Col+dq0GtuOBURt9hziodJpk8jJYIhjNTNGNtMhIA5qYsuK3jaxtFKxV8vD808LQ55qNpCOlQ2kJ2QydgFrnbv5rgtWtcyk5FZkiMz5IrhqO7Oeo7hbqSeK04osIKgsocckVoAVpBBGNyJUIaraDAqPGakUjFbx0NIxsOJwKqyuOanI3VE9tuOack2U0RJJTmkGKa8GzkU1VLcVjytGeqInbc1AFWltsnIpXtjjpS9m3qJwbKhxSqRmiSFhmoeVODUpNE2sXlparxydjU27NXctMUUjPigZNAjJNHK2BGTmmgHdVkRD0oZAO1CixcpDTHGRmntwaAMimyWioy/NU6jAFKYctkU8IR2rJ3J5WAp69aaFNOXrSW5aJBS9qbS1tcojfrTac/Wm1m2S9xVJqQGogadmlcaZJmpENQKakU81pCRSZcQ8c09yNoqur4FcDc+MfEt5q2p22k6bZywWMpiYyN8wwSMkl1zkqTwOP1rqVRJHo4LB1MXzODSUVdttJLW27NTU7lYNX1Zm6va7FHqdq8V5ourSBZIWJXy3HykYINalzr+tXeqwreWdsjyOFYxAk47/xHt39q5nxFIyeILoA4Hyf+gioy+pKnXlRa3vL8f8AgnnwwlfL8U8NVcZKfNNOMr/atZ/ea6600eTv+XqRnqaa+uKxXzX2Ds3auUeZs/e4p0c8pfZG2M/7Oa91Sudp2K3lvPaviVZJB03HtVLa8kgZLd2IHzSHsD2WsZ0nJRyqxZ4LBO30rXsY1ZRGlzJcMDkIQQBVgTJHqjgrp9sLWNR811cMM/gKmTQZXKPfapdXUAUGQ/cQnsB61ca0RYTcancoiDhYVbGain10yJsjtwURsxgnAUUAWLSwW33CCJbVF/iVf69SakbULazZvJCSf89Hzks31rA1DXpJwIpJCUXkovc1jtNeX0qxwxcdo0Xp+NFwOi1HxRsj2RNtJzwBWA+vyFQjqzpnhj6elK+jm1UzXrFj/cDAYrKurq3cFPMVE7BeTUtgLe3waIwhwVDbhiqltMUIx61XcwgALvY/3j3rU0lLbDB9m89DI2AKnUDftZ/PtY5D1I5okamRJ9nhVMgkelAV5mAVWJ9hVWZQ9SNvSmMpPfir39lXoUAQOSe2Ktw+GtWlzizkBAz8wxmmBmJGAM45qKU4bAqz93cpGCOCKqOpZ80gGSSYHSmo2etP2ZPIpxjBFKwFa4AB4NUpDWg0OQaqSxgUrDsUSOaRhxUzLzUZHNIZFtpwTmnheaeEoAmhGFrRtR+7NUoV+Wr1twCKaGh+O1SxLxzSBeasRoNtMGQMuDUijK0rrke9OCkKKoCrLGDVWNmE2yr0nXFVGAjuFftjmkIepXODUVxhcVGzkEketV5XkkbLdB0qGMjnPbNZ0w+arcx5qtIN1IGSQyfKK1YJSVVfWsiHgGrFpNsuTub5RVE9TYhhVZDI/XsKkuZNi7iQQO2aoS3jSONh4qZY/lLzHgdaYiuztI3yKxYngCr9tpeMSXEoD+melQ2UjSv+6jO0nFa3kSggGLB7U0gIvs4JG1zkdMVfhee0Ku5xjp3piQSIy71wfWteKK2C5di7kcsegqkhC2d0biNtqsSerN0pZmk2gLgqOlMuxiANZNtVT83+19KLSYSERHPnA/OD6UwHPIzoqOTjOc+p9BW3ZaTFIgNzknrgGsuKONpBKWK7DtjVRnJ9asy3U8bBhNtYnbj0/CmBZfSYZA4iuSDGcgGqzLNYkyOVkjYYEjVasoZJoJeQEj+ZiRwB3q7YTW1x+5kTzYl5wRwKYHJtNC8jNHIzAnnI71ds5CyGIHgckHtWj4itLeaEPaRhGiGRtGBj3rDtMlBLGcnHzAdxXPXpKpBwls9DOrTjUpunLZqz+Z1Wmwtc2244+Vtv6Cp309s8DNN8NOX82Pjbw35//qrpQikcgV87hW5UY33/AMtDxsuk54WDlva33Oxyr6eT1WrdjZYcDFbrwIR0FRCPy2yBXSjt2GNCQBtp/lkpyKer0rybV96OZF3M+W0HmZUULHhsGrcZ3kkihoctmpckSVprYNHnFUhGIzitO4cRR4zzWW8u48dKi4iKaMNjirFpDgZxUSsCcmrtvIo4FLQLou2wHSrJUelQQYHNTFq1i1Y0RHIorNvcEGtJzkVlXgfPtWc7EysZEwG6qjgg8da0Xjycmqki4ai6sZlUKxNWEUhanhi3dqsrasR92sZENFMEjvTHOaszReX2qq9ZakkdOxxmmiplwRVpFIjxxVWXrV1hiqkoBPHWhDIBJhsdqk3r2ojtmdicU2WFo25BxWlrIdgChjk0/aKjRxnHenk4rSMkCZ3pIAqNjnNQCbPepFOa441uY65NMYwzVO4AU1fIzWZeyEPjFW43MtmWrbbwTUepXYjj2r1NVY70jChelRXm6ZQSMVpGFkWncqzthVkPNWLacptIPFVzGXi2HNPSGQKAAauyJlGxrDUTjA61biudycmseK2lcg4NX4bZh1NaLYXO0TTkOvFZk0K4OBzWkyEAU6G2Dtlh3qHG5EryZhGEqckcU9FDEDFdL9jiZcbBVN9ORJAyn8KiUbITjYbbQhVGBVwKPSiNMLUmMCs0hcpFjmpAhNIvLYq3EoranG7KjG5AI8dqlXpUkgAFVmuI1OC3NatJGlrExYCnxuCapm4Q9DTlmGeDSjKzBSVzVjxtobGKpxy+h4qTzc1t7VNGvNoQzgEmsm5UA4rSmkABJNU1iaY5xxXPOPMc8lcoKjA5FK7NWr9hwvSqk8AQ9Kz9m0TyNEdpMRJitdZCRWfYwjcWx1rSCgCrimi4XHB88Zq1CN1VAvzVdhG1a6KTdzaK1JdgFQyqMU+STA4qu8mRW02rFO1iuzbTxTfMOaidvmoBrhbdzFysSl6jduKaWxTGbis5SbIcrjPKMjVMlipHTJp0HNaUIGBWtOkpbjjC5SW12DgUGPBrSZRiqco5rWVJRWhryJIgPFIDzQ/WoS+DXM5NGV7FtAKm28ZqlHJzwatLMNuK6ac00aKSGSoCKrxp8+Kt43ikEWGzSlG7uhON2SRx8dKkaP5elOUgLSsw21skrGttDPnjHPFZsy7WrYkGRWZdLiuSrGxhUSKwbBxVmNs8dKqAEmrMZrBOzME9Syi1Mq81CjcVOp5rpg0zaNhdlRyLirO3imSL8tXJKxo1oZ7cvUyR5prLh6nhwcVitWZpajo4AR7VJ9nUVMoGKViK6FCKRpyopvEB0FRlMHpVl8Z60wqK55RXQlxIKb0qVxiojWcroh6DGPNIaU02psQGaUHNIBk1Iq4pqIWHItSAUqr0p2OK3jA0SOP8X+LhpA/s3Tv32qS4ACjd5WehI7sey/ieMA8pZs+g6be/aJ3k1C8Iab5t2CM9+5+Y5P8A+srcaix8ba5qYhUeQDCpJBIZcJkemQjfTOKy5hJcS+bKx+Y5INdGHpOUuc+lxjjg6EcDSVnJRlN7ttq6Xkl/wfVtvE8mJTI8cwOUcdj/AF+lWrq7AvrOHUdJgkkn2ospwwwT2yuccnir2h6e2o3iRgfID8xrd8a2cKR6UVVd8FwgBHpuFXjcJSnB1JL3knrseRWwdDEQSrQ5rbO7TV/NNO3k7q/TVnk/iKKO1166hgQJGCpCjoMqCf1NM01VaTLcfjVrxOmfEV2Qf7n/AKAtZ8LeWc+ldOCbeHpt9l+RyYGTlhKTercY/kjrbVIwisWbOONxyKV5hBKpkYEg5RUOAPc1zB1GRcKmeOnNSQRT3LF5Ayg8Yzya7U7nUaV3Mk0zMd9wQckjoKhYTsBGEbMv3EH3j/gK0tOsp5AiJHtjU5O4fqfX6VqRaRG6XEkrvDEF5YjEs3t/simByQtVSYiRGnkQZZI2wo+rVX1DX2giEcV1FDn/AJZW3Lfi1ad3ol3q4CMVs7VMhY4zy3uav22j6VYtaQmyhDsNvmyDO80WA4mFJ9RmIj+1XUh7YLAVpReENclcY0/YG6FzXfR63aaXdrFHDGkYj5wuBn0p8njOFGBjVRnvRoBx0Xw31+Uln8hQOcZq9B8PLlWBuLiIFTkhRXQf8JozMAHBz2qleeIShZ45OGHPNPQC9B4fsLODNzJuYe9SRa5pljKYlslAUfexWKINY1DDFBEhGR5p29+mOv6U+a00vTkR9XvVDt1XdgHI9uex54rgq5nhab5VLmfZas4J5lQUvZ025y7RXM/wN+PxbF5mbe0MjDnYiEnH4VYvPEGrXskDwweWMctI2CPr3/SuFPi3Tre2MWi2cm9wcyMuMHtknJPU/Sqg1jVJz5lxeSBsY2xHYB+ArD2+Ort+ygoL+9v9yKSx1Rcy5aa6X96XraL5V85X8rHTXWkXNxdyzq0K+YS2MnjP4VWPh+7z/rYfzP8AhXNK44GBge1SKy/3R+Vaexx3/P1f+A/8E0+rV/8AoJ/8pr/5M6L+wLr/AJ6Q/mf8KafD14f+WkH/AH0f8K5/eoP3V/KpIpCjh4yUcdGQ4I/EUnRx9tKq/wDAf+CJ4XEW0xP/AJTX/wAmWrmC4s1xPA6DONxHGfr0rOYbzzXQ2muyq/l322a3fIZtoyM+w4I9sZ5/Cq2q6bDbLDcWjO0EwyMjgdxz9D354pUcZUVVUMTHlk9mtmKniK9KpGjioq8tpRu4t63WqTT02e6MZkQDpUEgUDgVcaLKmq0qV6DPQZW71ZCDy+lV8fNVuPlcGgkWJeOKsQ5DVHGMcVOgwQaZRaUZxViMcVFGuVqdB2pgRlcOR1FOA4xTifmxQRyKYFSYFW5qvIAVNXLroD3qmehqWBXwAtQyAVM/FVZGy1QMqzD5qi2g1PJzTFGaCSH7pprZBJFTOveoTkmqQi7ATGqvjJqz5klwwGMjuBVO3SSaRY4x9SegFdNa2y28WCFJxVJCILa3l8vCoqe+auwRX4+5cptA+6w61JBt8v5lIbPGDWnHvjLLsQ7lyA1VYCtHezQxlJrfJYY3jkVox/Z7qNfs5EgxggjofenxWxcKJ9oWThQvc+lVpdGms7tjYSfuycyRZ61SQiYsPM2qVkCDluig/wD1qZHF9oby4/lOc7/79NluIXRIlVgM/MAOSPSpZZZH5SN0TGAAOQKAKMnmLceSivkNgvu4X3rQjhhhmWXzQxHV2PP5U5jIsKo0aqh6bjzTH09BCZZSw3dAeo9BQB0VjD5OktNFewsZ8iVd3A+lVIr1IW8pcYUfM6jg1gC3ZJAZmIbrtHAX2q9DK20ozxeSORjrTQGlK3nISSwVxwe1YCA28siLwQ2QPatRZGXocpJ/fPFZF1IqXjMP4Tt69qmYjd029a1njljfjo3vXbwTCZAwrzWEGS0dY2BbdkfpXWaFftNbdfmHBFfNU48ntIJ/DJ/c9f8AM8TA2h7Wje/JJ+tnr+bZ0ZNNzkVWMpPWlWUj6VPtUdjkSOMcioWbIOTTmkzULhgD61V7k3JI3KA8UG4O4VEu7HNKFwcmkyrla8Zn71Rzt61ozkYqhNjoKybaIbEVgehpVlKPwaiUE0pBxmpc2Tc0oLvHWryTblBrGt8NV1ZNmBmrjIpSLMkm0ZNU5XDd6dLIrLwapSSEHmqnsDYyUgE1WaPc1Pdtz08AbawVRk8xbtYVCjir6IMdKq2xGwVZVwtXctGfqEeOQKyJPu1uXhDKayGjLcAU1qK1yqvPep16UC1lBJ2nFBBHWrsK1hJDlagiQySVb2ZTOabHtWXIpcoyxGoRR0zUNzGJEb2q4qK/NVb5hDGR3q7aDZz0hKyfjUyvuXPNM2F3zipNpAxUXsZnVxMS1XkPArPDAVLHcAcE159DRm6kX81BJarMckc0scgc1bjXivRp2ZejKSaZGG3cZpZrIEcVfOAKYTWkrIuCSZmpYjPSrsdoiryOalXANPaRVFTGSNZ2ZH5KL0FAVRVK8vXjQsiZqnZ6sXciUYFXzI5ZWub6xqR0pVUKcCoIbyFwMOKinvEjDNvBx6VfMik0aX8FQSD8ayo9ZV161Imoq7gZ61ErMlyRfHApHOBTVkDDINI5yDU2ViGxiSqJOTV6OZAB8wrEuG2nrVGS7aNuCcfWnGSQ4SsdDdXioD8wrFe6DyEg1nXF7I4xziiFiQCabdwcrmkJyoJzSxXuTiqZZmTCg1Ekcoc/KQKlxdidTpreffxmrYzjrWJpsjBsNWyG4qYXuXFlS4UvMBmr9ugCgVmTyBZck1ctLlTjmt4tItWuaQQbaz72H5SQKviRcVTuZQQaqTTRq7WKtiPlweua0VXismOfy3HNXPtTY61CaIjYthOasKNq1QiudxwasecfWtOZJGmwsslV2kzTJJMnrTMmsJVjGUxp5NFNZjmm76x9qjJyHt0qJvumpN2ajbkYprUB9sxzWgkuBxVGIALUwHFaxk0axdic3GD1o8zeKrEc1PGOKtSbKu2Mdc9KpyAhq0W6e1VJgMmsasTOUSKM9anVuQDVdeKeGxWcXYlGhHjFS9qoJPjvUn2jI610xqqxvGaLW7tScetVVcsasKauM7srmuI/Q1QmXce1XpCMVVfBNRUZnMpGMCgfLVhlGKhfAFcczmkh0cnIqyj81ng81ZiainPUcWaKNkZob7tV0fAp28V2OaaOlS0IpBzT4sg0nWnoMVnFa3I6lgNxUUjkHrS5qN+TWs3oVfQVTuNLTV4pc1CAY/SoiM5qRzTKzk7kSGgZHNJtp4ooSRIiqKkQDNMqWM1pEaJkX2rO8Rah/ZejT3agFo1LAZxnAzitNWGK88+IOtRtA1iG2/ISTn7xI4rrjD3WzswdL21eELXu0rfM4qwlluJbu8n25uZDI2PXJJ/U0+aYM2xTznFQWcirpkfzYIz/ADNRW+Zb1MjADZNdtCKjRjbt+Z7GaSc8fVb6Nr5R91fgj1LwraCz05ZHUBmGTmud1a+GpXk9xOhW0tJCIhuwXZW5Y49xgDP17Y0pNRdtEmjjl8qUwssbgkbSRgHI6Vx66tpr2y2jWUwWHGUQjCn67hnqea83MpVZKMIJtPe29u3zPIzNV/qyjh07yaTaaTUd3a8lq9k9Ule9nY5zWpBd6vNcKCqyEAA+wA/pVJo/kxW/r1vaQx2dxbIyC5UtgnOOhz9eaxXIKZ7iu3CTp1KEZU1aOyv5afoc+ElCVCPs00lpZ7rl0s9+xBbxYmB6nPSuntFiIDMdpHpXLrJhjinNqLIpUMR+NdcXY6Tu4dbitN0cJGABuJrPuPEWWLHAz/F2auHe9cA/MeTzg1Snv2I2BjgHgVXMB3lz4ohWDfAFDd1NY114h86ORY2IzztYZGa483LY+8cmuq0bwVfX0QudSkNjbAklXXEhAPPB+6MZ5Pp0xWFfFUqEeao7HPiMVSw8eaq7fm/RdSo+qyTMPOcnncCD0NS22kaxqkyiC1mWOQBhLIpRNpxzk9eueMmt2XXfDvhuSC3sLJLuaEENOoXeD0OXxyTz04/Csmfxzq94xWLy7ZSm0hBk59QT0NcCxWLrK9GnZd5P9NzFTx1ZXhBU13m9f/AYptf9vWNuPw3pOlyPLquqiYJj93/q8Z9QCWPUdMVEPF2iWcsf2DSd+xcCXaFYdupyTx3z3rlGja5keaVmeVzlmY5JNMMIjNCy6VXXE1HLy2X3IFl1Kf8AvLlUfm2l8ow5bX82/wDPXvvEeraoxDT/AGaFgV8uHjIIwcnqf/r1Vjt1chnzIR3c5qvFjcM1dj6cV3UsPToq1OKR3wtTh7OCtHstF9y0JolUDGAPpTycGmKp604/WugABpQ+1qT5dvXmmsMqMHmncRY3Kw9DSEsOhqA71A4p0cxUc0xluJiww3Wugs1j1TRxY+aVuICWAbuMnH4YOPb+fOrMrYI4NTRSvHIssTFJEOVYdjXFjsNKtBSpu0ou6M62HjiafsublaacZdpK9rrrHVp9eutrOSaF4ZGjkUq6nBBqjOMV0ut7JxaXSbh50fAPYcEfj81YE8eQavB1/rFCNVq1/wDhicFXlXoRqTVpaprs07P8UZuPnq/EvA4qiw/eVqwL8ozXQdInlc1MEwBT9oxUiLkCgCeBMqKfjaalijAUUjDmqGJEgZixpdoHFCkKcetPZD5iGgRnXCMTgVTZStak6EMapSLzzUyGUm5qu6YyauyIBVObOKkCsVzQEAGaUA4pMGgmxE44+tFvavPKFQcnuegq1BZS3TYjGfWugstGjgA84+Yc/dTpVKIMZZ6bDDBtSQFxy5PrV37IjIAZOf51cWGFZFWO3A9jVuDSPtEfmOACW+UDsK0SJF0zTg9sm/HIO4VHc2skZ2ucDqhzy3tV6TS7i3iLQXBV8jaexPpUNx+8VWZi8gYBiOxqgH2l5E9vFJPGf3XLD0NSrqRkkUpbunULuHb1NOTT4d6PJIJol++E7ema05crF8pWZT/EOuPSmIy0sPszQyOEMeT+8PXJp0ds6mS5LZjU/IrGpllhkjlaNvuttTPP1GKrW8wkffMS8aZCRZ7+posMpytLNNEcbpCeFA4FasFu05Vrpw+w5CAcCqVtMGklmA3dhinz6lHDiMD5j39KBD7+G5y7Mqi2xu2v1A/rWM5eJj5y4jYZVV9KtG/81/NZGIU7QmeGHrS3qLcwrPEoj9wcn64qWBB5ivGFLGRT9wDt7VWmiXzA/IGMYptv5rKOYyxJyqnr71FeTmORPmOCMEehqJbAaWngrORnI2f1Fb/hqXyLv7PIfvk7a52x5h3H76HcMGtHcYryGVSVIYc14da9PFNPaa/GP/DnjTk6WPs9qkdPWPT7mdvOdjGoBJk9aSSfz0Vx3GajjBJrzaitI3ktS5GcmrAXOPSoIhV2NcqK6KWqKiReWAOlROMZq+YuKqzxkA1tKJTRQlGapPGSaukE54pqW8kjZ2msHFtmbjcpiIigocdK1DaMFztqCSML1rOUGhOLRTiOx+anZsmoGA35FTJg4pwQkTQwbsZqS4sleIkD5qtWoDAYq2yLtrdxujZQ0OPZTHLtap/4KtapCqvuFUlOBg1h7PUycbMsQyFVwDStO3rUIYYqJmOapxAtBjIcE1ZtLVSxYiqlqQ31rVg24pwRUR3kx7T8orJvrZVbcOBWwfXNZWozLyM1q7FStYz2YAYquPv5zUcj/N7VC8+D15qLpGdzSFx5YwOpqyLVbpRv5zWNGxaRSTXR2rAgU07mkVcYukQqmdtUbzTAuWjFdLjMYqncYCtmlOKRbgjBluueopi3RzjNZBlYmpoXOQTXDGNjnuzp7GXcB3rWV8KKw9MdWXr0rQkuVQYLCtlPlNU7Ft5ahNwKy5b7OcGqxuz/AHqxqVmyfa2N0XIqOS6UHJ5FY4uW9aPOZvWsfbSJddms15E6bSmR9Ko/Z495ZRjNRK5Jqwjmh4hmftGxfKwOBTHQFSKmDGkPzdqccUNSM/7NknaxqWFWVwCKnCYORU8aq5AI5rpp4hMfMWLeXgA1ayCKosnlnjpTkm+YKTya1lO+xa1GXcTM3FU2s2Y5IroYoVdQSKke3THStIwbVyuRnNnT8r05p0VjggVttEB2qF1CtkVolYSWpNaWMaoMqKttaQlfuD8qLRtyj1qy+FXmuhW5ToUVYx5bZIXyoxVea8eMYHWr1yynNZdwoY1xzlroYS0ZWeZ5GOT1q/aAhARVWOJWcZrTiUKgAFK7BMsJJ8vNQXMy7etDHjiqMiOxOelNSZfMxgk3ScVa84BOlQQxANg1ae3BTihS1JUnchS7VJBV5bneuQKzxajdyatxALgU5SK5ybvTgOKTNL2rO1xbkUlV95yaluHC8d6oeaQx9KxlHUymi6rZ4p5XIqCFtwqyoyK1p3HEfEOBU4FRqMVIDXSjZbBt5qVcAVGKGbFXokPYe54qtJyaGkx3pm4GuaUrsUpXGheaR1IqVBnpUwiz2pqNyVFsoZYGnqxFTyRYNQlMVEk0JpolR6sq/FURwamV+KcJNFRlYmkfioCeaViTTe9OUmEpXEeoHGalemgbqylqZsiCVMgxShKcRgUoxswSsG7FKr5qFjTAxFac1g5i4DTg2KrK+KeHzVqZakWQ1BqFWzUgYd6vmuO4tB6Uhamk1EpWHca3WkAzS09FzWa1YtxAmRSlKlxgUxmxW/LZDtYj24pV4NGap3t0beIlRuPoKUXdkoXUtUSxtnYcsBXi3iC6a8v2YszyO2en4V1OvX0sgYPIcHoAa4/zNupQueyn+tek6f7rl7tL7z6Hh+0MS6zV+SMpfNIsFWRdrrwPu4qtFOIrgEnHNWbi8DIVxzjisC7lIJIzXdI5L9WdFqOtgWmxWwduARWLaXcSLKcglu9c/c3LltoJArf8JaWt673k86pDasGcOOCOvOe2Aa5cRWjQpupLoZ1KtOEXUqu0I6t+V0vm22kvM1fEZb+ydIC94f8A2VK5t3IXk9ua1PEesG+1YpFKj20HyxlR1JA3HPfnj04rIlDOTnvUZdTlTwsIy33+9t/qefgOf2ClNWcm5W7czcrfK5CZcHPaqc02WNSSkqSKpucmupnYLv3HFQS96cMhqkt7Se+u47a2jaSaQ4VF7/59aG0ldilJRV3sb3gvw69/fLqs0ois7OUNnIyzrhsewHBJ/Aeos+Ktek1K9ktbectZqeABjnGD/n3+orW1WKw8K+F59JS5le5ucSDI5LcAkY6D5fUmuKgiyBXl4SKxdd4qesVpHT8Tiy+1SUsdJPmd4wurWhZPmXW8tVfTRNap6JDaqecVZW2A6CpoosVYEfHAr2TvK8akHFPljLr0qdYTnNTpGM80+gGSPlbHarcZ460t9Z7EMyZx/EKqRORigRqI4AxUcjDNQ+ZwKazFjxSGSbxUiMCalttO85QzyBQferZ0MuP3NyrH0JpFWKqOOhpkic5HSi7sLuxIMqHZ2YdKjE2eDVCJE6jnmrcb+tU+Ccg1MrDtTElfRHRamQNM0r/rj/7KtZL8g1pa4Hj07SlKlWWLBBGCDhaxlnI4I4rzsp/3OPz/APSmceBknSbX80//AEuRA0JEvTitCM4AFQq6PUy4r0LHcmTKe1WYUyBVdKuwDIIHWmikWkXAxUMpwTVlGBGD6VVuhsGfWhgQ+ZiQZ6Va3bsGst5cEZ9a0Lch1CA0DEm65NUHYFjxWpOB9nI4zmseYkSHA4zikIRhuFVZUBFXRjGTUTqME0rAZxQ56dK0NK0l72X5xthxkk1asdM8z95LwvYHuK31K21oriLOeNi+lUkJsallBGsMMQ2rjjFXI4TEEQqpGeoHWmRzblPygZHGeq1ZQtKqKgOV6kHrV2JuFrHJPIr7RlSRgdhWrBaOsaeWC2Tggfzp1vHEJNynaG6VZtlJndslNq/KQetOwjPeB/PaOY5BGFUnA3VXVtk8hwmBj7g4J/rU87NceZ5nzqGHPtUskxkijGxAo+7gYwKdhFNbmKOVm2FXYdvut7GpF1C0MO8NsKnBX3qEyi2Z1B3wN0Uj5garFraUOwIBXhuO3pQBFJgyGVnKqTkBO2aViLeBvMG1pOpHYegpkc0ZiXztqxxnKJnlj6moJ7hnmYO/U/MzDG0egoAJ5mjgXymAD/dKd8dqzv8ASb6Vtzc8Bie30rQMSNtLptjZxs9h3NWxDCoLRqNpORjripYBFMkESKiEtjGOufU0yS4SeLcoAzxgDBA96qLI05ZCANh4Zhgn2rPFwn2t4QXVmzhW7UgJ4wF8xYz+8iOc+orW1yztZNJsZ4dwn2ZlHbNYUF2IZ/JkkUxsdsgcYyPXNb4R4bN183daPyqkZ/I0mMoWD4cJ3xVwiRLOP5SSrfMPQc1Ut9uQ4HINW7VQVlVpSfMOOTzXjZmmoKovstP5bP8AO55GbXjSjWX2JJ/LZ/nf5HT6W7Slk7BRg+tbEds3UVh6Edkgjzk4711MXSuKrFOV0bu0tURCIr2q1EcAU0nim79pq4rlDYtZ47VWuMFTzQ1wFXk1UebzOKqUym0LbpvYmtKKEdAKpWw2tWrbgZFVTs2XTSYxoflrH1KHYMjgV0L4ArC1Zsrg06qVi5xVjDLZNCuVI5qJjhzzSE85rmjY5dDWtrnYRz1rQ+0bl4IrmkkfIANaluWYe9bGikQ6jJufFZ5jkPIU1ti1WQkvUgtlA4FKxL1Oe+ZThhilkGEBrRu7QAkgVQlG1Md6iWhDH2rfMDV9ZCORWbbDDVfX7lZXJvYgubyRTgGs+R2lOWNS3Z+frUAYYpq7GtSvLGRVTyyzZrRc7hiokjw1OSBoRE4HHIrWtZgpGapBMDimPN5ZrSEdC46HSLfoEwaz7+8Xy/lrIN7x1qGWcyjn+dRUZTmhx01vSk+zFM10zooHSqVxArIcVxyqRWhm1YyYZHiOASAasqzuOSc1A8e1vpUsL9qG7rQL6DvLNSJZO/PQe9HOeKtwDcMFjWSptsz5bsqtbGM4Jzj0oEfpWl9lD9M05bHpWv1e5XsyjEmWxVsQYqYWexsmpwgxWc6FhezKRQg0mKmnDD7oqFd5PNc0qLIcQpQcEGlpQorJc0WKzQ933R+9Zcl0YbgHPFaDDArFvhl69LDy5tzWMjr7C5SaIYParbuAOtcZZXskEYAPSr8epyyjkmvTjKyN1LQ2nlBBxUBcFuaqQ3Oc76ZJON/ynis5PUi+prRTbcAUs90QnWsgXZWoJ752oc9LFOdkW2uC3U00HeazkuSWwasxyMTWXLcybuywihZOTVtZQFrNdyBxTRcMOMmk5WC5reYMZphfdwBVa3lEhw1WmCoucVcNUaLUZGmHyaugZWs/zWZuKuxvhBk1VgsIygVGWC0lxIRyD9KzJbk5IJqWiXozVSYE9amD8VhxTsW4NacT5jBNSojTK15MfMqJXVhVqVFkNM+zADiq5RMfbYJrUiiBx6VjRkxy+1bkDqyitIJXKhuOaMbar5watuRtNZ8p+biiq7bGktCbfTHY0xGJp/WsudtEXuiFsmkANSlKTaRWTTuRZk8A5GavgDbWbG4U9as+cAvBrppOy1OiEkkNnwM1WIpZJiWpA2aUrMznK7Db7U5V5pu4etSIKlRVxLUCKifip24Wq7fM+BRUCSGMePeiM1J5JIqGSJ1OR0rOEX1JSZYHTrTW6U2MnGDQ5rSwMYRUZ4p7MBVeSTg1lIze47zMNirC1Qjbc/WrqdKRUWShsUu+mdRSBc1UWO5J5ppPMqMijFZ1ZWC5KjbjirK8CqsfWrCnitMNqy4j2OBUDHmp8cVG6DFdNW9imVpJQgJNc9qeqJgqinPc5q5qUexWdZSfbNc9dXcKwkNGufUnmsqHxGa3Oa1q7EmQvJXrXMGX97v71t6zOsjuyrtB7VzrtiQfSvWScpQi/N/cfS5W/Y4LE1Y/FaMflJu/5Is+ZuGSao3LB2IFTFvlwKqtkNmuyVzz0zPeHfcxqejMAcfWu41Wez8N6X/ZNrHvuLiMh2IxhTkZ/ngfiffC0S1t7vXIIrn/AFZJPXGT2H58VY8ZySHxIivHsVYFCHdneMk59uSR+HvXkYjlr4yFGWyV/XsefmEvaVqOEbdneclsmk0opvd2abcdtm79Mfy+AcVIx+UU1pBsA70hb5a9c6ypcLke9UnXB5q7PIMkZqi75ak0AscRlkVI1Z3YhVVRkknoAK9AsNN0zwfZx6hfktqEkfCvjCt3VccA84Jyc4OOuK43QleXxDpyorMRcxthRngMCT+ABNbPjV3k8RyRM7GNUUqueBxXl41Sr1o4a9otNvu/I4KtOOKxKw9RvkUXKSWjlqoqLfRXettWlZW3WFqmoS6pqUl1KT8zZVSfuip7ZBtU1QeP5hgVo233FBr04QjCKjHZHpTk5O7/AK7JeS2XZF1U+UEVNCODmmxkbcVKi4rVIkUjHIFC4zk04j5ajJG2iwEpKuhQjcpHINYMsLQXBTIxnit6FlAGaoarDuUSr1HWgCiZhjFAl2niqpJ9eamjHyZbpUsEiZp3cAbj+FQH7QjbkkcH1DUhIU5GaswFZxgfeFIpGtpPiTC/YNXXzrST5d5+8nvRqum/YJQ8TiS3kG6Nx0IrJms8jBGK1dGuBLZTaTdHOQXgZv4W9KpAUEmYHBHFXLSOW5uEhhQvIx4AqmylHKt94HFbXhcf8T63I9G/9BNYYyo6WHnNdEw9q6KlWSu4KUrd+VOX3aa+RqeKJCNRiQk7RECBngEk/wCArEIDU/UmZ9WvCzFj5zjJOeAcD9KrqcGlgaXssNCHkcGXUfY4WnT7IeuVarKNmq4YGpENdR3FpX7VftWywrJ37TV+1kG0HPIpFI0juQ5qC5YtCfrViORZkCk/NVSbOMH1obGZV3vEWR2PWt3RmR4yZF+UJ1rMuEX7NID1q3pBMkcaoQ3PzAUIC3dvbeTEIm+Zw27PqOlZEgGSD9/rir0xV3LH5VEnHsKoSSI2oF15Bj2UwBelXbGxE58yT7nYepo0/S5bnDSKwQn6V0K2Zg2qBwfamkS2U/swdlDE47VdEkqoSw+ToOKuC3PlO7Bfl6YqRIvOjIBA2jJJ6CqFcqxiNod2MPn9KntYFUN84ZvbtSeWGLLuCjHBHep4xDCqhiAOPxpoRdtYcRscgjOMntUsoMMIfceeOOgqOK6R+I/u0stzut2hJXepyD6imIijaHyHUryevvVC5LLhAwAB4wOPpT1uAJC4HC/eRu/uKrXl0HZCmCM/dPamA64dbdVEyghuQF5zWVdpkjZgBmyQvp71IxleRmxsx0yODUC3Jyd0Zjm6ED7uKlsCQmCXcdnCD5jj5lHtTLlYpYgZm3bcEA/3fSlYGNQ0B3v0+vtSRRqyOkiOJW5wegpDGJMroyIq/vDjDdceoqUXca2ZSHd5icfjTjZLFGAV3c43Z5FQPbw7XQHB/gpCK5uWliLvIPNzjpjFZl6NzxyuW3kcOvpV02XmyBC53d29KV7cXNp5O4BkyUYcf5zSGVmgku7Zg2wzQkEZ/iHrV211GURyWiESccp6e4rG+2SabJsfI9CR1U1ft7SRJIr21VmXP30GcexoAt2kwyAeh61diO24Ug4HWqVzGIZwy52yc8jHNXIvmEbZ+tceJpqcXF7MxrQVSDhLZ6HQaW+y8Uk8V2ELZHtXF2KkTwEdGXI/X/CuzgH7lT7V8/RcuTklvHT7tvwPHy6UvY+ynvBuP3bfhYlJqM9aVzimZrVz0O5kM4JpIlxT3YGmq2O9ZptkluLjBq4km3p0rNWYDvUonHrXRGVkaxnYvPPhaw9RmLtgdBVqW44rPILs1RObYp1LlExljmgxkHBFaKQ+ooeDNTHQyKKR/N0rTto8DmqTfu3+lXIZxgc1opoq5dVadioFlz0FSeZkCtFJMoiuEzmsi4gya2HOSc1WkjBFZzIkZsCYPNWM/LTXTa3FMDHvWFmZ2KdwpLGqLttatWVefaqD25d/arjoUtCNTwDTg3NS+TtGMVXYbCatsdy0hBFVrrac+1SQtzTLnG004z0FzGWzc4qZFLDiq0xCtWlYruizisp6sls6WQ4FVpGGKdPNhSM4rLe55PNcEqMmzSQT8k0yAc0ozIPWpYoSPrXVCFkIa25mC4rVtYdqrmq8MSls1oxL8wrSyGiykYqYAYpEXipMVrFaGiRDIOKrM2wnI4q4y1DJGGGKyqBYhDKw5pNqZo8oL3pQgNc+hErCbB6VHIAtWFWkeHJzU+zTM+UouwrJuxmTiui+zq3UCo5NKilAPGa1pwUGHKYVvAXq6luF45rRTStn3DUos3Q8iutSTNYwMxkZR3xTlAIzitJrIyCg6YYlzTcbq5XIZRRiTgVVlRh1FbpjVB2qpMiuDkVkQ4mVGvz1owIDgetVfL2yYFaFuoytO5FiR4VC9KozRhCDWwyhhWXd8uFWk1cbQ62XLAitRU3rg1QtU2jNX42rSEUkNaFd0EZyBURldqtSDcxqqRhyKG0HMQTu+3GaziGL8mtdo9/UVA9ud1ITdxkEeBmr8bDbjNVlXauKfFySKm4updCAin7RiqyMQRVtPmFO4yB4s81LC7IMVaWIbaR0UL0q1B7lKNgDswpDFnrRGwBqYEGrUObcvch8rHSlK4qbFNYDHNDpJBYYq7jUwtwVpbdNzYrREA2YqoUuY1jC6MOaIpSRIz8E1duo9pIpluoqPZ2lYhwsxn2X3qF49n4VoVSuTwcU5xSQpRSKjPhqmjl4qjISDUf2gjvXPfUy5rGjJOoQ561Xt5t8xFUZbjI61Hb3BSTcKdrsOa7OoVRtFRSqCtMhu0dBz2p0kqEcGrdkja6sQbRmgqKGfHSo3clSRWalcyYySFn5WoHtpApyK0bQ71561ZeJSvSrVK6uNQujBjgcHJFWFDLgVbaMZOBUJGDWU4tEONhVNSgcVEKkDcVClYaFIz2pu3mnA5pyjmk487HYVUHFOOBTgAKY5FdMIKCKHg8U1jxUZfHFRu5IOOKJzuguZmqCJQTkD2rkbxIDIX27cdjXS3JiZzJIjMAe4rl9buVk3LHEI1FFGN2StzkNYcbiR0rFmbEg+laN+298NyazJDulJ9OK9aOtWKXRP8f+GPpsOlTyqpJv45RS/wC3U2//AEpEqjcBUbx8mpYxwKl2A811M82JlSbopA4/hOetdVrkI1/w1Dq0MMQuoAWkIbBCAHI/k2D746883fDAq74N1dLe8k024jEsV2QgBGfXj0xyeP8A9R8vMKcko4in8UPxXU5cfSnVoqVL46b5o+fSUd18S17txSW5hiU7RSPKcdav+JdKOjaw8UUbpaSfNAWOQRgZAPseOecY9c1js+RXfSqxqwVSGzHQrQr041YbNXGSPkmoC351I1RIjyyiONGd3IVVUZJJ6ACtDVux2vw8RRc6hfSPtSCEKw254Y5z+Gz9aw7u7k1C/kuJnMjs2A3t2rrba0h8IeE5nu1P227XbKqvnHUAD6A8+564xXFWcck7EJ1Ht0rysE41sTVrrVaJP03t8ziwD5/a4mO1RpJ6axgradbOTfk7LsWXtD8rDoRSxjYcGrAVkjCv1qBgQ4I6V6p3FuN6uouUBFZyHGKvwP8ALitEA4NyVqM880pyJc0PgN8v5UwBUzknjFJKoeNlJ4pwLE0MnftQI56WIpI31qSElmC1euVTP3RVBiI3yKiQ0SmTZLho8j1xUIk8q5DpwCelSgGUrhz7ipJrQLtJPfmkWlcsPd7T0BBHpUD3QWaKRBh1cGoZGHQdqYi75FA45pisat+qvcGZOjnOK6iKS18N6NDOiebeXSAqSOOgP4AZHuf5c1ZvC19bCf8A1Icb/p36Vu+MCQ1gY/K+zbG8vZ+GfbGNuPxrysalWxNLDS+F3b87dDgzC81Sw7vy1G+bomoq/LfrdtNry+7EaV5pHlkOXdizHHUnrTSSKjjbjmpGwRXrJJKyOxJJWQqNzViM/MKqL1qZWxTKHsxL8mrVtLtfHbFUS2TUsLbSeaQ7mur4AKmrMcqsMP1rNgfC59aux4cDFDKEvI1eJyoGMVD4eW4kS4WAcg9fSn3GdhAp2nxmO0xEXSQtklDgmmhtjJw7Osa5JGQTjvWjpejGNlnm+ZyOFHQVct7VVwZeX7L1rYtYSXAPHHAxVpENjEgaNBkDp0FP8uXzFkLFh3B7VoxW+9kDjA6n/Cla2XZjJDg8qPSqSJZSbddSJEqMoY84OKTyj5kgX5o24wDzxWjHHHsU9Gzgg1XnDJITGBkH5dvpTEVBHhwh5J6U1ijOA5BHv2pZHywL5Q+opjtG+4AgkDIHrQAeYsaExOwJOAMcVEzyZ+b5W657EVA8qhwpDkHsvT8arveqgIwGTsQaTAtSXGPlfPsR0rNluS7MYzhlOHcjgfQVFPMzum9/LjbhAnVvY+lNkDqjAqQV43D5h9Km4FtrsmNVUuVXqG/nSwfZ5BnlYx8xB7n1FVIo5VIBU8EHHUe/NTyhbg5yECDjtke4oAsoM/cA69e1WYVE00SOAg3AFvrVKGUrEnzYc/K460B5FlZ2wSxyQOgHtQBefbFNLGWOeQM9qy3JafcO2cH2rR10rBrVyp+62HGOmGFY0lwo6GldDJUYNMwcYGPWqrzu67iFCYKt9PWqslyZJCgJU9jVeeZ44ijEDd0I54pXAjud00DLNlivCv7dqu6DqU1jdRISqqOoPQiqq3ijaJgAH+UOOlVr2KW2Im2hsjgjoaEwO41e5gvLNpI3GT8wHoazbC53oMnBPBFc/b6izWIwDuDYYVpW5w27PWplqJo7CzmWOWFm6IMH9f8AGu0jmURge1ed2NwJUKn7w5rq7a4aVMk/MOtfOODhXqQ6aP7/APhjw6CdPFVqfS6l96/4BpXFzz8pqMXGR1qsWyOaiMmKUoHW2WzLz1oL471R83mpUkyRUwWokWlY9c0NKRTguUpGTCc10cugyF5i1LHJjqaj6sRUkUBkbFRy6hZssJKG6UM5FPFuIgDVeZwG9qHF2K5NCFwXY09V2dabvHXPFKXDjisuVmety5bnccVaMZxnFRWUW1QT1rSCjbXRTjdG0Y3MwvjINMYbhU92gUFu9UluMcUSRMkVbh9rEGqZmw/FWLo72JrPfAas+UmxdDhwM05UG6qkXNXY0wucVnLQmxFJGN3NZt1gMQK1ZW4PrWVIm9zmiN2BXjYq/Bpt1Me3SiRSrkDrTmjzFlutUlYmxlOxeStzSmTbhsVjSJtkz2q9ZMVORTYHQOiyHa+apXVkiuDG5I9KuPMM81EzqTxVWiaXIIoygGasqw71EWpVOazkhMnRjuGK07ftWUowc1pWkmcA0owdxxNJB0qQCo0PAqQNXRayNoiMKrv1qd2GOtVpGArkqikRt1pBUMs6imLcDNYWZztltetSBSRUcB31ZOEQk100afUpIrkFTxUTXJhf5ulI1yDJjtVLUJQeBW0oJjN20njmGVNX/KVhnFcPaX0ltMMHjPNdjp94l1ECp5xyPSnTp2ZvCSZZjgXdyOKZeqPL2L1p8kuw5qrJOGPJq6s1BWNJNWKy2oA55pj2SMDxRPfLH0xVM6lKW+VciuL2juYNocdLG7IbmpEtTEKfFdswy4xVhXDjOeK2i7kuxTfKjnpVDhp+fWr984C8VnRhs570nczZcXA4FOEwDbc81RnnMK7mqrbXe+4Uk96pMaR0McLSDNDWTAlqt2LI6AitDaNnSt40U0bRppmB5RXgikaLIrRuUUA4qvgFaiULGco2M6RSucVCgINXJI2LEYpUiIHSsHFkcrGwq3pV2IYPNRxgLUoPPFVHRlJallSMVUu5xGhOanRWYVR1C1d0yM1u27Gj2KyX2TxWhDPuGc1z+1o3xmtO1ZioqFOxmnqaqvkUjNUCNxRv5olU0KuX7NsOK1lOVrBgfkGtGOY7cZrpoS0OqnJWI7nnP1qkr7W4q1cNwao9TU1dyJSVydpiRVdsseadSjGawk7mbdyjdR4XIFZMzYrpmRXXBFY95aEMcDiosZyiZRbJqSMc03yGz0qaJcHFDZnsWYpdi4qdZ8jrTI4Qy0/7PzRe6NovQsD5lFO2HFOhj2rUwArKxLQ2AlDVppMpVcDGKf2xW0JNI0hohpwaiZc00zAMVNLvBqZu5nJ6iEYpuTSk5ormlG7IFQ1MtRKKkFdFNWRoh5PFQyNmpD0qNhk1UncGyPPNLtUj5qXZSMKzsSYWuzCJCFfHHOK8/wBQugWO1i5PvXT+KLlmmMUYLE+hrnDp7vHwmD3Nd9CCWprTSuctckh2Y+mRVBW3NkjmtLUVKyupPQflWcpG7FduGV5Tl52+5H0mYScMNhqC25eb5yk/8vuJ0B4q0q5FQwjJHpVrHArqZ5UTK1FcCufZ3hnEkbFWXkEV0+oD5a5u6T5uKhq+jK5nFqUXZo63x1Ix0/QmZizNE5JJyScJXG7uK7jW2s9b8Bx6iEdZbHbGhz6lVYH26fiPTrwOa87Kn/s/I1ZxbT++/wCp52DXIqlK1nGcl5atyVrdLNEjNXSeALJ7nxKtyNwS1jZyduQSRtC57dSf+AmuZjR5ZFjjRndyFVVGSSegAr0YqPBvgwwtOy31zmTBA+VyBlRj0AAzn347PMqzVL2MPinov1Znj3Kqo4Om/fq6ei+1J+SV2zlPEepTatrsypKZYlkKQjGM49v8/rXVWGgf2ZppeZNsjAF2YYx7VyHh+KW88QWxxuYyF2J7Yrt9bvtQ1K8ECnbCH4AHYV20aSpU1CPQ9SXK3aHwrReSWy+4w9TtjFhvWqKgMhJ610t/aC4j2LksFFc/JA0GQwwQea0BxK6t8+2rCS7WxVfgHNM8z5jVXINQEsAajkcIRnkmkhnHlYNQyOC+R0FNMC9Ay4yaddMCo2kVntNkZBprSkjk0XAfLFlM5zWZcqwYcdqvmQkYqCXBHPSpYyC1O9iAwBHPWppZHaPLH/8AXVIrtYsoOPani4jPBbH1pWGmMw55q3aj91JMei8D3NPjhhkXc91EkeOfWq93OjSCKBSIU6H1PrTQFtSOPXuK7LS5m13w/c2c0KySW6ARHoS2Dt+hGOvv9c8JHIRjJrc0PURp+oxzEsY+QyqeoP8AnP4Vw5jQdSjzw+KOq/rzOfE4Z4qhKlD494/4lquq+LWO9tb9CoY2jdkdSrKcEEYINGccVteJrWK11cmIEecnmsCc/MSc4/KsauvD1lWpRqLqhYWusRRjVXVXFU808txUY60MSBjNanSNaTFLFPtJ3HmoHBLcdKTy3lkwoP4UCNeCfIFX4pHxwKradpjthmBAxW/b2qquAufWqSHcqxW0kpyQcVoW9tsYKoPJ64q5a2zFvu8VsQWsO0YbkdRVJCuU0tTEoc8j1zWjBJmIvwADgjvUMzKkqf3e5pjXEQBK8YPHNUhGvbzKY8k8j1qpJdS20vm7k255DVUVndd20sh5IBqOQEJlZcKe0ozTEOa9Mjv5pMcjHIHTApzXRViApK465qhcSTRuGP7wDgL6n1FUZtVkjVjLHIpHG0j7x+tAGs93E6t5siluwI4FZz3YV98a/dOD7iq0ly8zBDiY9W2cAH0zULKyArtV++S3NTcC19rePLLGT6BuPxqq04d/mQk4xvA5/CmbnZwCxbcOI2Gf1pTG4YFR8yd434+mKAFhgQxttfcM87+ualSRoQEZT16VHJHcsu8MuCehHK0iiTbvyWY92pAWRMRjAOM8gdqbOykBo13Edc96qyT7PlClMjnjPNVfNcJ1+f8AvL3+tK4EzXJV+UK7uTg9RTpL6dhtZ146NjqPSqDSeXkg7e5B5qB7wNGwUhs0XGdZ4olDQ6XegEC4tF3E/wB5eK5aW8B4AyfWtnU2+1fDnSrxjlrS6eBiOynkVyQkJOe1Y31BFuSbLFl4pqsGU85z1qIfOppRbygExJvBOcBuatDGhDGCA2V7qau2c8aqYmbdG3RWPI+lVAhztlRlNXpfD182nrfW6faLVurxclD3yKUpJLUQ8W8SMSowrdquJsAG48eorCs3lKkMTgetaUADjDNgUuYVze0yQeeFB55BArpdNuCZSh4xxXD2zPA+5Dgjoa6Xzmhv0YH+HBFeRiYWxafSUbfc/wDgnj1ouGYRlbScWvnF3/U6gkbM1WkcAUvmGSJSKrtlu1YTVjaQB8tVqFuRVSNfnq5GNrA4rDZiRrwDcORUkigrgfhUcEqqu3vUrNzntW6loWUfK2yHPWrkKADiqDzhpm2nNW4ZxjJNEdxx0ZYn+WLcelc/eXajcc9K0L+8JiKJn3rlpxJJIy+tat6GkpaGja3Qm+WtGGM8HNY9hZShgcGumtbZlUFhWVjFJlu0bCAVd3ACqagJTZZtq9apS5TZSsinqVxtJFZKzkk81LfOXY1S2kDPek5XMpSuTu+Qc1VcFuRUp5Xmoi4FLckmiG1RnrVpZxtxWeJgBio5rgqetEo6AWpZucetRhTjNU1nLtmrCz/LUpaCGMgL5NNl27SM1BPd4OBVVrvAPPNOwmRXLhWx1qW1lG2sy4m3ODmnRTbR1qWmTc6N58HrSLcYYZNQzcGqpfDVz87Y7mypDYxVmNcjpWVbyHjmte3+ZRWkG7jvckWM4qzbKQwp6R8c1MqAdK6VYqJZVulO31EOgpd3FTJmiYrMaqzyHBxUjNmmL88mMcVzuN2S3cw7q4YNgdarx3Mhcc96savCYLvC/dYZqGyiLuGxVxp6kcp0llkRAtUWqXLJFtU8mrNtGfJXNQz2e9yWPHpXZGFkaJaGTbO7nHOatNYvL8zcVbiijjYfKKugB146UrIRzNzaiE8c1d0O88i68tjw44qe+t9oJxVOxs3e7jfb8oOc0upUU0dRO/7vOay2kaWTAPFaMyeYm3PaoPIWNcCs6quyndlb7OjcsNx96d5AHGKnVCDzUm0Vk4JkcpSlTatNtwzE4JqxOAVxT7SIIM1VODuTbUrTWjy85OKbDbgJnHStViAp9KzJbuOFmQkZPQVrKKQ5IztRi82FwBz2rn4d6yehBrrkUSgk96z7jSwrl16VFtSSxpWotFhH6Vttqa7cZrllXY2BSvKR3q+ZpWKjNmrdamDMFU8GrkL71BxXKeYGkyT0NdBp86vHjPSs7tsm92XyoNLs9qTdjBqWNlbpWqimaqxXljKjIFNRueauSAFDWbI+xjWNRcrJkrGtEf3YplxzGazItTVARmlk1AzKQOBitPaJxLclYzp03TcdM1ctxgCq3G+rMJA61xOdmc99Sz2oAyaZvFSocmtYyUmUmSpwatJKOmaq5oziumMuU2UrE8sgNVGkw3tTmfOao3Eu3n0qJzuRKRaNwo5JqFL1ftATI5NYV5fbTw1Uo7tjMrZPBrF3uZqWp3YPFQzoGBzUFndiSJT7VLK4IzVNnQ2miobZTURtgrVc3A9DTTiobMHuNiTAp7LikU0OflqknYaYvnbeKckykdeayZ5yCarJeMG5NLlYuZnSK4JFSVj295uYAn9a1AwIB7VqolJmfMjmbj1qZFYcmrWwE5xSFBiocQtciA4pVGTTXdUOM0+Ihqi2oh2MUoNOIqEn5q1ehRIWpOtMPSmh6zRJMTWfqV15EDBMmRhhat+Zjms+9mViQi7nbgH0rVIDnorRmy5Xcx6nGa5/xNeS2kARAFYtt+n+cV291A1nZlhIASOBXnPiXM17aoznDud2Pw/xrdyai7HsZFSp1sdThVV46t+iTf6HN3iNGdjkmQ8t3qlGOSTWxqEOY3kI+Y9PpWPHnFepQgoRsh4nF1cXUdas7t/cl2XkXYDirI5IqpEeKsBsCtmZIr34+U5rBuE3D3rXun3E5rMlGQagGb0I2/Cy+H/TYf8AoxK4YV6NoNrHrHhG/wBHD7JmJdSSACeCvqcZAzx3qPRPBB0i8/tHWLmEx2xEkaxM2NwPBJIHQ4wB1P5HxKWNpYZ1o1H73M2l3vax49TG08LXrU53524uMUtZXhFK3e70LOmQ2/hXwtaahdaWpvi53lgBIu7OOTnHy4446nvmuM1rVLvWr/7TP9wcIv8AdH+f896v+JvEB1nUSImP2WPhFPr6/wCf1wKoWlsby5ht1GWlcIPxNdGBwrX+0Vl78vwT6HdhMJ9Wi3US9rP4nvbW/Knd6R0Tto2utkzuPBnhtrSwXUrhP3s6ZiU/wr/9et8aW9yxWNfm7kV2H2a3htooUQARxhACOmBVF28resIVB3avQcrHpQp6GBNpiafFksWkYc57Vx+tRrvJA5rtr1/OkwoaRV6t71yWqxOSQwxSUtRyikjl3B5qqzbWrQlgYZrPmQ55rRanLJWHrdADGaRrgE//AF6pOhRM0wOe9Mk0DcHjFAmJqlvzTlc0gLgmx1p8gJXjmqRbIGKmhmPQ9D3qhjjwpFZ8sexyexq5IcHioGUOealgQIzI2R0qUSAHNPSFTxnNPe2CgECqQCCbI9KsQXBBBHUVnuCDU8Dc09xptO6O+8TStLY6TJIcu8TMx9SQlc2TW94lONM0X/rif/QUrn05rzsp/wB0j8//AEpnFgIxhScYqyUp/wDpchwNPCF+lWrbTprnG1ePWtu20ZIgC/Jr0rHbcwbfTZLiQLtNb1lowg6qCeta8WnkKCo7Z4HarhjWJCNvLCqsK5St7YmQDb24FaC+TbjDAF8dB2NMEnkorxkB+hJ9KrTjbGJN+4k9qvQDQjutqs24dKr/ANpv0Rgjf3gM5qmsrzAhE6DknvToESRd20lvQnijQRbS6E4K+Z9dy96jxI77gUEijjsKWKIKu9Y2Y/3QaZK+VBVGU+jD9KAIxPdxSEyKSh67Tila6aWMqgZS3AEhpvl3Fwo2R4x155FQvbOwO+MP2G4YIoAayzOcI8iEfecdKEaRHLTBlUD5DjK/U1ZihhUCOOZnxx5e7lvr6VZItX6lY5R9053foaQGa8UUsODwo6srbd5/wqOFV2bkcADjey9vatC6it1CxXCBmY5BI/wqk9vDHKAjMFz8qE5/GkA7aVQsJCUJ4CnB/KnpIqIWA2MOq4pXIVB5jfMRjeOSfQVBNODsP8I4bPXPpRcAa7zu2n5c4yO9Ne5A+823PFRXN1ETncq5HCAdKzC25i2SWPf2qWxluWRhuI5T/aNUmmMb+YBhR0QGoZZG3BWBIHIzSb/MXBPNS2Ak10LhdpIUg96rttVQTlcVYEMQ5x83rUbfexsfPbPSkM6rQYTqHw68QWJ+c28qXCg1yCqowRjmu5+GgM+o6zp7jC3diwAJ6kVxMltJG7RuzKI2MbFvUGsY7skdtfHyDPHNRfaxGcbQD3zVVxcAlySAe2c0kLyJcx3HlK7IchXGQa1TA0or+EsFxk+3NdZ4V8QLot4yzxyJaT4DkxnA9zTfDfibSmugl7pttazHpKseQf8ACvTEmhkhz5UUsbDgbQQRXl4zG8q5JRMKlRo43xP4MkurqO/0VI3SY/vI1OFH+0K53VPDWpaG1u10sZSYEqUbuPWvSdH17SLjUZNGi3W11Gf+PeRcD/gPtXQ6hp9he2ItrqFZFByM9R+NY4bE1ftbChO54pBFujWTY2zONxHGfrW3nzI4pdoD5xkVuappWpavdJbrFDY6ZAf3SZHPuagXTYILma3mkLRwJvLqfp/jUYuvGU6clun+DTuebmDXtaM1upW+TTv+SH2kxEe0kGrAXdVZDZA4hlYnHGe9W4st2qpJtnTK7HRwkPntU+0LzT0UkdKZIjY61m4kpCibD8NzST3bkbQ1UnypPrU9mA75bmpSKQQK+7O0kGtK2j3E5U1oQW6GIHaKlaMIuQK3jHQ05dDHntl3GsoWoa8JA4zW9cKQCayElK3HK8ZpdSbm3ZW6qucDOKv7eOlZ9pdpkDNaYYFeDWsYplxtYo3RMZyOlUZJC2c1qzoHSsK7LR5HSsakdSZIqXDfPiojgioJ5SGFR+ccVk0zMsNwtVpGAPJpJbg7M1Tdi3NVTQ0i1kEZFV5cufpRHJhSDSgjmtpWsBGDsNElxsU1HKx3cVn3EnOM1nyiHPNuJqvIxJ4pVUmnMuB0osKxSmY5pU3EcGiQZanRuBwavlRNjop5wWNU2lBeqklzuamrIT3rFUTXkRqwSfOOa6WxA2Ka5C0cmQV00DOIQRQ4WZNrGv5yrxUkcm49OKyFSSRg2a1bVW/iFGo0Wu1Nfin9Ka/Ss3K5T2IWbAogdQ2TQwyKryghSBQmZ9SlrUyPKMckCn6VEHwSKr/ZjcXPtWvEiwRYUc1vDcu5pjG3jpVK9uFiRmJ6VUk1Dy+CaxNQunkJJPHpXTdDL76mp6Vs6PP9ohLH1rkLVGmrqNGVoAUPSob1GkbckEciYK5qKK2RDwKsA8c03cAetK5rZAyALmq0jDNOublQduaqM5JzXHia3LsRJkxkXFOVg1VM0qtg5rhjiZcxHMNnfbNg04XQWPPpVXUH2NHIPoapTSFgcHg16tOd1clsvyaqrZVTlqz5opJX8w9aow/u79cn5Se9dOkCOg4q7NiV2UbGXPyk47VauRhDTjZopJQ81nXkkygrzT5R2sUpZAJMDrTWVimajSCWWXcQea1YrBnQZ4rLqTrc5+QsjGt7RcuM1I2kK2RWha2otogB1q4q5SRZAGMUsa4NV2uEViCeasxyBlzmr0RaJTytVJYQxJqzuGOtN4JzWc0pIt2aMGe2MbkgcU0SBErYuoQ8Z9awZo2XIrlUWjnkhwnBbGalWUiq9tbu75xWtHp+4g0/YuQKDYyFWYZNWkjYYqzHbbcDGKmEQxzWtPDtGigVNrU0k96uOoAqtKBtJq5xsNqxTluFQHmsy5uQQ3NF8+CcVh3E0g+lQomT1IbyfJ61BBP83JpkgZ8kikhiJbiq5SbHV6Xc5jAzWk842YzXPaZBMJBwQK2JIJBzg4qJRNVewyO5beVJq2jlxWXMjRyqwrQgztzWSi7mbvctIdvWlZxjrUTuFXJqpJdr610KOgCXezacDmsWVyrE1rqPPBxzVS6sJMFgvFNRKsUYbplmHPFdJZ3wZACa491eObkVo20xXHNVawzso5A44NObpWVp1zvYgmtRm+U1JaZz91cMtywzWnp8vmx5rIvUCzMx71oaWV8vioasR1NSoyvOaeCMU1mA61LkUI2AtVS3JIonmypxWW1wwZhmhNEli4mIzg4xUVo4L5PWs+eVsnmp9PffOB2rSIWNO6h+0QgNwBzzXl+tr5/iqeIyHyrdVaNR9FP8zXrV0h8naBjivM3hjm8c6lvHypCGx9Agra17LzPdySTh9YnHdU5WfbWK/JtHP6kv7sisErtJrodROd5PcnArBk616tLY4YbAhOasqciqinBqxG1amhBcjBNZ5G4GtG74FUolLB6ljRHcRyRWJljd1cnBCsRVOa51LVZwt1dSykjb8zds5rplt0NoiMvQVH5CJ91RmocIt8zWpqpzS5U3b/PcwY9KkHJrd8M2IXxDYNIRtWUNk+1LsOOlOtRKtyhhGHB4x61RHLqezXEqNGrxOCDWHezlwEHTdzWRb2+rW9mJIZ1DtzsbvTootauD++ihRT/Fu61jJHVHYsyzSSgQRfuohnzJB+grL1CyTyzsYyZByTWnJZwwRnzblnc/woOAayruV4kbyCwX/apIUzlLjIJyOOxrJuDlia3NRlXceANo5I6ZrAdi6Ekck1pE5pEMgB2j1qtOoSQgdqsFaguAWGQKvoZEQapVOcVXU4PNbvhzVNP06/8A+JnpMWoWco2SKxwyD+8vvQBllsNjafrUobaOnWvQdZ+HMUlpHrXhqQ3mmSYka3LZkVe+098elZfiTwPLpmmQ6tpszXmmyLuY7MPEe4Yf1rnWJg3ytk86ucgWz1pMDPWnNH3p8SjnNbliBeRtzVhVJGCc1LNZXFjKIby3kt5CoYJIu04PfFCplgACfYVSkkCu3ZblaW3UjNRxwsG4Ga6vTfCtzqNtHcebHHC7fxZLYzycfn1rej0XRbIRWzW7XE6r8zgnOffkY+lcFTM6Sn7OknOXZHLUx2HpVfYyblJaOMUpNW3vqkrbWbvfoZ/iCFp9P0VVGf3J/wDQUptlowQKXwx/2ea6ORft0glC/LHwoYevX+n5VYt7ZREJCuK2y2jOlhowmrPX8W2LAqoqKdRWbcnbtzSbt+JRjtViwigce1XIrNgjTOOB0FKdhYsCFJ6ZpGu3ii8pyBjv/er0LHUXPPQOiryAMuPSqbSmQOzdBnHtTDPGnIznAyV9+1MkjZlbYVGR0H9aAIkl3RnzTyDxxToTbo7Esu1ueaFmcDyxCkgx8woZ13/MhQDsy8A0ATtFDIAmPLD5JOcZ9xUJkS2XYpZs+gzULziSLYHCNnjcOBVmC2jifcZcZGRIDkUANMj7fMCEAD5ieM0saSsBLHMhBHKtzVgrCzfPJuUDO9X/AKVnSSQq5Kj5e5JwaYF0yQph5ldMdMP1+tNnnjkAZUKp2z3rFa7aTcA2UB4Bqfz1dUBdkXHAI/lSAsS3MYdVLAcdcc4+tV1vwFILCRxwBIv3RUYiGWIdpGJ5DDjHvSmItnCqSTk5GKVwCK6lIPmbSuei07zELBt5DdOnalFioTcrFT1Zv89qgndY0OBz0J9aVxliRwIDIHxnpWdNcN03EAdgKqSXhVzkbfc1XaUu+V3En3qWwLO5S24scnjmkmuYowAjEDPfrUBgeQDr+B6U1rUrk4JJ9aVwCScy8quQKh3seMEU/Z5ecgVG10i9gD6mpbAerlRzQ1wAMnJqrJdDP3wRVWW4Y5G8AegpAdz8OtTEPjuxjO0LKjITWN44j/s7xjqtooO3zPMUexrI8PX/ANi8TaXcgfduVB+h4rtfjDaGDxVa36oCt1b4z6laxlpInqefrOTwQ35VZhd35UE+xqsjyk4bOK0LLKyqQM+2a2b0GaWk6b/bN/DZROY5nPfoB616Xp8EHh8JC2sM0K8ESkHJ9q42wtknTdC2JR1jbg/gau2enCW5LSqWC9Vfkk9q8rGygoOU+hwY2tGjSdWeyPRbO2sppF1CDyXkcZEq43UzXvE9t4ekt0u0aRpgWAX0rk7Ga5t7vybe2kgOfk2KRu59MVU8Yx3ut6vDcJb7VijMXlF+UPfrivPo1KSny1fc9f8APY4aWY01PkxC9m+l9n89vvGa1r0d5qKTafdXAgcfPG/G01csZW+zxOVLZHIfnIrm49Iv0CEQYx1Uuv8AjXQySPHdxn5jHjkCuqrKjVq06dJp6tuzvsv1uKvWpYjEUaVGSdm5OzvsvLvf8DSS3Cs+3png1s20a/Z1JHNZ1q/BU8g1pRMAuO1a1Gkz0ZWLCKADThGJMjFQecBxUtrIBMMmsrXMxRorTSZJIBq7DpMduPu5Pqa0YDnHrUkvC1qqatc3UVuQKUjUKSARUM0oA4NVb2U+ZwcVU84kgE1nKdtCZS0J5X3gis6WHYpYirwYetNdoypBNRHUyuYTXLxPkHpW9pOo/aQEPXvXPakiox21e0EMrhs1fPYcWdWcHisjVIQImYVp+YNuTWLqt2CpjBrXRo0kc/cZ25qp5h21auJFCYzWcrhjgVnJIyJw+Y+armQ8gCpwoxzUZ2rmovYehGHIPNPD1VklG44p8cmajmbZF7skkJway5s7s1pSt+7JrOlbnmulLQqw6I8U98barpICakYkihxBohZeaifAPvVpl+XjrVdomY04xuCRZ+zsO1PWEgc1vHT/APOKgksyB0rGNRMu5Vsoc3C129tAggUYBrmrO2KuCRXU25Hlj6UpsW5IkSKeFAqZQMcU0ClHFS9h2FNNbpSk0xm4rmk7Axp6VGUDUF6VTzUqZn1I0g2EkDmlkYomSKsLimyoGUit4TKRgTIzzBieKZJZmXGRV2eErk4qWEgwg966IyKG2cMVuoGOauI5EqsOFHWqn3PmPeopLphgKO/Wm3cZ1CyZjBzWTd37rcYU8U5LkpaDPXFZLuZJSfepbByLkrvKwYmrUMmQEJqoHCRgn0qst4I5c54rlqwUzFyubB603OKqx6jFIdrMAalkkCru6iuB0nGQrkd/zCPrVKEhxtPWi5u9529qrJcbJOK9CEuVCuWkszJLkdjmte2kKEK3Sq9iyuQRg5qxcr5cZYcV0wldFotqNxzSSWiSHJAqtptxvG1m5rSraOpotSn9mWMZAH5UwyrGamuLhIhjvWJJM0sxAOBUOKJaN2J1cZBpJ5FjjJqhD5qJVS9u2AwcjFVGI7lYzu94AfWtlJfLh3Zrn7dxLeLitOdnVdnaomK44amHl2+laNtPuHNci0uy6ce9a1regIBmue7QuY3nYGsx4t8x4oN0x+7UtuGZ97d6L3Yt2Xbe3VFwBzVtUCjpUUbDgmpS4x1rrg1Y2jaw/gdqRnAqB5wO9RiTP0odUfMLNMF69Kzrm9UDANWLrlc1iXjBWrFyuZyZVupS7etQLbNN1GRUsamWTBFacCIpxilexBQXSt69Kkg0jbKOK3Y1UKMDmp1QBs4q1IaIbaySMA45q00SlCMU4GlzxTauaKxiajCEiLAdKrWl6hXacZrVuRnKsuQRWFNCsMpZRgGsnEza1Ll1cKUIHWsOVmLHBp8spLYzUIEjPwM1cB2N/RlJxk5rbkgR4yMVz+nXP2YjctbK38Tcbq0ukM53VLRI5Rx39KpBcDArb1RRMRjtWQVw+2ociWaOkMRKRnitp5NqHNZOmJsl5q7fPtibbWfUEY2ozo7DB5p1hdeWcA1hXjt5x5NXtMjZjuJNDGdVFc7kFNkkLcDrUECErVlI+cms3FhZsZ5JZORVR7DcSRxWsBgUoUVgr8wctjnpNLlYkY49auafpjQvuYVr4HpT1ArqiOxVuFO3NeW6hmLxrqqhsZhUH34Q4r1icBUJNeQ620ln4wuJpoX8u5AWNvXhR/MVsnZp+Z7mSxc/rEI7um7eesX+SbMzUGVSeM4rAmJLk44ro72EzyZ6CsS8UFiEHSvVg0jz4MpA81KrVXPytTw2K2NESzMDHjvVeBOelK7l8AVNGoVAxPNJlF5ZPLgCnrTM5GaqNMcEZqMO+evFS0zRM1EClcYqWzKwXSOVyAelZ8U/QHrVuCVfMUseAcmkhnodsPtNtGyD8BViSxLADcVPt2rM0S/WdBFEdoA61qyTlS7MxCoPzpSVyoy0M+aFYy5ZsEdz3rn9UeIQurkgnoc9a09U1EiLDxqUdsA1w+s6nuHlo2EUYA96lIiczL1G581/l4UHGM1VLfLUBm4O71pVlBGBWqVjK45jUbYx6048nFHlluBTYik6HdkUDIq4bds8imtbkDOKQ7HV+BPHNz4UujBcB5tMlbLxg8xn+8v+Fe5W50++09JrRo5rK6UtgdGDda+YVTnFdt4G8Xjw07WN4zNp0rbgc5MLdz9K8vHYXmjz09zCpDqjRPwu1O78UahZWUYjsUBkimc/KAeiivSfD2gWPhTwfbR6nb2vm25M08km3BkJwMsfetOz1AS28dxayiSGQbldeQRXI6pqj67fu/niXT7aYfZ0SMFXYKQXJxlsFmAxx8ueetcEsylGlyyWpjKvGlSlVqX5Y9t23tFaPV+fRN9DkrjQ7/xN4hn1TU55MTP8kZTnbnAQA8j8R6dRXYab4MgtSs0VvFblxknBZ16+vTr610djpkNpGDs3TbQWc89Rk4HpVLUfEMVmGSK3mdxwGdCq5rk5cRi5Wm/l0ODlxWLjy15OMH9iLaWtvie8n66LWyVxzpZaHFJdF2Y7cAMRyfSuR1K/m127E0saRKvCBRz+NMv9RkvLlWn3M7dFHQV1Oi6XaHSHundftR3FFzX0eX5f9WVz0cNhoUI8kFZGN5Sx2yxx84AFV/tnlo0TR9ODVu7mRXYr8rZ/Wsa4O65LSTbQSMuOleo7HUJvR7kFySgH3fSp5mhYoQMKvXJrPnkiQEK5Y5+8vYetVxJHPF5Rk5/WpuIsyXIilBUYGf0q2s8bpu3KOcEetYrxPGGG7K+5qSOR9iqMkL2AoTA6FFtriIkInynoDg0phkjUeVE2z+63zA1mxuyqpZABnritKfV7drcRwxAOBgspIpgMZlbcpiCuf4G6Y+tU7oeQAqqVDDghqrXE88oG0mQD+EGqzXBPA3n1jft9KLgSiYSIykHywf8AloMc+xFQywl85UrGRyD82aQTI+CpdWHQ54P4UgSV5AMZK8rtbH4n1pXAcqqFbhWIHcYz7VEq7gwDdewPOfb0qZl3OIy2/I5I/wATS/JCu5IQAONqnOfb60gEhURIFUFCOgByPxNOado8l2YuR909BTHvlRQ0iKH7oDwtZ894shzsLfQ0mwLM92zKMswC8hVPFUpL4uxUljn+I8VTluHZ+UNAl24L7yfUipuMkdd7bmfd9ad5kKfLtwaaJ1JGV4+mKR5EP3GAPvSASW4CkKCx9waia8AHLNQ0iDO/Y1VJWjJOP0pMCV7otjayge9VZbiNc/MCfaqdxKm7YN2fcVAIyTntSAsySqxOOtRhWJoWMrUgB9DQAg/dski53I6sMexr2L4oxLfeE9D1ZedhVWYejLXkQXKkEdRivZZlOt/BVNvzPDED9CprKpo0RJ6nlaRLIM9D6VNHBLGwZRSQgfKexAra0+281wMbge1adCuhNp87/Lzhx90111jNu8qSYbHJ4Hv/AJFYMVkiuyugwO4retI1iSJfvhcYJ7142YWlKnHz/JM8XM0pzo02r3lf7kzo7WRjLGxXIDDn0q9rWlQ3siSY2S9yvG72NVdLz5y88E1uXSkshHpUON+h1cqmrSVzhv7Gc3zIrybNuRlzUk2kSQxAbmfacgsK6sWaeb5u0bqkeJZojEyjaRWtOSjqlYIxjB3jFI4vzTCoHpVu3uS4HOaj1fTZrOcZ5jb7rU20iKrzUzdwZon7uRUUUkhnUDOanjIC4NXLG3WW5Bx9aIq4RV2alm021SRzUtw8oBOOK0baJAvQYp00SbeRW7pvlOpQdjk5XZ5Dmo2AGCKu3kaR3Bx3qnIuTgVwyvc5plWW4Ik25prSEgU+W13DOOahEL59quLsQNkt/O+9WxYwLDEMDFVrePOAetaaIdtRVk3sWiG7uDFCcelcrNcvJOxOcA11dzBvTB61kx2CI5LDJzVUqllZiloYc9vJLyBjNJFZEYyK3p4l2nAqqkIySapzTBWKJt8ris+6iKKetdB5Y6VRu7fIPFLQGctNKVOO9TW5dwKfcWv77pxWjY2ikKMVpFIhDI7dmXmq15Z4QlRXUJp4VMnvVG9gAQ8VdyzlUjI61biiLj2pxiJJIFTQqUHSolMGQmLb24pUgDngVcKZByKfCgTmiNSwHWeUtQS2isOBVug15kZtAZog8s9KtQzbCATxSTfdOKzpZWUmt1UuJM6BJQw4NSBqxLG6LNtrVU5FEp2LJS3NRSNgUZpr1k25EsgkmCjrSx3CHHNZ18WCkjtVCG6y23dRGmybHUJID3p5PFY0NwwAOTWjbytNxW8YMuKuSPEJFOelMjtdoxyRVhLZ5QcNinRQtAxV2znvW6TSNOUzbiIlyoHSkW14BNXrxRHtaltxviyRQnoQ0Z8spjXZVc4DA1ZvIGWTdjjNZ80+248s9D0NCkmSyaeX90cVloZZpNoz1q3MSVGOhrV0vTgqiRl69KzbsyOW5zt/HJbpvOQBV60vjNYgFskU7xWUigKrwTWBp8rRQgdjRyKQWNRpcsc1DIxHIpivuetSCzWdB3NbOkmiuUm0G4LOdx6VoapcbYTzgCnWWnLbpuAwap6xDJJHtTvWkY2QijZ6mEn+9xmuihvvMOAetcQ2nzoxPNdBpW5VVW607WGjQvZkQnJ5rJiuUkm+Ruc1c1MGSJgPvYrnbSCaKcsfWlJpIZ3MK5gB68VQvIxLlSvNFrdvFbAtyMUjXkbknpUwqXGtShbW/lXYIrY8sSDkVVXaZQ2K04gpAAxQ2Szl7u2KXDsOmaSAkVsahAqhiBk1lqoB29KxkiC7BJyM1rRlSoIrCIZF+XrVm1uWyFNQVc2N+KZLdeWpJNMV8rWdezAkqDUxqaj5iRr1pWwDV+2YlBurBt8tOAK6OCPEaitdwTuJLjac1gy/vrkrt4zXS+Vu4xU8NlEOdoz9KuFNs0UGzmoLby5MlDip22INw4roZrdAh4FYk9vuYgClUg4smdOxUS/2HJ6e9WItTRjgmqN5p8oQFaxpFnt275oizNXO4hmRwMGpTjFYGlmdostkVqPP5S5fpWl1Y0TLgQScdRSnToZF+ZAaZaSrIuQa0llQJya0jy2NoxTOV1LQFUF4eD6VTtIDv2uldXcSKeg4rJfYrljxWFSpGJlUSRH9lQrjFKmnrjOTTluIwetXY2DLxWPtOYmLuZk9gxICnrT49BEhDEmtEgbhV+GRQozxXRSim9TRRRkPpJjG5GwR0FZtwrYKmuonlQjjFZMkIeQmnU5U9BySRyculSTSbh3rYsdNaKJVK81rRWyL2q0igdBUqzIjG7I7W0CrzzVk26gdKmiAApXIxW0YLlOhRSRnyKFNR1LMfnNRCvNnpMxluOFPU0KuRQVxWydkTYSVA6HNefeOdCubu2FxBDveEluDyVPUAdz0/KvQt3FZGrJLcQmJOM9/SmqkXozrwWMngsRHEU94v+vvPKLa4N/GzKNsgADAdPwqpeWgjhKgc1t21jPpviubTp4kcXe6WN8/wjcQf0II/wAl2o2O9zj7o6130Kza13O/NaFOhib0VaE0pR1vo/8AJ3XyPPpgVlINN3gVo6vamN9yjp2rE3tuPFd8ZXOKLRbDgHNMadieKpPMQcVLFDdzLujtbh19VjJFU2kVcmSUySBFBZj0AGSa0I7K8K7vsc+0d/LNM8NalFoPiuwvdStpUt42O/zIyOCOte82lxbajarc2rpNbSDKMvQ//XrzsZjnh1dK6MalfkPBNwORkAjqKlgnCHJIJr1jX/A+na7ukiUWl5jiVBwT7imaN8LdFs7RW1Vmvro/eKtsQewFTRzOlUhzFxxMXG5x3h+8JvUhjHmO+AiL1JrqPEMv9lWyW0lwHu5BulUf8s/b61v2PhrRtB1OXUrSAp8g4dsrH7j0rkdd8X+H7O8kmtbWG+vSTmRjkA/1pxxqqy5YJshYjmfumPfTstnsk3Lx8ue9cLqJPnEg5rc1PxLqGtyD7VNvUfdQDAX6VlQ3U1lfR3USozxnKhxkfiK747Gt2zGaQA4Iwffinp8xGBXomn+OtPvlFt4j0O0nj6CVIgMflWvH4D8L6/GbnQb5rckZ2Bt4B9weRXLLFqm7VItGfO09UeYQ2zOQce9aENrjnFdVqPgfVNLIzD9ogzzLDzx7iq/9lXSPAv2Ob98uYgUPzD1raOIpzV4s2U42OekgAOM1TmiAOOa7qfwPfwWMt9ez29tDGhcjgt9Bnueg9zTbTwT9o2GYuXxlo1y5x9RgA/gR9a5a2ZUaW7Ma2Ko0IKpVkopuy31fkkm3ur2VldX3OLgtgVyVrWtfCd7qSl9qxREcNLxnp0HXv1rr7lLLw95FvFZxNcKOhIDqO5JwcHnp/Ksm91S8vI2R32R7eUj4B+vfv06VlDEYvFK9GCiu73+7/M5Fi8RWX7ilZfzT/SK1+9r0Ow8NeDTPYx2ssjS2kGQstwu5UJ7IpOB0Gcfj2FXbO8g8OCdr6zUzKTHbRoc/KOrEdhXTeHra30bwLaMX+RIfOcn1POK82v7iS+vpL55CxcnHsO1TRyyDlzVG5S7v/IUcDzzVTETc5rW70S9Ir3V5WWhu3PjG7kjLW8KW6k9TyRWHqGp3moMTczszDkBjx+VRRfvnCIN4ADNTrxBG5cLgdK9ilh4U17qO6MEiHcG2eo54pzatLCQoLDFZsszAblHzD7oziqE07n7+4yg4YelblmyL9pNx3jOc/MaR7lXUREKxZuCDWdbxu3I3bRwcc/nV77IpZX3nd/FxUgNZsuzSOpCjk9PwqIlWKySIqlu68DFTSGMhlGxlB4GOTUzi3lhCYaP1z0piI3izEHiAkjPBzzgVDDG4LBCoT3OBUpeBeEXI6DaelVjOSPLLqqKSfmGQfai4ErT3HmhWICLwQeDj+tV/tLohkd26nqBwKqm6MkvPK9MHpimvIHGxUBY/3eg+tK4Ei3fmSHylVsDPDbTU0cgmcszeUmMtk5/WqMdgG/gCvnkqeMVejRII/K3YUEZJ5pXAlQbBuLbtw4GOBUqvttyUmG5z1xzVeWaIrkFmUdscmqUlwqcYA9eelFxll7xx+7T5jnoRUMl4IxzjzAOAD92qE18EGEyM96z3d5j1H4UnIRdkuQWPDbe+TTPMjJyrEe1VkRl6qWHpT8DOcEVN7jLIdCvDAn3ODTt74+834GqgZc/MM+9LuT+E4pgWGf1Y596hcqQcnFIGZs4YcetQM6lvmbp6UrgJsQng8f7RpGTb8xeIAdMHJqvKyseGZv5VEFyaNwLAmbP8J/ClKBjnH5URw8A9asxwnigCsEww44qQoR0HFSyR7SBT4h5ilR94DNAFRuBxXrfw1uBfeA9VsWI3wl1K+xWvOdMvI9MvnN1ZxXVvINkscg/h749DXrfgLSdIt1u77R7tpLe6C5gf70XsfWueq7GczyOJSE2E4Kkj8jWtpV35Mq7zwDSeI7Ead4jvrYqVAlLKMdjzx61Nofh3U9YBktIAYlODI54zV88VC7ZSkrHcfYre6t0uE4Yjt3pbWEF8DonAqSx0fUrCwkW4aN0CEnB6cVf0zTpJoEmGArE9+vNfP4mr7TERUNbXf6HhYqTnjacY/ZTf6fqaGmoTLGMYOe1dFIgC8npWdp9mIZAzN0rRcFz7CummnbU9KC0I9qhTVSSYxnJHFW2Urxis+7OWCDqaVRaaCkguBDfxBG4wcg1SmsPKjLLgqPSrUIVOO9EspXnqPSuZc1zMxCcyYrY01hGeTWc0QeZmUYBPAqWHzA+1ck5rrptjjozpV1FI1AqR74TJnNZKWM8mC1PlieBOauVSSR087SIr397JkVEsQwM0xrkLkmmG9ToK573dzllK7LGxcYIpjqiocDmovtOcc0yab5eDQ0Is2a/NuPStiKNdgPrXNw3gTAJrct9QhMQUuM1pCMep0U7WH3SKFrHmjkf/AFa1oz3KysFU55qxbwAr05rOUeaWhMo8z0OWu2lgGWRvyqj9pkkYEV3F3bI0ZVlBBFcfeW4gumCdPSolScGZyg4jUeXIyKfMN6UIcgZp+M5ApK5GrMiW3UyVct1SMAk8ipJ7KVk3KKy5JJYWIYEVqnYZv/aQU46VRum8xWXHWorObeNpPBrTjtkK7ieabehUdTnTbOG+6akjiweRWxJGobpULogGaSKkrFEx57VCQM4Bq3LKsfTuKpbhuNOxDOwpGPFM3UjHivJuO5HMflrKnPzGtSXlcVkXQIJq4TITsyS2mSFstWkuoxEda5ppGGeKga5dW61t8RXMdeNQi9akWeN+jCuQjuXPU1ftrlsjmtIwsFzoGhik5bBB7VRn0VGk8y3YD2qeAeaoyTT/AC5YGLoScdq0TSLILWzLNslbbirQX7PIQjZjHU+tJ5hnz5i7Se4pfs83lkKdymtU0i4luPU0QhQKdLdiRQwHSsKSzukbcoJx2q0hnYKrIRWnPGxXMixdTGeJUUcg1et49sC+uKhs7bDbm/DNaQjx1/SuKvUstAUblOaLehBFc3dQYuSzDkdK65k9qpS6fHLJk1lhqjb1FKmc6QWYcV1MbpFZq5IAC1Rm00IuUGaoXX2qRfKG7YK6pK7Mtjn/ABDefbb7y16ZpIrULCuBzUrWJFzucHdnvWqtsGhBA6VomkT1OYupjBOo7Vv6JfBnUYzWRqFoHnJ71a0a3MDZLdTxXSmmizvEAaIHHWohCskuGFSxMotkIPGOaiMyrMPfvUc1mJj5NPhZWwozVI2yRKVUYYdK0JJ1CZzzWPLfHziPeqchjjbPN14PpUMlgY3yQPyrTtHWRcjr6VLMmVHFYTuyWjHYZQrnAqCOEbsZzVyeLax64NEEQ8zOetZ0tJExGElVAUEmp4nlCAlSDWnb26YyRVgxrtwAK6XC+pfLc5+eZz98GsuZtr5Fb93ayO2SOPaq40gTYNZOLZLiZ0Em7AatKOFMggVOmjKoHtSvbtCcA0pU2kHIxDwOKwdTkZGJBreHSs6/szMDgda49pGZm6dMxcNk5rsLRt8QJNctaWEiP0rfs90aYY11w2LizVUgVKswWqYcnpUoikZcha2jJ9DeMmh1xdjGM1TB3nNR3aMpyabC5CetYzk29SJybZYIG3msi9gQyglRjNXJ7kqOmKzbidpAOaxc2mZNmpEURQqkYxUd8BIiqDzWPFcTJIFBJFaaK0gDGiU2kVfQu2v7qMD2qczGqiSADBp6tuNJVHJBGbJ9xYc1RukIBPtV5elVbroBWVVNRCpqjL2MSMGtm05jH0qjHH8w4rShAUAUYe99SaaJHGFqHzD0yas4yMVC0e0k12T8jUaCT1NLTScUhbiueUxNjg+DU0b5FVgcmpkOMURnYIy1LStxSNJgVGZABUTSE1uq6sbOeg2Qkmmpy1L3p6gCuSbcpGW7LMY4pkgxSK5A4ozuNbN3VjQhY4qJ0LCrwhyucVWkGDgVn7OS1JcTgPGWl6kurWusaZG00kKeU0SJubqecdwdxBxyOv0o2mo2d/dy2Wo2p0uaJAx+1TBd3Tg7guDyCPUZr0bGCeKyNT8MaPq1013d2avMVALq7LnHTOCM/X6VVKrK57NDMcLVoxoY6nflVoyj8S1ula6TW+/c8u1yC0a5ZYbmGVVHBRwQawJNOhCgl1yecKc13V14Xs1uGSK3Iwem5v8AGq91odrYQSTC2DvHGzDcSRwD1Ga9KNapy6WNsO8o9pFXqS12tFX8r8zsu5wc2mxGEuikt61e0fxnrvh8CC3nRoE48mRARWxa6W8mj/aHxsdiVx+X9K5K/j2yNj1rqpSdSmubc58zp0qWNq0qPwxbSPTNK+Ivh/Xj9j8RWMMG4Y8x4wyE+me1d1oOlaNp8Eg0cobec7yscm5R7gdq+ZpI8n2q1Y6xqelPvsb6aDHZG4/KuatgeZWTPNlRu7n1D9nIbI6U8ISeTXk/gP4h6pf6j9i1e4WZcfIduCa634laxqGj+C5L7THVCziJ3I5VT3FeV/ZrhPl7mPsrSsc/8U/FkFlpL6LZTBry4I84of8AVqDXkKfMigdqg8ySeUySszu3LMx5Jq5FGcDAr38Nh40YcqOqFNRRb06382ZsnoKnaxLMeDWnpscb264QBu+K1xaoE4Wt2zeMTmI9OOfuH8q3tPH2C2efe8ewZypxUiRjzxkYAqd7GbU1jjt+ISwMkv8ACB2x6/h+OKxr1acIc1V2QVHCnB1KjtFbt7L+ui3eyNvRvG1/a27PehZ4VOAxPzY/rXV2fjfTZrUNClzO44MUSJlB65JAH55/I44u3gstL2KN806g5bOQD9M4HpxzinvfXDKwVVHoyjn9a8hUq2I97D07R7t2/A8uWLdW/wBUpcy6Sk+VPXfl+Jrre8XrsbF3OdYv0kvWitoUkzbpK/ypx958dX6+oHbuTiXOsX0c81vbXO2BZCqtF0f3q5qdpHdi21KFMRzRhXX+644IFUfIAcAKM+9duEymMJc9V80vyHQwkvae2ry5pbLe0V2im3bu+rer6mXJbtPJuYlnY5Zj1NPfS5HhzGOelWLchL9o34A6+1bukWj3fiWzsT9yQ+Zu+lew4qMbI7tjpfEmdP8AB9npMIYeZGuQTzgDJzXnLSrcLLbncpjfBPfIrtPGN7NL4jRkVXS2yrD3P9K5LXo0gulv4PuufnQdCTWcEkgF0y4Kbk8ssQSCM8getWp/MuMxpE3zevasyynia4iPZiVIzW1LbGPbLCxWTnGTwR6VqhmLewhVIePG07WU9/QrTks4fORJCduM7iO/pSy3QnlaPdlu2OitUssmEwfmkVctnigY+eOKKUvGwUEfOOxNVSd6uMZdumGxxTbi7ZrTPlKMKD17VlyXMYYBWLEnJx0pMCeWVfNwOAvXaehppv5okPVs/wAXrVSKVRK5A4zkgUkhIk3H5s9AegqbiJEumyWxs7n3NMefc3CDeRnOc498VGTEyEOrFu7A9KYPKhO5RnPU9xSuMvxwxSJtcFnxuOeOKeqwRHaFC7u9Zkt6IFDLnDcZJqnNqMgG9D8uKBG9NKkUQ4GAcYB+8Pes86kqOWBUe2OBWC13I7cs2PrVWS6fOAam4G5NqmGJUnJrMnv3Zuv51V81nHPFM+Ud8mlcCb7Ueuc+1BvgARtIPqKqsRzxTCM49e9AE73s+PkkOKVLu4I5c1EsWzOWBB6Vp6fZLIu5wcUnoBW8+VfvsaBcHH3jT7/y0l2J261TznpQhln7Qx6NxTg565qqoqdFzTsBYjcZAx3qwsa7hlR+FQqm3DGrsMQMhPYimBLEqqm0r1PU9qljjIbBxinsgaM56g8VLAVLhSMkjrTGVmi8wZFVow0cvmLwQa1YlWOXBGVPWo2t8ysu0UAQXkXmbZlXAfqPQ12XwpuTD4jntCflmtyQM/xA1zkKBsxsOD0+tafgmQ2Xjyy3E7XJVT6jFZVY3iRNaHoviiXw1fX8el65F5TvGGiuhwVP1q14e0Z9D0k2izJcW+8tDKn8Sn1964/4pRhbzTpiOqulZPg3xXLo2oi2uZpH06T5dhOdnuK4a1B1KT5TDlbWh6fdt/odx/1yb+VGk3EMGjQFz82GwB/vGuYk8UMb+5sJrcjOVjYHqrcA1b052LonHJwM9hXh0qNSlXSl2f5o8iUWsfFf3H+aOrtbkz4bbtB6CtNOQKwi7RAsPotXra4d1Ge1elGdnY9aDSLU52g1l53XGT1FaLNuHNVJUWNHfjOMCnNkTKyEOWPvUNzIAoFRNIUBAqEuWFccp2Zg3qWoLeR+VXIrQsrTy5Czr8xqawQeSnrirTnaRXXCSsbxj1NC3iUx571VvYVMbA++KdbzkDAqO8lwpJ6da6ZSi4G8rcpxN3K0cjr6GqguT2q3fBZJZGA6mqSRgCuOLRwvcsR3OetShnbPpVNIjLMqL+ldRZWqG3AK89DkVVrlKNzm5SV5qJbhuzVr39kI3PHesae2aMlh0pNFaosWmolJyrNXY2FyGgVya83fcr7s1v6LfOY9jNxTjoEJWZ1tzcL5TNmuYuHE0xc1bvLnKBVPWi1sjIm5xxSlLmZUnzMzHYICRUK3IMoHetPU7Ly4t0Y47isNYXSUOR3qVHUmMdTpLU+Yh3CsTWEV52AAGB2rXt7mNIuQeRWBq1yvmnaee9aSSsa1IqxUtH2HmtVbgquc8VkWzB2rUt7d5riOPPyGs4u+hjDcRrneeAc1BcSsAB2rsk063SEDyx+VYWq6eo3NGMY7VpONkXO5zNxIcAiq/mvVqa2lJ6VW8t14xUx2Mztc0maQmmFq8ZslsHNUriPcatM2ajbBrLmaM3IyJoOtZ0seGrfmjDDis+S3JPSuyjJscWUEQgZq/aIzMOKasBTtWlZxDIJFdyjdFmvZw7YwcVbKg9RUcTAKPSmy3CoPep5TRCFV8zFW0ACgCspLnc+TWgkwZAelRUbRZIzYBqAsGYYFJNOiggnmiABxmsHzGcty/bDOKthaitkwuasGnOL5bs66S0IyvNMZcDNS01x8prKm+U0ktCs744qDPPQYp0hw2KjyRTliGmcM9xstrHPyVGfWmmzGwgcVOGp24VpCvfcg5DUrV4Z8lTtqOJwuADXYSQpOu11DA+tYGo6X5DF4x8vpXbGqmirj4tQfYE3cVoRN5u1u2a5qMnditmwucII260J3ZF7l6QkSY52npVGOEyyn2NakkZaLisb7X5FyV9+a2iWjSiTyXHNXEmyrBj9KoGRGiD7+fSk+ZdpzwRUzdhsszBXA5pttFiTJ6UR5bk1ZjFc3NZmS3LSPtFOWUOcVERxT4VAbNdEKjZumSlN3WkVVQ46VMcAVBLz0NaN8o2iXzFx1qjdyqOgqOZpF+6aqtvf71Zyq30JlMXzVzTshqrsmDxS4bb15rkmtbmD3LkMY61Z2LtJrBS5lhmIJytaUN0Hj966IvQ0VjRtwNwrYjUeVWFbPyDWkJmSI811UpJI6KTVilqhVUPrVG3cFQCKXVHaQhQahgB+WuabuzGfxDryPKkgVkE/NgiuiKFhg1nT2PLMBzScCGiC2WNm5WtNVULwBislAVbHerkTN3zWctdBX0sSSKc8U6MkdalVQRQU2jio9nYLEgb5ao3U67tuatbS0ZwaxriKQ3H41M05IJGnbLuOe1WwcGobZSsYqY/rTiuVFR0J0bIoflTUaHBqRuVrZSckalZutREnNTsKhbrXHUVnqZSQ0sc8U6KQ9DSZXPNSKEwDW7hzR0FFDgxzR1NNLqKenIqIQfUvUXpSg0U0mpqyUCkSBqetQA1Krgd6iniFJ2HexZUnZioJFzThMuOtIzg89q7pTi4lOSKsgxTO1TSfNUAYFworkUfeMWVZbFJWyQuO+RXOeM7gaV4flMUUbG5b7Pn+6GU5PvwD+f4V2YUEV534laPxH41stDS+Is0U+csfIWQBiw9zgAd8ZPuK3jKS0PYyKhGrjFOp8FNOUt9o69DHvYLjS9At7KXaJlBLqpzjJJx+tcJfIwlbPSvSPFURlvPKjPAOWrhbyA3V4UjGUXgnFeth5JRsclSvKtVlWnvJtv1buc849KYV4rUvrVIFAz83pVHZxXbF3LTuLYXcun30N3D96Nwfw717h4u2698KLyeDMmY0l2r2wea8OCgc5A+ter/C++OqaXe6DOx+aN0jIPGCKwrQXMmRKOtzySGH5FxgjaOfWr9ugx04qSPTLtbqW0W2cyRSGMjBwMGugsvCN48Ya4kWA5+6Bk10wV0WtR+iwjyc+vrWww2jBB+lWrfSorO2OwbyvOGOM1WfVTBIsQ06YsxOwLgk468UpJRXNJ2RrG7dkQzQeZIkMg2mVhGqD7xBPzH8Bk5rTneQy/Zof3USKBlRj8BToYDbyS3EzJJdSkDIB+Vf7o9s5PbNWrXCyNJKAT0Bry8NBY7E+3cf3cdI36vvY8iU6eYV4yiualTvZvaUna7S7K1k3u9V2VEWShcqpPHUmnwqoYLjtV9mFvkEfKwzVDzURJGTBdR5iZ7jvXvHo9Tb0xPtdvc6eU/1i+ZEfRx6fWsDTJVkkmZ+WRsZHbGasRaubS6W5DH92RIdtM1gw22rre25xZajEZYx/tdx9Rms5aAbMHhNRb3mo3U0kc0kRkRIx9zAOPrWn4D06aOCTULmExmTiHPUj1rb0x/tuk20roQssKgqR+dZfjTXz4c0OPymVJJmEKk/wjHUV5FPEVZ1HBmavc4bxLE+ja9cztqcd5LcOzvCBho/qaHbzbMOgD7h8oPrXI3x84GQM285ye9WNG1dipimmKlCdufSvTgmlqaIuGANd5YYzyVXjafUUl3rD7fs7zFlT5QpHUfWotWuR5KtEzFj0Ze1YX7+R1diSei5q2xmzDIsjyrHgqQDkHoalNyJFOMb3OB7Yqgr+XCG2/vGG1lXpmlWZooxHkbAOw5ouMnmZsFGyQRhgfWo2hw2WUKmOMDk0qXiiEhxudj941JNxCG3flU8wFCaUKQCCcGmmVTHkFVOc1BcyLt3FgfWqBkVvunilcRqGdSowSPXb3qB23MDnj0NUvtHl8k8U/wA5ZV3Lz6igCeRcgq3zRtxz2qubciIgDKj1pRN2BP0NWLeRXUhzjigDLkgMaM34Cq6QkfM3Wti5iAhUdgcg+tZkrhR6n0pWGQFT2NAiGOeTT1OTkimtLgkCkAnlqM0gQc0RkncxpVJdwq96Yh9vbmeQJ0HrW3O62Vr744p9hZi3iEjgZI61k6pc+fcFV+6vFZvURQZi7lieSaUCkVamWPirQxijmrUUZODmoVXmrsahYVY9M1QyTyi8PuDWnYYZlyOnFVYl2oVI4Y8Gr1lDh0J4Utz7UAOuE2qQOoqNv3c6svBKDB96tTL++kjJyV5HvUEg3x8cFDlfemMmz5sPnD7uMH2NNuC0bh1OD60W5AV0bhJBj6GpVQPC6Sj/AFZAz6Ht+BpANVtwDA4JwSfQ1bt5BFrdjPjGyZW/Ws/5oZMYBBOKvhVe3Vh1jIZc9V9vpSlsJrQ7f4lweda2chAwJSM/UV5XkqxOcFWr17x0puPB8FzkfK0bE/hXkUybZj/dNYUdrGdPax2quL/TbHUf+WkP7mYg9PQ11+ggyXqKfmBAJNcL4QnFwl3psnSVNyH/AGhXoPhWPdMzn+FBxmvOxsF7ek/N/kzzcZCP1vDvreX/AKSzQvZ8XAiU/d61cs5OBWHMzy6jOQv3eTn0rXtGPljjrWL+I6JPU09wI96q3ufJ4qdQdtJIARgjIpvVCuc+7szYANSRLkjNT3e1ScACoIWJIArmlTdzJrU17W4KKF/Kp5JJGcHHFRWkWRkirpQba6IwfKdEb2CCQAcnmo7uUbGBPaoHZlkIFRuSwOelQ6llYbloc9cHJJFVQdxxWrdW4QnHQ1Q8n5sioizCW5Pp8ZS4DnpXRrPGkZbPSsOIbIs1FNdFBtJ69q0hLUuEkjQuZUuVLL19KzLhR5XNMhlcZIJolLOMetVJlzaMi4TC8dKs6cCFGKcbV2OK0LO0CKPUU7mJKEJaMt0zW5CR5YArEuDsQAdQaBqxVMHqPSovZlRdjQ1GRfKKHvWRgMQCOKhku5JnLHNNab5cg0lK7BP3i62xFAzisHUYlLkr35q1LdKB85qisgnn65GK13Ru1dENorLN7V22mWwCLJgexrn4rNfLJ/Kuj0yUG2C91rKUuTUiEUmX5JsZAqndKJoWyOafITuqnqF6ttbNgjdWNOs6ktS52sZcUI8z5h3qK4tApOKijv2K5P3qn+1iRc4rocrHOXWaombinE1BIa8jluYvUVn96jMoqCWTFVfOyapUbiUC+X3U9Yd4HFVIX3cVp2w3EV00qTRajYSOxzzirEdmVPAxV2KOrCpgV6EY6FpFLymHSq8sDk81rFKjZPyolEoxvJINWEYquKtSRA1AY8DiuSe4XM+7kIbr3q3p94nCNxUE0YZveq/k/P8AKcGtIpNBc7K3dWTg1KSKxtPnIQKTyK0RIT3qaq906aclYmoK5FRq/PWnh8VzUYJvU0lJWMy+3wguBkVTt70TOUPBrekCuhBGRjvWO1ki3BdRg1pWoQSOOaJaN2BTtpxUbK2OK4eSzMyRJAaJkE0RHrUSRPmrCqQMV1Um0JXMB9PEbsxHWnW0W2dRitmeHcucVBbWpMwYjgV3RtYpIvBPkx7VyeqRNDels8GuxxxXJ61Jvuyoqhu461+aMZNXt5KAelQ6ZaPNEpxxWo1kVWs5XFqV7duT1q5GeRTIYgp6VI/yjNczd2SSFuKmhOax5bpt20Vo20oKj1xXRSKiy4z4FV3nVWwSKexytUbqEn5lzRVbZTZaAErgdQasDT8jpWZp8uJtrHpXSRzIFHNbYeKkveLhFSMmbTiFJC1S8hgORXRySowrKuGAc1VWlFbCnBIyJbMOeBzUtvZMnU057mMP94VdtpElA2kGsYoyitRojMeMU9roBSCalkjypxWTNHIZsY4NaWaL1Q4ymSU5qzEo645pIbIL8x61Ps21FupNmOHAFI67lI9abuwaXfS9qimZ7wkSdKtogUc4psh4zVO7uDHGSKy9ormTLrTxp1IqB7sE4GMGuee8lln2qDWlaxyMAWHFOcvdC5pxSA8GpWiQnJFU8beRTxdqMAkfnWVOpfQIlxQAOnFDECqf29BVaS7eR/l6V0cl0Xc1FPoamBOBmsy2mZnwavJJk4NUopIpMlZQRVeRMZqwGFQSzKGI71lWgpRCRXxzioWuNhK+lTbsmopzH0xlq56FS2jIi7Mq/aGkuABWzH9wZrLt7dRKHFaifdrouWndj6YafULuAetcGIg2U3YC+BULS46Gq9zcbF4P0qFJd4ya5qNNpmMp3J/tD+ZgVaSUnqeKqxqpBqTFbzU1sK7LBmGCM81HGhD7yetVQHluNidjzWqtswAJ61vRjN6jimyPdxXDeERH/wAJP4nkKr5guiqtjkAu+Rn3wPyFdlNKImZW4x0rgfDshTXPErqeftX/ALPJW6dnqe1gG1gMX/hj/wClodrq+ZfzFOdvFchqEsOmQNkjzD+prodZvFtVmlZue1eb39zJe3TSSE4zwK9PDwlI82nFyIZJnuZjIx4zTTQBjtxTkVWkTchcbhlR/EPSvRSUUdeyNzw3odzeXsF48UX2OKTLGUZEnPQCvZbRdDgnhvrEW9tdPg4ChfwIrzyC7aztVluGEEaJhIVOB+VYWr+KJ7mRBAWRE9D1Nc9WPtfhZDfMewa7oKz373tsieZMAzRngE1w2sx67osDPcRmOADho03AetbWq+OZbHwZpWsQ2pugzCKdQ2CDWhb+LLW40n7TfWVxAjgr5MsYbzG7Io7k1yuriqErtXiRFVXNRirtnk6z6nrV55Vo0hZQSWB4P1NdzoGgzW9mDzNd7F82SQ4x7cduvT/Creg6HbvfO0Fktmsx3NHG27ylx90NwOSCenfviusuGt7CJnYLEgOcDgV5OMx1XGTUUvcvt3/4B5uJxMsY3QpfwVZSa3m1uk07cidtviavfa2I2jmKykuJJiZYxkAdBWJvfnb0HrWvqHiK0uNOnt4Udi/yk1zDagCfKUjB6mvo8ulV9klNWsejQSjFRSskJc6iY2Ik53cAA9DVK4ncx+Vu+ZRkMB+lTK6GVpAmX/2hUdrAZmaR3IcnC5449K77m4+znDooGd6DlSO1a1hF/aumvpbffhYTQkjvnkVnmBDMsQkdVH3Tn7tP0O6fT/EdvJISwMnlkD0Pes5vRiPXrxTpelWUVmis5KRKrdAO9eUfE7U11DXV02OQMtsDkZzhsV7FqKxW9g19MAVtkaQZ+nWvmjU9Te+1aW7P/LVmOR354rhowXPcEivDOfLaJidynAqvLlZQw6g8jFSRozSMyEDB5J71ce1WaykuQy7weQDziu24x1ldSSRtCygxtimzBoZvL5CliyH0HpWal00LDccc8Yq3NfrdxojHGxSAam9gHNcIkceCVIOSCeCfWnGbfbOemBnIrLdyowT8o6VLFcHGC3y9xQ5ASySeZGoyQMA1DJfMq7Nxx6ZqlPcbHO1vwqk8pck0hml9oVxw4zUJcsSB19qpxg9c1Mgz3+tAEygkYbladGxizt/WokBc7VBLngAd6dkoxVgQRwQRSTAthwYxyMnrinxOA4GcVQEmHFSuw+UiqW4i/PLsCoelZU6bC3OcnirckwkRgTyFGDVS5bKoR3HNNsZWLkA801TuamseaemAKm4Di20Y7Vq6PZiZvNYcDpWXbwtc3AQdO9dWoSwss45ApNksrareCCIQp95qw5FPGR1p0kr3FyZX7mpXXdFkDkURQ0iBIsQM5/vYqwkYxyeCKdHHvtyvvmjB8tR6VYx4tuPwzU/2fzbRVHQjJp0BLKgbsDz7VPHGVhMefmHI96ALNqqmMbgCrHawP86swoUg2EZJfv6VBGMwrjvjNXo1LSykHKgj8qYyF8rcKW7jaDSXVuwXaOuM4qdowwZScHP5VKXAhimZclfkdf60AUBk2EUm3lGKv7VNLIV4PIKAZ9q0Y7aMSmHjy7hd6fWqE0TKWUj5lPSpGQup8tpG+7kH6VNbysEI7jlWHRhUcYaSORB/rAPunuKrW8pRPKbjng+lCBnq2oE3/wAKnZeqwjP4GvJpkJQGvUPDk5vPhlqMLNlolda82A/dqxH8OCKyp7syitR+gXf2PV7aXsHAP0r2LQI2M18YgSFIx+Zx/KvDoCUmA969m8M3EqeH7yeMkuYIiMdyQcVwY/8AjUvV/kzzsav9pw/rL/0ljhaT3upGUE+RASZOerdhW7CNoFV9J0iVIZLq6Y/aLgh2XPCjsMU/cVvVgJwepz6V51ZzUtEXNNMtz3CwIMnk9KovqajIzWZqd+JbltrZReBWaJi78Hirp36i1Nbzzd3OwdK6SysYVjzt5rio7toJ1dRx3rp7LxFbbNjnBrop2b1NYI2JEESbgMAVT+1M4wBUU2rQzLtRs1CLtFHOKqo+iHJ22JmJzuNRvKoBOaqTalEDjNUprxW6NXK6TWpm2Pubre3FQCUdO9VnkySc1F5uHH1rPVGbZrg5gwOuagnty4Ugc1YslMi7ieKuFPalF+8NIzo7comSKryvg4FaE06oSnNZlyD95a6pK6KaJIZMnrVtJBHkk1lwpJI3y9attazY61newrDLi4MrEVXjjzyTVgWkhzkVYt7NiB6ms5SVx2KTgRqPQ1C5GSFFb50hZFG6k/s6KA9Mn3q3aKuNKxyV1E0j7QDTLK1eOTODXWvaws2Soz9Kit9PAmGfu5ohWjLQ0Ur6FJi8cXKngVLpl8Edg/APWt42UZjIxnisKfTgkrlMgVVWndBqtSxcaomSFasyaXz3+Y5qhcxSKx9qdbF2GDzjvWdOCTIlO5FcqYpCR909KW2ucnaanvYWeEHHSqlvEQ4OK1diToWPFVpDxUzHIqBzg15dNamKKs/SqQPzVfl5BFU3TBrvpxRtEmgPStizbLCsWI9K1LFsmuhRsOxvxdAamBqvCw2ipsj1rVMaHmmN0oL4pjPSkwbRFMwAqHcGFPldQMmqr3IX7orkmQ2RyjDUkagnNRSys/NPgkOeRVU0CZK6vGN6E5FPh1RgdrdfWrKqsiYqrJZEyDAq6sdC07F2K88zHJq0k2QMmqMUAjUetWUFedzNMOZlkycVF1NJg0m7H1qZ1H1AeRShc0wN71MhBFRCSkx2uAQCggCn9qZuBNdns7K41EAuakSMKOKci8U7FTCTua+z0IZzsQn2rkJoHn1DAzya666+aBhVOxsVSQTNyTXVF3MZItWcAt7dUxzTpnGCKlkcIuayJ7r5utZ1Z20Ik0kWUPNMuXxGwzVYXa461UmuDK+0HisYGdxQpPJ4rSt4wgU5yapKECglhimNqCI2Ac4ro2Hc3l5FIQCMGs61vzMBgVNJcmN+RxVxVzTckFvtk3AVdVjj3qOF1kUEVYVARWlrFxTG+Z6msm98wsSOa2WjGDWbcssTHdU3bHK5z1xHJv3EECtPS5TGygg81I0sUo+YCnReWGGw5pLRmC0Zrlhj60zaGYHFVWeTAwtSxu38VE6qRpe5aCDFROOKcZRt5qjNforYzRKd1oU2rD5DjNVpJto4NV570HoeKZGWkIxzXDKDbMHdliKTzScmnz2omj2n8afDZg4fBBq0V2jpWsaNtQ5DKi0yOF93WrP3VIFTStxgUyNc8mlJX0FZFf5mUgjms0285nKhc1umMEZxVi1RNmQBmlRhadhxWphrZvEMvn6VLBDuGAn41uSRow5FMWNVGAMV3y0NeQqw2oC5xg090KVaAAqKcgITWLeg2kkU5HYA4NYk1zMLg9eK11kDnqKguYFYbsCuZtmDbEt5t6c9aiuJghJNSWcfDOT8qjpVW8UPk+tZRjaRBNZ3gLHJq99uRFJyOKx7Cyd2L9qnvLN44C2e1dsYpo0RLJrak4WoxfiQjmufEbBhWlAmIwfSpqQVhtli4m3uADwKjSbaMVC3ygsTjPrSQDzX3/wr+tckadmZpGxbyBY8mpGuFCHHXtWVJdIFKhjmrFjE7Dee/TNEny6sb0NnTYQBvY/Meea1h0rAHmIvB5ofUJFiK7TnHXNbYfFRWjRrTqJKxFrEii6AUgmuH8OuF1XxGzcf6Rn/AMeeusETTS+Y361wtpKYdR8QjPW6xkf7z1o1zy0PZwNngcX6Q/8AS0YniW6knmYZO0nge1co/D471v6jM91qQgjUvjjj1rY0bwZgi61FlA64PYV7EZxpQOGM1FHHQ2F1dMFiibJ7kV1lppun+HrQXF7Ir3JHC9as6x4lsdNQ2unQxu4/iA6Vxk1xLdyvNPIWbPeiPPV30QRcp7ljU9VudTl3StiPPCDpU+m+FrjWI/NOYLZRmSVzhQvtWh4c0My3X2zUIW+zxgFIz/y0P+FdSt7JqaYiQW+nr98j/lpj+Ef7Pqe/T1q69anhaXPP5ebNJSp0aUq1V2jHd/kl3b6L57JtS2ItbXwydI02CV4n2vHczKNgOQSwUkN0zjjk47c00QwwzNcOzTzjA8yQDKDGMDAAA69PWpdH1e1n1tIZV3Qt8oDL1z3qLUdN1G1W6drWYwxuUDqMgiuGGFqYq0sZK0f5Vp955rWJxcLV3yQa+GN7tPpOW70SulZX6I63w/c3FnbHzoQYZRuVlORmo5ZtJub4rfxGOQn5PNY7W+lZvgu/V4WtJmY7stEexHpWn4i0c32myeSv76P5lwOo7isa6jCsqcVZLqaKnGNoxVkirN4VsLiTda3JjjPLKvzZ+lcl4k0aPTNYEdrM0kZjDHecEH0zUdt5sJGJphnsrlePeuk07R/7T+Y7vLBw0j88DtXp0o1KGs53R0r3Ucxb2zvJhlOM44rbjsDmOTbnaemODXYWOiWGmwMscKlSdzvIMnFeaap4g8jU5pYRsgaQhQeVIz1FbQxKq/CNVLnSQ6DBqJZnQKka72I7Vxes2t/DdCeLJQzrsIHqe9dtZaslp4YieZgtzfPgD/ZrdstMtb+3XkFawdR3Gncb8S7+8tPh+otYmk+0COKVweEB614xpwGPLkVHDdQW2kV658RrS/k0zT7O03m2U7pgvfHSvLn0K7Co6cFjx61tTaS1LK9zbR2crB0aSJsEEdqzLnyYs+ScKTnnvXbWfhW6nhAmbcg5BIp0vhq3tBlsEj1rOeKgnYlyPOpre4YEmIgepquYpI8k13N5bpECML9a5fUSoZsEVUKnMUnczGmYrg1GbgrwDUTNyaiOTWpQ53LnOaYOtAozt5NMRJn3q3p1jeapeJbWMDyyseijp7mrvh7wzfeIJi6Yhs4+ZbiThVFbupeI7LQrNtH8MLsz8s9+R88nqF9BWcpdiWxZhpngyIovl32uMOe8cHv9a5GaeSeV5ZX3yOcs3qaiySzMeWbkknkmkzTSsUkOzzUm/C1DmgtVDJPM5qGSQnil3Y5qJjkk0AJjLU/noOtMq/plqbi4Bx8q/rQ2BraPZ+XGJHHJ5qLVLszy+Sh+VTzVy+uRZWgRcb24rDj65J79alakrViqmFyfWrYUBWA6ZqNhhR9alQFlPrxWiKsCDZn0NOVMh2HOzkintHi3dupXFJCdsiv2ZcGmBYijBk+U8beKsSkxtE+3Ozr9O9RMArkJw0bDA9qtFkdyGHB4oGSQJ5czRZyudy/Q1YtiVkdBzn9RVe0kDSbGIHyeWCe1WVVoIlLj5iMUwJJ2X7So27d0YI96IGEkbqRgkc1FKxkVZBzsj59qRJfLl8xeQuNw9QaALcLM9uEyRJEd0ZPb1FWnCXBjuMDOMOPSqgYefgjhu9SOzxvvUc9HH94UDJNZ0meDyr20XcAckA9Kxbzyy/mKNpPLJ6GulstVaB1huDuhfpkVJqOgR3qNPaPyQdwFcbquD1MXNrc0vAEnneFfEFuOqxlx/wB81w4/eQo3TIx064rtvh/bzWd5rFjMuA9mxHHXANclCsQtiFO0hiQp781pRmpPQISTZlFdl17dq9l8FyLFoju448uAcj2NeXfYGurwRxfefHOOAPWvR9Il/s/R7mDO5fLRMn/Z7/rXFjrPEUorfV/Kx5+MaeMoQW/vP5crX5no6rGLcEHOR941yet3aWkdxcrxJIfKj/qahh1yRIUtoyXkYhV56Vla7N9ouvJDZSAbR7t3NZ1JJbnRUaMee6J4BGKms234x1rPuInBAHSpLZ3hI561mnF7GKaOs0/S1uSC4JFW9S0CFbcyQgqw9Kg8P6kqkLIeK3ry8iaEqpyT0FaWikbR2OSjR4JwoJYVVv8AUpISw71uPDnLbcVg6hbiRzurK+pD1M0X80snBP41cR32gk1AlpsO7GRUrvtAxVcyaJZYV2PFIAxlA96ns4t4GRT7iAo25c1zqS5rEJamzp3EWKsXEvlpnHFVNPfMXvTdRlZIznpWLa5x9RjyJNIvrU62mc56dqoWSmUhhwPetYNgYz0rolJ2HqNit0hfd61KzegqpPfRwn5jWVP4gG8rGMD1rmanIVzd70+N1Vua5yPVJ5xkGtW23NHuY81l7Gd7hc3FnXbzVe4mQniqLF8YBqtPIyRkk8it6nM42K59C7vGalRuhzWAdT2DDdadb6wu7B6VzxoyTugjLU6qGYFCD2FZt3KqhucZqWGZXhDg8EVlapIVUYNd6k+XU1k7oh+zi5Jz0qaKxSIcU60aNbcFmANOa7iUAbhXBUqSvoY2GtbhgQelRrZIvSrEUgmJ2cgU7FYOVQCiXAFQO4z1qq10PWoTc81rBWMS2zZJqvKai+0imPOCOK7absaxkOR/n61qWswXoawxJzVhLjbiuhM0OrguM4qwJwa5m3uz/eq+l0SOtWDNgzrjrUTTiqHnE1JGCwzUSIZLIxccdKatsZOpqZI+OanVcHpXLMm1yAWaUn2Ta2VFXAKeBRGpYtIZCgVfepT0oAoIrSVa6KIiKRZkWURk81Lt4qB7QPOsucEdq5IpXuCWpf2jbxUEgxUof5QKikPBrPEJPYqSId1TRyZxUOM0AYNcUG4SuZ3Lm4MpFRQRPGxycjtSI2etTK1evCopxNIPUsqeKjknRDtJGahmnMcZK9azkDSS75G59KIx1OiU1Y1C6v0p64AqtCuM+lPdiBgVrsczZXvLnaCKyJHLnOa0JLZ5GJJqtNaOg4GawceZmMtWUJG296rNcBT15pt88sYPykVlCSWV+FY10wpiUTZW7BGBnJoIZucVTt1YEBwR9a1UMbKBn2rV0yuUm01tsoBPFbcixypgdayorUJhlY81ctlZZcE00rIpaGlaRbV6VfVeKrxVaXpWbkdMUNYYFZOpweZCWXrWwwyKryw70YHoajmsU4XOIZpN5TnNbWmWzKgkc81abSlE2/irQjCLj0rOpVstDJ07CEimt60EgVBcTiOM1yuo2RLQhvbjy4yAeawJpWySDzUlzcNLKcnimqgYciuujsZtkCO8jAGug06A4BNVbGyVm3EcVuQoqYAq9Ljjqy3Go2VBOuCcVYGQtV5ZAWwa6JJcprLRFRkGcmqlxqEVv8taBUOOKxL/AEuSSXKdK4pI52TwaiZmI28VoW02DWTFbm2iBb7x4xWhCcEZrDnakSpamgZeaUSCqjPtGTUBvFGea3lKTNecuTTiNckjFZE2ovKzIucVHc3fmPjPFPs40bnFTdrchyuVt0m7jP4VoxRPJGA3NWUt0IHFWVQKOAKNykjMvEMUKxoMZOTWc5zwTW/MquSGrKmtfnJXr6Uoq7ItqPtLlEKocD+tPvrhJVKAisua2uE+ZY349BVZRIjAPuGfWuuMXY0USGdgspGKuQyDyRVSWBpJeBmklLIip0A6ms53IkRXlzvl8tD8o60sd1tj2Coba0eeJpQDg5xV/TrMO5MnCjqTUctkShLS3eeXewIXsDXSxRKigAcCq1rGskpZVwijAq+q9K5KjbYbsaV9KrtDycjmru30pGXA5qfZ9UVylBYwCRXmqo0mpeIYYx+8e72qP+BPXqktzDbRM7Ba8ju7+bStc1XzICj3MxlQk9AWYj8wf0rsot6HuZVCVTCYulDWXLF28lJN/cjQt7PT/D8TT3TK055OfWuZ1vxVc6kzJEdkPTjisjUL6a+uC0rEgdBmpNM0ybU5X2fLEmN0jdB7V69HD31kcEKXVlABpJOdzt+Zrb03RZ0kjvb2FlgRvkix8zt6AVuLa2GkMsVrCZ7sdCBk/gKvytHaxxXmqyfvFy0cC8hT/U+/QZ/GrxWLjhlyR1m9ktyq+IpYaKnVu77RW8n2S7d5bL1snI1vcSRP9qmS2gbk7W+YZ9zwD+dZGs6ml0BZWj7IVAOAhXOPQdwOOKx9U1db66eVhKP7oXooq1pkkeo+Vazi4UkHDMMDj0NRh8HUlONfFS5pLZdF/wAHzOOnhq1acK2LabjtFK0Yt79235t+h1tl4ThvhDqHh/Uo5ZU+aW3nHKnuAR3rv7K5+0aXEZeSAVcGvM9NWbSpkmt5ZFkT7zAYz7V2WlXqz6JeTTy+WsbGWQj0PWsswpTfwnVUT6GlHaWOnW891DEq4y+T6+gp2m6iupQF1+WWM4kX0qtY3keq2LxzwbLSU7Ic8lh6ms3TdOvdE8TiNt01hLE2yUDsOcN71xU6SlBqo/eMLDNS8Otc64phwsM4O9tv3MDmuntLWK1gSCAKqKOMDrShfOhSRQV3DIrCuvE+n2l++nR3Ae/UkeUOQDjPJrnqYqu1ytXijGpW5IuUtkr/AHDfGB1m5jg0rTYpIrecH7TdL2HoPSvPbjwDdAJJLqdm6lhuRWLMVz7d69Fju9T1mFhHNEkByjYOASDyD1NNi0J7DUpbu5n86wSLcI2QDa49cc4/GnSxtaT5KSt6nEsXiqz/ANnhZd5XX3Lc5fWrS1u3svNufIs7RAkaNhcnHXJNXPDmuxw3Edpp1z9okJwqSqefx4rPu/C13qMMl6tvIBIxYED16Vk+F7G7h8Z2Vo8ciOJRn5SARXpQwtSavUqv5WX+Z008FXnrVryv/dsl+TPYHbxPKhD6TaEH1Yf/ABdZNzYavFulk0mEAHkJ8x/IMTXeCXBx2qGb5+lZVcNJLSpL71/kaSwEraV5/ev8ji5725kh8tNGuY/cbj/7LXE6tDqt47fZpYAp6eYzA/oK9clBUj0pAQQAQCPQjNcUYVVP+J96X/AMHh8WnpX++K/Sx8+T+FPENxnfe2ZB7b2H/stZV54I16MrsWG53Zz5UoG367sfpXqvxE8AQ67t1KwgWK7RSJPLGN/oSPWvF5Jde0I+Wbm7tkgfCqJDsznP3enXtXpQjibXhUT9Y2/JmqpY+OsasX5ONl+DKd5peoafk3dlPCofZvdCFJ9A3Q9D0qlmuotfH+uQxlZPs1wSc75Y8Ee3ykD9K6KO00zx1ZmdrWSyvlJJdFySMYBLY+YdODgjBxgcm5YytQ/3inp3i7/huKWOxNCzxNL3esou9vla9jzU/KM11eheD1lshrGvT/YtLX5gH+/L7AV0EWi6V4H01tS1NBqU8rFbeMrgAZ6muM13Xb3XroS3b4jTiOFfuIPYV1QrKqlKGzPQhUVSKnF6PU6E67L4ov1020iFlpEP+rt4+N3ux71zviGKO31eS3ixtiwDj1rX8JR+Qk12y4ABIP0rm766N3fTzk53uSKqK1LitSClPApBSk1qaDc0ZpuKKBAxpuaU0KpY8UwNWx0vztJuL6UARoQiZ7sa17K2WztgX4wMkmtG6tVs9J07TAAGx50o9T2zWLrV5sQW6Ebm+99KxUnJmV22Zl5cteXZOcoDxWjoGjT69rlppkGVaZsM/ZB61kQL89dZ4U1CHSpLuf7QYrp1CRYHbvj0rVqyNopHtdp8L/B0VosE0X2lwNrSNJyT68dK858f+BbTwxJHd6VceZZSNskjdsmJu34VBJrt5bTGazlkiBG0F2yGNVNR1VLy1nFy0jzSIAPm4DCsIylc1sjnVcI7I3IIx/8AXqLbtRiOgHFGGMrNjoMCpCARIM9OK6kZsdK+QkwGGZBmpVJ8hJD0bvVdyDGo9BitBISbCKMckc8UCEUCPaSBknI9/ard1P5r7z/GVbHpVWWJ1hQMMEevakG4zwg/dddtCYFp2aHao6SKSPcUkDq3miSPjaASO4p28SW8cZHzRk4NQglJCOhxQMvKgki/dks6HOB1K1OCZINmDv6g9D9KzoLtoLiKXbnY3I9QeDVwXJimWKT95GD8rd8dqYyxEFvLXyD8sjHEcnofeoYdYutNuo9xIDJhkI+6461M42fNEeG+ZSKbqsS3lqlzxvx81ZzipKzJlG51/hzWra6u2kGBPJE0fA65FchfaRdadIWUbl3H3xzVrwJGJfF1krkkBW4HQ8cVp6TrMN9d3FnegDy3fJPoDXnTU6DvDY5WnB6GdpTTuoligI3yKjt22g5NdMqt/ZuoMckBeBj6VE1pAt2rW4YjbsVR0AJ9PyrvPEFpb/8ACIXPkQIjhBjaKww1b6xiZVVslb1e7+44MJV+tYydaPwxXL6ttN/dp95zfh23WS2a7P34+Ez2NSNpiOpaTO8nJ96h02ZbOC2tA20qoeT3JrRuLyIHBOM1eIgmdlRXZlvpant0qjcWKqfu4xWmt9Hvbk496r3E6yP8pzmvOXNF6HPZpkFirKuQOQa34XG5ARkmsSM+WnFWbe8YSKWBHpmujmdjRSNicHcT/Diseawe5nBU8d6047oSZ3Ec1agiG3cvXvREpamV/Zg8pkx261z6Wcv2khuQDxXcMQwIHXGKrRacocZHJNTGWoWuZttAY0yRTJkZ3wFJzXVw6ekgGRSS2aR5KqOBRHDzb5g9k9zAs1ePgqRVia2W4Kqfuk81LLKpiY4wQajguO9S6fvAkkzStdOhUbdvApNQjigtJCFC4HWoJNYFuMhM1h3+rS3QZc4UjpXY3HlNXKNjCv7kvJndnFZYYvI2e9XbyLDL6HrVdFCyYxzXOjme5fsnEajjnNaDaj5SglsZ6DNZqsETPfFZNxMzTZzgDpT3EdfFqoJXn61Hc3O4s2eK5y3a4cfKjFfUCr0bkg7v1oaQ0jPnuZHnbg4zSJOQw5rRW3E8qRRgbnbGaztUSOHUZIYW3JGdpPqaEh2N221SQWJXk4qte6kxhXd1xnFVrZgLXH96qerSqgUBu2K0UUaIsQX81w3lxk8+9bVtpc0mCzk5rmdCie4uNqj+Lk+1elwRrFEvYAck0eygU7FCZ49I04txvI4rmJNbnDZU5qfXb03l221v3SHCisK4ViflFT7KLM2WzMaj86pJEwKpucVzRpmckStMfWk84+tVWYk8U+NS3atVGxGxZEhzUyuc1DHHVqOOtEaxZLC2CK07diapwxDIrQgixirTLLkMZar8UWFqG2jwBV1OAKp2sS0CrjtT6SkrmmgHg4pc1GWxQGrmcdR3Jg2adxUIanA0uVjuSUU3inVPIwuJnimNzUlIRmpcGN6kYXmn7aAMU4GspQJsNxTgTSg0CnCXKNDXGVOar+X82askUwrVuq+gncejYFKTTADilGeKn20hakgpwAI6U0DinDit6da47Fa406G5UhgOajttCtISPlyavqal6V3U5oaRm3WiW8iloxtaudms7i3uBkfIprsy5xWNqUybSOM1rzoHZBZkyQhsdBwKv28Z2hmXBrL0+/hYrFwCOvvWzvGMg1LnEVyxEcEVcXpWdDI2T9auLJXPOaZ0QehPTW6UzzPemtJxWEpnRGSsNkIxUD/dNPbk1FJyMZpxhfcxqTRA55rOu2GCM1psg5rE1FGznPy0SpJHJJmYzDeatWa+fJsHarFrpYmXcO461o2mnCzDnqT3pxjJbE2uSxRiJABVmFgWFUrx2jiIT72KqafqDCTZKM+9VGV5Djozpm2hKxNSaRTuj7dq0fM3DrUEjoAd3Na1Jto0nK6Mq11cZ2SrtPrV5rqMrncMVC4tC2TCuahmmsEJVoW49KxWqMWV57xHnB3DatW45hIFZe9ZqvZPKWMLiNqvJJbFAIcgdq53HUzW5Lqby29uCFxnvWHPM8aKW/i5zXY23lXNkI5AJB0Oay7nSLd7tY2bap5Aro9oo7nRKnomjnVd3w4VivqBxWrZXaptBHHc1t3luq6a1vZxoCw29K55dNv7dQDEG9waHKMmTKFjoIXV8bTU5HIHesvSobhZGedduBgVs26hnya2hFPQqKuRPaMy7qrRRhZ9r449a3JWRIsnGa528Fw8oeL7wNVUpxg1YqcUjo7aFHj5UY+lU9V063khbKAbRnIp1lPMYxng4qDWJZDb7A3LV1OcVS2NXZQOU+WBC/BOeDVOK1bUrkRIfk6u39KNWuChECYLDgVv6HZfZrBcgb35Jrz7t6nItWTfYoobTy1UYVaxYgUaRTwM9a6godp4rEu7T5mUdD3FTOXQuUbFqzkjMOxMcdTVlWx61R021+zxvnuav7VClmIAHc1z1Ity90nYXzAvNVJ55ZvlgXn1qjcXct1MYbQfIPvOelaVtEyRKufrTV9hXuQQ6cS5luW8wn+E9BXnnj3TJjrsk4TEWzggV6mFwK474gWl+2npd2ib44+JAOoHrXZh0ublZ24HE1MLXVWk7SRwQ8NabDBCNTmeOeYnbHGentwD07npz+ekbawhhW2+3ToiYIVUUdOnROaz9eYR+K7R2OB9mIB98tWZqWpSPIS3ZcEj1rowWFlXoRqzqyTfZ2X5M48NUxONpLE1KrTnraMYJLyS5X+ZtT6tpukW801s73V23ygyLyB7nA4+nJ/lyF5q11fzGSaYsx4BOBj8BWfc35yRuJ9iazTLJOSBnHtXdh8FToyc1dyfV6s3o4SFOo6sm5TfV2vbsrJJLySNeS6EERZpQcV0GhNNfw2+oXU7pDlgi4xx6mq/h7wfJcot1qKFYguVjbjdXQ3cKR7LePy0iVQqop4GK7Yqx1l+2sr7VBJHpkYkaMfMAwGBWzoVnqSI1ndWskTyxurBv0rgrfULrT9TF5ZTFZRj6H6+tev+FvFMXiK1O5Ql1GPnT+orjxcmlciTsc8uqL4XXR9OnVvn3GZs/dyeDXbxzBotw+ZSuR71ieIfBttr9/FdNcyQMBtfAyCPb0ra03TY9PsYrVJXlWIbQ0nXFeTiFGbUoPU5Zshe/S2sXu7g+XHEpZiewFeVaXFJf69e69MoSKVmMZJx+P0xmvS/ENrZT2wj1Bi0GSywA48xh2P+NUdM0aK/tpJLiCPyJeEUDOBnsPT/AArnqVG/9ni9Zb+S/wCCeVjKrqyWDpPWW77R/wCDscVo/iVLDUj5z7Lad9xTdnYx7ivV44I7y0VJCJI3AJx0avGdf0XSf+EmttN0a5a4keUCVBykeOwNez6fH5cMEMaZRF2FvQiu/EUYO0oHrciSSWyNOOPCBQMADAGKYbeNZRJ5abx0baMip4fSlkGQcU7SULmi0QxZBnrUm4Y5qoFIY1X1K5e1s3ljQu4HCjvWNOpN7juWp3j55FVw3HtXl2oeKNXutSis4reUSTSBFAHA+tR+JfHN/bah9g0+XEdphZJV6vJ3/CplSlJ3JPWo8H3rO1Hw1o2qRlL3TYJlJyQw71yPgjxte6xcTQ6gI1SMDEgGMn0r0UHKg1onKmgTPKtd+DelXN1HPo7taKGzJbudyP8AQ9q6ux8O2mg6DcRxIvmeQ4Jx04PSuoKD0qveQGayuIlIDPGygnpkjFcWJrzqKxFZSlTkl2Z438SlT/hDLB+Nwdv/AEI15MqmR0RRkuQAK9f8XnTbmGDRtYuZIGiJZVgG5jk55IDCs3RfA2k3F5FNZPfTtGcqsg2qfzUfzrqwGKVGgoyhL/wFnnYTHqjh4QlTndJL4X0RlanEuieEggXbJIuwVwaKQK+hrjwY920f2qyt5ti4US4ZV/A8Z96ltvBj2at9kt7O2343eWgXOPXAGeprZZhNaxpv5tL/ADNVmFeX8Og/m0v8z5/t9Ov7uMyWtlcTqDtLRRMwB9Mge9PfR9TijMk2nXcaDqzwsoH4kV9FxeG2/wCWs+O/yiqz6ZbHV4bNJA8bD9535GcqfyrmnnFWLV4q3rr+RnVzHGUuWU4Rs2la7vr8rHzo6FOGBB96hNfS1/4F0W9jw1sin1Arl9T+GekLbuyOqNjivQhmFOR6/tUeIAEmtfw5YHUvENrb4/dg75D6KOal1nQf7MmYJJuAPQ1t+D7cWWl6jqjAB3XyYj7mut1E43RTldEuq3qPdXV2xwmdif7o4FcbPK1xO0rdSePpWlrN0GcWyHhPvfWsrrjFVSjpcIIntBliT2q5gKR14qvaxkspPAB5rQOl6lMI2SwunRzncsLEEeucdKudSMV7zsE6kIfE7HU+J4EsvA3htFOJZ5HmZu5Fc2XLIH/vd67Pxxo99qD6NbafGJ4LKyCOyyKBvPUcmsix8I6hIpF0Y4FB4G7cf04ri+vYam/emvvOWeZ4Sn8VRfejEx+7U+9SQ2007lIYnkY+i13FtoGjaZCr3Q8xh1kmcKM/Srv9taTZW7GG4tI416+WwZv05rKWb0X/AA7v0TOZ5zhpfA3L0Tf6WOOg8JatdKP3HlD1c12Gh+CLryV8948gY4NVZfFmnKoZJ5JiWxhEII9/mxV7RdUg1m8WMrIsXQEsN35c4rmqY3Ey+Gm166EPH4ibtSoyf+L3V+Ov4Emv+FLf7GViu7fzQdpVWywPuB0rn7bw55UDPfzgBAeIMnj15H14xXrUPhuwjjB2NI+dwaRs/hgYGK5/W9QudNuC09sTH/eXn9KmFTF1N5cq8tX97J5MfV+Oagu0dX972+44Jbnw/YkbUluJI8liVJx9QcDv6dq7e10G/vdEsL6zWJPOQu0cnQDsoI/n09qzHl0DX5Ft7q0HnORyq7STXV3WbmxitbC4EccSCMxE8nFEqNG96t5vzbf/AAC4ZZhpu9dub/vNv/JHCajpDSefaXVjFZ6h/rF55Yf3twAyDyD1x19K5m6DrsWSMxTREK6f1rt/Gn2qCC1RwZZ0cNbz4+aL1Ge4rEhvV1Oza31MCJy4KmNTjH1Ocd8+xrSnUnhG+Rc1N9Nbx9N7oVquXSfsk50n01co97b3Xl/TzWfFqpU9GzUkT7rdlwG/2aurpNuu9F1CMoxyFIGR+tPt9JhhmDG/VlBztAA/XNdn9qYa17v7n/kdDzjB2vd/+Ay/yHeCY0h8Y28pkVIUDFmY4CnHQmprXRo4bu8mn2sZ5y4+bgDPAPtWt4fgtL3XFgECiEgNI4+Uvj+E9653X4JpNZubOSaVYIpTsVWIUr9B1NcdSpiMSrW5Iv8A8C/4H5nJUljMarJeyg//AAK3psvzR2H9kavazpcW6295bPjHlHOPxrrWmf8AsyaKWPkx8qfp0rzfSru70ZVFuZljPIV2zXXWWsSX8e75d/cHvWM7UElTWiOqlCFCKp01ZIy9KspL29k3na+dzZ/lV2+s2hkIkPydiK1EXYxZVVHI5IFJNlIzLJGZQvQAVlDHOcrNF+0ucq0QDEgmlgtneUdcU2adjLIzRtGN3AK4xWzo6hwjcEA13csWPRmnZ6VA0QDJkmrraNAYypQYA9KsRyIpBAxVtpUKcH86rliaxgmjjzbPbX/kE8VpL+5iKj0psg87VnOOFHB9amnAC1g7JhZILVMpubqadNKIQGPSp41AjAFV7u3M8TJ69xXO3aRFixBrVnGoBkGcVBc6lJcErAvyd3Nc3/Yl0bkb2ygPWt6OMRxqo6AU6uLlGPKh87sUJ4pFUlnznqKpvOYztU0/VNRRCY4yC3esjbLI+7J5rOk5y1Zi7tl3zZrjKgZHrVCdHjfBzmtnT4lRSZG596r38Ya4ygyK6W0Mwbp8Qkk/dNUTcYYNWnf2Mwt3BXhjkGsOeB1g29xVximFrlpr/eNtV8NJINozms62Mhco+ciugsoR5KuR0OatwSQONjX0zUjp9k0IiDP1yRVaW4+3eaxRVlXn5e9IEAAPdzU9vZRW7oQSd77WzXO3pcizKVrdfZtOuL+QYIPlxD+8TWE0peUbjyTkmtrXnjmv1tYEEdvbLgKO59ayHt8c9KuGqKTLsUh8sLngVAtq99Oqcsxbj2qa3RnCooyxGABW/DDFoVqJZsPdSf6uMdc0+bUu5PpmnJYMlvEoM7jLn0Fa2pXQhthEG+YjFVrSRdOsnurhw1xL8zZP6ViT34llMrupYnpmqlNJEtlWeMc5I5PSolYKuCufeieTzWGBk+gFNdmixGsTE+pqI1GK5Wmu8gAVXLlzjFAXJqZYulCQmyNIskcVcihxUkUNWVjxVMgiWMU8DFSYpKQ0TxP0rRgbNZAfaRVyGfGOaZojfhcDFWVcGsaG5PGTVtLkcc1LlYo0cimFuaq+f70CbPesm7kN2LBagHmolyTUyrTUbkjxT1pAKcOKtQKQ8DinimAg08Gk4ooMUYozRmspIoaRxTM1IaaQK5ZITAN+VOBqPpRmsHoBLmjApoOaWkmPcWlUZxSU4NgVTkgSHcCg9KaWozURkrjYoODQ0wUZzUbvxVd8vW/t7Gbdhs+o7crisuUNdMQvU1oPCrA5FUVU29yADkE1rGs2RcS20aQPvLYraijMaBSxOKcr5QdqXNc9bENFokRsVMJeKq0FsCsY1pSLUrFnzvenrIDVRDuoST52Q9RXTTvcr2hdJyKicgZrPvdVjtIznJboBWXJqFzOMrkA13RZLlc0f7R8y7MKjp3qWaHevIrIsA73AlH8JwwrohyKbdzNq5XtH2fLjAq6WDJUIQA1Igq6d9hrQpTRM7HjNZRheG4yRgGujKiq9xEHGe9JUrMTRDbXatJGjHljtrE1LVSjyRA4ZWwabe3PkuHT70cit+tZviG2ZfEM5U5jmCyrj3FVKGgPYsQ6pvwGPSiK4a4vVUnKscVBBprlA2Dz3q7bWBgw5PIOa5pKxm2WDEoDjPQ8VFZy4uNh4B71M+TKqf3uSale2UbWjHyhhzSjHqVGOlzW0uOWHfuPyscrVbW/NTUrG4B2xoxDj61dspV8x0J+7TdWAlgcDnvSqU7xubq7gCXkEk7QpKpkHJGanzXJtYStOs8TMpH8QrorVppEAZTkdW9a5VdfCZxk2WfmPHap43ES5NRLhPvdaeUDgeldlCpda7miugLvM+4/dHak2jPSrMcaqOeRStGhHA5recXa5pyXVx9syJ1xWNrt4q7mz90HFaDZFZ15Ypd4DHjNZSxF1ykzu42OTsLc3uoCWXhQc4967uFAsYGOBWWNHt42V4pCpHUVoRShVC7skUc6M4RsyZ+lZt4yxxb29cCr7OCtZmoI0k8cf8KDJ9M0nG+pc7MYl7FDA7SnGP1qii3WqSM07NFa54UdWq2unI7CSQbgOgqyUPYYA7VjUqcuxg7kUcUcAEcQwoq7vCgACq8YGealMgU8iojPqKJKG3DpWR4gkZdNaM8rIwRvT1/pWqkgboKyvEhB0+P180fyNOtJqm5RZy4+pKGGnKLs7HiHiW++36xPtAVYCI0JGCcckn8SfwxWHJJISwGeTW74m0m80jW7xbtWHmOWjYjGR7U7QPDk+pGR7hhDCASu8YLnHavp8JTjGlGEdkerhqUaNKNOGyVjnI9NmvZFVY3kYnhVFdpo3he3s182/XM6/cjHRT6n1NasMEenQJFFBHhuHY9frVK71EwsqBt3ua6rJG5e1G982xNu0jYPLnuR6e1ctfagCFiOdtVdQ1U+Y373LdsU+28MeItUsRqUOl3b2hPyybeD7j2ouMZHccn7pOe5/pXe/DKynkubm8OBHC43DPOa4zR9Dvbi/lhFrL9ot2wUZf4vQ123g7T7/QG1P7RdRQSTD92SpYhh9O1ebjq0YwalKxz161OnG9SSS83Y9NM+Tisu88QCJ2gtYmecNt+YcZ/mfSsm2v8AULlFjl1CCKRsINy7d7Y524BP/wCsVPprvpt3fwTKAsQiJkHRsntXzVGFas/cdl3/AMjw/b1sbZYdOMdbya/LUaRGt39o1q8iWXblY5CMfjjjHsPWtLTbq3urea2h1KK6lx91FwET0rh/iNNFNrUMKIAUjBbjr6Vj6RrEmlzLJEmSvoMV6tDCQpRve534bB08Mmoat7t6t+rPS7TwnYWuvR6pBaxQHy8FE6bvWuniCouBxWDpeuw31nbSykRSzD5UPf6VsscRg+tVKo7nSi0sqp35pr3AxXlOqeONT0/XDaSRYjSYJk91z1r0d2JtGlTk7Ny/lmsKtSrbQfMWDOM01pQwwVzXK6b4mN7EWa1mUKSCwQ4rXi1GB4POd/KXOB5nGa4XUxHQLsstZ2xlMwhVZNpAYDkZrlb3wFp9yWMeVYknnua6qGeO4XdFIrr6g1JisXiq0NxXZwA8EXVpLZR2k/l28UnmzMTyx9K9Gs7lJUwrZI61Bjim2sSW7HYPvHJ+tdEMa56MI3TNQ4qtdCQwv5X38Hb9alDZAIp45Ga6KcVNmtzidM8C2wuXv9R/fXEjFjmuphs7a0AEESoMdhViWUAYqheXRgtZpAQGRCV3HqcVtWxSprliYymoxcn0Jrm7htYjJM6ovqTWDceJFdQtrDI0xIADjj9DzXKat4ltLRhLqd55jjkQg4//AFdB0rjNW+Jd5cRfZ9OAghAwMVxQpYnF+8tEeXT+uY1c9N+zg9tLyfnvZHpOoanKC/8AaF9HaRgYKI3XI9AefxPeucn8faPozZtEM84H+sPb6DtXlN1qd3eOWnnZieuTVU/MK9GhksFrPU7aOU0ac/aTvKXeTu/8vwPS9R+Iuoatpv2jTcrLFnzoT1x6iuLufGGtXJObpgD2zWXBdTWU6zW7bZF/I+xrsLXQrLxJbvqFgipdqu64tCep/vLXoRw1Knsj0OSKOVW4vNTnVJJGfJ611upq+naLaWEaHd/rGx61a0TSIheomwKVO5ge2Ks6he2txduxK4BwPwonNdDOUtdDlNH8Lza09xJNcraJGoZmK7uue2Rxwec12tnpHh+2htLS4S0nkRMGeSLcGPcngj8OccfWr9lNBdacYbVhlECkBcDP/wBfmrbWmn6dGGvplLd1HQH0ryfbVcTKalJxSdrLT735nhQnWx1SpGpNwjF25Vo9t27XszpdK8I6VHCDaTp9mY5220SxjPr3Hb0rVTw3psQ+ZZJOf43/AE4xXHW3ieBEW3sAUjj5IXvWpo/i43ep3FnOvyRpvDj0qlh6Kd+RfPX8zqjl2Ejr7NN+ev53N9dI06FiUtUzgA7iX4+hNU9R8P2N/bmLa0GQcNH8pzV611OzvkDW8u7PbGKsgZPSqtCD0il8jeGHpR+CCXokeYXfw1u2fEdxHcJnO6Rzn8aF+Gtyi4LW/wCBr1HygecU4W4PWuiOIfQ6U2jy0fDyeLvAT7Gtjw/4TksLwySBFA54713htEAyKgn8u2ieRyFRAWJpznOSDml1LkP+rA9KzNehieycyDOBxxVmwuBPbJMPuuMge1LcSrjBAPsa5fauluXzaHmtiLaK/fUAh22wJ5GOe1XFS7meJkjdXk+ckCuw8iKRCphjweo29asxRqpAwAAPSuaeMjORjZtmLFp1xd23lXSLIn+0OlU38GRBiY2wD2NdgGQCmtIg710KtFLcrlt1OEufCkkRyibvpWbPpkkJAELlu/y16JcX8FsP3jqv1NVDqVrLE7+akag7d5/vVcZtq4WZy+iWtzFq0X+jsgCkkkdTWRrl0kGsTxyjEgIOCK67S7S4j1xrg3PnxFCM7u9cj4ziX/hJJ9vBKLmtqaUmEY3ZRTUY5p9m7LVs2WoZhYx9FbAYVy0UQgjnuD94JsX6muh8G6Y19Y3W6TakYGPrW08OmipUlY2otZuC21zkeuKtSaxcWybioKGoTpbx20TEDcTniplhN1amMptkQ9T3rzJ04wlc5mrMpPrxuX+eLK+m3irFvOqsZIQ0ZPVe1M2JCdjIMjqalR4wvaumnBbxY0L/AGpcJJkP+dX4dYZ4T5g/EVmeUjSe1DxsqEr+QrV6GsZm1aXMcgyG5pNQnK2pcdQa52OV4HDcitSSQ3NqcdcZrkrSswlMv6bqIulK9CtaeOM1yvh1uLhm6hsYrphJ8lc8m73HGVxsuBVC+uhDbnB+Y9Klu7kRRM5PA6e9c7JK13MDnOT0Haop0pVZXYmxIrQXD7ievWrjWgiQbe1SQWkkcijnBrVe1R098V6nKlGwHMXF15LH27U+CQzAMafqmlzJKrp8wY1PHpHlW+WlYk/wrXNKLbIKl1c+YSpGEUdfWsZ7cNISOQe3pXRSaIssQVbhkOeQRVF9LmtJcN8ynowreOiDmRlppSlg+zn2FXbqFLe3RUGCeorbjCx2w3Dn6VhX7s1yFIPHapqVNLEykRiOWSWEBeFNdDdwQWunq5GZCQR9aqaWI2Ys3Gzkk1syxJewDaNxyCKVl7MqN3E4u4tmbVWBHMjiqt5blbx7ZFJZTXe/2WhZZGA3rVc6dFBLJcbA0h5JNKn7sQ5bGDAY9Es/tEyAzMOBVKC2v9ZkkvZT5US9GbsK2o9Plvr1pLxP3YPyj0rSvLJbmy+yqxjj77e4pWu7sVmcL5ay3RRpXmAOOW4rbtLGM/8ALFR+FatnotlYYMUW6T+81SXUcjW7rbkJIejY6Up2sSzPkigs/wB9IVXA4FUG1fSkHzK0shPIWqur2MiiMTSO6r970NVo1ihA8tFH1Gaqkk1qNFSMZNXYkyKqQKc1pwp8tO6IJY1qTAFKAMVHI22pc7hYU4puRmq5nIJppmOetHMMssMikRsdOtNSQMtAPNXFlouxyH1qwsxFU0+7UgPNZTZZoxyFhVmMdKowdq0YgOKhMzkWIxxVhagXpUgbit47CRLS5qIyAVDJcAVVyrlgyBe9ILpQcZrIub3HTis2S/IPBNArnWC4X1FL56/3hXIpqpHVqnTUS7Y3daznEdzpjcL/AHqBKp71gLNIeQamjuHDDPSuKegcxtbhS1Uim3KDVlWyK5ZsaZIKdmo80tZXLuPDCjdUdGahyYXH76N2e9MpygGnG7Fe4cmk2e1TKlOC1vGk2HKVitZ0wAmBPrW15eaq3FmJMnHNbxpslxFiIMYOe1PBFRxoYogD2qhd6gIeAcVnLDObBXRpGRR1qJr62BK+YM1zF/qkhQ7SRWOs00j8Mc11U8Jybg0zvo9QgGQxxVe7v447iJ0OQeDXIeZKGwzH86ny7rkk10qmhWZ0N5DHdSBs/L1qwscQiA44rJguCkAz1xUtvO80oXPGaOWwi7bQ+TcGXPyHqPWtYOIgHJzGe/pVMKCgHpU9nukZoShKetEFdlR1JjdQnHzjnpT/ADFC5zxVC40K4lnBt5lVR1Vqka2ngjEcpU4HY1q/cV2U0Nu9TEAAVc5qi+qtIjADBxTriASAgnpVeK0VQ249a55Vle6Zi5GVO5mkYN0Iq7q+ZLLSrwDnYYmP0p0tqgfIqd4xN4bnTGTby7x7A1pTq8w4u4WEwaALjJxSXMpQYC81FpLqrLu6Hitaa3jaZVIzWU7uQco23shJBFM/DFeaq3BZcojYVTmta5lW1sXfbnYvyisUKblDJI2yPHzkfyFaP3YlPYtJcQfZzJLN5SMApf0rVit4o7Rds3mgjO4nqK5jU7d7nSvLSMBQcKorRtIvIsIuGaRVxweBUOa5HE0hJKDLzbVO1AAParMU/lx4GK5qO+ZNWSIn7w5FasMslxOY4EyF+8xrzY06t/dMoSdy4ZS781YifgVCYcdaeg204KrCfvGquy2rZwKUnHNMB+Wo5Xwuc16l3KJd7BNMq9T1qLeGFcxf6s8+sLaxH5I+WNXl1IPJtUYCiuWUHch1NTSuJRCNxPJ6VmfaZBLvX1qtJdtcXOCTt9KZcyvIpjiGB3aqhSkK92a8WpNcN5MUQMvU88AVb+xK5DyuWYnOKzdDh+zQCRh88zdT6CtczDnnGKqpLlLVuo8RADA6U1oxtpY5Vk4Ug05mwcGuaXdjtEr+VimtDuqfINFRFpkOKIAnldaz9Ztzc6e21gPLPmc98A1pSox7VGwESbnOK0ceeLizmr0FVhKnLZnld+l1rHi55tRDziGJXtg2NjnHf8c1HPqMLEHLLITvHPA9q6/UrawgBeG6QY5KO2fpiuLurC01tJpdMuh9oRwWVsqAffjP4+1etgsxdGmo4iLS/m3Xz7GFDHzw0FTxkWrWXNun0u30+ZRvtUjdWMjNGDyS3audv9Z81SkX/fQqxeeHPEt3IXktC+4Yx5ycfT5qrx+DteaZUayCKW5czJhfc4JP6V6P9oYb/n5H70eh/aOEX/L2P/gS/wAzQh8AapqPgQeJrKWK5VWJmt1zvVB1Pv8ASvo7Q9QtYfCVhdErHbrbJk/3cCvLfA1nqfg+yuRHMbq2uMB4niJiWTvg9f5V2K6PqWohTqEwt7RTlIIsED6AcDgkZOTXDWzNSVsOnJ+ll97OWeaqorYSDm/RqPzbsJPqsupz3CaLpuxpv9ZOR8/T16L0Pfntg0x9Eax0/fFbx3V8fu7z8g/D0+tatzqenaHapDLLHBGuFRCeeaWbUURW2/Owxkema8+VGU5KdZ8z/BeiMo4BuXtcVLnn6aL0X67nL2ngu7mWa41K8C3kvCCJcLCnovvXUWWlwWemx2Z3TIgA3SdWxUd59rniAtpxFkdccim6Ta39rKqz3IuLcqS8jfe3dh9K6lF20O+DVrIW70bTLq4E11bRvJjGWqMaJomCgtIMHqARVLX9NtL7UP3zXquUAV4nwi1RsPCDRXEUr6hKyo2cbjzWPPra4OR0bafpTvCphj3xH91hsFT7VpFEcbcgfjWDLoED6hFdiSTzEfP3uCK2PJDtu6ChysNEV1pNlcD97Z28x9XQE1YEBCBQmFAxj2pS6qAAeaUTsB1o5lLcrQjjtViXaqqo9AOK5PxnpmoTxpLb2zXCqOidR+Fda5eQYVsGq1xfixjBnkwo6e9OM4w0QnY4PQtB8QRzrdXsv2e1QbktFb5nPoa6G21a/T5r+zaIM21FXmtGHUbe+LNCWIB5cjANaVrGpILAHHQEdKlxjVlaSJWoJEWQEjGR3qF12tWm7RqnJHFYp1K3nuWgjOXHWscRg6cVeBb0LkT8VNuyMCq0fTNWISPMAKlh6U8LpoxrUjmQr97jIzXkvivxJqEvia2sLc4s/PjWQ9z8wzXrmsXCrbs+MFVJrya6tDLeNcgbm3h/xFdrw0HexlWjeMorqmefeL4i/ie89Pk6/wC4tc48RVuleuXVnpuoXMf2yx/escbwdufqQQT0FcjrfheeyDyou633cOOSBnjPv+nNaYLFQhGOHqLlkkt7a9NDky/HQpxhhaycJpJa2s7aaO+uxyG3Apasy2ki571AUIHNeqme0Rk1p6Jqd5p+oxzWrlSvX0I9KzCOK6nwx4budTHm7SkH8UjdAKiq0lqRNqx2+F1HR77WdNjZrsw7DCn941jaL4NkjgS6126MSn5jFnn8a2b3XNM8D6ZHb2rl5p+Wx1PvWNeJqXiQRXlhcJ9jbmQzNtRPUk98VxJSb0OVas3pdesLS2jsbK2QW8b5LKOfc5qvqOgTX1210FLQKAS0j4VW9B+n51iWus6PZXcdpo9rNrV6TtFxMNsIP+wvU/U1a8Sau9prFtaguVMYcKDwCSRnHrxXFVpcuKjbdp3+VrHnVKcY5hTcd5Rd/la1/wATpdH0uCK0vJig4j2j6mtnwzpkKxXVw4XLgR5rnYNQn/4RSZ4oyzvMAQOuK39Pt528NxK1z5LF/MBHU+xrTkaep3vQ62C2t4UXy0VcDrU+9AeSBXnr3urWlxs84yREja47c966bxXcS22kWUVmUW6u5UiDueB6mnKCaGjdEyD+IU/zUPQ15trEfiDQIxcysLm3zgyW5zj6isaD4gSMzDz/ALn3h6VkqU38JoexiXtWTr9vNe6cbeHI8xgGI9O9crpHiu7uUE/kPLbZwWA/lXVrrViGRWnVXYZ2nqKyc6kHZjtcvW8Igt0iXhUUKKxZtZjn1AWtqnmsDh27Ctd7+GJhuYcjNc9ILexeVrJMSTMTn0zWslGpHUh6G4rKqFycKOpNVbq9nFsZ7OE3Cjrs6/lXKeK9fl0rRo0UkzOcn3FTacbrVmtprPUXtXeIMNv3T+Fc0cKou7Fdovr4jcW4MkbJJnlWGDVOTxRIMnZTvGDNZtZLdsJHkXaJ1GMt6EVzLTW0TgXdwsSZ5PU/hWVbBVPaLl2MpKTeg7VtWu9Zulhs+bhOsZB+b3BqvKty1uyXEzQ21uMBupDd2P1PArpNR8QaVY6NGiTG1hKHayx5kk+nua4mNbjWU3R3UcaE7lt5H5+p9TXsUafs4qJ0046amx4Iu59Q1r7LJNJ5XlMQQehHSrmtva3GpvZ30hN0ijbOvUjtkUvg3Qr3TPEa3E8aeU0Zy6NkZqzfWCTa3NeGPc0hCDPbFFaUIO6IqSSZzV9aSxQLDtZ4xk+YgyCfeuz8I6Y+maXHPM+XuhuK+gosfDuLi4ka4by3A8sdcHvWjJcyxkWj+WjpgK/8JH9KylirqyJ9rcdFdI1tJnpExWqdvduJ1V2LZ71cfTmgsJXTks24gVTW2eO2a4g2yyqM7Qece1cFROcjGV2xNYcREE9x1rLiuhjrVi/Zr3TPNwd6feBrCimKgDFdmH2sNI6izHnuMHk1vx2CeUAQMnmuQ0a/C3a7jha7mKUMgI6GtJGiRn3GlxMMgAH0qBbUxIVHTFbRIxVSTrXDXlYUonNxxvayygZUOc1ft9QmlcRkcAYq7JEjdRTY40VshBmuX28b2IjcnGnC9wJnIT0zVmPTbG0XEaDdTYX+U80kjY5zXbDERjDQ3Ww4qvYUAZqu1wqA/MKbBfJKxWP5yODis44hyYrloqD1ANUpwQ+E4qSczBSSCCO1Yd/qNzaTKxTcnetJVZLdGczT2svJ60p/eJtI4qvYahFfgqpww7Gi+nnj/wBHs4DJcMvU8Ba0UuaN0ZJXM1rwW5YSkMQeFrIkkkvtTPlrudzwKSWyvLfzGviiN/dU5NW/DUTNPLMw46Ams5SS3Dqa7WEJjhglZl2nc204z9a0k1CFB5FuOF4JqncLu68ZptvaiBSe571yzqy6GkZNGsk+4cmpOHHtWWr7Wq1BPnirw+K15ZFc1ywYh2GKhdcVZ3ArmoH613yStdFMgYVC3FSue1Z17dmBfljZz7VyTu9jKRm6vDPcDgnyx2Heubu5/szbCrbvTFdIdSOHWVCgKnn0rBFtHexfaLi6IYk4ypPFXQ5upUY6DrdMYrRiXioYISBV+NAAKhzJVhBHxUE6HmtEBQKqzgHNSncbMiRCKhZsVdmFZtw+zvXRFEosRz44zVhJORWKJyGq1Fc1pJFXNwPhOKRJRvANUFuvloE37wGsZoLnQQsBirSThcc1ix3mF6043maiKdyWjeW8ApTeL681z5uznrTGuzn71dEUFjckvh2NU5b3g81mGckdahMzGrSGWZ7otnmqTyMalVGepVtSw6VpYRmsW65qe1nKSAk1ZazYDpVc2xV+lTJJoZ0lhLHIADjNXWhU9K5mF3iII7Vr2uoZ4brXnVabTJaNKMFKto1UklVu9WYzkVyTiEdywDS5pi0/FY8jNRSfekzTTmkOcHjJqo0mxXH5FTIKzGmKuN5xWlbnzIwwORXTToO44lpVpwSkTmpQK9CNJWNBhSkK8VLxUbECjkSAqXACIzVxV88lzqXlJ93PJrsr8loSFrlIYZYrx3K5yc81UeVMTtcTUrE28XzDriqsVupPC1v6qBdwnjaSo/OksLHfhXTLDnirckxNlCPT1cZYUj2yxxOB/COK6RbSPaAuKy72ER+YM8txWE276Eu5m9go9KmtAYm3d6iiQs2O+Kt267pVj9e9aXuiNzVsw92cbcKOprbV4rSLccKF71Wt0S3gVF445rO1OYyjywcqOtVBWLjoaaX8V2GCNz0xnmsuVnsCUndmiY/K57fWqEYZHBUlSO4rbUJdWu2UBsjkGpqx9ouVg22ik5HI6msy4ndWIFRxLcadqT2MxZofvW7n07rVp0WR1P8AC1eM4SpT5Hsc73KP2sqcMeK09MxObu2B4nhOPqKpalYk26NCOO9W9At5o50mkyNv616VGKRpTiZFikiXsSMf4tpFdXFGXumP9yoLnS0GpCZBhQ27FaFjGzNO5/ibitLe8bxiVtSj82zMeeWIrH3CaRIo+I14Uf1ro7iHfGU9ayzbxWxz3qKzsiJxsOkCJbqgHOaljmSJjHkdMmkhIwJHXAB70y+g3JIIF5ccsKximlzEqLsc0Y1uNdVlfALYBFd5bW8dtCFjGBjk+tcrb6S1s8UnU7ua6RpCFwDWsKiQ4JIe+CTQi96iR1H3jUjTxopYthRySarSTuzTmRLjArlvEvii306CSOFg8+MYHapNS8V28JaGL53IwCDXBvZNd37O743nJBNU9EZyloX9AE9zI0ztl5m5J7Ve1LUlsSYYwC3QmolH2OLET4PbFUfIkv7oLKmRnkisItt6mCu2a2iGa+u0QcljyT2Fdi+mxxuqtzkc1U0LT00+EOi4cjkmptYmuDAwhXLtxmtnVikdK0RUvb7EogtF3MBtGKe9vcRaaAzFpnYMwHak0uxe2xJJgyMOT6VsxqG61jTnGUtRJNmLbPcRsGAIHpV5JpHOXq5JCpHAFV/J2k0q0bg00LvGKcJMHBNQstUL6VoPKkz/ABYNca0ZPMzV84r/APXpJDDcRNHKPlYYNQx5kUN6jNQ3l3BaIfNlVT2Gea6qftE9g5jh73wFpGp3kgsdb5ByYi2Tn0rnLv4aeI7PW4xZs7Q9riJyCv1IrqdS06yu5m1aOJ4/I5YoxGa3vDfiCa7gIm+XnCqx5I9a9RVuWnqjTm92zOd1PwPqZWCddSu0SKMB445mBcjvwa4281XUYd8NslwnlnaWkYux/M17xHcxyYzzVdtF097hrjyIzITkkjrWMcTTl9lfcR7Oj/KvuRy3h6LUNd0C1hvrdbKxhGWYH552Hcmp9b8c22mhbPTwZ2jTLMB8qgVF4yvb4wR6VZ2kgWZ1DSIMADNPtPCSL4tjvM7bGC1VBGRkStjnNaxm5u7OhTM/xHb6d4xvPDup6fOXuUYNcJGCV2DnntnNNtZNRS+nnU7omlJljPXHavQ4Y4baMJBBHEg6CNQBWNqmhwXrPJDI1tO3/LROn41VeScbImpPmVixHdROkXJww5HpWghCREDoaxtPs4rCIQXF2JpupY+lX7WdLiIyRnMecBvWuNVJJe8YR0HNGZeQTxTkBRsdqPtCgEKKZ5mevWuCpKEZcyK0LG/2oL8YBqv5hpwY4pfWG3oO5J1p4JNRrzUyrx0rog20WgHHIqOaKOcfvUDY9amIwKaRSbaHYpGyhygUbVU52joauKdq4FNIpd3FJ1GJWGyksMbutYaaNJFqQuY5flOSQa2mOaZnmpVVomTJoRwAarapBd5t3s5zG6vlh2IqcNjoacz7utawmkUpaGT4gaaXT9isSxPauWjhZflkU89DXdlQ4IZQfrVWTToXYNt5FbwrWJdzFsNI0i5hZdRtXdj0OcDFT3HhnT0s5TpUkomUZSGaTKE/3QT92ttIURdoAx9KHtoZUKPGCp6iqnUhVjy1FdGdajTrw5Ksbo8hvLDSkvmhvdGuIJsgEFSo/AK38qoX/giGbEljL5av82yUHgc9D19Bgj8a9p+xW4RY2QSKpyvmDdt+hqCWwtIZDeOkjlOQo5A/CpUZxs6VRr11X4nH9TqU2nQqyXr7y+5nkGleBLK3vI5tQlaZF5MaDg/nXS6m32y0ey08w2wjwPLQ8r9SOentXRau+hNAJr+CUM3zCEn5m98dhWRH4o0XY9jbabIkSDewQbd2PU96twxU96n4f8EJUcbL/l9/5Kv8/wDI56TwrZXl59r1HddGJFSG3J2xn1LHr+ArYGmwyNEomnkXaIlskiCQqPQAE5Nb3hSaw16Jni0VraJWyHccN712LRiNAAMAdqJLExWtT8P+CNUMa/8Al8l/27/wTxSTw7ZeGb6fWbhZ0CKTHDsI2kjBwO5OcegyfqOUs9P1LxJrklxBbyuZJC3zHcEHYZ9hx+FfQ95bwXHyzQpIPRhmqNpFDb3jxQWYhTH31GAazoV1Gbm5c0npfy7GuHoOlUlVnJyk9Lu23ZJHI6fp11YaSsM0LK4Y5Apnkaj5kciSGONDyrdCPSu2vrxLT7yF3IyABWQ+ofbYTHPZ4Q9x1rRynM2lqczquqNNDJbQKQHG0nFS2l/KbK3tZ1kmWFdsYfmrs+nWEZ3wzkH+646VBHLHbglT+8HKketJRstSNUP+1XdvDKLbKtjPlueCfQisIafpviCL7Td2H2C6Z9r+XwJK6G+1CK+eOUxiOQLhyP4jVCZUKEKxPPes/eT0ZXO0WZtUi0hI9OVQqW4+UKOPqayZpDe3MN63yxq+9uetTppsdxkzMcHr71BrljLIkdpaJtjVcnHeqjG7vJmkZ3L154hMktvLv4LY+vtXR27I4Dt1xnFef6rpd0NOtBGuXRgTjtXYaTNLJbRiVfm28mt+VKOg2zk/iB5n2qGYsTG3yqD0q94Unn/sWBXDRuhaPdj15BFdBqWkwXixyXYDRIPun19avaZZxygwiJBAoBicHqa0qe/St1ByXLY5O9mudd0oxSuzzRHA/wB5TXHQadcX3jE2eWOHDsMZxx0r0bTrM2/ie/ttuTvWdR6jvWZ4jvIvBsl9PZrGdW1RyBIwyIovQVrh5SejKpSbOQ1i9D6m8PSG3YxYznPqazbm3aIJNCdwB6g8inW9rLeEjJLltzN65rp1ttN0lVt7tgZXTc0fXaK7lZI61Ys/DzUrp/EC2rzu0RjZtpPFbc3iGKz1FoZ9pAZsA+nrVDwldaNDrfnRcN5Z6CjUTo91dTzdXU8/SuOrRjUlYwnTUmdFqGuJa6VHLbK0gmIAKn7o9arWlz/aESzyNhlkAOf4q5SLU7O1YJFIWg6YPIrq9AmtrjT3gU5Zcuh71zSwsYEuhY1DNPFAEcl4ZwdwB5VaZZWpsmVlkMkfY91rL12UwXkSpIQRCPlzUmgG9vLgpG3yAclulRPD6XiZyhqa91BGJ/MUDZOPmHbNc3e6Y8U77Rwa7Q6VLNC6ycSoNylemKmj0WxvYAJ5GWX1BqKMJRl7wlTZwFnZ3AuFCLxnrXa2jyRQKsmcird34es7OOKW0LblOGy2c+9IoVAd2GNa1nGL3KceUdG7y9Bx6mmzMF6fN9KYnmyy5dvk7KOKvLACoworndONVBytoyy2TTlGTVia0If5adHBjrXn/U3zEKm0yEHbSoj3JZY1JI61ZMQx0pmWhDGMlSfSuyGHjH4irGdPBEYXeRtoBIyT3p3h57cWxVRl1YljTbyJ5bF4goLM+7LdvWs+wkntoLhACp3nAxWsZU4u6EmkdLcOkh4x6Vw1xrEGo6nd2aIcwA5OepHpXSxOzopZucc1ztnoP2bX7u5YblkBwR71cqtOW4SlFop6fO1verIg6jpWlLqs/nKNu0Z5amNp7Q3CMq/xc1buLKPfuUEk/pS9pTS0FFxSKV4RczEsd2a09MiRIQEHy1mSQuvAHNamkpMkbCThR0rlac2ZJXdye4Ayu44UdTT0dJEypyO1YWragt1K1vDJ+6T77DufSptGlxCxJO0dM0qtP3dDVWNGUYNV3ufJGSeKHuVkJANZuoP8uK85RakYylZnQ2t2JEBzwamkbIyK5nT7wIoBcfStyK4WVMhga9SlVbjZlqV0DNz1qjqOnT3UW6GbZ61alZRknpUUF9DJIYVZtw7EcU4S94a1OSazuRNJlZmjAK7z3Na+lWKRW5EwKhR3FdOIFaIKPlqBniRzFKmDj8DXU00aao5feqjrSC6A6VjNfD1pn27J615/LI5eY3/tWaY8washLzPepluM96pRY0yaUk5rLulYtV9nyKryLv4xXRB2LRlEENU8YIFWWtsdRULLsNa3uFyVGxiplaqW7FSRyHNS4gi+HwKQyn1qENxTGbmko6mliwZvem+aS1V6ljXmtlYTLSEkVZigLN0psEe4jiti0hHcUXIGW9n6itOKyUjoKsQwgAcVaRR6U7jKJsFPaqtxpikHaK28UhUHrUtsdjkZrVoeMVWXesgNdXc2iyg8VkmwKy4xWFR6EsS2mOAGrYgPA5qklnheanUGLArz5zVyC+p5p/aq8b5HWpg3HWklc2THgZqURjFV45l3Y3DNXIyD3rspUxpXKF3poucckeuKuW8P2a28sc46VYGKXiuxRSNErDYSwQZ61NvqPIqNmx3qKk+VAStJioJJajd2681GWLV59TETbJbBpN4Iqq1su7IAqdSNxFOrnlWmZ3uQ+QpHPNWLceQ7OvdcUDkU4Ajmo9vURSQQJtlZume1VtTs2dd6cnPIq2GxzStLlSPUV2UsVfctNWOdtgkdwrN3U5FaNjEjSeYBwOlUdQgKy7k44xV6wnVYCCQNo5rrhNMyvqaysOc1kXrJ55w2BTzeeacxvWNdyMXY/eOegrdXehe50VlZxyRiQnNJqRNpYzPE211+7j1rnrXxBLYoYymR6HtUF9rsmoQzYBAUjIHeuiFKy1L5NDa0vUYtesVaRNk8Rw3sfWnTQmGXHVScj2rktMuX0+6jkyQCcuvqK9A8pbiANjgjIrzcVTUmYyjcowfMCh6Z6VfiAXGKzQfKuNnbOK014ArmhzJjgyyyhlB71PAoWPpUMbDbiplcBcV2wfU6o2Bk5zVZrNZpBwOfWrW4GnBtq8VTgpA4pkU0EaRCML9arsgVQBU7t1NVpJQo5NZSVtCZJEcpAX6UqjeM1A8okmVFB96laTyx83QVEI3ZjsNmSGBDNKeB71zHiC+MltuSQgHogrR1K7FyrAfcFcxOxu51Tt0rpjTIZkWdlLc3287sZqS7tpreSWSMnPYV3Oi6VHGm8pnirE2gwSEkjqc0SaWgctzziFbuaMMzMpHY11Hhq1meXdIQQPatZ9AjQAIOta+nWUdnDjA3GsJSQlDUtKAFAHSkYA06kJFc05I2toNCjPSpUFMFSqOKilHW40SgAg8VFJHntUqDINPI4r0oxUkU1cz3j2jcSAPU1jalq+n2wCt+/cH7qitu+szcwlQefrWFH4Zbzi0pGPahU4Q6GMo6lU32qahF+4VbWI8D1qG206MSneWuJ+7t0Fb8lsbeAJFHn8KZHG0ab3AX2AqZVGuhFmZE1rc2NwLqNwqYw8Z6MKz4oory9ml05mZ0A82EdFzV7VI769lh+zKHV8qV9DWtouixaSHk2qJ5R85Xp/8AXrSFVONmXEjF5BpdsiSyGW4YZWNfvU7+0jv4DZ9KtSw2cUrXDxpvxyx61SlvrYHdGgJNcNWyfuozloaem3c1xGxnXgHjirT3NvnCld3oK5ee5nuExFKyY7Cq9oJoZ1lkYgBhknniuqjNuJUZM7Mhmj3IKxNRkuodxZjtz2Fa51FY1UQxvKx7gYFRvf2dyWt5ivmY+Ydh+NXWp+0jZM1nC6OcguoHc+YFYtwxPetdZcgBAFQDgAYAqvLoNsGEsTHbnOAasiPbwo4ryXSqw0buc3LJMWnRnefl7GonbyhuYH8qs2a5QsEK7j3pU8LKTuy0mOEeDT9oqTBzyKawwK7HRUEXYcgqYVWD4pwl7URnYuLJjTTTfMzSZpSlcq4NTM0pNNJrKRIHpUZ604tgUwms3YhjgeKeDUWaUGqi7AiXNFR5NGTWtx3H5pRTAeacKqK1AcRmnpxyOtMzSg4rdOwzF1Tw7bajK83mMkr/AHj1yaxovA4hSXbdr5koxvx0Fdk1Z99evaFCLdpVb+72NbQqMRo6Jp66XpqWyOW2jknvViZuahsbsXFurY2k9VPapH5OaxxdRuNkarYqyLk5NZOrXElukXltgM3PNa8nWs7UbWC7Eahd1wp+X0UV5+GptVNTOSK9gWumLyHcuMc1W1GeCDIjwCK1o7IW1rsQkt3PvXP3Gg3N1cMzPtBr1nWjBEWMO5vFYsWbIqhLdoQoTJyeorp28IrJEUeXk9cUsPhGOLA8zI+lc7xULmdmZk1kZNBW/h5aI4kHtWVBMJJNob869G03TYLazms3G6OUEHNcRJ4OvIr2QRyfug/yH2o9vTfUuUFYjVnWURnqTwKV7oCU7256Gte28PzLdRvLyEXrmsK8068tS5W2ZjvJy1VGcJbMlI0Y51kA6Y9DWvp8sYZRxtrEtNLvbm1DhMOfwro9P0g2iLvOeOnvUyqqHUEmXZrZLmMqR8rVztrLcaLfzQTKzWvVMdhXU7lji+Y4HrWfeLb3MajcDIpwCO4rajU5y7DdNtILrVo9UgutzLGUkiPUg1xfxF0ie98VWjxjKyWu0c88HoPeu8trC2tZUmywcelGtaXpmuxqkk7RTxqRHIpwy12U5KLNKbseWaRHFDqsFjGNzg7pT/dA9areI7G5g8RXJvVCu+HTB4KHoRXYHwy+jwXtzNcRzXksflRXK8eYD/eHqK3rvwLHr1lp8lxcbJ4LcROy8hvSt/b2OiLbOE8JacgummcZLq2wew6mspbryrqcsBsLk8122m6VdaVr13bSRHyre2dY3I4b3rGi8IT3sPnyL5cTfMc9TS9rG92LmtLU5Wa18qaQLyCdy49K7Dw3cR6dbtPLnzG6KBz9K0tJ8KyApJdosNvGflL8u49K23t9NN4rpbKZBwp7flXNisZG3KhyxEUZV2w1AveTQ4ZlCx5/rWn4UilsklMyEZORV4WUUiqCOnYVZVdi4HQVyutJR0OaU22XxfMkxfjBG0j2qoZQDwajILdiaaYmEoljOP7ynvXLVVWavcOdsmadz1z+NMDbmOaSV96ZxyPSqsczrP5bRtjH3jXM6dS+rIu7mkgwBVyOXC1RRwRS7vSuuEpQjoaxZddlYVGSB3quHPegtmtlNtXL5kSmTFQvJmmuwxVeWTFcs6zM5SQ9zVdwM9KaJSxxRk55rmc5N6GLdxwGOlLg+tAbiobm7jtkBc1S5hbEjLnmmEZqsmpwueGqOe/ULlOTVKLJui35aHqKh1a5+z6VMsRw5XauKrQXE0gyRxUotllcPJzjoDW8ZxiOLOIiXUM+SkRJ6kkda0VnvYIxHMQMdAK64wxKp2qAx71U/s+DeXZdze9X7aLdhsy7BppX3EYX3p2ofKK0JAkClugrIv5fNcKtc1Sn79yGjPRi0uyJGdvQV1GkW5hQ+c2HbovpVTTLaG2XeCDIe5q9uzIH70OvGGhSdjF1fVbi2vXQDCKcDFOsdd8uVTJEGFW7uxjuJWkdeT1qsmmxqemKX1mO5PNY6u2uor+IMjYPpUd3bx3MTQTjDEYVh2NY1oWs5AydO4roGkjuoAeMjkV6OGxMaq5WbwlzI8NkvGXvSxXbEdTVBg0jcVZhhYL0rTkRlyl9Lo561diuScc1RhhGOavQRDb0o5EXyotifgVLCwd+apPgVNbyYNJ00gsaUm3ZWZMRuIq9LJiPPtWLdT7WNEYk2JTQrAGqX2rPenCfNXyso0hIMdaC4qis9OE2e9S42BMvx/MatxKMCs6GSrscgx1qG7CeprWoGa27RQa5yGbGDW1Zzjg5pcxJuKMACpl6VWikBUc1KG7VXPYtE1ITUe+ms+BmodQY4mqlywQhu9PFwpYCqt82UyKVuZEEiXCOcZp7EEda5/zWWbOTVuK8PQ1w1qLTIkakb4apnkHltj0rPjnB6GpjJuQrWULphGRlpcXBuZACetB1K5hdlVzx71rWduiuzMAePSkNjFLJJhQMnrXpU6qUdTpi1YgsfEMy/LNGWX1FbCapHJyuarQadDEMBeferaW8Y/hFHt0NB9uy2ApNTB9wzikEag8CpAtY1KykMa2ShAHNUGNyN2AMdq0GGFqB5AqkmsIq7JaKEc8iyOZByBkij+0EYg5xzUdyJQkzIPvLjise3tps7WDV1rDpk8p0Uep2+SpccVcinimA2OpJ6DPWuNa38m72v0J61v22nCcpcbypXhdval9WiVY0XYI2DxTTIvrVa4E7ny3Hf5XHeiKx5zIxJ+tYTw8YsloZdOjnFUpEc2lwEOGfAGK2TbxBeEGfWojCq9BVU5JMm2pnWFs9vAPM546021hQ3hBOQT3qa/c+UyoCGFZdu0qzDJOSa7btaobdjT1HS42k3rhsjBxWfaaI9otwZPmVyCvtW1HbzEhvvCrMjiIAOQBjoamdeokP2hzBt0GoPIVDbQMDHFbUepPFEibSFPGPSp1jt3fzUUEnqRUpjjYcoK4JYm+khxkkiNo0kZHU/MTzWslq7IGxWeqKCNo6VoC4faAOlVTlzDp8vUa4MRAzzTlJwOaaVLtuNKcit1Fml9SZTxSluKYrDbzTXbjit07IfMMnl2qarofMUkipXXcOaFXHHapauZOTAKAOgqncxvKwXPydzV01VuGdVOxcmhRsTIwdUUxRlFPXvVCztwGDMPmJrUuLG6uHyRx71La6bIs6FwMelbc2hCN20j2QKvtVoLkVGnAAFTKaxtdm0SIxCkK4qYmmE1lUih6ERpAKeR1pM1hyXYC9qeDUeaM4prQCwjVJmqwenB/eumNQq46RiOlViz561MxyKhalKbuRIXexGMcUpCuMMBUe/FIXJFLnTJuKkSIW8tQpPXHelaIkg7qpzJdzuFibYgPLE1nXGlXsc2YrwtH1+Y4xVwimI0r7SUv4vLa4dB32nrUA8O26qoWV8KfWo7e+trKMpdylm9c1ciEF7bu9jPiQ9AT0rf2cGHKiY2MDQ+XsHTGR1pYNPhgTG3d/vc1zt5B4ggdmkmHkj+Jagh8SPANhlaRgccilyJAtDsJY2aPCNt4xxWSNGVNwDYBPJ7mtCznkuLdJcghhUzMehoZTuVLe38hNisSPerqIgXnApimkcFhweaiMVuwSRI0AY5B4pxMcSje4X61FH5kYzuzTZTBcDZPH+NXoPQk8+DJHmpn0zTmUMpGevpWbNosEhEsbFtvQA9KqSvdRzxnzmUL1X1qJwuM2PKK+9MKMJFOeO9NtLvzwwPDCpnNc0oWENzg4zS5pvHWk7VkwuKWxTC3NITTGasJuxDYpNJnmkUg06sVIQZoGaAOakC5FbRVwSGZpQaU0zNXzJDJB1p3aog1PBrWErgOGaUUD0pK2sUhxproHQqRRmg5pNtAyvYWv2NnxIW3HJzWgW4qiXIOanWTcuTUSlcIyGzttUmoLXy2kJCnJPJNSyENxTVG3gUoSSYnuWn6cVW4qRQWFRzxShC0TDd2BrGspTd0Ow4KKUqKzoLu63FZ4trA9ulXROCOaz5Yr4hXQjH94FHU0uoxfZrJrjsoyaaXUtu71aWdZYGicAgjoa6KcKDQ42e5iW1950nlbQJMZG7gEVOo+0qVljAIOCOtM1bSlvbYrEfLk4AYdhU1haG1tljLFiB1Jqp0qcfhFKKWxNFbpGoCrgelSleKeDxQaxlTuNIp3Nv58Lxk4z3qhaaGsEgkMjE/WtckCkDCilUdN2DQbtwRwDUF5aLcxYAAb1FWN1SIAVrrhXbYHG6pps8VkEG5sMSWznFLomtvYRYubpiE4WId66y5gSWIow4IrkLvw5MbwragsDyWPQV0+3j1NI1GjotZ8T2MWnrLCBNO/ypGBySe1Vo9VS0tY2v8ACzSDKxJ1WsVtLn0sCQSxeYBwzDOPpUVqouZm+1FSSfv55NLmUiZyuzSvdahvEXy4nEiHgntUImWMCRlbJ5AA5rctbC0WFQkY6dSOatpbW8bb1jG/GM1jKKvcz5blSAySxQuqlOPmBHWroTipFQHmnlRis5N3L5CtI+1SFHzVAjbVPmPlvQdqsOgYkVUktsPuVufeiVSVtCWrE0EqMDgd6JQDzVeFDEuM9TmpGkURyOxyEUsaxi3J2EN80Rgsx4FSrPGygg8Vxk3iG5vZktrSLLyMccdF9a0/tZEIyQCODW93BWDVHRiRT0pGkHasC3u2kYKprQHm7c9a2Unyidyy8lIEDjJFZF19pY/LkHNacErRWYMv3q5KkHuxohkiKzKV6d6uC33KGqn9oErVL5jgYDHFYKooiTSYs7iOM7RkjtXK6m007/OSFBrpTknJrI1IeWd4XJraM1Ih6soadamT7rH8a1LiOGwtjLJyegFU7W6eLEhTp1AFR3Vy2szIEjZYkPT1NbKDaFymrauJog+Me1TPII1J9KgsbeWNSXPHYUt6jyQlI/vHpXJOPvajUSCfU40bbuG70qNNTUSFZPTORVSHQpXl8yd81U1G1FtcLGSQH71cIQcrI0SRcnvf7QnWGBTjuaqagy26YVst3NF5qMGj2OIhlyPvVhi8luoi5HJ5Fds6KihWRr2eosgJY8AdPWltdbnuL5VfbDED39K5tr+5VtgiXr97FVZZLq6ug4VywwAAKz+rRe41FHf6pqy2ojMY3I/c0/Tr+O+DIp/eDnFZWo2l5d6JayLF86jDDHNZNmt7YXkdwNysvUEcEVk8HB6IzlFHahwD1FWIrhkXAIxXD6prF1Ddi5jGEc5K+ldDot5/aduZOhHUelc08NUpO8SFdPQ4C2twRwOaszRLGuRUUNxHEnLc1HLcCRuDxXrtNsuN2yWNzwBV9t0cS56kVmxfeGK10xJtB6CtIxNbFVg5GaSIsrZNajRpt7VWKKM8VMiR73IMIya5+9n+c1ruEUE45rEvU3MSo70U7Nj0I4nL81MSyjNP0+DeAMVfntdkTfL2reyAzBcHpmpop9xrPc7W6U+GT5qlxBRNuKXirKS1lxyYxzVhZelZSiHKa0U/vWjb3e2sGJicEVZV2FS4icTr7O83Ac1opcfL1rkbO6ZcccVsWlwHkUMeO9c800TY2xOO5qG4uAq9ailAflDxVCYscjdnFKMWx2ElusSZzViOcTQ4JyayZs062mMb10wgCJJ02sT2BqjJd+W/WtG5dXQkd65263iTg5pzo3E43NiC/wA98VoRXuRya5aAuDk1oRTkcGuadBIzcTq7W5Vu/NXEkGc1zVtKxIIrZikbAzXHUvEd2jTV6lV6opKMVYR+KhO5rGVyzvqRDkVWPzYp6nGB2quU1LBXIqH7OjN83TvUgbIoJqozsxiLDGpPFIbePfuCDNNMmDUiPuHFdtOumK5zV7aSSai3ynaGGK2rWOSJQGYH6VZZFY5IppGKyrVn0ELtB5NKBimGQL1IqF5xtO05Nc6U5sRM7hRyRVV5snA61WDvNNhj07VIqFZST0rojR5dSGEsUj5IQHNLFEjsvmQ7XXvVlGAHWmyTbOQNxrpjKyJZVvruS3G2PisordX8wDvgetbcyi4VNyCmCLEjYGB2rKcgSKtmZIbkW6nKAcnFWprxImKk9KekQUkjrVG4gAlLtzzWLoqWps4rlL1rexzOF5HpmteJQeprmYw73Ct0Uelb9vOCo5FaU4xiTHQukAdqjbrSGTI7Uxn5rVtGlxc0UwNS5qbkXF6UZpM8U3NNOwmOzSZ9qbmjNXcTAjNKkY3ZoFSCmCQ9OtSZqNTinZoaNLATxTSaUmmE1zzQhc0lJmkzWQDqKZuo3UCuPzSZ96bmm5pBcl3U2m54FAoV2K4pFMNOzmjGRWqiDRQvLxrdD5aFmrBuJ9QvGO99i+grppoN47ZrPks5GOAoFbw0RGpjxaaiqJpSXXPJNSyRrE+bVynoV6itSPTSy7ZpCVHRRR/Z6xD5ST9atOwxmn6lfRKEuU8+Pu1aa6fpl8/nLAgfvgVUgs3dsBjj0rUgt1gXC1fOXEnigSCPagAUelNcDGaXdjvTXbIpSkmimRE0DmgDJqRVrNEiDIoIBHIp+KNtXYaQxf3f3OKSVY5lxIgz608rTT0obGUxbNC4ZORUzFjz3qQ1GRWM9SCKFWQcknJqcUync1g0A1qhapWqJhXNVg2Qxp4pN3NL+NNYcVycrJuyaI5qwelU4mIOKnyTXZS+EuLBsnIFRkEVIvB5qRhlaUqN9QK2TUik1GQQacjU6bs9RImBxS5puRtpM812XLHZ5pW6Uwfepx6VnJ3H0KhnUzGLvU0Z4qNo1D7gOaeueKmxHUlAyeBzQQRSI3ze1SyDK8VpGmWNV8ZFDyYWoX3AHHWq0s7KhwMt6U6kG1oLmJZG3NkUztwaz2u5oLKW5lQ4LhIxjqa1oImkjViMEjJBrhlh6jZLiQHOKVHKmp5IdoOarHg81zShOnLUT0LqNuFOxVeJxjrVgGu6m7otO6DGKY7EVJmoZjtUnNXNNRBkLPmmb+aYW9TTC3PWvM5pORm5WLAcetSpLxxVINCMmWQgegpx1CzijLDcQK76dN2NEy081R7iR1rJuNYtb2LbHHMsoOU9DWJ/bmqTz+Xs2AnGFFW6L3uS5HUz28E/MgyfrWfc2It9pgiGT3NNsY5Zpz5jMVXrWyxTgD9a1pyS0C4WSMIV3dcVb2ioI2AGKmLZFb3RUWSKVxxSsflqvuwacXyOawnJGlyNzhqb1pHPzU3cPWhNWIkxGAqnqEcjWbrCOWHzfSrTNTfOCDmsItxlclM5Szij03TLnUWIWV2EaBhzjuBUs1u96GeySRTj7rdq6KZrWeJUkjRgrblBHQ05dpGVxz6V1uoplNpmDpltNbyAXAAf0rpkxsGRWc9qTP5pY5q2shCDipdVRJQr7FOcD8arTOJFxUF9M6nKjNVLe5kdiGWuOrVlJkSlYuxoqnNSk1XEtOEy5GTWS1JUicVXuLdZV+arCMDjmkcjnFbU7IoomHbbtFGnUUlnYTxRKuQATkgDpV1Dg1bQ/LXoRkuUcdRvlhVxiomQA9KsMeKifoa8mvO8tDWxXkbANYOqxm4YFBkjoa2rjpis24ZIoy7mlRupXRhKVmYcdhub/SyrJ6GpjFZQofLAI9BWXf6uA5Rcn2qOxM0su7BVfSvR95q7LiPknRpx5dvkj2ra0pZWcSGCNV91qpJJFE2WUAnvTZdbNrtRRlfUUqkpcvuilJnUtOCvQflVaWNZRhlH5VBZXSXVl5yNuA61KkqsAQa8/wBrUjIycmVLnS4ZYiu3P9Kl0KxSyEoBwCas7xjrVYysjcHivTpYuMo+8CkeTiQmp4XyaebJx2pot3U9K6+ZGkNC7Eehqyt0Yx1rPBZBVeWcjHWiLuzRs2ft5Pc0q3DSEYrHimB4rRtSDSloiEaKWU84+XpWdc2ckTlXXnNbljK0a9eB0qS623J5UZrJSsOxj6fFsbkVpXMYePgdqiKCJgMVOZAUx7U3WYXOau7bDniqarsat+4h3tmqElvz0reNTQqMhkeSKuRQsahgiywGOBW1aQZGcUNo2Woy3tyAKvC3yBxVuC3wvSrsVuO44qWwktChBaucBQa0beydDuY4xVyBFjOQKtEgis7XMGikpcEjnFOEJbrVggCkzzxW0YJIkoT22DjFV/sxHI61tMm4c1F5C1a0GZDq4XFZ8lsS/Iro3txzVZ7YA1TYXMdLbA6U8QHI4rTWFRT/ACh1xXPMVhbC25BNbKxjFULUbWrQ3gV5leLbJcRpTB4NTxMeBjmoAxZuTgVbtwvUdayp0nccY6kwBHWnU7A29aYGrZwaZsPBxSluKZmmlqlwuAjNk8VJG3FQFqFfFOMWiL6lssAKhklCrk1E0nvULr5h68VooXYcxTmmkklyD8tSW5O4KfWpHhRR8vWiNQpyetdCikS2TRxBGLHqaccFs0wv6UoORVS2JbHAc0/bnrSLTxWQIXHFIVBOaU0wnGeaDRICcBjVGY7zU0knBGarlgDTSNB4wqgd6mjlKcCqhfmnB/ehomxrRykipg2azoJugNXEYHGKkLktOzimZppOKLiH7vekJqIuRRuzS5iWyTdS5zUO6nq2TVpiuSipAaiBpwNbotMlBpQai3Uu6hl3Hk00mkLUwtWUxXF3c0hao2ak35rC6IbJN1JuqPdSbqNBXJs0ZqLNKDRYVyTNOBqOnjpVxiMfnjilplL2rSwxy0pVT2ptLmmhiMg7Uwp2NS0YoCwRBUHFOMhNNxS4p3ZQA0HNFFFhABUg6UwU8ZrSKKQ6ikoqhgaYacxqMms5MBrGozTmNNzzXPKRDDNLmijipvcQ0io3U9hUtJUNXEV9relAznmrGBSYHWsuUnlGhRmpKbmkzUc3LsVYf0oDc4qEsRSeZg5qozkwuWMZ7VE64OaQz4FBJetZQAepG2gEZqjeacbrDJK0bjuDViztfssQUsWPqa6IxvEEWRjNIx5oqJm5rnn7rK6Dz6UoWmKaeSAOelVBX1JHYAwaXzFx1rNu9QEQIXqaqQXUjtyCa25rInmNl2ABOapO0bSg7hQ/mSx7V4z1quLQxglm5q4yBSuLfXUMSRiRd6o24AetMg8RebMI1hPPcdqr3VlNdRlInVfcmqFlB/Z10TPMrEVU5NLQq51zS7kBb0qhJKrN8pzWbNrXmuY4+nrVeK4xd+WGznvXn1ISnqzOUmzfhzVxM1Xt1+UGrS4A61rSpW3NIIHYKpJ6Vh32rw+aYVbLDpWzMQUI7Vyl9DFBMWxyT1p1WrWCpoi5FeZYBlyO9QX7ulyjW0zxnH3SMg1Ut5N8ox2rRewnuW3CUKK5oQSOdDPti3G1pIgGAwcdDTJQGGVAA9Kmj02WHOZAc+1Bt3U8kGtHJI16FeFcHJFWYvIL8Bd3rikcwxrh2A4qtp9s894XVwUB45q6cXPQhRbZq7GCZXj6VQe8dZjHzkV0yW6iEAgdK5rWpLWwn3k/O3YVrLCuOpcqbJ4bp9wyavx3APesHT5nuyW24WrzhlHBpPQi7RpiUE9aeGyK543jxuMkir9terIBlsGsZRbNIzL0hNZd9fi3U4PNXnlAXJNctqsrSzbVrakkwbuP/tuVmPPFSDVC2N7D6VmLZu4wv3jUT6Xdo2cHFbSpxIszpLa5SZgFrVgAC1zmj2zJKN4ORXSIQAKiMIpjiPYVETTnYYqPPNc2ITvoWIYw4ORkUgt4wOFqQGgtXOhNIpzRgZIrIv7nyTlWpdb1N7VSBx71xV3qk9w3U9a6KNBy1M2dJ/brxHG6r1prPnkAmuJiYyOAwNdDptsF53Y+tbVKSSC7OshkLEHNaCN8tY9scAZP41fEoArhdVx0LptIslqjd8CqzXIFUrq5JBw4rJRuy5VCe5nVQeRmub1W5kfEYzg961I7d5iC2Tmri6dCQDIoYjpXTTjYx3Zy1l4ca5bzGOSfWtldD8lfl7VvwRIi4UAVMwG09K7VG6uzVaHn+q21xllVDtFYa6VqU7ho1O0djXpk9vHK3zAUqQRoMKormeKjDQdrnJ2Ed1aaa8LcM3XFPtVuFZV+Y+5rqvsyOfuinLZqpztH5VzqTq3aIlTbMxY38sE9arNksa1bxJFjOxfyrAdpY3zJxms3RlEydNoof2IfSoZ9FIwcV2XHoPyoKI3VRWkMZqanAy6Wyj7v6Vk3WnN1H5V6g1lFJ1FZ15pCMhKrxXXHFJaibPNEtmjPI71pWwIrXuNOEZPFVPI8s9K2ddTRPMW4HCR809pwvI5qupwKGPFZq41IVpPMcGpO1Vg61KHGMVY7iPg1E0YNThaULVKVhXIYYMv0ras4cLyOKp26bSK1YmAUCnzm8WWEIAFWY5BVVRkVMg71vFXKcifzCOlTI7Ec1XGO9SqQBWijYzbuT7dw5NSqqjoKgWTFPV6ognoxTA49aGlAFK4A4GDVSUinyXAx1qjLPk8GpchokBGalXGKoecc9akS5x3rOQzUgXmrwiGM1lQXAOMGtNLldo5rlnG4yTy164prXBTgYAprXIHFVLpWuVKodue9VTgMlbUgDtDZJNXY5OACck1jRWSxAFzk+tX0xjcrHNazp3A0A1MZsVWEhHXrSNLmsfZhclaT3pnm471XaT3qMufwqlFEss+ZzT1bNUw/vTxJjvVKyIuWiaYW7VGrFqnRKVxCKCRU6KaFXFSKKNxpCgUoHFFGaT0LQ1mwKrvKMGp3TcMVTmgZcbeanmRSIpH71A0lS3A8uNQT8xqm0ma0WpRIX5pQ/vUINPWnYC1E54q/FLwATWbH1qyjEVDiSaSvmlJqokuOtSiUGp5QZIaQ0nmClDZpqArCYOacuQaUClxWkYi5SRaeKjWpFraKKQ+kPSlpD0ptFDe1Mc8U41G4yK55iaImbmm76a4wetM3fNiuV7mTJd/tSb6QZPahlNNIWoeZUiyZqHZinKK0SCzLCtUgNQLUqmtYopEgpaSjNUUOBp2QKjyBS5qWA/NKDUecGjdU3KJaKYDS5q0xjqUU3NKKpCHinZqPNKTV7FodmmlqbmkJqXILjiaYxpC1MJ5rGUiWBNJSZozXOyWLS5PrTc0ZNFwDNGaaTSFqVxD88U0twaYTTSc1D1C49W5p+RUA608GhRFcGpu3NO60oODVrQCPy+aG8wfcYfQipevNNIquZsQgkYLz1qA3/lyhJBgHvUxFV5EViNwzVxk0F7F8MCAQaiY/NTUfCgUE5rGbu7lXJAcCql3clVKqealaQAdazLiYGTNJT6GbkVpEeR+c5zWhaxbVyRRb7GAORmrihSMZroWpK1AOqLyQB71kaprlvCwiVtz+1XLy3klzsJwaoR+HYZpBJKSXFaRVi0RxXZmUckZqO7t1RS7N1706/WDThy4yKro51CMKrcVctg3MGa4cTMUOB04q5pU3mXgLNVy60cQ25KjLmqOnWM0c+cEEnrUqcHoT1PQbcjyRz2qtd6hHBkBhmohK0Nl7gVzNws1xKzc4NDs1oa30L0niOZZCu0Y9ay7/AFA3TgjvWTe+fFcAc4qSBTIwzWNSyRjORr6axD5NdCs+FHP61g2q7MVrxQmRAd3NcMlKT0M43uSyXJx1qsTLLIAAQDUyWTGQZzitOKJIwOBmuilhWtZG0YvqUH0hLmErIWye9Tafpa2HCszfWtJWHrTgwzniu+nCETZJImUnywDXNarpKXV95rZNdHvGOtRPtPXpW9Zpx0KurGLFGluojVcYqYKGB9KkulG/K1Wa4WEfNXl2fNqc81qRT2QccVn+XJFKMetXH1aFM881DFepPKAO9a1LJEltclOazbuAeZuxzWq/CEjrisC9lkaYAtxWVOTC5btRtccVsoqOgyBWJaghQSasPeeWMBq15mwuy4zxwv2FRPqKKDWJd6gSetZ0l4XPJq4rUep0y6uhfBNWReRsMg1wU14yNkU+HV3HGaqdK6Hsd/HKJOQeKsBciuU0zVvmAY8V1NvKsigg1wunaRS1MDxFppmhLKM4rM0XQEuY2Lr0rtpUSRCGGQRWU1u1vvMLba7I+6tAaRyFxapYag0TIMKa1bZIZFBRsVBcOJJWMw3SVds7GQoHI2jqKxrSdjORfiIQAZ6VI0oxyarv8hxVCW5JfAJrhjFyZEbmpIplj+XqelUo9Mk83dJKcZ6VJazuQAanuZZFjJWt1FR3NEmy5EiogAPSnk+lZunPJcPzmtpYgvUc1104Jo09m0Qq21STwBWY2uJJefZ4+R0JrUuUJhZV6kVza6c8Nzv2d+tOsvc0B3RsmbvSrKDVQlu4pRkV4U076kc7TNOGQA89KuptcA1iJNgjNXbe4AI5rbC1+SVmbwmmaDQKw6Csq+05Znzt/KtqKQMlNdVJzivZnGMopmvImcedTQNjIqeG+jkxyK4iS5YEnNSW16wYc14/1VWucp36OGGRQ5ypzWFa6lhACamfUl2nmseSSdiGU9SwGNYE8wU1f1C83Z561zl5ccmvTw1NtakstNdgHFRtdZ71ktMzNSrIx713ez0JvY0xcEnrU8c3TmsxGOBzVmNiBUuKDmNVJQRU6fNWfE2QK0LccjJrGQ0y3GDircJPSookzVqOPAqIu7LTJ06VMpqJBgVIOK7qZdyTNODYqPNBbAroGSGXFBuPeqrSAd6rSzY71DCxpG6wOtQvdk96zWuPeoGn561LE0aD3fNV5J8nrVJ5sjio/NNSBc873pyTc9aob/eno+KmwrmvDMR0NX4p+nNYsLkfSrqMaagFzVE3vT1lBPeqCEmrUQzirUbDuW87utPX5elNUUvShhcUycVC7mpCB6VBIwArJiuMaQg0wze9RSyGq5lOcVmBcWWnpJlqzxJ2qzEw4NS2QakLZq7HWXC+MVoROKm+o0WgBincVGG4pwYVaLQ6kzijIptKQATTHYYNKeajMZPesuRhczrsMzEjPtVLayDkVvGNcdKpXEYbgDitY7DuZ4PNSqc0G3I7UigqcVaYXLcfWpl5xVaNsHmrURBNO47kgTNSLHTkFSqKlsLjFXFPHSlApcUXGKDSjmgLmpAvNaxAUDinqKQDJp4GK2SGkLigilFBptDImphFSkZqM1zziBXdagxh6suODVcjDZrnlEzYpn8v+GpFlBGcVGUDryKckR24zxSSBCtLx92hWL9sU5YcHk1IEwOlaqIDMU9TSYpwFPYLDs0uabnFIWxSbGSUmaj3e9JvrNyAlzzRmod3vTg1TzDJgaUGot1ODVakFyXNLmot1Lu4rVMLkm6k3UzdxTC2Kbkh3JS4phf3qB5cUxJA3espSFzEkk+w8ilSUOOKglZCcd6IgVOO1Ta4rlk/pSZpu40bqym0guP6d6N1R7qbvxWLmK5ITTc5pNwpAwouFxTSUE0lUIXvS5pppAaaEh4NIWwKZupc1SGAmGcE1MPmqlInORVqA4QDvW6SGmPZeKglXAzVo9KibpV8iaBopJcqrbW4NSvKoQsD0qrdwDO6qXnMoKCuWcTO7C6v3LbVFVP37nJU1p2tmsrh3HFaJtY8YxTp0ybXOdE0ycDNXLG7lEw3nKmr0lrHzxVYwKrAitdhrQ1Sw2Fh0rLn1dISRuHFNub77LbNuNcXdXEl1dFxnb7VcZIfMa99u1aThu/GKuafZvpww2SnvVDTv3Q3Z5961hqMUiBJX6dDTc09DeNmi+XSaItxj3qK2hVnBA4psMf2iPZEcr7VPI32GMDaTWbproJ076lh1GwjtVTyFKkheadbXLXasdpUDpkVPGnynNRdxIehyuowBpwCvNJawLvAA5rb1Cy3netZWySKcYHepleRnLc2Y9OXYDjnFWrOPYeelJDMfJXeORUkc61mvdY4tIt4HXFQPJluKjnuwi9aoJfgsSRW31i6saOSNQMaDKRWbLqiIP8ACmR6kknpU+89UFzUM5HemG4PrVI3CtzmonnxyKzdSZDm0aBfdWZqW7yzgURX4LYzU82Jo60ptt6ivc4i7nkWQ5J61Y0y+2SDcehq7qGl7suB+FYRheGbArplDmiKx3BvFaEEHrVBojNLuFRaWDKgV+1a5RI4iawhCzCxV2lUwKr+UXzmnm4UvtJqySixk5HSuiMUUkjn7lAr4xVb7MXb5RVy5Ia4GORmpEZI19DUyny7DbRnSaflearf2cwfgVtecrGkaULzWbryM5Mpw2rxDpzXQaRdlcRt+FYpvVyQTU9peRpIGz3rNtvUEzrw+4VDNGHQgHmobe6WVAVORU+7Iqua0TVO5hx6Wwvd7njNas0sVvENxwO1MmkCZJNc7f3Mk823dwK53UcxMtXF6JZCqGo4Y1LEtSWtoCgyfmpb8C0j3A8j3p01ZkKI+QsgGw1PBcM8eyQc+9YFvrkZcq5q+t/G5BQ1tOHMdVNJHU2EaRxZA5NXM8ZNc7Z37YOM064vrjooPNaRg4xLcrm4XUmo22kdqwVkumGeaRri5Thia5Z1KiM5GpIqlulN2A9qz47twcsal+3KByf1rjlHmepk7Ezpg5oRip5qA30R6tVK+1aKBMq46VDot/CStGdJBclUwTUhuj61xVp4jR32lq2kvkkUNk8051K1Ncp0Rk7HCTQEk0xFKEVp7AwqOSEYyBzXZGp0OTmZEtwVA5oa7IB5qvL8oqhNNW0YKTBMlubvLHnk1kzzbj1pZ5e+eap5LNXfThZEtkqtk1PHzUMa5watRRnuKuTJtcmjFWEFNRDVmOM1hJjUCSFTWlDxVWGPpV2ICsJXZaiXoDxV+PBFZsRwRV+E8Cqp03ctIsgUUi80NwK7acbFICajd8CkZ6hkcAdea2GNleqcrnmpXfPeqsrelQxkTy1B5tPcZzUPl5NZtgx3mHNLupgQhqkCZqbkgOTViNajSM8VYjXoKuKuSWIRjFXEGMVXjGBVlDwK0sFizFyavwj2qjERkVeiYcUmMtKOKU01WGOtIz1mxCOcVVlbg1LI+M81Rmk4NZsCvK5yearM/NLLICarNJ71kxMsrJ+dWYnrNWUVNHL6Godybm1E9XYpsYrDiuMVbjuc96zbYXNpJcipkfNY8dz71bjulA600ykzQBpSapi8T15pslyx+7Wlyy7uFMaZB1NZrPOx+XNNS3m8zLk49KuMbhY1BKhHWnbUYdKghiweamJC1TiBFJEmOBVGSPBq8WzVeU9agkiRc1ajXGKgj61cjHFAXJE4FSg8UxVzTwD61OpSuOB4pajy3elDYFK5RMtPFQq1SK1bQZSJlp4qJTUldMRjhSGjNJmrYxD0qI9akJqNqwkJkb1TkbEmO1W3qEruesmjNj4xkZqUDFNXgYp4pKIIdjim0tFXYYU08Zp1NPJpNARlsd6jaUdM81KyAmmNAp6ispAQGbB60n2j3pk9nu+45U1UaGeI88+4rFktl8TCpkfIrJTeXrThB2gVGwkyYGnZpoFOxVKRQoejeKYRTWJFacwakheo2kqJ2wKqSXBGeaOYhyLTtkHJqAzCI8VRkuiT1NV3mZjx1pCubaMJTuzVpMcVgxSSMMA4NXraWZWG7pV8ySKUjSPSmE0jPx1qFplDYzXJU1Y7kwHFGKiMoAzmoZLlUFOMES2WyMCmZxWHJqreaQG4qeO9LY3Gm42RNzU3Uu4ZqskysvWopJWMowcVCHcv5ppOKjjZto70FjmrC5JS1GHpQ2aB3HMMjFPiQgdaYDzUykAVpFlIeSRxmo3binFgO9U5JRv4PFdFNopiy4ZcVnPHh84q8DuNSJCrEZpTiiLXKsN1sG3FSm6dh8oqybeL+7TTCo+6KVmg5XYpO83JJOKqS3TL3q1dy7QVFZiRPPLgDIzUtXFYgu5DOuGORWe0UadhmukfSx5BIGWxWAbOZrhg6kAHisrEuLCORU4PSo5tkrALmp3sXC5zUUabCd1CuOKlc29JnEBxnoK1gwuV3MOK5MXBRuK2bC8eRehx3raLZs5WRp4CYA6U8EYqsZCTmk30pK5g5ak0mDVYxIW3EDNRz3G3jNUmvGyayafQlu5oSMcEAdahSKV27iqtvfAygOa6C2CMoIweKlU3JlRjcofZC45zTTpwxmtraAOgqlfTLDCzd8cVqqBpyJHP3MaI2A1V/L5yprIutQm+1tk/LmtSzuBIg/rW3JZBexN5jLwTVuJDIlZt3JsbIqewvwDtJ4rCcTJ6sLmFoWyKmtLhu54FS3EiyJWZJJ5fSpi9QvZmySkoxx9KqyaQsj7gorLjvWWUc8Zro7KYTIPXFdikrFJlW3sjbE4HFNvZtkZArYKBlINY2oRBQTWE9GNnPPcMshJ9aZLqh2Fd1V7p/mIHrUEVo8zDg4q09CblqC5LtnPNWy24ZqGKyMfRaSeQwrUWuwHPKI+tVZr1emaoXNw8hPNUGMhbvW0KUeotC/Ld8nmo47tgwwT1qkd9WbSIlgSKtxikFzqNHv28xVZq7KMBkBrzqImFgy9RXSadreFEchzXLMtM0tSgdoj5dcu6SpKdy9K7JZkmjypBBrOvbQMC3AH0rFRSHa5ji9aIVBd3LX0BUd6palciIkCo7C7UY3VrGCWpWxlvpkwnyBW/pWmSuVDCpmu4OvAq1Z6jEjZGPwrTm5maJs6C1sEijGQKsCCIHJArDu/EEcMOV44rBl8XMWK7q1exasd4fKCnGKzLxkAJOK5iLXpZhwxqZ557heSSKzcYsTaYXd1g/K1Zk17J6mp5YJD94Gq0qKF54pxwsZGUkVZNTkXIyaxr7UJZAeT7VcugoJ9KzjH5kgXGav2EYaiaE06aWN97NnNdNDqrCEDcQayY7A7QQtTLaSDscVzVnCbuK5rpID3pXYbTVCKb1okuP0rl9nqYyQy4YYNZc7VYnmOetUZjk120o2I1Kch3NihI6lWLLc1PHFyOK6eYaiwii71fhgzRFCK0beDihRbNuUjjt+nFWFgwelXI4QBzTxGPStPZodissZHepY+DipCoHam8A1PskK1izEeavRYwKzomxVyOQAVSppDLoOBmopZR0zUD3HYVVkl5zWqVh2LDS+lQSSVWe4xVaS496CrFtpcioWfNVPtHNPEoapaGS5yakSLODUKHJFXoxwKxkiSLyOelOWL2q0q+1PEdQhFdYhUix47VP5fFLsraAhiipl4poAp4xVjJ4zVqN8DrVJWxUnmYqGwNBZfWhpOPaqAn96eJQe9ZNiJXfNULhyAeauEgiqU+MHJqOZAZsknNQNLU0yAg4qhKNpNO1xFgSVIsuO9ZnnY71Is/vUygQzXSfHGasJP71jLMPWp0mrJxJNdbnHepBcse9Zay1MsvA60uUtGtBIS2TWjG6msGCU5FaEU+OtFitjYikA7VKWDdqzI7inG7weDWkB8xphgtRSSjnmqBvCRyaja6z3q5BcutLVd5MnrVRp896aJcnrUMRfhbNaER4rKgbkVejekSaMZBAqZVFU0kqdZKpM2iyYxg1E8RFPWQU7eCKppMvQrcqaej805wCKgJ2mlFWIZbDU8GqqyCpBJW8WHMWM0haohJQXqnIdx+6mMeKZvpC2azcriuBNIABRS0hCg08dKYKcKLAOooopjAc0YoBphkAPWoegx2KCtM84U17uKNSXdR9TWLldgBFMdRisy68Q2lu2N2RntTbfXra6famazkmhNFxY8SVZUYFQo+75qsIM1yyk2witRwpwpQlPAxWkTTlG7fWo3XIqc9KjYU7iaKUqEisq5DK+BW3IuapS26ucmkpmMomKSc0qcmr72Y7VXe3KGrUjMtWoB5x0q6GxWVDIY+Ke902MZp2uBoPKMYBqkz4kBzVQXRWTNPacOc4xR7MrcuNNhOtZd1ekHaDVhjmPrWROh80kmtI0x2JIVMsuSe9avkKqZzzWTbypG4yatSXTSOFQcU5RCxftxz14qxgdaqwK/lkng015WU4zWLpsmxeFwEGDSi5RqyZLntUf2rvmr5B2Nl5QBwafE5cVjJehsAmr0N6igVPIxGlzjOKUSDoagjvEcAU5pFbkUJMq4+Rjt4qm2Qd1PkuNnB6VB9qRuDxVxumO5NHL61I10Ih15rPeYKciqVzckDOa0vcDZTU1aTaTir6TxsudwrhGumeTCnvW3p5kIBYkinLRFJkupBnlLLkr7VY0pkaPn73pUkkfmoVXr61nKp0+QOx4Jya541G3YZ0JHFU7pYlPzAZqP+2rUoDvGfSsq4v/tMx29O2KpwaAtSyxSLsTGajk04mIuBzS2Fo8k4cj5a3J1UQsoHFVBJGsLWOVtdOeVjvUgZrdhtUgjCgc0+DaiAHrRcXCxqSTgUSk+hzzd2MIGcVIsO7pVGO/SScKD3rZiIKcUkm3qKNMozaeHU8YNY9zaNASCciuoY8Vl3kZeUVq0khyic3JA7Nlc5qxbXV9bsAu4j3regs0BBbmrJtYuuwVSVkSk0UYtVdYsyjnHeqV1qAuRtDAirOo2TOhEfBxWZpunFJsz5IqVNX1K5tDOubESNlVqa3tmjUDGMV05t4QPuioJYkwSAKtyTJcrnO3gO0VSiYq9a17HxwKyiu16zYmaizHyqpzyA555phnCpVCa4yTzUKBBOz4Oa1NL1MK4QmuZecnoaZDdGOXdmtowbKjuenx3CSJuDCsrVpRtIHpWJY6q2zG41PcTmZcnmsakbMt7GcIRJIc+tatrCiqMCs5JApzVhbsLQzO5pOESInArmNSnBkKitC6vWMRArn7gSSS5ranG6GSRoGHNOaFR2qW3gbZzmlnQxircWLlKTog7VNarnpVCaZjJjOBWtpSBmX3pSWhoqbSLYj+TJFVZWaNwV61syw4GBVL7Luk59a5nKxJtaNNI0Q3Vp3DZhbPpVSziWKIY4qWaQFDXnSqtz0HGWpxGqIzSn61RRzD96t68jUyNkd6yLyEFDivSp1LqxTepSuL3+7U+nyu5+9WFcuySke9bmlA+X07V2Rilqa3Vi5dRvMm3dVFNOZpBnNbCRM5AAq9BZguOKyqSb2Ibdg07SQEXK1uxWsUKfMMfWkhZLeH5iAR0rA1fXjGGVX6d6xjFuRKL+oSwjIBGR6VzV2zMTt6Go4Lma6lycnNb9lp32jAKH8RXTGXJuao5cWctwcbTzVuz0Rw+dhPNd5baNBCASOfepngjQYUCuPHYtQjoDRzkOmKqDcKl+wRAdK0rkbenAqmZfevF9pKWpm0zhDMUFVZbrHell6VRm619DGmmNpCtcMXPNPRt5Gap4+arMPWtnFWJ5EW1XNWI4+lRR9auIOKUaeoJE9vH0zWlCoGOKowdqvIcCuuMdBlxcYpSRUAlxTWm5q7DJXIquzgN1qN5zVZ5jnrS5UBfWXFTLNWUs1TLL70WHYvtLUDy8VXeWoHm96TAfLN71Tknx3qOZzzVOSQ5qSi0LgZqaGcE1khjk1YhYjNAmbcUoyOa0YZAQOa5+JzxWnbucCiUSTZjORVgLVK3bpV5ay5UIUDrTSKfTGPBqkSMLAUb+KiY1C0hqZMos+bjvSGf3qk0hqIyn1rKTA0RP71Ik3TmsoTe9SrPispMTZpvcYHBqs8xOcniq5l3GnZ4FQIazZBqjMpJq9/HUMqjNaxYzMZOaQDpVl1GaaEGa2ewmhEBqQEjvUix1IIqykkQxqOasRv61H5YFA6VmJF2OQVbSYYrLUkVKrnAoKuaYn460ed71QEhoMhqkMuNP700zk96pFiaNxzQxloznPWpYpsms/PNTRZpCNeGXBrQhlDYrBjkIxVuKYiiwjfjOelSbzVKzlyOTVskY61LRcR4mIp6zHiqhanA1g5tMaZbMlVZ5trdafk1Svc7eKuE2wZMl0D3qZbketYisQxqUSMD1rqWwjbSXd0NP8zisVLkpTzeMBntRcdzUMo9aFkBNZIuS/NWIXJNTfUTNINmnA1XRjgVKpq0CJRThTKcKopDs0ZpKKBiNVZnVSSTVh+lUZYmcnFSJkEt/GJhF0J4rB1mC5JLI7bTyKv3VlK824cEd6nhUSReTL8zAdaNEJM4tImY4kOWzWpZYhIKjmrt/p6xsWWqKDa3SlNJodzdhv22AVq2MzSYzXMWzFpFFdXYxBIwa53RRUNzQTB605gKiBwKepzWLjY6BDTSKe1MbpUNEELVCQDUxqE1DMpETqBVeVQRU8rYqnNJxTiYMqzDHSqzygGp5TlTVGY/NXREQNIM01ZzUBJzSxLk1qikX0kJXFQXEbNyKswpkCr8NurdqtMo5+O1dpOa2LOBFxkDINWpLZVUkAVnSyNGxxSvcDbjVWTBApjWCPWRb6kyuuc4zzW1bXInTIpElV9KBBxWXd2LQ5xXT54qjdqGB4oQzk8sjVNHc7Tg1cuYAGOB3rIuvkJxTaRJsw3KgCrYu124Brlo7kg4zV2O7GOTUOII3HlDiqbkrzUEc+Rwc0TSnbTSKJfMJFU7piQR7VJG+RUUw3EntWnKirFSNf3grZtbtIY8E1lonzUyYlV4NK1wOgTWYozzWRrGricFEH41juzO2MmrKQLsBPJIpRpR5rgmUklfIBJrc0zll3VmwQK1wV967HSrCIAE4zirnHQaRds2wBheMU68mKQOR1qx5YjAxUMqhwQa8+TakaWsjmP7TkSQAk8+tQ6hqLSR7Q2Ks6laqhyOwrnpXLNXTHUw6l6ynZZwxNdZZ3RaMZrhUkKsMVv2l22zFaPY1i9Dqd4qF8E5IrNW4b1NTxylhzXJUnJMiUi8jAYqXeMYqqh+Wn5xVQqtoSJGAc1DJCoAIGKlV+aVsFRVWuwZj3ty0KHHWsY6o7NzXS3NqkkZJArk7+ARSHbWkY2My4JhKnrVaWLPNVYZWU4zVgyZXJqeoyncoQBzWVIGDHJOK2LhsgVVZFKE47VtAaiZMrhariQk8Go9QcpMQDSQ5bbXSlZDkkjXsnbHFbW/91WLZL0rXwSnFcNWWpEpMqs5yaUOT+NS/ZnY8Cr9lpbyYJXoaS1JSKKW7TcYNaNtoO/DN/Kt2z0+JFBxV1tsaZHAFddNWRaMKbTIrW3LMelcfq1yA5ROa3vEGtjYyIcCuKlkMshYnrVMsiYMzjmt/SnEZBJrCDYcVoxTbUNZy2HzaHWLKsnQjNRuNrE1hwXxV+ta8cwkQE9a5JRILa3ZRQD0xUUl4SD1qFvuiqk7YU/Sud0EmLl1Kd7fjcfWqq3AlQjqaoX7Heee9SWKklRnqa61BKNxkU2nmWTOOc10GmWHlx/MKmht0RQSKS5vfJyF4rWDdiuhoIY4xUbajDC/L4Nc7Jqb5OM1k3V5JJKRuOc1okrDSOh1bXgsZCtXOwtNqV0OCQTwKgZJJ2UHJrrfDmnKksbHHHWsatWNKNx2NjR/D22NJJMYxXSQwLAAFxwOtRrcxxRbcgAVQudaijY4bpXn1sZKS9xAjYaQAdarO2awG8QoWxT01pGHvXn1Y1qmskU5Fu+lCKfWsf7RlqS/1EOeKz458mtqVG0dRH//Z',\n", + " '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',\n", + " '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',\n", + " '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']})" + ] + }, + "execution_count": 52, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "number_objects_and_encode(3, color_mask=True)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 3. 바로 referring expression 만들어보기" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "metadata": {}, + "outputs": [], + "source": [ + "os.environ['OPENAI_API_KEY'] = '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'" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "metadata": {}, + "outputs": [], + "source": [ + "# car, bike 는 뺐음. 사람이 같이 나오는 경우가 많아서.\n", + "# 뺄까? : airplane, motorbike, sedan, truck -> 확인필요\n", + "ytvos_category_valid_list = [\n", + " 'airplane', 'ape', 'bear', 'bird', 'boat', 'bus', 'camel', 'cat', 'cow', 'crocodile', \n", + " 'deer', 'dog', 'dolphin', 'duck', 'eagle', 'earless_seal', 'elephant', 'fish', 'fox', 'frog', \n", + " 'giant_panda', 'giraffe', 'hedgehog', 'horse', 'leopard', 'lion', 'lizard', \n", + " 'monkey', 'motorbike', 'mouse', 'owl', 'parrot', 'penguin', 'person', \n", + " 'rabbit', 'raccoon', 'sedan', 'shark', 'sheep', 'snail', 'snake', \n", + " 'squirrel', 'tiger', 'train', 'truck', 'turtle', 'whale', 'zebra'\n", + "]" + ] + }, + { + "cell_type": "code", + "execution_count": 26, + "metadata": {}, + "outputs": [], + "source": [ + "import random\n", + "import time \n", + "def getCaption(idx, model='gpt-4o-mini', color_mask=True):\n", + " vid_meta = metas[idx]\n", + " vid_data = train_dataset[idx]\n", + " vid_id = vid_meta['video']\n", + " print(f\"vid id: {vid_id}\\n\")\n", + "\n", + " frame_indx = vid_meta['sample_indx'] # e.g. [4, 7, 9, 16]\n", + " cat_names = set(vid_meta['obj_id_cat'].values()) # e.g. {\"person\", \"elephant\", ...}\n", + " all_captions = dict()\n", + "\n", + " base64_frames, contoured_frames, vid_cat_cnts = number_objects_and_encode(idx, color_mask)\n", + " marked = \"mask with boundary\" if color_mask else \"boundary\"\n", + "\n", + "\n", + " for cat_name in list(cat_names) :\n", + "\n", + " is_movable = False \n", + " if cat_name in ytvos_category_valid_list :\n", + " is_movable = True\n", + " \n", + " if not is_movable:\n", + " print(f\"Skipping {cat_name}: Determined to be non-movable.\", end='\\n\\n')\n", + "\n", + "\n", + " image_captions = {}\n", + " captioner = OpenAI()\n", + " cat_base64_frames = base64_frames[cat_name]\n", + " cont_base64_frames = contoured_frames[cat_name]\n", + "\n", + " for i in range(len(cat_base64_frames)):\n", + " frame_name = frame_indx[i]\n", + " cont_base64_image = cont_base64_frames[i]\n", + " base64_image = cat_base64_frames[i]\n", + " should_filter = False\n", + " frame_cat_cnts = vid_cat_cnts[cat_name][frame_name]\n", + "\n", + " if frame_cat_cnts >= 2:\n", + " should_filter = True\n", + " else:\n", + " print(f\"Skipping {cat_name}: There is single or no object.\", end='\\n\\n')\n", + "\n", + " if is_movable and should_filter:\n", + " #1단계: 필터링\n", + " print(f\"-----------category name: {cat_name}, frame name: {frame_name}\")\n", + " caption_filter_text = f\"\"\"\n", + " You are a visual assistant analyzing a single frame from a video.\n", + " In this frame, I have labeled {frame_cat_cnts} {cat_name}(s), each with a bright numeric ID at its center and a visible marker.\n", + "\n", + " Are {cat_name}s in the image performing all different and recognizable actions or postures? \n", + " Consider differences in body pose (standing, sitting, holding hands up, grabbing object, facing the camera, stretching, walking...), motion cues (inferred from the momentary stance or position), \n", + " facial expressions, and any notable interactions with objects or other {cat_name}s or people. \n", + "\n", + " Only focus on obvious, prominent actions that can be reliably identified from this single frame.\n", + " \n", + " - Respond with \"YES\" if:\n", + " 1) Most of {cat_name}s exhibit clearly different, unique actions or poses.\n", + " (e.g. standing, sitting, bending, stretching, showing its back, or turning toward the camera.)\n", + " 2) You can see visible significant differences in action and posture, that an observer can identify at a glance.\n", + " 3) Interaction Variability: Each {cat_name} is engaged in a different type of action, such as one grasping an object while another is observing.\n", + "\n", + " - Respond with \"NONE\" if:\n", + " 1) The actions or pose are not clearly differentiable or too similar.\n", + " 2) Minimal or Ambiguous Motion: The frame does not provide clear evidence of distinct movement beyond subtle shifts in stance.\n", + " 3) Passive or Neutral Poses: If multiple {cat_name}(s) are simply standing or sitting without an obvious difference in orientation or motion\n", + "\n", + " Answer strictly with either \"YES\" or \"NONE\".\n", + " \"\"\"\n", + " \n", + " response1 = captioner.chat.completions.create(\n", + " # model=\"chatgpt-4o-latest\",\n", + " model=model,\n", + " messages=[\n", + " {\n", + " \"role\": \"user\",\n", + " \"content\": [\n", + " { \n", + " \"type\": \"text\",\n", + " \"text\": caption_filter_text,\n", + " },\n", + " {\n", + " \"type\": \"image_url\",\n", + " \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_image}\"},\n", + " }\n", + " ],\n", + " }\n", + " ],\n", + " )\n", + " response_content = response1.choices[0].message.content\n", + " should_caption = True if \"yes\" in response_content.lower() else False\n", + " print(f\"are {cat_name}s distinguished by action: {response_content}\", end='\\n\\n')\n", + " \n", + " else:\n", + " should_caption = False\n", + "\n", + " #2단계: dense caption 만들기\n", + " dense_caption_prompt_1 = f\"\"\"\n", + " In the given frame, I labeled {frame_cat_cnts} {cat_name}s by marking each with a bright numeric ID at the center and its boundary. The category name of these objects are : {cat_name}.\n", + " \n", + " Please describe the image focusing on labeled {cat_name}s in detail, focusing on their actions and interactions.\n", + " \n", + " 1. Focus only on clear, unique, and prominent actions that distinguish each object.\n", + " 2. Avoid describing actions that are too minor, ambiguous, or not visible from the image.\n", + " 3. Avoid subjective terms such as 'skilled', 'controlled', or 'focused'. Only describe observable actions.\n", + " 4. Do not include common-sense or overly general descriptions like 'the elephant walks'.\n", + " 5. Use dynamic action verbs (e.g., holding, throwing, jumping, inspecting) to describe interactions, poses, or movements.\n", + " 6. **Avoid overly detailed or speculative descriptions** such as 'slightly moving its mouth' or 'appears to be anticipating'.\n", + " - expressions like 'seems to be', 'appears to be' are BANNED!\n", + " 7. Pretend you are observing the scene directly, avoiding phrases like 'it seems' or 'based on the description'.\n", + " 8. Include interactions with objects or other entities when they are prominent and observable.\n", + " 9. **Do not include descriptions of appearance** such as clothes, color, size, shape etc.\n", + " 10. **Do not include relative position** between objects such as 'the left elephant' because left/right can be ambiguous.\n", + " 11. Do not mention object IDs.\n", + " 12. Use '{cat_name}' as the noun for the referring expressions.\n", + "\n", + " Note that I want to use your description to create a grounding dataset, therefore, your descriptions for different objects should be unique, i.e., If the image contains multiple {cat_name}s, describe the actions of each individually and ensure the descriptions are non-overlapping and specific.\n", + "\n", + " - Your answer should contain details, and follow the following format:\n", + " object id. action-oriented description\n", + " (e.g. 1. the person is holding bananas on two hands and opening his mouth, turning the head right.\n", + " 2. a person bending over and touching his boots to tie the shoelace.)\n", + " - for action-oriented description, use {cat_name} as subject noun\n", + " \n", + " **Only include the currently labeled category** in each line (e.g., if it’s a person, do not suddenly label it as other object/animal).\n", + " Please pay attention to the categories of these objects and don’t change them.\n", + " Keep in mind that you should not group the objects, e.g., 2-5. people: xxx, be sure to describe each object separately (one by one). \n", + " Output referring expressions for each object id. Please start your answer:\"\"\"\n", + "\n", + "\n", + " dense_caption_prompt_2 = f\"\"\"\n", + " You are an advanced visual language model analyzing a video frame. \n", + " In this frame, {frame_cat_cnts} objects belonging to the category **{cat_name}** have been distinctly labeled with bright numerical IDs at their center and boundary.\n", + "\n", + " Your task is to generate **action-oriented descriptions** for each labeled {cat_name}. \n", + " Your descriptions should capture their **observable actions and interactions**, making sure to highlight movement, gestures, and dynamic behaviors.\n", + "\n", + " ---\n", + " ## Key Guidelines:\n", + " 1. **Describe only clear and visible actions** that uniquely define what the {cat_name} is doing. \n", + " - Example: \"grabbing a branch and pulling it down\" (**(O) Specific**) \n", + " - Avoid: \"moving slightly to the side\" (**(X) Too vague**) \n", + " \n", + " 2. **Do not describe appearance, color, or position**—focus purely on the action. \n", + " - (X) \"A large brown bear standing on the left\" \n", + " - (O) \"The bear is lifting its front paws and swiping forward.\" \n", + "\n", + " 3. **Use dynamic, action-specific verbs** rather than passive descriptions. \n", + " - (O) \"The giraffe is tilting its head and sniffing the ground.\" \n", + " - (X) \"The giraffe is near a tree and looking around.\" \n", + "\n", + " 4. **Avoid assumptions, emotions, or speculative phrasing.** \n", + " - (X) \"The person seems excited\" / \"The person might be preparing to jump.\" \n", + " - (O) \"The person is pushing its front legs against the rock and leaping forward.\" \n", + "\n", + " 5. **Avoid overly detailed or speculative descriptions** such as 'slightly moving its mouth' or 'appears to be anticipating'.\n", + " - expressions like 'seems to be', 'appears to be' are BANNED!\n", + " 6. Pretend you are observing the scene directly, avoiding phrases like 'it seems' or 'based on the description'.\n", + "\n", + " 7. If multiple {cat_name}s are present, make sure their descriptions are **distinct and non-overlapping**. \n", + " - **Each object should have a unique, descriptive action.** \n", + " - (X) \"Two dogs are running.\" \n", + " - (O) \"1. One dog is chasing another, its legs stretched mid-air. \n", + " 2. The other dog is looking back while speeding up.\" \n", + "\n", + " ---\n", + " ## Output Format:\n", + " - Each labeled **{cat_name}** should have exactly **one line of description**.\n", + " - Format: `ID. {cat_name} + action-based description` \n", + " - (O) Example: \n", + " ```\n", + " 1. The person is leaning forward while opening a bag with both hands.\n", + " 2. The person is holding onto a rope and pulling themselves up.\n", + " ```\n", + " - **Ensure that each object is described individually.** \n", + " - **Do not group objects into a single sentence** (e.g., \"2-5. people: xxx\" is NOT allowed). \n", + "\n", + " ---\n", + " ## Additional Instructions:\n", + " - **Do NOT** use expressions like \"it appears that...\" or \"it seems like...\". \n", + " - **Do NOT** mention object IDs in the description (only use the provided format). \n", + " - **DO NOT** include markdown formatting (no bullet points, no asterisks). \n", + " - **Only describe actions of the labeled {cat_name} objects**—do not introduce unrelated categories. \n", + "\n", + " Please generate the action-oriented descriptions for each labeled {cat_name} and start your answer:\n", + " \"\"\"\n", + "\n", + "\n", + " dense_caption_prompt = f\"\"\"\n", + " You are a visual assistant analyzing a single frame of a video. \n", + " In this frame, {frame_cat_cnts} objects belonging to the category **{cat_name}** have been labeled with bright numeric IDs at their center and boundary.\n", + "\n", + " I am building an **action-centric referring expression** dataset. \n", + " Your task is to describe each labeled {cat_name} based on **clearly observable and specific actions**.\n", + "\n", + " ---\n", + " ## Guidelines:\n", + " 1. **Focus only on visible and prominent actions** (e.g., running, pushing, grasping an object). \n", + " 2. **Avoid describing minor or ambiguous movements** (e.g., \"slightly moving a paw,\" \"tilting head a bit\"). \n", + " 3. **Do not include subjective or speculative descriptions** (e.g., \"it seems excited\" or \"it might be preparing to jump\"). \n", + " 4. **Avoid vague expressions** like \"engaging with something.\" Instead, specify the action (e.g., \"grabbing a stick,\" \"pressing a button\"). \n", + " 5. **Use dynamic action verbs** (e.g., holding, throwing, inspecting, leaning, pressing) to highlight motion and interaction. \n", + " 6. If multiple {cat_name}s appear, ensure each description is **distinct and non-overlapping**. \n", + " 7. Base your descriptions on these principles:\n", + " - **Avoid words like 'minimal' or 'slightly'.** \n", + " - Emphasize **body movement, posture, and motion patterns** (e.g., \"lifting its head,\" \"facing forward,\" \"showing its back\"). \n", + " - Describe **facial expressions and interactions with objects** (e.g., \"opening its mouth wide,\" \"smiling while holding an item\"). \n", + " - **Specify actions with other objects or entities** only when they are clear and observable. \n", + " - (O) \"pushing another person\" \n", + " - (X) \"interacting with another object\" \n", + "\n", + " ---\n", + " ## Output Format:\n", + " - Each labeled **{cat_name}** must have **exactly one line**.\n", + " - Format: `ID. {cat_name} + action-based description` \n", + " - (O) Example: \n", + " ```\n", + " 1. The person is holding ski poles and skiing down a snowy mountain with bent knees.\n", + " 2. The person is pulling a baby carriage while smiling.\n", + " ```\n", + " - **Ensure each object is described individually.** \n", + " - **Do not group multiple objects into a single sentence** (e.g., \"2-5. people: xxx\" is NOT allowed). \n", + "\n", + " ---\n", + " ## Example:\n", + " If the frame has two labeled **bears**, your output should be:\n", + " ```\n", + " 1. The bear is reaching out its right paw while leaning forward to catch prey.\n", + " 2. A bear is standing upright, facing right, and touching the bike beside it.\n", + " ```\n", + "\n", + " ---\n", + " ## Additional Instructions:\n", + " - **Do NOT** describe appearance (e.g., color, size, texture) or relative positioning (e.g., \"on the left/right\"). \n", + " - **Do NOT** reference object IDs explicitly (e.g., \"Person 1\" or \"Object 2\" is NOT allowed). \n", + " - **Do NOT** include markdown formatting (no bullet points, asterisks, or extra symbols). \n", + " - **Only describe actions of the labeled {cat_name} objects**—do not introduce unrelated categories. \n", + "\n", + " Please generate the action-oriented descriptions for each labeled {cat_name} and start your answer:\"\"\"\n", + "\n", + "\n", + " MAX_RETRIES = 3\n", + " retry_count = 0\n", + "\n", + " if should_caption:\n", + " while retry_count < MAX_RETRIES:\n", + " # dense_caption_prompt_1, dense_caption_prompt\n", + " selected_prompt = random.choice([dense_caption_prompt_1])\n", + " \n", + "\n", + " response2 = captioner.chat.completions.create(\n", + " model=model,\n", + " messages=[\n", + " {\n", + " \"role\": \"user\",\n", + " \"content\": [\n", + " {\n", + " \"type\": \"text\",\n", + " \"text\": dense_caption_prompt,\n", + " },\n", + " {\n", + " \"type\": \"image_url\",\n", + " \"image_url\": {\"url\": f\"data:image/jpeg;base64,{base64_image}\"},\n", + " },\n", + " ],\n", + " }\n", + " ],\n", + " )\n", + "\n", + " # caption = response2.choices[0].message.content\n", + " #print(f\"{image_path} - {frame_name}: {caption}\")\n", + "\n", + " caption = response2.choices[0].message.content.strip() \n", + " caption_lower = caption.lower().lstrip() \n", + "\n", + " if caption_lower.startswith(\"1.\") and not any(\n", + " phrase in caption_lower for phrase in [\"i'm sorry\", \"please\", \"can't help\"]\n", + " ):\n", + " break\n", + "\n", + " print(f\"Retrying caption generation... ({retry_count + 1}/{MAX_RETRIES})\")\n", + " retry_count += 1\n", + " time.sleep(2) \n", + "\n", + " if retry_count == MAX_RETRIES:\n", + " caption = None\n", + " print(\"Max retries reached. Caption generation failed.\")\n", + " \n", + " else:\n", + " caption = None\n", + "\n", + " image_captions[frame_name] = caption\n", + " all_captions[cat_name] = image_captions\n", + " \n", + " # final : also prepare valid object ids\n", + " valid_obj_ids = dict()\n", + "\n", + " for cat in cat_names:\n", + " if cat in ytvos_category_valid_list:\n", + " obj_id_cat = vid_meta['obj_id_cat']\n", + " valid_cat_ids = []\n", + " for obj_id in list(obj_id_cat.keys()):\n", + " if obj_id_cat[obj_id] == cat:\n", + " valid_cat_ids.append(obj_id)\n", + " valid_obj_ids[cat] = valid_cat_ids\n", + "\n", + " return all_captions, valid_obj_ids\n" + ] + }, + { + "cell_type": "code", + "execution_count": 129, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "{'parrot': {2: None, 9: None, 18: None, 26: '1. the parrot facing the mirror with its beak slightly open \\n2. the parrot leaning forward towards the mirror while pecking its surface'}}\n" + ] + } + ], + "source": [ + "print(all_captions_4o)" + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "metadata": {}, + "outputs": [], + "source": [ + "# idx = 40\n", + "# all_captions_4o, valid_obj_ids_4o = getCaption(idx, \"chatgpt-4o-latest\", True)\n", + "# print(all_captions_4o)\n", + "# # # model=\"chatgpt-4o-latest\"\n" + ] + }, + { + "cell_type": "code", + "execution_count": 22, + "metadata": {}, + "outputs": [], + "source": [ + "idx = 33" + ] + }, + { + "cell_type": "code", + "execution_count": 27, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "vid id: 0358b938c1\n", + "\n" + ] + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAWEAAADmCAYAAAAEPTQDAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjkuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8ekN5oAAAACXBIWXMAAA9hAAAPYQGoP6dpAAEAAElEQVR4nOz9d5Rk13neC//2PrFid3VOk/NgEAiAIEGARCDAbFGkRNKyKJKy5CvJS1e2/FmWtLQ+Ocimrmx+tnVl2bJk2aICoyhRpJhBEInIgxnMYAIm9nSOlevks/f3x6nq6QFICrIoY13fftaqmerqCqeq6zz73e/7vM8rtNaaLWxhC1vYwqsC+WofwBa2sIUt/L8ZWyS8hS1sYQuvIrZIeAtb2MIWXkVskfAWtrCFLbyK2CLhLWxhC1t4FbFFwlvYwha28Cpii4S3sIUtbOFVxBYJb2ELW9jCq4gtEt7CFrawhVcRWyS8he8LnnnmGd7whjdQKBQQQnD8+PFX+5C2sIX/R2CLhLfwN0Ycx7zvfe+jWq3yH/7Df+CP/uiP2LFjx6t9WN93xHHM4cOHEULwsY997NU+nC38bwLz1T6ALfw/HxcvXuTKlSv83u/9Hj/5kz/5ah/O3xp+67d+i5mZmVf7MLbwvxm2IuEt/I2xsrICQH9//195306n87d8NH87WFlZ4V/9q3/FL/7iL77ah7KF/82wRcJb+BvhIx/5CHfddRcA73vf+xBCcPfdd2/8rlgscvHiRd7xjndQKpX40R/9UQAeffRR3ve+97F9+3Ycx2Hbtm38/M//PL7vv+z5i8UiMzMzvOtd76JYLDI5Oclv//ZvA3Dy5EnuvfdeCoUCO3bs4BOf+MTLjrFer/OP//E/Ztu2bTiOw969e/mN3/gNlFKv+H3+0i/9EgcOHOCDH/zg/8zHtIUtfFdspSO28DfCT/3UTzE5OclHP/pRfu7nfo7Xvva1jI6Obvw+SRLe+ta3cuedd/Kxj32MfD4PwGc/+1k8z+NnfuZnGBwc5Omnn+a3fuu3mJub47Of/ew1r5GmKW9/+9t505vexL/9t/+WP/mTP+Fnf/ZnKRQK/Mqv/Ao/+qM/ynvf+15+53d+hw996EPcfvvt7Nq1CwDP87jrrruYn5/np37qp9i+fTuPP/44v/zLv8zi4iL/8T/+x7/yPT799NN8/OMf57HHHkMI8f378LawBQC9hS38DfGtb31LA/qzn/3sNbd/+MMf1oD+pV/6pZc9xvO8l93267/+61oIoa9cufKy5/joRz+6cVutVtO5XE4LIfSnPvWpjdvPnj2rAf3P//k/37jt137t13ShUNDnzp275rV+6Zd+SRuGoWdmZr7ne1NK6dtuu03/yI/8iNZa68uXL2tA/7t/9+++5+O2sIVXiq10xBb+1vEzP/MzL7stl8ttXO90OqytrfGGN7wBrTXHjh172f03F/z6+/s5cOAAhUKB97///Ru3HzhwgP7+fi5durRx22c/+1ne+MY3UqlUWFtb27jcd999pGnKI4888j2P/Q/+4A84efIkv/Ebv/HXes9b2MIrxVY6Ygt/qzBNk6mpqZfdPjMzw6/+6q/yhS98gVqtds3vGo3GNT+7rsvw8PA1t/X19TE1NfWy9EBfX981z3f+/HlOnDjxssf30Csqfic0m01++Zd/mV/4hV9g27Zt3/V+W9jC3wRbJLyFv1U4joOU12640jTl/vvvp1qt8ou/+IscPHiQQqHA/Pw8H/nIR15WMDMM4zs+93e7XW+a2KWU4v777+ef/bN/9h3vu3///u967B/72MeIoogPfOADTE9PAzA3NwdArVZjenqaiYkJbNv+rs+xhS38Vdgi4S38L8fJkyc5d+4cH//4x/nQhz60cfs3vvGN7/tr7dmzh3a7zX333ffXfuzMzAy1Wo3rrrvuZb/76Ec/ykc/+lGOHTvGTTfd9H040i38vxVbJLyF/+XoRbCbI1atNb/5m7/5fX+t97///fyLf/Ev+NrXvsZb3/rWa35Xr9cpFouY5nc+DX7u536OH/zBH7zmtpWVFX7qp36Kj3zkI7z73e/eUGFsYQv/s9gi4S38L8fBgwfZs2cP//Sf/lPm5+cpl8t87nOfe1lu+PuBX/iFX+ALX/gC73rXu/jIRz7CLbfcQqfT4eTJk/zpn/4p09PTDA0NfcfH3nzzzdx8883X3NZLS1x33XUvI+gtbOF/BlskvIX/5bAsiy9+8Yv83M/9HL/+67+O67q85z3v4Wd/9me58cYbv6+vlc/nefjhh/noRz/KZz/7Wf7wD/+QcrnM/v37+Zf/8l/S19f3fX29LWzhrwuhN+8Jt7CFLWxhC/9LsaUT3sIWtrCFVxFbJLyFLWxhC68itkh4C1vYwhZeRWyR8Ba2sIUtvIrYIuEtbGELW3gVsUXCW9jCFrbwKmKLhLewhS1s4VXEK27WeOrBh+mvFFldm+fy9AU6XgvTNGm321SrVdZWWszPLZMkCc1mk04UkwgTy7KYnJykXC5z7tw5pJSMj49jWy6mLCOFy0/+gx/jzrtuAhRPPfUUX/jiX/Dtbz9Kbd0jSRIc18W2Ckg1xL6dd/Cf/uvP4sVVHnrgecbGh6lWWwwNO1Qbs/zu7/5XfD/Ati1c18WyLAxD0G4HHD50M5X+QSqVMtddv5soWceP5vn617/M0aOn8ROXROdIPIFMbLRyUNok55S55ebX88EPvxstq3zj6w9y/tw8e3cf5rbbbmNm9gJR3OTAwb088shjPPitR3j333k3h/ft5uL553nymSdZWl0lEpoIhReEKAW5YgHDNElUBDLBMiVW0SE2BalhsG33JAf3HSBtpwy6FeKWxw37DnLzoSM88uADTM9e4ZnjzxGphFa7g+8lxJEkTRWWYTA5MsaB/XtRScRgpYLlOLRaLZaXlwnDkFwux+DgIHNzc3S8DpqEJAjROKQiz/jEXn76p3+Cm27eT7O9hpMLabcbTI7uIAoVnU5EJ1b8/ic/TsNrkLMkQ8U8CyvLVDtN/DBABzH5YoHh0RHqjQae5+G6LoODgywuLhIEPmmaYJomSmnq9QZRO+T+u+7h0OHDXLxyiX379vPkk09x6vQJgqDN2NgU99xzD0IIzpw5zcBgGcdxWV1Zo1ZrMtQ/iGkqpBnxwz/0Q/zp5/+U544/x549exgYGKBZb3H+xYvEUYppOugU4nZMp+XRrDcJ/ADTsBDCRGsTtAFaYlqSOA6JogDPDzFtGBzs4/rrb2Tb9kk6UYsr89OEKkBjkMYCaUhcp8zO7ftZXl3h2Wee5l1/5x3cf/+b+cwn/5iZ6UuEnQC/EYE22H/9jdS9FsWixej4MPXGKmvrS8QxuE6evr4Svu+zsrJC4PuU8gWCIMDzPMp9AzhuBdM0cV2HarVKo7WG30mJIk0Y+JTyBvfcfSf3338vA4NlTpw6idIwMzPP9m07uOvOuzm0/wDfePAr/PEn/oBWu8FavUWnE2BIh1Kxn7ATIgCRKEhSGs0acRyTpilKKdI0RWuNaZoYhoEQAsMwkFKitUalCimM7LpSmROeALqOeFqr7uVqC0PvOXvPlyQJSikMw9h47pcaP2mtN1z2hBBorYnjeOPYvpNBf+9Ye6+zGb1j+Otg7sp3d+nr4RWTsG3b2YemNWma4nkeUkrq9TphGJIkyXd9rO/7jI2NMTg4SBiGeJ6H1edSKpVRqSSKQ4TQgGB0dJSx0VHKpTKtRoRSGq1U9wNNWVtt8+S3L6OsK6yuz9Hx6xSLJcp9Qzj5CcrlflrNeaQwiGSCEBLDsHFsl0K+iCEtLMvO/pBpRKfTYXJykuXlJpfn6sSJQHW/E0prUqXQSgMKpVIGBioMDg3y4pkZms0mlUqFpWWLdickCD3yebdLKKp73CCFQEqJQIHOvmtSCrRW2I6FiSSM2iRJTNRJsEo5Dt9whHvfcjdTYxN84r9/gtygxY5tUwhDsFZfp9lucOXKZeI4InOFFoAELdAKlNCgBYZh4bXbrK/X6B8YoNXqEEcpaaLxOgFhuARIcm6BKA5JSNBKY7om/+jn/w8OHdzL3PwlGq1lbr7xEJVikbX6Oiu1Jnt3Xoe/UmNqYju3jI3w4pmT5FyIopBOo4XtOpQqFZCStbV1FAopJfl8AcMwNr7Q2d/IREpNsVRkdOcuTFvS7jToeG2q1XWWl5cJgoDh4WGKxSKLi0vZ47Xg0sUrtNse5VIftVoDnWgGBvOsLc3zpa98idNnTpOmKSMjI2itefHFF+m0AvL5IlEUoxKF0AIhsu+5SjMiUWlKkqSoFLQWyAjiOETphIHBCqNjg+QLNmtry6yuLhPriIiYYn8BaRjEKkIpgVkwGBoawMnbPPPMEzz19BOkKiJJYlzXRqQakRgEXsTwyABrF9doNFsoQhCaMEjpdALsQZdyuY9arY5hmNi2gzRMcvkCQko0Gs9vkqaKI0eO4Lgm3qUqoZEAKbm8xZ592zh0ZB9R2qHUP8r45DCe72MYE4SRz7ce+TovnjvFuXMvIqVLu12l3YpJEomwLJJIYJgWKk5QCoQCKQ0g/msSlAKhERKE0GSP7P6r1Qah99B77u/2Gkqp78lBPSe/v+oYX43etb8mCStUqkjShCiKUEpRrVbpdDq0m/HGbUD2eXYXkjAMEUJQqVRYWFhACEGpWERKSRylrK6sZmQlBQMDg+zbt4/pK9MsLlQ3iN+2bAxhEgQx+VyeI7e+loGBIS5fusLwcIkDB/agSbjpxpuZnZkjihKSRJHECSpV5PNl8vkiWhlYlouUgjDyWVpe5MqVKyilcHM5/LbCsCQoiZQmcajxg4A4DggCH9McZPu27TwYPEG1WqVS6SeXc+jMt5idmcZ2TGzbIk1SPN9HpSmmaZHL5UjjkDjNVnMNxEmIH0CxVKBQ6icMPDqxj0axZ/dOprZNsra0wvbtU6xcXOTwjt3EvsdQfx/5nMvc3AwRCiV7kYFAqYwwdPe6IUzQkihKiKOUJFbZySMMQCAwEIBpmJimTeRHtDsdto3t4OKFCzx/4lm2bR+kv+ISxU2CuEpqxay3r1Cu51ivRdx2682ksULEESdOPkm9WqPgugwMDFDq66PtdeisrRInCaVSP6Zp43sRUZjieyFuzkVrwfr6On4QkEQJectlaXWV1eo6F85fwrYd9u/fT6mUp9OOOX/uIvV6nXa7w8jICIcO3sD0lVnGx3YQp206QYfpuSvMLs1gmRZDQ0NEUdSNGPuwzRxaQRKHxHGMTCRKaQwpEUICCZoEpTRKC2zLwbJMxieG6esrY1gG0ki5887Xs7q6zsMPPUEKxCJCmJJEpbTbLYrFAmPuJPVmFcMU5As2Uexx+vQJinkXaQgcx8RUJlLA/PwVCgWHQqHA6PgI1WoDlUqKBYVpSc6fv0gURaSpIlUQJgmGYWLYDu22R7vVxrQsLl06x/Yd27jppiMsLqwzc2WBVCWs11b5iy/+Oa95zQ1YOcGL505TKBRxnTytts+zzz5Hp+WTz5cp5MtYVpGcq9BaIrHJ58sMVsqsraxQW13HJPOMThKDNE2/K39cG/VqUqEQZDsFdBZoZffLyMMw5EbkDBDH8Qa3CCFeRtCGYWBZ1stMoXr3v+b1v8cxCiE27rM5kv7bxCsmYd2NgOM4JgyyL67ruuRyOZaWlvC8lDRVKJ2FekLKjTeUy+XYvn079XodIQTNZotCscjclUVWlmvs278DlaZIaVIsFhgaGuS66w7z/LEzRFGEFJL+/n78totQmlxRUBkosWPHNi5PX6Tj1+l4LSqVCkeOXM+Xv/yVjQUhTS2U0gwOThCGMVpl0ZgQsLy8yOXLl6hWq4QRuG4OK4yJEo20TIqFflAOzbUW9WYVrVNWllcxjOxjazQaBEGANASgaXfaNJsttNLEScz6+jpKK3L5HGanjS00caywhYVSKVGaEMchtXqA4wgGBioI18Aq5ZGG4NvffowbDh9h//69FAPJ6tw8ZcNmbW6eOArRKJIkItaKOAalLNBZVGlISRwlBEGElCYoRZoqQFAslhioDHDp8mUgW3xsy2bPnn2sLC2wsrrK4OgIKyur7D+wi+GhEmvVOb79zFNM7uin5a2h0wSVeNiuweTUBGmsGR0o8ui3v4ZWmoKb441vuAPLdTl+8gSLy8vkcnmEMOi0/e53Q9LxArSGW269lQP7D/Dgww9z7sw5Gk2fKI4ZGhrDFLC4uITntwkCj1q1Q6fjIYTAdXMY0uHy5Vn+7vv/Hrt37+VXf+3/w5XZMxhWgqUN+vr66OvrZ2VlFd/3CIOQVqtNHKUY0iJNFVEQEUcJlmnhODaOY5LPFykVK5imjWXaBKFPEPiEYYCFiS3BsiWQUq1WwbAo9BewrTz9RZe+cpE33fUmVlcazMxM4wUtBgb72L9/L0qlrCwsEoY+7VqLvF1iaKiC57cIVIztlGm163iez333vZ3BgQG+9dA3qVVrFPJFgiAgihJSBaZlgM6ivVzB4QPvez93veluvv7A19i3fycjw5N85tN/xrHjz+G4Dj/+Ez/Brp3beOKpxxgdmeCGIzfyJ5/4JCsra9x22x1MTm5naHCY//Sff5tGq0GapghMnLzNBz7wAX7gne/gt37zN/n8n34O2d31CSEwTROt9QZX9NAjwYwsJUL20g9kVSlFl311tksUEkNmHs09Iu6lEXqX3nP20Esh9LhqM3n2Hp8kyXeMqDenLL4b6X63CPn7ETm/YhJuNpsIGRNGQbZFU1lepWeAEqUpodZZhGU5kAosYWAZFq1Gi6eefJr3v//9FPIlvv3tx5ianGJtpUmSxqyvV/H9iJJpYRiSgmsxNTrAwYM78PwGnU5EqxlA6iJSj1T7aJ2idUoQdMjlHGzbZL26hGVpLBvCEJJYolKNVrC+1qJcXKdU7Mf32yhdziKDZgMvjfEVBLHEtizSWCENAz8J2LdnFzPMMl+dpeHVyeUHQAuGhgaZX1in1mwSxAlSOqhUYQiDousQBR4t3yCfL1AqlllYXQFTYaHQKCIJQops0UITRAmrq1XMnM3krj04Zo526PPIt5/m4O595PuLtBZXCIKEoyd8qs0qidSkGQcghQAUSI3EQiCJVUwQepiGRAuN16mDTnjD7bdz40038Vv/929jmBb5vhI79+/jpz7841y6NMOXvvQNxoZHeevb72Fq2xigWa/uoB2sUhkq8tzJR2gHNeYWX2R+tk6a+uzbdZjHHzvK+soyxXyOYr5A3s0hLIs4TJkY3UUYw/zSMq7tMDI8gDloMTe7gGVJktTk6aMnOX3mItWVKo2VBtcduQ6BRZLE1GsthACVZlF/kkQUCkXiOGJ1bZFCocCZc89zeeYskDA5OYHtaGZmZ9mxfTe33fZ6Hv7Ww/jNhKSjKBoFGlGLNE3QsSIOY0zDJJd3MUwDrVJSnVBvrpGkKWGQkCQplmVTKpYYGR0nTgKefeYEy8uLxCqgry/PyFAFQwjyhk3LC3ju6eOYjkOUBHidJrZl0mzWERqUStm2fTvL5hJCCUpDfeydnMS0HXbt3kO+4PL0U0f5zGc+Q7HQByLBskw0CYaVUipbSGkgpSZNNWCilMWLL57HdlyuO3IY17W4PH2ROPUYGxvEdXM8/viTnDlzliD0mZ9f4fHHj7G21mDX7p2cOXuOEyfP8LrXvY5SqcTc3CxCSBzHJp/PMzE+zsnnn+fsC6dwhYmZQqiy73DGpgohZDe922VaCYaREVyWFhBoIdFKZWk7DRrZ3Ty/fFKKlBLf9zdSClLKjQuw6XmztITqBlq929I0JQiCbDHpEm2SJNfcR24KGjdfethM7N/vlMUrJuHl5TUKRUG73aDT6XTzwDmUUkRRRJTExEpgGAJpmmgdIiWYZrY6qTThsce+TRzH7Nu3nwMH9jM3t0ir6eEHHq1Wm1KpgBCCgYEBZqfP89a33UUQeRx/7jxxpLENp7vtBo3BysoKSZIQBCGgSZIQwwTbMRHtMMvlKUEiJa1mQLsVMDaWw7TAD9pYpkEQayJp4mmfThwitAu2jenYlEojtHXEoVuv59TJYxw/doz/48c+hEhS+ksl1owao0MDSGsXC/MzoCI6bY+c47K8vMaeffswCMjlHaShUCpGiAQpM7I2oZs71t1gQJPEKX7HJ/AC+vr7OXLkRpYXFnBsE2FI/DDg4nMX0EIRJjFKSDSim07rrvJCo4Xu0r1GodBotFaYtsX+Qwcolkrk+8pMbZtgZPsYU3t34JZy5MolxienuPuO29k2Ndb94gmGBkYYEP0kKmZoYJzTTz7Ji53zxIHNwvwyuXc4PHvsUbZtH8FxcqAlJ04cA8MliQRpaEFqcN2BG3jNTa/BtiQXL5wiZxeI0ixltLScFXY9zyOIFe1Wm/GJcTqdFo5jdyMd0c2pS9JuWkxrhVIJ3/rWA4yNjbJt+zbaXpXV1XmOXHc9Sap59NHHqa03qa43sRB84L3v48KFSzzwjW+SyxVQZra9jeOYdrtFGIaEYbgpchJYprNRjD5z5iy2bVIouiyvLGGZFvVqlXazwR133MHAwABe00Mn2VnWrDdp1JvkXBdTmN1dWsTS0gpaQ86xWVhaYm5pkWK5HzdX5O1vfxsnT5xhaGgQ34sxDBPTsoljn96WXYgshyplNsUEDBYXl7kyM0uhYDM2PoJt29x6682cOXOGixcv07rYQilFGIYEoU8UJtz22jcwMT7F9OUr7Nq1h4MHDjMyPMqli5fRWhNFEfV6lf/yO/+ZsNOhvV7DlBKpFFrRTX8ptE4BY4Pgsny/xDCv5mRN08I0LdI03bjEKs6IRgh6FCelpFQqYRgGq6urLyu8qW6taKPg1y0K9nLDPdJM05Qoijae86Vk2nv85ts3F/1691Mbi8b3Nyp+xSQ8NDiBkw9YWbtCnESEQUij0aBer2dbcmkAijSNsVwLKSFNY3w/ZWx8jHw+x/r6OpZl4XkeH//4x4njhInJbfh+m289+Ajve997sG2DgYEhxsYmEDYUCy5DAxVy9hA6LRMEApVo0lhQKLqU+8pU12sEQUAQBPRX+hgbG6FWvQQiy4tGYUwctbBtl/5KnrHxQaKoSaNRJ0wgRpJKTUKUbZlMQSwjdE6TG8zhS5/9R/bjBx6WaTA1McbUxBiLCyu0Gk1Mw0IKA8NysEwHhMHc/Crnzs2wa0clU2rkHPwgQGkwTYkwzOzzSrK8o9ACqXUWgXsejmUxMFBhdXWFnVPbKcSKdqGPCydOc/nyZRKhSLVAC5usupGSnfFZNILIqFcJ0AJK5TKe18ELAvr6Bpjavp1f/uVfpr9S5sWZF5mvLXJ+dpq5xVXyfTkqA6VrIgEhBILsfe7ZfoCc+3Zsy+XRJ47x4DefwrJMfvh97+CrX/sStm2Sz5U5efIsYWxjyn4G+yfYuXMX23ZPMjo2zJXLF7nvTfeRonny6JMsLM9jG5Lh4QFsAc21KkPDA7zjnW/jwQe+xnp1tbugC1qtiDiOuwScbWHdbg56586drNfW6LQ8KpVB7r33PpaX13n2medoNFukqcI2LY4fP8709AxCSHK5HAMDmUqk3W4Tx/HGpffeQZCmMWkaI0yB1tBuh3h+B9+LiOMIIcAwbc6fPZ8VoaOI+cVFOmFAoVSi4BaZmprCNEyWlhaRhsHgwDCmYRB6PkpLhkZGGJ2YJI4javUaH/7Qh/nmgw/x0LceQ+uU1A/RClKlUTrFMEy0Vt2cqUZiU6n0kc8XabbWuXz5Mo7jsLq6mgVLUUQcxRvRYZImHD54Pf/gJ36KL37piwRBxMLCEl/96teo1epcd9312LbDU089jWnC0tI8KoqRicJEE0VhtyiWoulFqFfVBaZp4uQcEOB5nW7EnnQ/z/SaFEHv+9YrZEspmZub2yDIHoFuTo32ot4e8fae86Vk+d3yuy8dhZUt7ukGEffu07u8dCHYfNz/s3jFJDy1bSfF/oiLl48DijiJSdvJRl5UWDkQultJVkgDkjQhTRSe1/tis5EfbrVaGKZE6YgkTXniiafZu3cvU1OjjI1W2L59J2EccMN1R1ier7O+UuXt77ifgcEhPvmpz2K672Z8qkA+l2PeX2RpeZlyn0u9XsukOMU8/X0lkhjiKKHV6jAxOczwSB+lksviyhxXZqZRSUQSBZBqpJbEkUbLFNdNaPnLjOUGkDolin185bLaWmF4YJhcOY9dcLgyP8vo+EiWd9Ux+XwRpKTjRWjhUBkcJQgaDA6MEtYUzVaCaQqEaaA0WcFDaBQCoRRCSjzPp9Pq0Go0OXHyJMkhn3e84U2E+TJHH30iIx9LgjTQmAhMBAlS6o3ChhYKBURJTL5Q4qf/4T8kjiI+8clPEiYJhWKJvnI/ANcfuInqsz7HT51hcnIHVKs4eZuX7AxRWtNothAiZvf4AZarqzz//CmkcNAKwrCNwmPP3n1U1zuEkUcQSiZGK3zogz/Ctslhzk1fpFlbZLhSYMfUJDMLS4ReSLPeII4CvE6Lffv3YuzRHDx4kNXVZU6dOsXy8hJ9ff2YpkEURYRhuCFNEkJQKBQYGxtDSoNbbrmVo8diVlZn+cpXvkY+V6bT9jGkyc6dk1w8+yLHjx9HqawY7Hk+cZxsBAhxHG9sR3snsxCCVCXdhTjBMDKFTRhEaG1idLfctulQKvbhtX2q1SqxVlkh0HGRwmTH1G5+4id+gk9/5lN89Rt/ydLSMqEfgFJYjoM0TW54zc0cPHSEVrPBqrPKhfMX+KH3/hC+7/Gpz/wJqdJZ0TmJyeUsVApxlBIEIYKYNDXI53MkSUqahgAbC1aaqA1CS5KEAwcO8tM//Q85feYsJ06cpNls02p5zM8voLXm8KHDVCqDOI5DoZCnrdu02i1MBaSaJI1RGpSiKzHLntswDIaHhzNTfCF4/sQJgiAiTSKSRIFOSNXVqPWaOYTfIb/cI8aX/l16xLj5vj1ifym5XyVL0VPDfdc8cy910XvdzZfe43rH8tLXufo+Xhm3vmISljIFLUFbmZTHMgnCcOPFk1SgVVdbJwxMy8kUCqmi0WxSyBfprwzRbLRoNVrcesutfPNbDwCCfL7A2uoaDz34Td5wx2sp5A+QK+ZYvbTIjTccJlUpn//8N3n+7LdJU4OFuVWeO7aD9+y6l+WlRaKww+LCHC++uM6evbuYnJqiWvcJ/YAkFhjSZHB4AGEogsin7UuU1vhBJq1LU4UUJkJm0QVJhKFTjDRg9vwppqZ24jh5PD/kkaeeZNf23XTigJiI9dYKo1NDGKYkCmIGKn1MTozTaEaMjg/RP1Cm1ijgFgqYDRvHyhETIw2NIUyENLoFM4XAxLRtTNPi4vkLHNh/kA++5+/y7ccf44knnmSwWGbbnt1MTE8zv7yYRc9CoA2BdExMUyMTA9Eld0XM8vocLc/h7IUX+MF3vJdf/sVfAqExpAZS0Aau4XJ4x370DsHQyAjBrp3kcg5oUDqT/limxdLKMrNLVzh8eIK56jKf/tyfUqv7vP0dP8TJU89w/NRJdu6/jqMnz9Jq+djFAm6pRLnPJY48LGmwd3I7swJOnXkB18lx7OQLRJHH2soS8/OzeL7P4bceYnx0lJWVJUYnRviJn/oJ/viP/phGvUGSpggpsBwLgcDNuezft498vkCz1WZldZ3FpUXmFq/QaK7gODajw5J8waUa+Fy+fBGlUoQ0IFNGo5OQRCUkKkGhsB2bUqGE1wloNVuoVGMZFkILdKxJ45RURGSZUN2VHcpMGpgaqMRioH+YNLZoNBtIbaBFSqxSjr/wHP/tD1JWVlYwDCPLNycx6Gx35yQRL5w6xRNPPs3A4CCWaTM1tZ2pqUmmp2e4/rrXECUdTpx8ljAMiaKkm5aQpCpBq4S8cDFMC1sYJKnZTddkKQXPD0niFANBGqYMlAaYvTzDn33uc6ytryMQ2LaNYVjYVp5a1ePSxWNYRp5WyyP0fRzLwUg1WidIw0CoGGls0tg6Dhr4+z/xk7zrHe/gX3/0owR+jNQuJhKlU4QW2IaFUBHFUoF8vsD62hpxkmAKE8Mwu7n/dCNvrJRGSrFRgIvjqJv+kIDMcsua7s96Q/ba40YpzGvyyD0JV6YoUpsWgiyYyQi4F1HrTHWke4RO9/HXRthCGEjDwLAs3Hzu+0vCCwtXKJYcisV+XNclSRKiTQLtWKXE3YKo4wocx8XzfJSCOE4pFEvceustPH/8BIHn8+hDj1Bdr+E4DvVaHdAsLMxT6b+fRqNOuS+P6UgKpkV+wOD1993CynqHM6emqQcBl+bmabRjEqWIkojV9TVyrsWZM2dZWFwljBRRqvGCGMu0KLk2V+bnGJooQSNhbb1KrA2EYWGoFJVqpEyRpiJNFHEYkMYJEQHzM7PkC4OUcyUWlpfJ5YoIUxKlAavri2j2I02IooC+Yo6/88630Wh/jlwBOn6dZruBQjExOUbKIEvVecySTb0TEQYKM4VEpximyeDAAFpDGAb82Wc+w9DgIG+++14Wrlzhkx//YyZHRhkYHaHWaROECYmWaCRYIBKNMhQq1kQqxtcdjCRkpLKNbdvHkFJT6e/vfnm6X14BUigmxyoIYZKKFFkwSdIEYRjU6zXiOGVkZJTBwSFCOpy5eJZz0y9Qb3cYGZlgvVojSRMGh0Z55vlTzM4uUCiUmBifYmJ0gunzCzz40Fep9L2X0eFx9u7az3qtxhe++AUGhoZBabTS9BX72b13D8Njg0Q6ZGr3BA2vhuPYvP6O1/PcM8/RarQQUpCqtKuOcFEapq9cwfcClIK5+ZBWe4WxiQH27t2Na+UoVyqcO3eR5bklQgVJnCKMrEqfqASpNUorHNfBsiwOHz5CX6mPb379W3htD+IsBdA7IREgpMwKoUIjZCZx87yQ2ZlFCgf7Cf2UKEgx8xnpYUDbb/HE049nhSGyPK5pZYQTJTEHDh7k7rvfzBf+4ovMz83TaDQ5eeIFvvSlrzA4MMKP//jfZ37xMi+eO4Xv+ahUYBpXo0hNimFBuZw1cUSx3shvN5stklihE53VJKTN8WeOc+bkGRKtKRQLbN+xA6XB80KK+X4WFpdp1Frc/5Z72blriocfepCLZ86hdYrSSZb80mmXxEwM08SwbW655Rbe9s538j/+8A95+JHH6bQiDOGgUwFEmLZBuVykXq8yOT5JLpenWW8SRwlhEG1EtEEQANk0lh4Zm+bV1ERGzJvzuddGvFn0Sjc6NzfSDRk5i41otUfybGSkyWSAqeYqx24mYYGU4pr7955MGiamZRF/D8neZrxiEm63O6SpTxSGWYHHNMnlcleT1Uqh1NUDtG0b0zQ3pCorK8s88MADmZ5UCVCghML3/Y1txOrKGkePHqNUdrj5lusxLMnK2hKXFy6w5kXUwpBt+6fYsbfI0NQkXiIIU0GCwcz8Irt37+DQ4et57ImjrKy3yJVyjEw6pEojtEEn8mh0mrQjxeLiIp0gRkgTw7BI0gSQXf2sJkniLAeXAsJGaQeZCprtFl7oUeovY+ds5hZmQSZs3z7JyfUVpDDYsXMbBw/vJkk7pNomSiKCOKBQsui0W4xMDVAYrlA7cxmdGGgMJArHtSlX+qlW1ygWCuQdl1PHjzF97gx33HYn9959N48+/AitZhPLdjFsG6ElKQKlM92lVpqUBMNIeec77uZ9P/A2doxtY3RgBxnxGt0vS/a/0opqYxVhJnhBi5X6Kkmi2bvtAL7XwfdiVGrTuHyZ4bHhbtRgMD62g8W5KiuLDY4+9yw//EPv4rkXnmJhfgVDOgRejCEtpIBme5ViwWWttczMwiwHD1zH4OAQWgka1SaGYfLaG29jdmGGmeUrhLHP5OQ4Tz/9FGtra9zzxntYXFgkjmIqlQrVWpVms5lFkt38XxKn1GpN4jjFMFK27djORz7yI/hBh4e+9RB2V0EzMNBPaDqsr9XRWpPP5/E63sb2s9dlue/APu68441cuHCRF068QBpnEW8vChO6G29pMkmmBqkh1ZowCFhZXsZxXYZcG21FaJl2o+1uDjOOsbpdW730wNTkJL4f8JnPfJpO26fZbLG0tIxt2cSx4i1veSulcp4pY4qbb76VRx99BCkslMoiRikM3FyO97z7vbz+9bfz+//9v/HCqRMb22XfC9BhitQGSihSqYn9CKNlsO/gAf7Pf/SP6PgdvvKVr/L+H/oAu3cd4GMf+/esLq3w1ONPgL6VvlKJOElI4zi7JNluN001UkIxX2Lbnj384A++l89/8S/5sy98kVtfdztCOZw/e4k4DNHap+PXaLc7JEnCerWKaTQ3uCJJEoIw44WeiqGXnrhaBxCZRC/RSKtHyN+5aNYj5SRJNq4bhrGJgK/trOvddlVH17sfm67rLuHLboScRelCQJokIAWi+135q/DXatawbJHphMNMLN77UHrbEFOYG/kbIQSWZW38Lp/P0257aAWWyLaSgmwlEkJgGibtdoeHH3qUG286hOta7Ns9wbbxbcRRxMLiLKkuMTUxRRJKllcWieIEYdkEiUJYFk6hj1yhj917d7FaXyLRIX2DRZJY43Ui3JLESxoIldLw6rS8JrGK0YhMIqMl6JTedkRKQRTFtNttktRCR4o1y6K/0seuXbuoDFU4f+4cJ08+x67tu3AdB9O0mJlZYHlpicX1RV53680oDYViHsvVDBX6KQ8VOXHuPLW1JoN9OxkcHWWlusDY+BAjo0Pkiy712gqTkzuoLgywMr/E1770Zfr7+xkbH0eaBrVaG9u2UN2uIwmo3nZZp/T1uezeNUJl2MYtGtQ7VfoKNrZlb/xN49QHaeC4OcKkzXJ1gStLl6k1ajRaSwz1jVHMj7K2Xsd1bYZFtqVbWlwjX3SYm11lfbVOq9Xi6w98g8GRftrtANO0cZ0c589dprpcpdlqsLq2hrRtDu27Hnf+Cg98/QHK5QoH9xzglltuIVfI8cxzz3D20imGSgMMFYfYu20PowMjPP3008zNztPpdBAIBgcHGR8fZ25ujiiKWF1ZI0kUcZyCFvT397N79y5ePP8iYRjw1re8hYmpSb76ta9zrv4imbLA2Ci8Adc0AiDg5OmTvHDqBWqNKtIQJDrrduxpYg1AaoEWXI2ONUiV/by6ssrP//w/5u577uJTn/8Tnnr+CeqtxjVdXYZSG629cZIwMTnJ4UOHefSxx6nVaniej21n50oQBjz99JM0m3Vee9utbJvagWU6CGEQBAlaS2zbxXFs4iTlueeOsbZWxbZc+vv7GR0d5eizz9JeqqJTkKaBVhn5W6ZJpa+PT33iE9SbDd5wxx2MjYxy7LljLMzPk8/liMKQh7/1LXy/Q5ympElCmsSgFCrVWVNLCjt37uan/+HPMrFtG1/9+gNM7drFnXffxc3X34rX8vnXv/ZvOH1qGiljhNCkacLC/Dy5XH4joOsV3TY3WPSu94pxQmSNXpnaQmwQ4UtxVaMM6HRjwcu6LV/Ocxv53c0J3e79NlR3G/fNmqKyorXYeIxSijSKsHPuK+LWV0zClmVg2wapyrbrQhpoJfE6AWjZ1btm6FWWeytYTxsojd5WQCEwsm4ZNIZpUCgWGRobxc3ZPH3sOSLh4eYUZ148RtBuk4QBHT/lbOMUhsxRdAdYr65RKvehkoQkknhtn9WVVfr7C4xN9lP3qnSiGoa0SWWCFzfohCaGKUhIsPI50ihbbbVIs65fSaZhJCt6aRRRmKC1h0igbjmsrlWxTIvB/gGmpcnZsxfoL/ZhmpmecWV5hep6gzQVVIYGUWZEYnQw7ZR8qUwr7NCoeRDZ3Pum+3n9617P//Uf/g0feO/7WW8s8sLp5xkc3MULLzxPZbCflYUltFZUazWq9TrSNLGsPErqTH9pmJimkS0a+ZAoNEGEPPTUY1xZvUylPIyRFnnf23+MqXEHUxr4ocd6fYX1Wg3QHDp4gG2T22hG68T47JiaZNvYXiRF+osxpUIByzKIA5cnHnuMgeF+xkZGuXxxBmkIRkbHueXWm5mdW6FaqyFSje+FzDQ6SFNj2Tatdof55XmWllcQ0uCNt7+RGw4dRqkUKQ1ee9OtXH/jIR564pt47Q73vvHN/Jff+888/eTTHDxwkKmJSa5cvkKtWqNYKGJgQAKhH+B5EVJkjSOj4/202k3mnr1AX7nM9vFJHMth2/g21ubX8KRHpx3SbrezBod8jjhMNvwPtNacO3sWKU1UrDBME2Gk6LSbk5QmUgukAKXpNil19a5KZ+oAKTh0+CCHDh/knfE7WWuukIisqWNmZiYjHJ2160rDQCnFwsICkxOT5HI5TNPkR37476KV4PjJk5w6e4rF5UW8wMPzW/heB7dLuFprDGlQqfTj5mwef/zxrLjbyVrhl5eXWVhYxLYdxsYnMA2Ler2J74ddfTmcPnUGy7b44fd/ANvK8av/339JFCnSOEWoFNtUmJZLFISkWpOkmjhJs64308noxxQUKv285pZbWFpdZnB4kPOXL/CHf/iHzN21TLPaZHlpESEyKdtVn4mrHXEbagnNRqQpEKi0ax/Q/YwzUSdX0wuCTTnhq8SpuwGV7i6iPZLUWmdNI1wl2Cw6zqhUb2753XynlxKy2Fz4k91HCEzTolQoviJufcUkHMUBZmxnmkAtcEyXQMakCSRJ5lWghd5IbvdC/56xRrvTyj4sBUpKXMfFkCaxTjAdCz+JWKqvct3UdUztn6A8nKM43MeR3A1c+vJlkijAtCR9g2XyxWFmLy7z6BOPcdtrrqdSLhDHMZcvXYS4Q86yqDfW8YSPmcvjBx71oEViJljFcaq1GoFSFPoHSFomoddBCQWy+8dEEqcuhnZAWggjQSUabMnEtu30VwaJwpSRgUHGh8azrq9UUci7tJodOp0Olp2ns95gdmEa7JCEALTC8wOW15ZJ0xTHdnFcyaWZM1RXFmg0Vsg7BmdeOMbuvdtZXpnDGB4nFZo4TVEapGVimxJtCbDBzbs4diHrXsxb2I5BkgbUa2u4+QLtZsrKwkUcq48Hn3iCmw+32LNrB0EUUqmMMr+0yNLqFax8TL1RpZN4JFpRazQZ648oujDSXwYtiOIArSImxoYZHh1ieb1GJ+gwPDzMlZk5VteqdFpthE5Jo5BmvYUwLUbGBrEtiyCIMaRk57adzKgZgqjB8dNP88ILp/jBd78XISRr6yv4focoCpBScNONN9FsNAnDkJHh4SxPWm8Q+WGmt40Uq7UlgiBl974D3PraW0hVi4WlS5SLefKOzde+8lUatRZxnKK1pFQok6YphUIhMzJyc5gyuabSTrcukCSZmYywsiDClCamMK9Gv11FYJIqeilFS2b55dNnz3DwyD6OH3uOhdl5Uis7P/bv38/s7CxpmmI7Dje95jU8+eSTTE9Pc+HCBYrFMtcdvp4f/+BHKBX7OXH6FB/+Bz9GlPi4OYtTp07QVywxPDRIrdEgDH2SlEzfHBnkcjmCwEOpbFeXFbgSXLeEm3eI/RjDkhhhFhHLbgeoZVo89ui3cd0i7/47P0gul+fLf/kV5q5cACPAdUsULJfICwn8gFwuz5Ej12O5eVbW12h4Tdb8Dp/43KepVasIKZgYH0coi+eeO87Zk2foNOtABCLaIES4SsJXtbh0iVijhO4Wr3s+FVdTCJu784QQm6LbblpBbbDxBnqPMdiULhCCJLk2h/vdDH56//ci4J4cL+Pk7BiEFqjk+5wTrtVqGEYmETLNzB2ttwqpNEX3Uo2wUazrbfl6F601UhggUpQhIYEIjZ8EGDmL4dF+6kGDoNqkODyJVXBIdZFyZYi9rs1yrUao2pA4WAWDcn+RqYkx5i/maTabaLLOvly+G40bMcJKaTQbNOMOlfIwuDaNICBX6ce2XYqVIeZm54mTBkZqIc0QYgOVFsm5RbaNV7juyD7mZ+a5fOEyd77pjag0ZWluEcct0Gr7NNqrDA71U3JdVFql3Ulx3D7idIHTL55h14ExhsZHWFtfYmFhgYXFBZIQDDRp3ODsqYukUcDczGV27Bgj9No01tYhTYijkFzepVNvooXcaIaRromZs8j15XAchzhMaHg+ZgSIlFwpj7AMqq0GrUaDois4f36at975ZvryA5RdRcf3mBydIowbrNUXefHyeSZ2TTA9e4VvP/IEb73zrdxy/e2MVrZjSJtqs8aDj3yTS9OXOfHCSWrNNsVikUKhwMWLFzNScW3SNGJ0dJJCEQr5Pg7s3cuR647w9a89yJkXznHu9AWGRwb46jdPEiQB1WqdjmoRdNvKczmHZrPJ008/zfjYOMVikStXrhBFEXv27CbwAqYvTSNSgZQGqY7Ztm0nBw8epLpepdFeYml5jmZthXwuT87Ok6qUYrGYNWYk0FRNfuzHfoyjR49y/tz5jV1bkmSNI2k3KovjjBQMUyKFgSUtJCLbEaYKhUJJBV2SyFLEGsex+cY3v86Z8yc5f/lFwjRA2Flabn19fWOHGIaZBcAdd9xBp9MhjhNuu+31CCTffORBHCvP0ePPYZgSy5VoQoYGJ/jH/+c/oVAs8G///W8QhB6aNHuupNcebHS37Wzog7dv28bo0AgXzl3E83xyhQKum7/Gc2F5cZF/8A9+mh/90Q+ileb82bNcOvcCQias++sEQYJhGBw4cIAPfehDhGHI1x96mE7Y4aZbX8Nrb7+VR7/5IGurq7TbbUqlEr/+a/+Ws6cu8W9OfzTTWZOAvOrjsLkZ4qXGPZt3073UxHdyS9t8/a/SA8PVNMVLr7/0MS/Vym/+/6UaY631RrCsBATB9zknfOnSJQqFQ2wwrbiqpTMMA7Wp06XXxWLbNr7vZx+a7kbzAlIBXhpimTZYoESEYSkS02ds1w6KJYtWUuXTf/5past1gijCLBnccutNnD03zdp6G52YhL6P124xPDRIvVYlTmKswTJKxYyPj1NPGkzu38Vzx07QbPoMDA5Rb7ao1mrIRovBgRFGhkepeCFRnJKkCsMEw7IQRo7tuyYZn+zDyUsOHtnD+QunWV6fY6DST7WxwmtvuZnz5ydYOj5Hvd7C0jal4iCuM8gzJ85zx51v4t63XM/i6nmeP3mMF54/gee1M+cunXUR1deWWZpdxNAGtZUq48MDRJ0Qv+GTt4tEfkqxWKFqeWjAyeUolIqIQg47Z5AYCUnSQutsKxRFEZqYVNgYjkm+XCJXLCCVjZY+hpEgRYKQgnIxR6m4DSVDHjv6NUbGxmg3PCqlIRaNFb793NOcODvN7u3XU85XaLVqHD1+ko6XcnD/jcwvLUE3xVypVKg3GiwuL6OEJE4UTq5IPlfCNnK4dp53vv0HmBwdpd3p0OzU+dTn/hDD0jTaGbnbtsPo8BgSwfT0NI7jMD09zdjYGK7rcv311zM7O4vnZVGe1AZhGJComFqtypNPPolpSQxL43kddCoJhUbF/kbDRbPZwmt7dNoe3/zmN2m326TdgKH3Xe659mU62zRLtUkQMtvtaTIHPCWuvfQc8hCKRMVcmr7I0vo8hb5clorrPq9SilwuRxRFHDlyhDe+8Y186Utfol6v854ffA9hmPD5v/g8Xtsj8CP8IMQwBCpNKBaL3Hf/m3Ecg5mZy9TrNaSEJIkIw2CjWGnbNrt378ayTGq1GnNzcxx//nkGKwOZNNIwEFoTBAG+73P77bcThiHnXjzH4QP7sKUkiEKSKCQOw6zuIDWmIXEKRX7lV36F22+/nU9+6lMMVvpwC3uJI5+Tzx9naHiQG2+4ni9+8QvMzc3wL/7lPydop5nlQRqBjhEyvcagp8cbLyVDKeVGwLfZxGezXrf3mV6jNX4JvhvR/lWNFi/VAG/+eXNrc28B6eVDEqXQr7CJ4xWTcBq7lMsV6s3lTBMbZqtrtlLZpFqSqqtv0rIsKpUKtVqtGxVnuR1pWdiFPHahyMS2KcbGB2h5a1i2QhmCRmuJIBXEaZuVuTVqqy2U0oTLAQtL89x8y+24luLF9XlWV1YAxWBlgDnbJQhaNBotin0mA5UBlueWef74SRbml8i7faAknZZP6MdIqQiDiCDwyOVdSn1FoiQkURqVGhTyeQ4d2Un/gIUf+KjUJ18yWVyeodlaZXrmIivrSxy6bj+nTh3n/LnLXLf/BpaXFtm1c5QDe/fx/g+8i+XaaQb6+rj04ouEHY80SkmirPFBpTGzV67QqHrIVDJ7cZbh/n7ijqJZ9bHdIp7n09fvkM8VEIak1N9PvlRE5WwMF4QZo4XGwsGQRhYpa4UUYJkOrm1jSY2lU7YPa2xnkSzjlQNsgkTTDtsMjYwxNDHM3Owc9bTJ8PAYc0trBKpFcPkCSaAZ6uvj3rvfytnTJzl16jRDYyNc/5rDnDp9gkuXLtBotoiSBMM0UFrTX+kjaMfs2rWHI9fdwMWL0zx99CmkNLg4fYnVtSZhXGdwoJ/BgWG8ts/pU2eoN+rce889FAoFpi9Ps16tYts2CwsLHD92HL8dolNBkqqsMIJgdXWNfQeGSVREq9nICsNmDsNwyOVsoihkaXGZcl8/o6PjLKeLrK+vs2vXblZX1mg0GkjDwLYdykMjzF6ZAbKisxSAyCJhLbJUg+qJ9XtmNGw0jaO1xnYsnIJLoVzCMDXxhoOXRhpZNb/TbrO4sMATjz/O6MgIV6an+b3f/V2kMImjlDhNiROFSlPC0CdVMfe8/17e/pa38bu/+9s8e/RZhscmEEjCMAJEN3qPNzoK77//PqIw5I/+6I8oFYpcd/gIpUKZp554ipXFVUI/xDQMWq0W1WqV5ZUVHn/iSTQGx44e4+izT2e2rokiVjFJGmM5Ll67TbvZ5E1vvBPXsfnzv/g8i+vL/MgH/x7rtVWOHTtKvpBnaGiQqfEpTJFnbmYW05KoVHTztFeJVG1aoF5KfJsbJjZSnDpzXpOGvEaW9lJSfan/w98EL21t7h1vb2GQQmzUxlSaEnX7KP4qvGISvnKxQ/5t/RRLOXI5l7XVOp7vkentMh9buPqmfd/PDqy7OpmWhWPZSNMgkZq610AsWcTKw8mlVIYG6TTrBM06/f2jlHMVglrK2koDwzKxU4eOH3Dm/DlK+TFKlRJ20UYYUCpXKBYqaOEQhh52qDNdodasrC5jmSamadJstMjnCzhOjiAICEO/2x4pyOVcisUCAIZU5PKa+cVLbNt5I0HQYHFphoFKjtkrFynkSkiyE6nUl0OpkMWVNSp9VWrNJh9600285V2vwy4bnDi3yNnzzxHpBGFK4gAUBkgD07BZX10n9BOkUrQbbaYvXIHEIOgkCG3SqLew7RyO7YKUpECgUhzLwCqYmDkbw7WwDRNTmuTdPHnpErV96Hbj5UTI9qEib73jFvr72nSiWaQYIdEmZ2ZnaMYRodDMLc/Tjjs8/fxR9u7dT75UQmoN0keYikMHbuKG/Yd54tHHaHYC/MVVduwNaXc6eEEHaUDeckhSTapSqo01CrkKwjZYqq7wzMknefTRb2VfVK1ptKtoIhaXl6nX2/QV+xkaGeUNd93FPW+6hyefeJw4yfr+FxcWOHvqNIYwyNv9GK6D74WESUCcxghhMToywvDYADOzF2g21ygUc1hWnpyZeSqjbUrFfu65+16+8bWvMjE+xRvecCePP/EEtUYT1y2wc2onw0PDLC+uY4UJQkQYhsSUOUzD6jb3pEgpup7QoJUglRpEJuiXpsnA0ACpobJzJNQII7ukadbkZBoGaM3c7CyLCwsMDw1hoPCDEKESJCalXInYTFlfX8drRRgi4cDOvQwUKxScHI5l4LVbNFsdUqWwHBfTTDaKWzMzM/z+7/8+lmkS+gG19SqnT54BZZCzXWzT6eqFTY49eyzzaij286nPfI4/+eNPEfg+XbsdkjQlijJlR9zx+M3/38colcvEaUq70yFfKLJtdIpnvv0MVt5geXmZdqvNdXfeyT/5uX/C5YuzPPbQQ3iOkXmJJFed1b4ToW0mu14H4zUQQPfz39icb4pMN2Mzgfd+fmkk+72i5O/WKdf7WSmFbdvYtr0hqXMcp+vl8VfjFZOwH7SI44ScW8BxHITQeF47qzqi2Kyp60nXOp3OxjYgSZLMOSrMHMTcgTKT24bJF0xa7VVmZ6+QsyEh4eyLp+kEHmEL+iuD9Pf30263uTRzkcALkaoD2iFNE9aqNfZO7kIaFr4fgEhJU4GTcykWipm+UBpoDa1Wm7AbwSulKJVKpGmKZVmZ922pxNLSIg2jBfjkC5J2c52SK1hfmiENIrxOQqvewCTPhXPnePM99zA+McULL5zHb1rk8y4+Hq3OOu16jbMXT9PyA9Yb7SzloSVSWhhCIjHw237m0BUl1Ks1oiAk9GPiOKs8Ly2sUCyWSDDJlwrInIPbX6ZvsIRT0AgnKxqZppPl9wyb/Tv3YUWKsNVCpSE3HdzPrtFBqvVpvvDIA6y3EvoH92OpYeLUxE9C2n4dKxeRy7n0Dw9y7vIFbNMh6IQItcK28e08f+ooX/zc52mse2htU8z18/b738Ha+jwzs9NYppG5fImud4BhcPsdt1MoFfjS1/6S5eUF4m4Xku/7xEnSrVBLgjDCsQPypX6WV6t8+rN/SnV9nXI+x9juQZ6r1ugbGqa2Xsd1XQzDBp1tveNEkqQpTz31JONTw+TyJpVKBce18L2Yar3G0MAAb77vLXzxL7/Mn/7Zn1FdW8UPIt5831u6RknXs7ywjGXZnDx5qqsXzzqxDClwbKfrWNatg8ir29/sREw3xP2WlcnK0jhFo7BMEz/w8II2Kk4zNYTIijZxHOP7PpVKJWu3fuY5amt1XMvkx370g0xOTfHP/tkvolNFlCasrq4zOztNtbZKznHQUqBV2v3sbZSyMmOermtYmiYEgN5IHZpokVnNSimxDDM7c7vEEocBrUYTUoUUArP7nlVXG6yUohlFVNerSMvEybns2rWHH//IR1hdW+P5F05y6OABbrvlVn7/v/8+Tzz6OE++9nYiPyVNUgwhUEKQaP2y1MLm69+JHF+an5WbUgwSgbFhGiSuIfTNETRcbeHuPc93yyP30lM9DwzTNHEcB9u2yeVy2HbmLJfL5XBdd6Om8J3SKt8Lf6225VarjTRtcrkChWIOrVMQiu8kuNNa0+l0NpyI4jhGJSmO61KplCiPDoPQVKs1UhUxOjaAKUMWlhdoRwF+HFFbbRH4KUm3OWRkeAzXzqPimLxTJI5jLk3PctuNr8PJ52m2O4wM9zEy0o8nGtiOjWVZoM3MTS25ajw/PDyM67pcvnx5Y2pIFEUUinkMA9rtOpcvR9TWFyjnTAyp6XgtQj8ljtpYosQLJ07w5rvu5uChQ5w4dpFqa4Wf+T//EdOLLzK7dJl8yc68BRKDseEJkiRmaXEdoQwkEEUJS6vLJFGK0BLLsgnaITrOtriWtBgdHiWNNcoQFEp99I0MUh4awHElwooQToqwJEJblEsDREFEziwRtlvcdP1tnLn8AoHpsuBJppdSXrgY47qD5EuDXH/gJgYrY8yvLnF55TSBWOMrX/0y05dmGB+foN32CFoBKIkpTEztsLbeJO1IbNcm7+ZYWVvj4IHDDA5WmJ2dZX19lTBJkKaF4+b52gNfo1DMUyzmkZbJ8PgIjUaTYl+ZYWBhYRGBIOfabNu5A2GaJDozNKoM9bF7+3aeP3qU0bExRoaGOXXy9IYG3bbT7CTB4O///R9ncKTC/NIV/KBFtbpCo9HAtvIgBLPzc6x++UusV6skcYKUgtXqOh/7j/+evnI/P/r3PsjclXkW5xaZmtzG+loNkGglwOh2QnVPYsMw0Kbe0IVmxaSUOE4QInOrq1Wr2DkbO2ej05QoCJFI8m4h61KUkjiNN4pm+/bt45abb+G5Z48B0Nffx+yVKzTrjYz8ohitJX/wPz7OM88+SrtTI4xCksSj02wgTYt8Loc0HKSUm/w15AY5ObaNJ0McN4djuuj0qt9CT6+sU4UtRCYAS1KQGi0lKk670zSyYl+26KRMjI8z0F/hv/3X3+PA4YO89pZbueXWm1leWaK/0Mf8/Dz/7td/A5VK4jDClAapuGrY/lKTnO/lxbD5d1JK5KZmCInYMP6Bq+qsDV139769RXSzraVSCsuyNgi2F8X2yHVzvQDoejlHGIZBGIZ0Oh201hu7f631xiCLV4JXTMJKx3Q6HoWypJAv4Dh219Uq24b1+rA37q+yaKe3gkAm38i+DA6FXI52o0MY+RiWJo4ESEF/eQQZ+IS1KoODLkJkRiMTkxMUC3mq1TrNRkgY+czMtihYOQzLxHFcTNOg0p81UlxeOZdpNoXIpENZnynX33CEfM6lv79MqhTHjj1Hs9lCGhLbshkZGaFc7iOfz+H7Hisr6ywlHoYAP4hIEk0SJ2hsFhcWeP75E+w/sBdpKkzHJ2aZo0ePg1QEV3w6NT/7gySSNNDkzHzmcZymJKFP6AWgBUJLkkgRB10Bv2kgtKDgFojCGGyLKIiwLQcVKzpJxIHDO2nHdfwowBQ5ckaRwf48k4M7OHnlOC+8eJm1IMQKUh47fYz56UsU4hzbx/Zw92vuZbzUTxxq0tIQZmEPj564xPZdu/GChCuzc4wPjpMkmrzj0ukExF7Mrbe8gXfecz/nL53j6KljnDl9lsntA+zavR3LsqjX1ym5RUZGx7FzOU5/7QEs22T3nl1YtokfBGitSFQ2eSROFK7tYDsuYRzht+sIy6DS15+dJCbc/qY38tA3H+TM+XOkaEgTbMtBGtkOZ8+efRw+fB0tr04+77C2tsjKyipRFNDfbxInCW3Pp1prIoSBSlNilWT+B0ji9Sof/4M/ZGpiG5Ef4bW9blNFNh7KMLLvDggMs2vT2N35qbRrZqUsQCC78rQojrFyFirTdJLP5SmWi+RyOXp+Aw4ZYRrS4MknnuD40aPUqg0MYdJsNvnyV77MdYePoNKku+XNI6VJo1FHqWhDO9tsNMgXS+RcF9vN/AriOCYIskKda9vIrtlRsVhCaINWo4lEIoVEpQn9/RWKhQKWNAg6Hl6rjR/4xDprw81UI93crMzy8JnM0mH2yhWmZ2a47sh1vPnue0h1wv/4yy9x+cKlbP6daWCZmYWnaUiUZWZE3l0AekWtq40VLw/qNiwoe7nfTf6/3bM76xjV+mq+Xlxtb+4Fg2mabkSxlUqFfD5/zeKadeJFWRt5dxJLNsnkqonQZjMhyBbhnhoFuIb8v68kLKVJmmQmPlKaKAX5QuFlurkeNof5WVeODUKg0ESBT2d9HdOxKBdylPqKJFFMnMSMjo+S1qvMtpcgSRgfn2BodAitQ7bv3EGq28wvzSIog3Tx45DT588TJwF5B2ZmL6JlG6MsWJhfQiR0Z65JhkYnue6Gmzh37iSr6wvYlk2pnEfphDiOSNKQpeV5avUcO3fsRhYM6tVVQs9DaE0aZWY/KEGcenhxwrFjJzhw4O8yNTGO62jq1Srra8u0Om1K/RVsO8fQ0Cidjs/szAqtVhtTSgwhSZNsF5EmKaQGSqUoqdFWtwso1hiYiDTBFJLxkVFcw+Lciy9y/Y2HkElKyXKZuzTNa25+PXGYcmj3PsYqw+RvuoVIhZSDEv0TA+h8xMCwRS4uoGuCRrtByXQQoaRSLlBvWghZpFZT5PIjTO1wqa9WSUWM1B71ukdODnHPPXdzw/UHGZ0c5NbX34ppSj7xFx8nV7Q4cfw4+/fvxXVyHD9+Ej+ICFsdIktSbzQwbZObX/Mams0mjVYLz/do1VtIFCMjQxw+cpALF85li5CQrNUa7Nm1D8t2cJwck/snusUOgWm4nD59jmJfiR/8ofdz4oWTXLh4lrW1Raq1NfL5HH39JeIgotVs02p1MJA4dlZlj6MEQ2pUIjENRS3OGnDiKKW6XsULO2hJ12/AQOtsCz84WGFq2ySL8ws0GtlQAiE1lm1lxJCmICVm0SG2BVGaILTAsS1iFSET0S0aWtimhXBykGo67TZRJ8QUBsVCCdW1qwzjINt1FHMIAZ7XYn1NI2QWvUWRIgg0ubyNZeaxTJNSsYRt24RhRBJrfDMkZ1tEYYCITaLAR2gYHhmi1WnSavg4lo1r5zCFxCiYCCVIIkXHa5OqbBHoZRyTbuAlpOT8+XOo7i++/Jdfwu94DIwN8szRp2l7bbRSGMJGiIhEZ3afQoMhDaRWmKKrkLDIXNWU6s51vLYFWXR9ycVL+KUHrSFFXZPrlV0Nr2Vl48Ucx3lZ6qHZbG7sgnv5frjWO3izS9vV19MbbnHARvv85g6/76XW2IxXTMKm4SCk3BhQGIYhjm1jGGbWLsrVFaB3HdgwRU5RgEQLRafTIYgDSuUyOZXD932SNGJifJDl5VXmlhcpFEqkQcryYpV6s0GxXzIzfz5zVdI2SdLGlCaOm2dhcYmBwX7q1X7Wa6uEUYRqxZu2OwZSGoyNjdHutAlCH6lD6tUqhqEw7azjSZqaJI5oNkLOnj3L8MggwyMTLM0tEgYhSaIz+8Pu1kehOHrsOSYmpzhwaC8XL7zAM88+y4mTJygUizi5AtV6g5mZWdbWVjMvW9vGMgziMEuLlMtlWo3WhrJEiEwKJYUkimKEJTCkQX+xTCmXp9FosjAzx5233YKloK9YZjlXYsfQMB3fY2n5POu1y5TdHKYBjh3htVq06kvYBZey47Dq1zk2fZT18jYO7T2Mr2MeePJhLi0vccPNt5GmHdZr8zz37DEG+ifYs3M3507PMfPiCp/80p/h9OU5NLmNMdMiIKXSX+HxZx7NOggvz7Jnzz4qlSFEvYmUmWXn2NgYWkIz6ZCYitGJYZIoYnH2SpaXFIr6+jqu7ZDESTY7bnCEhflFnnrqGUq5PP/6V3+NZ595iieeepJGvQ0oXvf62xgfn+Shhx7i1KnTaBKEgP5yibxbodVqEIUpKlaYpoFOdCbiTLMGAKFShClIECwvLRNFKb7ndWcDZkb6WWlKsHv3Xm6//XXMz89y4dxFpDQQImsXFiLTsXqBTxhFhFGIFJsjPAu4OskhCiM8lUVVrVYL3/MwpZlFqlIQeD5RFHP+/HnCMNzYRrfb2e5RGhkxeF5IEGWDDuq1RuY/Ui6Rdwo4pkvOtXEdm9D3kNLMEociWzTyxTy5gkspX6RUKpFzcyRxDFJguy65QkwQh8Rx8DLy6hXENjwWBHiex8LCAkNjgwSej1DZrpGugXsvRbA5qsy4xeieF/bGcF1eUgzLlCLxy/ild71Hkj1NsWmY2F1/G8dxiOOYtbW1jdfuPW+PNHvBYk+XvPl4e/fdfDwvRS9C7v294Wojyl/Jra/oXoAhc0gpSNMo68TpykIy04quwYaS11Q7N5sr9+was6kPCqkkKtY0q02UVDi5rLCUBpk2MkZRsAuUSn0oERGFPolWxEnW2RbFMTruMDO3wJH9hwhChZOzMVsmhXweWYJyuUxjuQ0SBioVtNYsLS3QbNW56YaDvPD8C+TyDoockW2QJimRmYBOSeKEpcVVyuUS0spBqLppF9mdWiHQUuPHHt9+4nHe+953EKs6Z86dJZfL4Xkex44dywwquymZoaGhLAoLI2zTArqdQqki8mO0AiFMTNMCkf1OINBoHNMiDSKWZudQYYxUGplqTC3ZMTGFimPSIKAdtECmzNbrpGGAkhGJjEhlilUosKrn6MsNYRXzWBXNC4vP0U467LhujMmDA2jtUe53mZ2XCHMPluXQVyhwnbOfwwdvZKQ8SbFUzubsCY3vt1hcnmNqapQ7b7+Dc+cucPeb7uXCpUsU8yX2Pn+cz3/pLyiX8+zev4eYiIX5eVbXFti9cxfX3XiQuZlZFDEz05fJ54q02h5aQ8EtZV65StFotXngoYcIvTZrayusrKxz0003MDExyac//QkuXbpIp+NhGJIjR47w5vvuYWJ8jG888HWazRY5J49OFHEQo+IUobLRV0EQIE0DM5akqSKJu/PMUt0dHe8CElMYlEp9NJttVlbWMAwLy8wMn6IwIlVZVdy27KyJzhDXtPL3dMq9kz4MQ4K2t9Gs4bouOlXZVJEgxveyXGNvjFjWyisIwwghUyA7t1Il0ULidXyW0lUkGttxyOdyvOsdP8DeAwcZHRniE3/8x5x78QypSDFtK5sILhSB79PxOuTdAp72suOTIlt8ZLZzfSnpbf45I/WrRPXcc0c59vxRVLctWafp1TTBS6LLHnrFrI1o1zQwDXPzi2FqhYyujrV/aVGvl3bIfI8LmIZJsmkYcRAEG599T2+8Oddrds2UetFtj7t6ufJeyuSlqYiX6pV7119pKgL+Wt4RblZ91TFJEmM7DpbtoFS3W6Q7M22zM326yXCjN2QSNKlIEYak0+4QJRFjk6Ps2rMLxzG4MjtLGMWkaJSVYFoullui7cV4QYSWsmuaIlEKGq02YRxRHB6iXuuaBRUK4HbnTAmJNE1sJ+vC6iw3yOcEruPgujb5fKH73ixqtRpRFFDMGySJgWlY7Nmzh8D3ee7oc0RJBBpec+NruO7Qdfzpp/+cJI6p1qv4YZvJbWPMz12mXm/QarfBMNFakKTJxh+6WMymK4yNjPCG29/Aww8/zItnzzI3M9c1hpcYhoU0si9cEiQICV6zxdryCtWVNQb7+rOW2yhicXkJ07JZWl7hL/7iC+zdu4ebb7oJI2+zWJ8lCEISFdDx60hTUyxWoJzQXqmRei2MvEndrxOmIfWVRQpFSbnPzsagS0G7VaVZXaK2liCSPt544xvY0T+IVimJAW2vhmHEJCLmqWcfBSV54qmHSVKFaVg026sMj/bhh3WuzLzIvXfczVRlkC99/Susri2DkZCogHrNgwRWl9fwuuqQSxdn0ELSV6lw8w034YUhXsejv9JHf3+FpeVFZmfnWVlZwQ+aQPZ9GRyqsGvnTpI0pr+/D8uw8BNNEsboVGWt891dTRpnY+3jNFtgBdnnnqWKslbWTCmR8vzxk5w9ezZTSzgOw0NDrK+vE4Xxhg2iNCSOsNFWJsVUqeoW4oxuwCJwHJu0SzxBEBBHmc8KCvw4zMyxlCBNFcViFqWurKygVNaRpbRCdAMCKUwU4PkBfhBhCgPHSZma2M573v1DPHfieZ584inGxye4dOEC2tI4lsPOnTu560138ei3HuHUiRdIVdpt9NFIM5vAjby6td8gmJcV4UVXIdWL/lKSJM4+i25k2SsM9poZUnVtQW7jNbrdfjpNSOXVopthGPRV+unrNtJkx3EtjK7kz7QsVJri+35XhhpuaKd7RLl5Rp1t2xvNID1v8WzxzcjcMIyNvHBPJZG9z2sXgV5u+3+GiF8xCSPaFAsDrDeWCcOIvNtH24rRys4m1VoJqeyuBr0BlkKAkQ0JVJ0AUgu0QSpSYgXIhEJfib6BQfwwpt5Yp932OHDgEG4pz4ULZ4h0wNryCpZtkSTQUw5JQ6ClIEURpymW5WBIG9vKgc60mKmyyRcHkY7L8OgoA30DlAZ2YVpQbzRJVIplGehUELRDRAwqyrZixXwe28rRafq88Q13MH9xmenqNFJo4iDlppuu57FHnmJtbRHbCnnm2MOUii5r9RXazRiQGAK2b5vCtFzW1qsMDQ2yurbK8RMnMA3J0vIyo8MjWJbF1NQUCwvLRFFMqZzDdLLoJ/Ezr+OkW2EPOh7btm1DIgm8hJnZGRCa/kqF5blV3vj6u7j1htt57ugztFY9TNsliTXVlYRWp86O7RXiThthNMkVTYoyh4o8OvV1vFaNsCOprWQLnCEtoihFawupJI5jkFgxf/nYVzETxeFD+5hdvoztSCxcfD+k2WywsDhPkmYmT5Zrs3f/zqz4mgQsVxdJogihY4JWAynAFpJmEKBSSbPj4bU6WIaD4bi86e67ueWWm5GmQewFlNxdSEMzP7fA8OgITz3xNJYyGCj3EQchYyMj9PeXefDBBzn+3HFajRZh4JEkEZB2c5JyY2BomqYIKbGEg+PYNJotdKo2trA9i8kbj9xMsVDE8zpcunwJ2zUZGB6iWqtlEZJSJDojGQ3ZqCqV/d3S7gw4o5uu6+srMzgwwOnqaVScOZI5lkPHD7GcHJbW+B0PYWhs18JyLVIybbJpGAhpIkSWCtE6k9FJnXkWKJESRiGLK8v83//5P6HShN07d/DhD36QZnWN4yefRxoGSZjwwFcfYHlxKYv2NV3yBLTKxHla49gWlm0TJxFRHILWJL1mlS4Zpl0TIcSm9t0uYZVKJXL5XKauEJlp/mb5WI9Rlegat2cvn/mCZmxGmqY0qrWN9mohBHbOxbSsDcKLwpAkijd2FkpnU0SUSjJzpe6cxWxXamfplO6xRFHUjaCL3cPJDIZktxibLTRQKOQ30ik9mdt3ajj5XtrjvxEJaxJarRbZ5NXMNck0bETX0V4pnbVudl88UVnexXZdxkZHuXLpCpmLn8y6XGSK6UhuuvkmBoeHWV5doVR26RvqZ2LHJFEaEYYN5uZniJOAvft3kS8W8XwPLwhotkKi0EKnEdNXLrNvxzi5YoFhyyZMY7w4YHBgiMroMEbeZWBokDiMafttgmobQ8DE5ARPP/UcUQBJoFCJZnxyjIuXp+nvczh86DBf+fJXmBrfyc033870pQWUSjh16jT//t//O/r6+nnvvXdh5UPm5+Y4e+ocwnK5/Y334vsBJ194nisLCxzafx1//8d/knPnz+BesXFdi0uXLvH4449TyOcpF0r4ns/ExAQzV2aziLlQyrTWbR8NmJaTTQDRkuZ6ncVLiwwPDzJ3dh7TkohJzY6hCQ7v2MfS9Bx//sk/Y2V5hfvech+dMOTsySsgDfbtrDBcGaAykMOxNOtzq9Rq69TrNQIvpt3y0SorxDqOjTAMEiWIE82Pf/iHuXz+NI899BiOIXnkGYljgybuzh2MaTY9OoFPnMYYtoUduYwXxyj3lYniiIcefoCCm8drtzGUwOtkBbOx0RFeeOEM5b5+7rv3Lp5+4mli4MDhvQyODXNldobxoWHGKoMUHJuF8Xk+/YnPkHZ8gjDl+htvZHx0jDe/5X6On3iep596hk6zg06zzkStUkTP9lNk1ou97aUUYNk2ppUNE929ezc/9mMf5mtf/Srve//7uf7I9TQbbdbW1piZnSVIIzyvRaPVxLCyqnuURNd0dkmtUCLzpU61whCZhWscBSwvLjM0NLgx9KBU7MO2HFJlUC6WCX0fv+WhUzClSaWvn3kxRzbFWHZN5Hu50KuNUj0orQijkFa7RRwFHHu+yt13vZG3vPU+Ll48j+u4WEIytzhHHMcYlkmcxnSancxPGROhs0KZlAYDw8MEQYfVtZVsRpwSmX/4JuL5bvlPwzAQUqJFmnkqaP0domm94SgHgBBXI16tEVoTdYtnGyker5M9bzeydWwHtOrOcVRIIdFoDMskZ5uYpiSOEtBgmNk08jTNRloBDA5mk6jb7Q5aJyjd9WiWkC+45PI2IPE9v9s2f636YXPx7m8tHdHpeKytrTE66XZXOQPHsbs+wxYJms2eQUpna4/hWAwMDnL58hW0FEghyRdz2GWLXA5W1tdp+h7l/j7afgvPb3LjyA0sLs8hZMqOHVOYZmahGUUdpKGQhsKyJVIILExMV+AUHETHotlo4HXqJDLqGtALRndM0PAbKJXipC5+FLJtcpy5mSssrS7TaWhIbQ4d3IW0MgexycnD7Ni1D2F8g2PPn+Cdb383fZUKXjuTB9WqTVQqsBxJrpRQby+yur4IcT/NRsQ//Se/yGf//JN89k8/xdHnnufixWne/o77M1/e4SFWV1dpJNm2KfQC0iSl1ewABr7nIzdyxtmn6uYKmV1lCjpMsRMTO7UJaj6OY6P7E8b6hqgtrnGx+iL1pRqJL2isdnDzRUyVx3aKOLJI0Il5+uxJhgdKOLbN6lKNdstDKJOCUyGMY6I4odUMEUaWzsnlC0yfv8ji4gL5QpY2CcKUoco45y+cpdFcR+sUYZiZmZNjUBgoUekfwsnncFyX0dERwk6L2lodUkGj5qFTTaUySF+5n+pog0PXHeb6669nsFJhrbHOwtIMwjQIo5Dnjh9luFxhuNjHow89QtzxIUpJwoijTz9DGEdMT0/jxyHtZhupDaTuFot1t6NNZRaGvZPGMAxy+TxOIUcSJ4xOjLP34H7WquvcdOstOLkcD3/7UdbWsiG1p0+fZnp6mkqlH6EhjGOkbUIsUPG1+UqFyrS1aJSREqQKP+jg5hwMMhvYJImR0qSvz2Sgr8zqyio67dZMoohOs0PdrpO38yQq3RRdZQtJZtGYRWtSSErlMmZXH2/bNoiUdqvJ7/y3/5L5/0rNrt07mJ9ZoOO1sfMuiMxrI0pDTG2SRoo0zlI3KYrVtdXMOrLb5CFeVqR7ebNFD2maEidZNKrQpFp1Zytem2vuPZve9L/Y9HvVG0XUe/7sSa7qnC2TXD6PkteKAwxD4LgWqJQ0iQjDGNn1N+9FtZA1cNi2Q6/tXAiBacluy3qmCbftHGiukaxtVkP8dYh3M14xCQdBQF9fH1NTfdQaywReuiH/kEIiRNZh09ujyK5wRaEZHhth34H9nHr+HLm8y51veiNGQWIaCQcOHeD4yROZokFA/2AFYYBtSwpFp9tckeVzsgkVIWEUg7CZmJjgtptvR0dwafYyUeDTDjyCMKDeWmVhfp73/sgP0z8xxBce+BJ9lXJ38qsmiCJanTbDo4OUCga2LPPa193C00e/jeOWCWNNnEZIS7GwNMt6bYVtOyYQDPOud9zLqZOXePbp0zhyEoc1OuspOjBQkeKpR5/hvxc/zoc/8iM8/MA3WV5eo15vcvbMGV73+tdQrVZpt9o0S2UCz6PdaqNT8DwfrRSe7xNE2ReLblFjbHScKIoIvJDICWm3WuRyLtX1dcbGRzCkwQMPPMCTTzzFffffRxJpglbCwpUV9h/aT+wnOK5i5/btlMoOk+OD1NYWWVleRSc2BpqO16Zea27YlcY6ZXB4kGK5jDQlDz78LaIoJvISLPogzlFfqKJ1P6+96WZOn3uWYn+e0lCZ5eYyo1NjTIxup1GrUqvVaDbqOEaO2K/RasREvqJcLFFwBhDKYPvkLqJAsbS4RhhkeeHR/j4WZ2dZr1XpNJrsuG2Uyugwhf4yrU6HVKXYloUGDNPIpmTorDMLlZ08QnVNv8kiSC2yEyaXy1EoFLBch1hohCGxHJu5uXkWFpexLIul5WWmp6dZr60zMDhAPpdHmILtO3fQabWZvtSk4/skaXrN/DIpBUKBITLPbHQ2cDbyM3Jr1ZsEQYRAEEcpYRDTX86Txik526HQl6OYpjRbTdZW1rITvCuTgx7xKno+uaKbj87lcpT6+9Ddwq5CYbkmtUaVdrOJadvU/TaNoM34zik6XocoCBGmQJqSOM1y1ZEfZh67ppWlgpKsjiOExuDq9rv3nl/q+bC5UzbudZGJbrqBzaRNlsbokau4SsfdZ7t6326r8ndCFMekXufqcQhBNvVJEkQJQmssu1dk06QqwZDmBnEGQUiadouyOiFVCakK0TrZSK3k80WGhoboNWP0ZG29z2CzIdHfSsfcSqPD40dPkB+5gcRwiGWHxEjASgniJqllo7sznAQCxzC7/REa4UpKw324Ay6T20fpxA1a800Cr83q+kpWhEIR+h6W6eJ3PBq1Ou26z66dO/HCNqvNdYIoJohjpOGwd+d+brzuJmYvX+G5Z44xVBnkwL79GIbAcjRFI8+kHGetschcbY7hwQGEpQm0j7QMbMdhcGAAKTWkLqXyMHuP7CNQTYaHdtBpmNRWqkyMjLCwNMuly6e4447XcebsUdabyzS9Os1mgEymeOONb+abn3+GpLOCjk1IIx554AHuuev13HjkMN9afxTTlAwO9vPUk8+g0pTB/gqtWoMDBw4yMzNDu93Gdh3iICFJssGMadztMNKKerWaTe9NE/oGK5iGxamTp1CpptloUVtrYhsOKKiu1ImCGE1mIt5ue6Alw5VBzO7fJwxTohAM6dJurdHptNEbbaUKxzUxC31YjkO1VttoQ2/UG6SBScE0KeUHGNsxxY9/+AfYvX+Q//Cfl1hrrnPy7GkGpgbRhmBucZag4yNixeLsPIQpKpaoSJKEgqXGGiuLa1Qq/Zimyb5De1leWqbTqGO4JmdfOEsQJrzvh36YVqPBUGWI3ZO7SWOFHwdoQ0OanbA60ag4yU7fFFCKVKcbZi9CZMQousMipTQIwwgvjBCWiZtzSVJFrdEg57iUy2UuX76E7wfknBxBx8fveFT6+rOcrRDkiwU8P9uNxFHUNdLpbrkFmWRKZ1GbEinSMkkSCAONbV4t/kRhjOe1GRkZRigIOgFpEmbRdhAghGB8coIDhw7y5JNPdLvcrCzKTvVGF6HX8UmVplDKIw0b0zZx3TLWQD+NVhMdRxzYv5/9e/fy8MOPoFSaGT9JE9NQ3SaFkCROsKSBaWaTm1Nl06jXuxyprllwXirj6qVNAMIwRhgmEkESZ63dWaD6ErkbgO7NbRObNL9sNGlkL3NtBN4j+15evte9KGSW9jQSgWEKivk8rml2v8ceeSvPYKWPIIjwOj5BEOJ5XrfIqDFMk3w+T3+l1PVpDogTH8M0qAz0EQQhQRASR/E1C1DvuF6pPO2vRcKdMOKFsy8ytTfP0HAJGQcIE0xbYliCRGaRSK/a2Jsx5+ZzrCwvU282mNg2zuSOCQqFPHHq02knzFy5wq6d23Etk+VWk5WFWc6feQHbMUEIZCpJdIRPSJD65HJ5bnvt7QwPjfHEtx/n7MnTJFGK12nTVy6xa9s2isU+8tqlb6JCpGJs2+Gmg3sQdsLzL5xGSBvfbxHGbV5724002z4dL2atPU+tPcd6NcVMD5G0C7zmuptwcpp9+6doNFdYXpmhMmRjmpoo9vjm1x/h7737LfzIez7Cv3rhXxKEEkREq11n+vIl3vb2t/H0c0cRhsKwNIWyy/L8MuMjY0xMTOD5HmMT40zPXCFOfJy8ixln1fG4VzgSgueff35j6zO/sECz3iIMQtCCRr3JOe8yjtmHFOB3NEOVSTqdNnk3z+WL5ykUHCrDfWzbNcn88gyrtXVErAiDuDvq3UdIA9MycfIOfZV+Gp0WKwtrGNLEMGxydgmjWKAdJ4jU4p1vu4f3v+9tVMouQdLmB975Hj75+U8wODTCba97PcMjg8xfnqZjdWis1rCFzVp1hSTW2FY2dipOYgr5HK95zc1Uq1XOnDmLYxsUbJeRiXEmd43T7ng88tCjTI6Ps2tyN2mouPHITTz56LcJlY9WKSqFNOluWTfpTDfLojYXWrKiVjYVI0lTTCGywmcYkqRZNFpdrwJseCanScra2hqdVgetsjbVer2etb0aBiMjoywvL3dzhkm2APS2xjIr+BhSkmiVybEEGE4Wjak0JQi9zMs4Smk324RBdHW7i6bRqDMxMY7jONkATJ2lIBIVUS5nRvWddpvVtRUSHePmXMqDfdx55xvI5WwuXLyAY1pcf/31LCzM0/baCCVwTAfLtkjjhDSKupGrQtFL2yjSbgdZRjJXp1rAppTBpmaFa8gxSdBCdgk4Swm9VL63Waeb+aLrja64zeS2+frmZjDdTSNopUiTFCEzFUaSQMHMinhBENBoNLrFWEHOdcnnCiRJSqeTEXDPAN+2NfmhCsVieeO2KIrQqoNp2lljlep2+3V9Q/Sm79pmr4rvGwlLKUi6utVyuY848TBsA8M2spmRKIaHB7vNGQrHdcnn81l/daeNqTVXFudZXJxnbGyUlZUVOl4boRVp5FEuFpHZiALSKKXZ8rFdlzoNUmI6KsApW9zw2iPUq+s8/K1vsbpSIw5TLMMmjANm5mfoK5UYHChTrJRppz4z80uU+kokcUS7uUrOkgSdACtfYNu2Cc5ePLVBOu14mXxFMDw1TlrfzT1veB3791h88i9+nxdOH2V2dpWOX6Ne7aeUG8Q0Ay5fep4/+cM/5m1vu4uJkR1cvrRAIkIQko//8ccZmRgkJcWxDaqNKkqlXJy+RK3aIE4SduzYweDIMI2gQxAtEQZZ6iX0Yyzbyibxpilsygd6noeKFbZlkcu5JKmBFDZaGUhtMDe7QrFYxM3l0CjarTrbdozxhje9lk7YpK/Sxx5nL2ePnmB9vUq73cmkhghsKxsgut5o4fseQhjYdgG0ScHuY8++66itBri2y999//3090li5WHZJtu37eCOO2/n+QtnWJ5d5Mr5i4StNrEX4UibklMgKfaztlpD6ZhyoYTR37ch27vvvvt46JEHeeHE8+hUIlKT9aU6YRTSqNVZmVnmyYeepLZSY2VpCa/lkQQJSZoikECm++z56bqu2y0mX9sO+9IqtmEYmUFNmoIhMbpi/Z4lpO/7NBqNq9vrOKZaraK1plAo8M53vpPQ9zlz6jR9fX2ZvldnJB2GYVeaWMA2rKwzDIWVM1GpwsoZlEol0NBXKlCv1mnHIYYtUGGWRxUiixY93+frX/86vaYEraFYLPH+97+fs2fP8vDDDxNEIZqUQiFrk3Zdl7Nnz9LxWtlMPa35L7/7O4Rh1FU4aFKyVIOWGkyB5dqQalDZJPNqtbrhcZG1/16bA32pjO2l5PrS6FW9JFLcTFYbrcamcS2Rf4/Jxb37bf67ak2m9bYkxWI5q7m0WgRBuCGZtQ0b07AwjSxN0cv19sYsRVFMrdqgVqsTRn63KGp0m3MMoiQhIct3p3SLsum1MrhXglcuUUMQxTFRHOO62cppuxZu3kGagkpfNt8qq2BmjRnSEPidNu1GkyCKNvTFM7NXaDQb5HIuO6emcAxBGvjY+RyDff0EQcTQ0BBCGiwuzBKlMYZtMDW6g0vnZnnxxbM0mg0ykYyRGYtrzfLqMqSaQ4f2MpIb5f/P3H9HWZZl533g75xrnw/vMiO9qcos77uqvQe6G57kIrk0HDpolkiA5FoUwVlrRGmEoQiSGkmUSEikOKMRxSFBsBsA0Q20Q5vqruryNm2kiYzMDPsi4vl3/b1n/jj3vXgRld1dLUIanbWyIurFM/fde+4++3z729936eZlekkfyzVo7rY0HS1Iif2QiYVFZmen2GhtEqQ+dipRqcQqmpTHCnhRRq3icnx6jk899VGW3nyFghLsNPus393iwQdmMM0+ftblX33xX/LCK99mY6dOKlMyoV2cW502XtynMjaGYdqkmeDkqbO68UUJ2u02125cpx8FzB5eIEOwcmOFNMswHYswjDBMA9O0MLK9yTqYkOVyhWLRQRqCft8j8BIcx+Xnfu5zfPqnPs6Fq+8SZwmb9Q2C0Gflxk0uvvsuSEm5VKZWnWDD2MIyY+3DlypMqZXTur2O1tOwi4S+5NDCUTo7HdqNgInqHM888wBjNRcvarLZ3aHV2yVLQmbmapwWi1y+cpWo32eqMoGPR3O7gSMtXKuAKbtkSYzX7+IUStgTE6yvr1MsFrEtm3KxTOKnvPbyW3S8PkkaYUkDlaZ4PY/Yj5ACkijRNKRBZiZkzlMdyE3KfcF3MEYfE3mEU5lCmpKJ8Qk261vESTLUGxhkQaVSaXizJ0nCwsICk5OTWn8AwbFjx/B9X7vQmJIkjYe6ArZlYxkCu+ziVgoESUjgh8zMzlAul9mubxOlAaYjQWocV/oGqUrzgph282i1WkMDXdd1efrpp2m321y6dAkAx7GwC2UqtTKWY6FyMfTBcQgp8XOVtShNyGJtK28IHfSkqZ9DqrSUQBih3ZyTIQas1HubN95/FBH7uuFGr8eQcSBEDmmIfX8bfc3gHCilVeviRMcmGNwnBpbj4rqajtbr9rXKIiqHPKDveajtbaTUWfKASwyarre1WUdIyLIB7UBDLXGc6mxYQCpS3YCWU+pktsfYeL/j/VPUREAQSIJ+AaFKmJZDuVRmfGKcuflDZNKm3enRy5XTUiWQho0QBomCWAmcUhnLtOh7PmNjExw9uojX6dLcalE0HUwvYW5ulg889RCbmxtcXbpMEkdMzU7hqxjLcNndaRFHCjARSpEpiOIUwzLI0phGp86dTYvdYJtuv4MomoRRRK/rI1A0djy67TZHFkIuXngXS5g4RZM0DkmRuoIq29hjPULVQ6E4e/w+piuzLF24RdRL2d1uYpsmtbESXm+HvtflytJVUpWQygSUicDGMJwcminiOA6eF/P6a++wXd8mzAsi958/x0Z9C0yTsbExipUi2/VdilaRYqU07Ogpl8sYUhJ4Pr7ng1K4rkulWsayJF7gYbkmk1NjmAXFWnOZqWM1Vtd26QQhXsejUnKxyTh7+j62t+qsraxTsmv43YSCVSFLA6IgpVgoIl1B3+vT63YYG5ul0/KYnj1EHAQ8/vgpHn7oFFJIbLNAmoRkIqQyZnPrzjJXL71Jc7fN/PQhJitTXFm5RK/Zw0PS7fcxTQM/iYmCmDCJcQoO9rpJuVLEdSyyRMs9Ft0i/a7HR577KHdu3+HypUtEQUiWpJr1mSmEMJAITZFU2dAFPMsyOp3OMCMZzaoGvxu5qI0hpYYBkpjN9fXc+FX7xsUqRApJoVikWq6glKLb7WI5LgXH5eTxE9Q3t7h96xZRFHH48GEMw8jb1LVVkhQSlenbeKe+Q7KdYrkWwoSN7ZSKX0ElmabrSZnf2BlK6AVGZCCFoRsqVIRQgmKxyKOPPEYQBHz7299me3sbpTJK5SKlalnDC1lKkoZaRB4d3NIs03imH5DEMVmckcRabtMyLe0agsbNR8/ZIMPUgdhESjPPxrOcgz3IjEeMNfOAqLIM8rbuNGdJjHbZKd6L7xJqSluq9rQkRkeSZli2TaYyvFg3UQk5IjtpWBimxqL7XY/QDwHtpyeFzBkyAs8PESLeJyQ0OO44STBNA8tyNBKQ6qw3ylLttyhyIQyFZowgdMNVfqh/7M0aUdRDZRbtRoJjj2Ma65RKJQ4dOkQaw627m/R7Hn3fRxoGqTDYbfe06aVlUanVcJwCpmEwMTlD0XUJ/Bi/n3Di+Hnq63UMYTAxMcfbb7/NZv0OC3OzfOZzf5Lbm+t85Rtf08IhgGnZqNBHSgNTmMQqI0IhpcKwUpphm/HKBJMVh0QIPC/g7t11RCaI44TpiVle/P6LHDmxSKlSoWhbdPwmlmPjKUkYdZid7JIZbWIylGETBIIwVFhmkbgf06y3cYwCMrM0V1MoraNrSwxpIaWjxehdE7tg4RYcqpUKY4cPc3j+EJ1mk6VLl7ly8TL3PXCeRqPJ5Ng4lXKZTquNFBK3oGX0DGlQcAs4tk1cDPE9j2KhlHf5aWpYkiZMTE5y/sGTfOSTT5NYIes725w9ez9PnPsAIkl56c0XuXr5Cndu3ebKpcucP/sArWaL8coEn//sc/zel3+frd1tTFFjqjpBySxwp3GHftJm/twprl+9yRc+8wk+/1MfwjK1Dq1r2EzWxsh6ATeWr3Drzg1saSJTyeb6NiutDbxeH5EqkiTKSfN7XU9KZezublGvb3Dx4jsUCwUeefBR7j9znpdefIWzp04zMznFG6++RhxFKKWJ9MOsSAjdAJTGZNn+ltLBTT74OcD2BtnVgN1TLBZ1ESfXQTZLJkqKoQ6Bn7MffM/Ls1qthbC+tjZ0K+52u9p7cHMTwzCYm52j3W4RhTpAKKUwLJuaO0aURdTGqthFk1ClmrmRKkI/IUs0Tj/QLiaLkRiY0hgyj1SmmJud46c++1N844++SRRFWJaFYRhUKxUMw6TvBYRRQBT5GJaBXXCRGDl+mer27SSBTBKHKWmUoRzt2adZWXsZ60G9hjRVQIbrWnlmrDtj9ZP0gjO608jSVGPig/cSWvv3XpzaIWQU6+aKRKm8Q3Dggkwumu5SLJe0IFgSEwWhFlvKF5wkSQh8f68lWoJp2vu5vRp8JtcL0NrWKcPjNgyZty3nYTLnlw9aP/I3QahBb4kYNqwcFDT7UeN9B+Ek0XJk168vsXLrOHZJqzi5rm759T1dLXYdh0zprppYCKTrgjRwSiXm5+YoOjqYdFotmo0mY+PT3Hf+EbrdN2nt7HDr5irVccGzzz3NIw89wjsXL/G9l3+ge93TFKfgYll7fd6W4yKl0kLZElJL0k8ittpNnIKLY5WII0VKTJYoHMuk0+xjyxJrKzvMzJrcur7Og489wMWrF0AIJmfHqFV7bDYusHTbYWN9h7HJeSYm52h0GqQqorHVYqw0ya7rEcWCLCfQG6bAtA2koak9TsnEqRjUJgo0W3U6jR0OLxzhxJGjPHLfOa4vL3Nh6TJHjx+nvrlJlqS4jotUVk6L0XzGcrGIIQ1cy8Q0Rd5br+h02vT7fZIsxZSKJOvywivfJbMybq/WGS8u8/Of/Dmkgl63AyLD9/tUyiU21zZotVvEUcyRQ4d54rHH+N2v/D79ThcnU4S9CFe49NshV99ZwgtDzpw4iSUkIsszFyHIVIZjOZimje9lNHb7CFwMaaFUB9MwiKKQXq+rGQOWNorNsphev0u32yEIAwqFAkcXj2A7Jqvrd7l9+xZxmGBbNvV6PS/y6K600ek92vo6+v+wVyy617/BDTooJA+y5iiKiNK9Yoxl6a6xgd7AILN2XZcwDKlUKszNzUG+Db1y5QpK5T53I1to27U4dGSB5dvLKKEIwoAoy1AIjEzgWmWiNCIOfCxLt69bVoZjOtpZJeesGoZBr9fj7bffxrZtTp8+zY0bN8gyDcGEYcjOzjZe4FN0bazMxrIUMseRUVqrOsljkMgUSZpoEXdTB1bUfiWxvZFLD+TZse5AsxC5e/LgA/a378qhkNfgmgz+NnIR966bUsic4JKX/rRsS/7pBgKVJYS+hzB08CyXi5imied59Pv9XJlwhI/MfgaHbgIReaeevm4Ft0BmpUMoyzBkbjqQv4/S8VrrxuRHkz8+aJgZFgh/Akji/cMRSos5b9U3+dYfPc/HPnMf5bKJISVbm5u02m2sQpGCXSADrGIZ0ylSrVZ1sLQtrBxsH1RMw6BPP9EdVn6c4PkBhwpTfPhDTzF/aIyvfOXL3Ly9Qm1iHLtSpNvvaZ5mflENJFJBwS1g2CamFNiuQBiCdqeNE0eUHAOVAqnCNiwMYTI7NUk9kaSG4M7yOlub26jMoTIxzsmzR4iSHioM+IPvfIl/1/0K05PH6O+08UIPwwIhbYI0YnJiGrvoILTCJ8IEw5QYjoFh2diOg1u2qFULjE0UuXrpTXZWd7lkXsQxLEpOgcmZaY4eWiRLUsaqNdZ767i2jcDCsixKpVKuCiUol0psb2zS63WwbZPp6WlKpQJBEGrPvyBka2uH2LIxXAfLqnFj5Q7f+sG3MbKECxffYWZ6mpXlWyRBTNrPiELdE//Ff/PbBEmMgS7ANnd3iYJUt8NK6Hk9TLdAo9MmVhlZkuDaLqCt0nvtHrVaDduyWV1dxTLLzEzNUy6V2e55JKnmWw4YNGmqZQ0NQ1CtVahSzsnyGT/4wYtsb+0Q9GOyWGEa1vDmVXkA3gu0AilBqf2Z1eDmq1arQ12QAaY5Ktgy+rvKi2lRrLvcBu+XpinGATnDQZY8+HfyxAk2NzfZ3d3l4YcfJgwDLl26OBT3VgKkJXQRW8LisUUsU/LGhXcxDAtX2FQKZTK7SK/VwUBiWFq32JJ2LuS0t3h0u12+973vYTlaA/unfuqnqFTKvPbaK1y/eSPXQ0bP/UyQJQopQaIzV0OaOZEuwzZNUgQyY6gZnGV7egjvjQX6PMRxnGvzuoRhTJZF7DntjASZ/BwPxsHusoP8YvL/H3QuDzs3BqE0UyRhSBT6GLaF5dgEibZA0sW1WOPW/OggqHJIa5ChW2ULldcA9N8zsnTEoYO8QxE1bJDRxyrgQNL7k9DUfoK2ZV2l9YMe29sNolAye2IRQ5iY5us6sAYRlmOjJExVKxw/dZZisUiv16PdadPr9WhFMYYQJJE2wQuCkNffeJNeP+LU6dN8/uc+yqnTh/nS7/0W240mR48dx62V2WxsD62IbNvGlBYohWEaOku0bUxTYrkGwlCYlok0tHSfyj/HLFosLh4lixKkoVtU0xiOHzvD+p0t/uJP/wfUd1aYqU3zB7/7ZbZXd2hs+yTRTYqGS7ngYpsmsmBguAKjYGBVbFScYNgGlmNiWAbScbAcB7foUiraVMsWE+MVDs1P0a23MJQWuc4ywXa9weFSmWK1jJRCr8aJzo4cxyRNIhKl2Gm3kVLgWHZOXYtpNJpYlk2tVkUpiBO4e2eHXmTz5LPP4hQsduodLi5dpFqwMQ2Dnfo229s7zE3NsrO7S+SHOI5Ds92i3e8hpcTrexBo3V4ySaZMlCHJMHjl9Vf5wFOPsjg/l09LgSksVlZWuHT1XaI4ZHp6mjgSpGlMkiZgCMI4Is1SCk4R23EIwhhpSmzHYgCtJXFKfWuLKIgI/BSpLIQShGEwLAgpdBvrYKLDQBpxkLGpwT2MEGIo4nKQNjQajHXmp/FSx3UwbRPP8/PCnLa0GWyJTcOgWqtRLlWoVMoIAUEY0O/3uXzpMqZl8dBDD3P79q19kolJEhOEPkkScfLkMeIoYGOrgc4qE1LTIDV0ciItuc80MopDVKZ5x4PvkGa6kYOeLvD6vs+f/tN/mkLBZTc37LRyWUbbsBAZuUBOhko13GAYBglg2NZQwQzIVc801Ut35ZEXy/YgigG8M8iGB4pkg4Vxr3iXY8xC5hBLll8fDWkMPk8wwKFl7middznmDI5B68YAwhJpTg3LcdyBy0aWZQiVfwexF8UVkCMh+fHv78gbLMBS7HVTZmq/rrE0TB3YB9kv+jyOxt9M7T3/jz0IC5GBMkDEBL5PpxVBVsQyy1SqVQzDwosylEwwXYuxiTHcokmqtIpXpiLCKKDT7JDGCY6toQIpBfWtHeZmF3nimUeZXazyvZefZ6u5S2Vykk4QsLK9iZ8EOLZNkqW45TJW39M3da1CoVLGcnTvv+WYIFIMaaJ1hCFRCUHokyYpjVYLUxq45SL1rS3KlRrlyhgnylWyQFAwqty8dJ36WhuvLXCdaexqgVLBxTYlxbKFUYiJvZAoCRE2uK6FUyxg2LoJxCwUMVybcrnAeLGIVAlpHPLoQw+wdWeXbjNFCYtMSoSUbG7s8NDCYfpel8nJSRSKXqeL65goleL7Hkop2u2eXoQsC9KMfs8fbpuKxQJBlNJqexw/Nc54scZmfYP2Zp2nn3yMTqfJq5deYXOzrp06EhNyqb5er0daBwxJGMf4XoDyQgyR49umRJoxpWKJlZVlNta3OLl4GJF7C6oEvK6PUoJOy6PfCxHCxDR0AK6N14iikL7XJ+31mLBtnIKLYZlYueFjGqZksSIJErJYIZUm/AtErtyXa1YzyEgGEAQ5Fjyaqe5lxYMbahAwBjfcYMupA6su5Ji2SblaplarsbO1g23rhdoPfIIwGgYg3/NI44GebxcN3cbMzkzjBQEXL1+k2Wxg5nq2eqvrMlYpk8QhaRoSZwm9vMMrTWOE0MEsUQmRiiGKMaSRi88ove0e0LeEIMkSYj8ZsgiuXbvGr//6r+eCNAEyD4ISLSAvhSAJNMyihguVIhOgDJnrJgMqQ2aKWGn+dJopTMvWWty9Hp12m0EESpJE64o7zhCmGcAPQuztVNJ0UKwDpQZBWpuiKqW160yppTOROminMiOXaNTXPEsOxCOD2ZlpkJJ2t6P9CvVX0nNHymFAHH7X7Ee3FodBMPL+96Lc6d1EpidRPh/3sGp9+t4rAP/jxk+QCce6oCkMMhWysbFBt3MClRkcWTzJ5Wt3kUGEaZsUKgUcx6bf79JstWg1WwRhQBKntDtt0khhmxGObROFemVM0oDxaYcbKxe5efs6Qpp0+z26/R5CarcBYRh0o4huFFGZnGB8fFwrYLkOTqGA7dgYpkGaRQRBiCFtjSGJDMvqk8YJ12/eoOC4OJaN47q4jsP2dp3Z6TneeP11Tpw4zNKV24S+y8TUPGlmMD03wakzC6ytrSBETBw1iDyDMIJiuUCx6ICUFMplTMvGdBywDKYmJ5FxysLsES699SrtzS0OH15kJawjMDX2ZUjiLOXGrRUWFw/hBylj4xOEfoDneRSLRQCiKB7iakJKyLRM4gB7smybONUdWxcvXuTK5at43Q6h3yXt9kFkNHfaZJHCNl2auy2SKCbxQ1zXwSwUKLoFJB5JnGnVKwOUSrHtjImpMSbnZ5gcm8J2beIkxZQZXuBxc2WZi+9coeW1cAslms0etmVRLlZJk4xWq6M7+zpdbNtlemqWMI3JcqGgJI4Bwcz0LCYWrUabRKgh/WxoDDCSWR6kOO3pzCoGhbvRoPtebHOP6pelGbGMkalE7WaYjsn41DgAzWaTntfDMMwhOyBJEkwjYWJijIWFGW7evMFmfVNjspZJq5uQqJi5Q3MUi0XSJCUMPUxLEYURcRaRkeUNI2pIjTKxMIWZwy0MpVjvRbHT31eQZem+xwffK2d6kcUJUe59liSJ9u+TAzAYbVU0gnsKkeOdUuP9lm0xPa1pdK1Waw8ZgKEC2SiePsDNB0PvMtRwNzKKMw+vj0RzldHZskKhEl2A/WFBM8syms2mDraCYYF0cBwaath77b2C6uDLDPSaVZoO76l7NVvsP5Zc5nNk16Wyvcz5fxN7I0SIEhkIA9NSubVKSqVS4/jRs8xMLyHaTVKV5MaZmZa/i3z8QLMkKpUa0tAdQ65T0r37RYnnBTzy6IN0uh1u3LlIkkE/CGh7Hl4YUnAdXNPFLRVIDEGpVGHx0GHm5uZo9bqkKqNULmM6NtKQeH4bJftMjs/gdfokcUSp5ON7PUxTF1ls22GsWkWqlONHDxGFiiSMWL5xi8aujxCTlCrHKRaKfOzjj9KP12h5G6SZIG5Kdnb6bG2tc/TMLOMTFRqtNoVCgTjOKLg2vcDHFAa+H3Dj2m0+8MxH+frvfYkgCBmfmKDV6pFlSmutGpIwiqlv7zC/MEe9vsn09DQrKyvDLbNh7HUnKaU7g7IRvQJNGdJqXru7uyRhCkmKJOHC2xcwDEmsdBeRkUJsZIhcPzcKEzwvoFIbwzAtlNL0HQQgM4qOyUOPnWfxxFH63YBvfvub1EpFji7OcXfjDv/6t36LO+t3mJibphV4xJFCZRoD7nsBjd0mKs0wpEWc6/cmcZZrtOouKq/n4/UC0iDDMVwMlRImkdZgMOWQ+zu4je7VIKBvbO3gMsoR/nFZSarSYbEqI2O3uUO1XNPt3UmIU3CQGEMpxSzLCKMA3++DSOl7XZI04uixYygpQEo21teRlm6AkJbElg5J4uOHHkkWk5IRjQQl/S9FSAvHdQmTUGfipjE0khz93oPvq3W6R7//4BzkQSNThEGggwvk7IG9Ihl55+Dw+fqXXLSpiGHoYler1SKKIuTI5w8WpVE3iQHOvkdn0wExHWLN7w3CIMlEpvFqBlml3t3cO44JpLFXeMXY85szTZMs075/B+fHwaAoGDAttCpkEid5QvDe+TUKrQyhmX058Aiz4x4L/o8aP0EQ1rXKTMX0vA4XLr+LZVk8+vDDTM/OMzZRZbfXQCmB73lcv34NZYDjOACEYcDM7DTHjh3h9vI6mUpxCza+F3L2vtMUywVef+tdjp2cpd3tsbq+RaU2TqlSw3UtpqbGubu6iuHYTI9PMjc1Q6/TY7O+RW18jHHX1YHYMknSmErFxnYKxHaGIQxq1RrFgkOxWMJxXOZmZjEQ3F2+hswEWSKIw5hrS7c4vHiGKBpnrLrAM48/xKljJV6+dJOZ6TE63QaNesbtu9sksWB6eh4he7RaTY4cPcmNm7eZmKwhsozXX3mVB88+xIVLl7FQfPozP82/+VdfJEwSLMfSFuCmgTQtCoUSjVaLialJpDRIUl0wC4OQUqlEoVDUtjt5JiOMQYaUY4dpCggs09TiIyoBFDI3tkziECUMvZPJFHGUIuUe+T4KtJGr62jrbixTi/RbkmKtyG5ri9sv3aJaHCftQ6PZ4NTJRe7cXWHl9m1M16bfC9hpNTCkxeTkBGGcEEYRjlsgjWIqlQpCaPpUlma6RTiJMHIzVzIwlBbCFwik0D5raogNMgAFc5xxb4s+OvEHW+PRG/6Htb8ObzgJU9NTTM1OYpgGWaw4fvw4y7eWUZlia31bi/QMb3aDO3dvY5qSKApI0oTVtVUOLR7i6NEjlEounU6XwA/w/YAoDMjSiEQlmKa23xFC4NiO3iUmiW7ztx2SYkIWadqXZVv3pDvp76aGME3+xUCQL+ymbkpQWtM4S3XTh1J7hSZDSkzD0k0HaaobVvKApgxdaE7TlDjU7i9C6AVGZRorlkI3cNlxvK9QOTDUjONBINwr+I0G4sG5T9MEIRUZGVJooR9NPtjf3jy45tqzUrtvJGlKonQjimkYutsxh540rze/xsP5IrWJbg7V6KKhdrIxpYHKndeHBIIDYu0ChQS9GxXsK+xp+CMb4tfvd7x/TDgv62YZdDs92mGTeiPgyrUN/uqv/iJTh6pcu6Pdc5NEkaAQlsmhhVmNdSUxvt+lWBDYRYFpgDJDpuYrpNLnyrV3CGOPNJthbW0Tvx+jMh+34HDfI/cRJQGlzi6n7r+PE/edZfX2KlevX6Pd7zI1PY0QJpOTMwipiKKEMNQqSaaV8OD5ByjaJj94+QW6nTbb9Qbtdh+v06S1sYFKYizTpd/N8BNBcUIyd/gQY8UKTz18gqobsrRWJWm3KUUGXten3w+p1sY5cfwYt+8ukSaCarmG3+sjMoPpsUle/8EbzI4fZm56gRe/9zLjlSoPP/EkL73wCqYlQWUYSFzbwXUdktTF6/dwCy7N7Q6FQhGVKkgUtmHg5YVIwzQRpkBFKpe61CwRQ0pMx8IUqabNZSGolDDOIEsRRl78MA1UlgcUaYASqBQ67S7FkpadNAyDUsnl/KPnWDy1wPr6LWrWOK5RY2Vnje9+97s8/ugDBFFIqVJi8cQxmu02zVYTaRokSUpKRioUSuqCxVithjAcOt0ent8j8D3tXJ1lkOqCm0oislj/LlTOy1TJsOAyuBl1zNnj+g5uBL0zyAspIx2GBzOiwb9BwHZdm8mxccxczCYmIQ5jSm4p1wdISKMkLzAJklRj1ZqdIXHtAiITNHcajI3VME1JseQQhD5hpKGlJI6QBijHxEjlXrkpV3sjlwa2HAvDMrSIT16MPiiEvkex28vwsizDNASlojssSqZZqj9loD6mQOUBVRqSgunghyFREOstvZUXYeOUOI6GbbgKXQhTSuUaHaByiuJeO/Nehj36U40c+2ggHs3oJblGMdpeKVNycLH0opvzjIXMI7SQWutESEgEKslI0ow0TvJ4pYZ47bCIJjQ/2bHsPShBKbIR7vjBHcfouR3MKVNKzDwJSpQizrRwf4peQIQUiHQ/jfJHjZ+gMJdfQHStMYxSwmiH+bl5thsNZqYPYUiXdr+ptyM5l7dSqeQK+1XipE+/36NQcMlSSaPZIQhDjh5bpGgajNszhHFCux1gGBWEcCiWqzzy6ON853vfwItDVjZWKc5M0vb7GK7DXK2K74cUnSJFt4giZXpylmajhWnaONKm5BQJvTZj5TLdRgMTwfT4JO0s5Ub9XVAphnRQmYs0q1TKNY4em6FkSQw74vrt65gYJH5K0apQKYwjs1UqhSrj5Sne3n6DolOjWhwj9hN2Nnd59LGHkZnBlQtXeeLRp5manOd3f+cP+BO/+Cc4euwkq3fvINIMaRgYltYqsG2bTqfL6dPHCXptyFJ6nQ6ZcqhVxvF97Ydm5HzP1EjJwrzVMlOkcYbjFCg4DiLMdEdUpjCEyFu8teCLQGAImeOGA5qXgWVa1Co1pDB46MEHefCxcygn48bKEju7bZSfYIsOsZdw8/oNPC9kYe4Yjlmm1wxo7XZxjSK2Y2MKk9AP8To+QT+ANMUWGcIAy3CpFE3KhQqdTp9Ou0MaxbrikVv4SCVQqYZcFPvpPntFOT3NB0F4T1Sdffjxe+eyDpymqZkPtq3deLudHmZkUa6WSZOUd955h0KhQK/XG3mvjDTNqV9qZPttSkxTkZmwvHob17GQUrC9U8fztIWRKURu+RUgpMS2HaRpksRa7hEEFvYwaJiWORTNGYoQjUhHpmlCmo7Y9RgS0zZJlSLNJSQHWMMQ38zfW0qpJSrjmH6vp8XdDQOiCCFHXEcQms42EqSG0MOIPsLgPIw2w4xq7e6nre2BDoOfhmFqN5Z8URvguUqvMsPvMToHBjKSe/WCkefktMCDQ+X4NJCzKPZglXtBF6Nw0eB5tm3vgyqG5+YnzIAH4yfQjsgPLv+vkA5uwWFz5y6/87u/z8OPPsDMzGF2tls4jkWpVsUuugy8pVzXIel7hGGEaehMy3GLKAFbW7vMzc9y6tR53nzrDYR0KbhV4iTlgQcf5e76OsI0ePqZp3GqFW7cvs3u9i5Hjx8nSlK9imPQ3mlRKLlM1SZxDJdut0epVGB+chZVLXF96V16rTZhIpibnmGyVOB73/g6QihKRS1QbztF5mcnmJ4uYKqIKzff5drSRR599BFW76xQKJZRgUEaZFSdGiJ22Li9S7VWYaI6Dalke32b2U/OUXYq7Gx32dqoc/TIUW6v3OTCuxd5+pmn6fQ67G7van1VQS46Y7Hb2MHzPM3pzIWRkiTSdkumiRJaozZFYNsOhxYWCYKYxuY2QRyg4hRhGVhSIg2LTApUYiJUhhIyv3EM7b2Xs21M06RWrWLaFo2dJhMTE3zg6WeJCLly4wK3V+6wc7eH5adMO5Kzu23mVzbIfuO/5OH1Vf7SO+8MNUOSJMa0TAzTIE5ibbCYpns3vzCxLY3dp2lCEIa04oTvloosmyYNUzehTEUJTQEeglgdKOTco3AyiuGN3kz3wueklFiWNaRWxXGK5zU19FIpgpC4OTMiDMPhTTjqLyaEwaDSn6YKlSaEqYLUxLFtvctIMuyirS2+LJeg2yNNY6I4xDAMxsYmCOOIIIpJU0UYRrhGzFh1HKkMNtc29U1qmu8JgAcDgF5QbISpt9FxlpCiMMReo4KGE0TeW6AXhCgOhgF3AEmgNNSB0HocythfDNSL9147+L2yxn24b24vJNCYNCLfzusvQKFYZH5ugY2tdaI00tK2+VZ/cI0H3N3BGAS9UTeT0R2QdvO49/UfwFuDpPJgEB79/4PneRDPBln/XgB+73i/uPBPwI7Y+02JvBBkm0hTUd/ZxvcTKpVxJianqFRL1KbGyAQ0Go2cBtWn0WwhsMgMU1PIpCSOIA4TpiZckrhAmrjYdgkpDRYOzXP+gYe5cftdpqan6Pd6eGHIwswsJxaPsbW9i2FYTIxX6Xe1FsNieZGlpWvUauO0Gm2efuRx5qZm2NroMlGrUC2VWNvcJfJ8xipVoigkDANcp8j49BjtTkAS96hVLDq7LS7duMX27ialawXq69tMnDlL0I8RmaRUKCEyk343olwymJ2exzZt2o0OtnSolWp47Zj61gbFomBycpxbKzc4cfoEz33og3z9D7+O39McWNvVxqNSCO7evYtrgWWZWJa+AaUhsUyTKImRaGOBJElYXV1lZnqO8bExIjsijrTfXiosIiBLE5IoJFOGpiLlN46Rb0enpqYZq03QbOgW29iPuO/0fZw4epKvfetrhN0Qa6PDZ25s8vm1NSbTlBlTYhWLZF/+fdShwzy9cEhP3vfMlb054wfBUMVqanKKYrGAUopGq0l/d4ef29hCBAFbeRCeSVJ2paQvJZdtk5cdm2umwUXLJH0PRipGPj2foyMHcTBYm6ZeCIzcTDWOtWKWSsApuMRRzLEjRzh58iRLS0v5oihxHQeEzhKF0lCOlJrrXJmt4lQd/KBPKlK8wCPo9gmCCJVBZITY0sQQJiVHd6W5hSJKSNxCSrff135zYYpIJUamMdb9rdZ7CeG9FpZMKeIwPAC/iP2MhgHfVoHKBvq+I1BHpoY6DVLsl5IcYvDC3Bd4B1npkF0xwEbzAmFOTM6PQvf5ihHeLygsy0QKvVNLs5Qs2VtsR68yam9XtMcDZl8QVnn94D1Y+kFyhNr/+r3j39+uffA1+87XYDepBp57eSCXe8yJHzfev3aEGtBOMiDWP9NAG31GGb2gQ226yhn3LI5tsdPYJY4TKpUKlmVR364jjSIFu0LBnkSlLpnIODpzlNPHT9DpNWnc7fLYmaeZmKwwPlFjbGYMP2kyPz+JXZim3WuTCVjf3KTl93BsgySGbltToIollyxNuXn9OmfPnqPf7dLprhOkBerNVT747FNMjo/zxS99hfr6OtnkBJOTY6yv3SbLIk6ePMLNW+v0ursUbbi6epMLF95kenqGa0s3tK1NrUyv28S2LZIsJpMBWZzS3GmwfHOZMApptDosr9xBCUWhbIChaHV8KtUpPNHljdff5NOf+iQnjh1l5eYKliEoudoq3XFd0gyUkkhhIYWFEJKe18Ut2Sgv1W3aqYRUEPsh66t3MYVFGqWkccL05BSVySpiogZZxlhfWxZ1Qx/f90HoltuxqQls06a+uUWn3cGybMZq45w6foa3Xn2H7W99i1/arfPIjVtUJidJ/+yfxj52HPXcc6jpaYRtIwruPavOB4cRhdBq0282MKs1krKWWbTiCG99jRtvvMHW228xtbpJrTrGuxMTtF97mUKvx/kk4VONBqrV5RXL5F+VS7xQcDU1SWpampQGpmkPnX4PWpMPaFODAo7KtBBLonR1X0mdTRadAg898BB/9a/8Fb75R99kY20Dv+/nbfv6u1kDwwLTplAuUay6YKe0202CoK8r90qghI1tmZiGgZ23AxdLJRzHYWd7m3a3i2XbuI6LIU3SJCOMYjZ6m6hYYRrmvuIQDNyfB9KN+U2cZ+hxpJ3QszwgSSHJpM5cBwhplmbvCT6DrC7LMqQpUekeH1lnlHs0OcMwEOyxHwbvkeSqc/rYUrQbzl7RcBCglBpAC4PCHERRwMrt5dxFPV9As9w0VeSNHINZNSzMpiOelqAONEnoLgGDXAlDZ/gyQ2R7zIZsJCqP7jAO7jYO/j60NZJSH58QGEroIvLgfKiBfvWPH+9fOyJN9cTKKUCGyNHh/AK8+dZbjE+NE4Y+bsEmTVO6vT6e5+XarjamVcMxxrCYJvFdSoUCj559nHJB8PD9JzlybI5Dh2YwLYPA9/n+i68Ryz5uFa4vXwZDESQhfS8kVZIoUqjUJgw0PlYdK7CxeRc/6NDt7bC6fotHHznMpetvcXvlKmG0w6uvvM7m3bssXbjK6dOnOXPqFLYlKBTK2LaB73dJwoDGzi7LN5aZnJzCsGy21rfZbjRpd/u0W9qRt9/rk0R6Vez1OlxduoLne0hT0O62MB0Dp2QThhnrGxscXphjfGqK5u4G3/r2H3D+/geIo1myWF+8JI4pOgXiNBrMNhD6HGvxdYfM1hMy8r28mCVIo1QLtFgGURixXa+zkaREYYxjWkyMjeEWixCFqJy8X62N4bquVvXK9RlKhSozk3M8Oz+P91/+ff7UGy9TfuJxjN/4DdSHn8OamkZT6wcuB++l/dxrKKVwbIfZmRmmpqdoNBq8++67+L5HpVzh0KFDPP65z9P/2Me5evU6byxdJ01TvImfIssySg+eR9WqXP3G1zn8ta/zD9bWeavv8duVMm8VC/QzrYtg5jrAQoxikXt45SBIoDS1K0pjBmbtlmEwVhtjcnyCTqvN91/4PseOHePjn/gE/+Jf/M+8+867eLk+ihCCcqXMqTP3ESQxa9ur9PJiY5Yl2LaB6xQxLDvH6nVhUQG9Xo96vY7neRiGVs4DKJdKhLGNShUigSSIIdUuxHuZ18B5Qo8BE6FQKOSQQrJPd1cN+373M0MOclgHsIzO8CFVyRBnFtJA5EF6EJjTZG8bDpAqhcqpXYP3Pwgd7cWwHM9nNNCpodD6ezhpajSPh5Eq23sfGxmj33H4XRUjxVv2/X0UgjiYAR+EuEAHYsuQw7rA4CyLkWMT8sffG/CTYsIjtLj9uJzSQuO7GceOHcHze+zu7uK4Bfr9Pr7va51T0+L44nFs5tjdSqkUXCxSPvDkQywen8F2DB10UGSG4sPPPYGyEl669DwGYNimFpHHwgtSmr0OnWaPJFG4BYuCW2BzcwO34NBs7RAnHm9ffINK0WZ+ZpybK9c4c+YkJ4/dx2uvvsPa2irCLKKUYn5+hvWN23Tau4TBNGt377K9tc2ZUyepb+/QaXbpd/okUaobGbKQfqfFTn0H2zbpeR7r66tEkY/lWmxur2MXDQhS7KKF4ThIW2E5UK45+P0OO9tbBEGA1wmYmpwhyiIswyBLB0pUEpQ21cwiLVJkZCZCSkxMkILM0F54pjQRudh2prRnlzAk1fExTNuhvr2Nn2jaUBQl2E5fB+0oQiIpFosUnSKH76ww/Vd/mfnZafj1X0f94i9AwR1uH/dzI99v/XdvSCGZmpziyScqrK2vcf3qNW7fWsEpuExOTzEzM4VlWywtXRveFCsrK7RrVRY+/GFuHD/Kq6+8xhOvvc5vbG6S7Db5zfEaX65WCPNC2wDHHZWxHN02Z7pLJDejBcuxmZqe5m/89b/B008/w2//23/DO5cucN8D53nn0gXKtSpTU1PcuXNneJMGYUiaaRGrIBiwGBxsu4xhgGXa2KaNbVr0uz163S6GaRLmWr6aSw/9XPrVdV2cYgGv6w1dgAfb7YEe8MFhGMaQAqphi7yr8GDwYe+evdfjg/tYSkmaJKD2WpClHLQd6/9PkpTAD/e91hACclrae7brI5+7V1BleD1Gs84szyQHC+OPghIOjtFrPApDjP5+sPA2eoz3wtrvNfZjxO/9fNQejj1wcv5x430HYdM0dSYsBaBbDLXMopZxzEjwfY9r166RqYRiqUihUECh8D0f05TYlk2WJUxMVSnaBjNTFT7zmac5NF9DCUWaZRq4R2BaLivbN3jr6uss3bpEIlLCLGFtqw441MZnEVmZwNe81KJbI00EXj/CcYr0+x6WbbPbaDE/e4pur8+FS1foNd/h8MJJzj9ynoiId996E8eR1OtbbG02MM1ibku+QeiHpGFCzSkT2RF+q0d9dR2VRri2IghbBH6XyakJ+nfbdHttpqcnwTLoeh2tL6xiKrUiY2PjFAs2QmUgLEyjqjP6RBJHGWEQoNJU04eURGUmAhdTZrlppan/qRSRCVynoPmYxVQHnbwts1gskkUxpXIZt1DC6/XZ3NokzRTCsDENG4WiVh3PC5IlJJKSYfN0s85/dO0iY//hL6P+4l9C1MrsbQbz4CsO4mQ/PhAPJ/jg2UphOzazs3NaXPxmTKfXo317BXtjHcOwEGhFrziOCf2QrugQT4zz3HMfRD33IdZX7/L8Cy9iff/7/LUrS3zGD/jbi4dojXCGR7eno1mOAqRpoHKN2Oc+8AzlaoXF0ye4s7WOsg0eeOghnnzyKXYbDV586SXCns6C0zQliiK8wOf111/DLhYQlqJYKTE9M43n9+l0WoyNjZMlaa55LCiWSpqmlmO8+p6yhnBDEAQEUYSBxFQGhtqjfA34tnCweYDh6wddcsPS+SgeeiCejKodKPZrQRzEMdM0I8kSnRQwELTPRoK0HGZ+92IX7AWrg8WxvemjRh5Q+WPv3WH98Hl2ELce/f73+v/RXdLe8RxMMnIA5cB3GtUbGRQoxcguwTS1RgtCc5Dfz3jfQdgynSEdJEkSDFNRLlrYtjn0WEqylCSfdHGa4iUB0jawhE2pUkZgsNXaIRY3OLq4yBd+7hOUbZelG9e4fuUKjz32FFNz02w2dvjad77J0vIVSlNV/MzShbzUxqRKkkocOUm353P/2fs5d+4cSqYEfk8bI6Y+zbYizQyOHV3gjTeWuHHlKp/+1EdZvXuXb3zzj6iWKjz3oQ9y7vyDrNxcJvYFJbtMFMUkSUR9Z4ug22b5wiVOHD+J8voQRXS2O2S+xFY2JgZbuzt0eg1OnD7C9NwETtHUN5/IMEzBQnmKQ4cPUx2zKZYyIr/H5rpJaxtUbOJaLkIatNotTMshCkEaRQwM5nqSc2ttzje2NJ1GClAZwpC8Mz3Jysw0nWIR3ymQJDFxoh0nwjCkYJcI/Zg4yhDSxjZNSoUyWaaxz+nxaSAhaHs82djmE1sbPOB72H/rb8Ff+AtgGAy2jsM7g9Gf/35DouU577/vPsqlMtevX2dzaxO/7+WOvxDHYb4N1hKkt1ducWflFpVqjaNHj3Lf5z5H+tnP8vVvfpMHvvgl/t7aBl8cG+Pbjk2C0ALiWa6EpVRe+NE34fj4BBMzU8QqwzAE9993ii/9zr/h3APnqU1UWb55mwtLV2l5fXpBSJSbroLAMK2828ogi1NMZRC2Qu60bhPGAXMLs4ReSBxHRGFIlmYYpokfhtpVPFXMH5qjWquxuramC9fdHqqfYdoWUmoN6UHDyQB2yLI9/YPRIDzM/gbiMqCbQRA6yCm1x5BAaC4ug87LwcKk2+AHiy4M3iqDdE9UXZC3CP+QwAfkLd7a5USzSPbztHWMy/JfBs7R5hBzN4RuNkFqUXclMows57MPM9H37gxGYYaDrIbB3+8VhPeeO/ibQAiV/2QfFDNKiTNErl88gB2EZp9oISJFxnuP8V7jfQdhx3GGgLRpajWkIPAQQmdkhmnmJBQ1FHGvTU1QKhWQUoFICaI+cRaRlNc4+fRZljrX+e5XXyPrtjlxaJH19jrvrFziOy++xMZOg8efeYrNxhZTcwtYhsHyjTtMlA/Rb7uMmyc4cRweODfJ3OFJun6DVm+XwwtltltbrG+FdHptOr0u1co4a3cbPP+tt/iln/tZWnd7LF2+wOs/eJVPfvKn6LdDVu/cYWpiklQo+t0ezVYLr98HL8Trduk0W8R+wuqdTYLQAGliujZOxeG5jz2BW7IxHUkY+8RBgB/2MTLB7PwMc4dqlKuKSiUl8CKkaZGkAUE7RKQGlmPjFMZo7PgILCa9jJ9fvsFP37mBMzWFcfoMhrkfA/vYlatEb73N0vg4v33iBHeLRdI0o5Bou5pu0kdWx3jqiSdZvnWLLMsYq44TBlpa8ZBj8/D1m3zsjdeYmpxAfv5ziD/5p+DBB2CAnQ4C7vCj//0C8N7b7GVJUkiOLC4yPzfHjZs3Wbq2RKfbo9fvkUThcOI3Gk1sS6LSlN1Gk0uXLhFF2uCyXC7z3c/9NE9+81v8ndu3ea7g8l+VS6wr9rQAyLfdQsuqzi/MUR6rEasMz++zvHwNJWB94y67u00+99M/xz/75/+Mer2O5ViM12raBbzVRiqlFfqUIgpDwkDflEkaU6mVsIWtd3VCYhimhrrW1jENG8MxtNJfJul3PSzD4vDCYbyuR5RoAfhBAB40kgC5x5suOu47p6MBUAhEtheEh/im2qvaa3rWPS6lYpjtDp/HXgY5KGxmmSKT+7PDgz/L5TKnT5/mzp077OzsEMcH249HDkDtf2ww6yzLzvU18gaOXPx4uHiko7DY/kxX3mM3NPhOSu3pR492ww2y2AE+nSQpWS4aNAq9Dq6LYRhaS/sAQyNFc7SFVIj3SY9430H42Wef5c0339xToxLJ3hZGCLB0dVBf9AwvDLECH6dgcPjQPAjF1vY2od9BlgSTi+O8++ol5g/P88GHP0vFcvnGC9/gOy+8RKsT8tOf/wUOHTvOWxeW+NxnfpZ22yfu1Kja8/hjER/+8EMcnrUYL1skRo8gTVlveyzdvc12/Q6H5udYELP829/+A86deYDFxaOsre5w5d1bfOGzv0h7s8nmzjqvvPQajz32OLv1Bt2OR8Gx2byzTtfr4/X62FKSJQrPCxGGRT9IEGaRQtHl/OPnefDJ85hOHyEVHa9Dd6uDUTZIkoiJ6RInz84yPlHELUU4jk8c2ljWOEmccTf0IHKRwsI0y0zEVZ555zL/53ffwnniSZL/+J8gn3oMOa3VovYmq0JubePevMnD/8v/wrnnnyfsdEemuKIlJS3HJU0jXpvVDTXVoM9MFOKs3OKxl3eZK7j0/vbfRv7szyBmZv+4ktyfeAghsG2bM6dP43keS9eWtG1nLuYyeE6a7rkoDzSpNzc3yZRiZmaGb37iY7zwzjt89OoS/+POLv95rcbLefAYvYlM20QJRZRGZBKQGWvrd/DDgPnDcyweWQCR0e118Pwejmvz3Aefo75Z5+UXf0AWJ5pGNcJfzlSGlIIojuh5fdyCMyyapWnKsaPHCboh9a0tHFNLdHa7XSrlKgW3iCFMFBEqLygNVN6A4U1vGFr0ZxAUDwYclDbkHSqtDfDvA+NehaaDfx/9fRRrNQxdt8kOvH70NXGccOvWrWFs0K8dfXIeakWGFlg+gMPeA0JQcuSYFZqjOXIIg+886gs40LTYB50AY2NjTE5Osr29TRBonnShUKBQdBHo8xZGEZ7naVbFgbk6gCRSpcgOZOQiUyNOG+9vvO8gnGUZU1NThGGorUxEwkDlSEqJ45oUCpWh/Uu5VmNqdgrbFaQqwjINygWXFIVp2Hi+QbsZ8dkPPYtlC37w6qsYdo2Z2WN88lOPc/rMOV544SU+/uSzHC5N8Mo3vsmHPvAsY5UC4xWb6YkSkph+tMGdrSvc3bnLan2LfuLRaXlcW1rlU5/6GaanFjAMwZ/5s7/If/df/w98/wff5cTRBT7/sz/Pb/7T/57r129TLI7xkQ9/nD/4yleoFMsUrQKPPPUIWAZxEhIGPs1Gn0BZRJFEmmVmDs/xwOMPMT5bo1yrUiqV+Pq3vklmGrgFGzcrMr84R228RLVWoVwB1w1JYh+DPs4DM/Qat+jtWhiUkarIjGPy565cwvkLfwnnV34Fd7y8fzUV7E3g2Vn975lnsJaXMe6uDpWkACpra8wsLdF48Qf8n958Yyior2o11PQM4lN/kuzP/TlqhxbeYy3+v9cY/UylNEzyyMMPs7CwQLvdBqV1DzbrW3RaLbx+l26nTZrpjChOdMtzFMdaSN6yEIuL/A+WxeduLPMbu7v8N9UK33ZsvEHgkgJhCKIkJA0UTqlIuayLko1Wk+2dTTy/h2Vqy6QkiSgWC3z7W9/CEAbVapV+t4cfaKqf1sgVxERYRa2+JhxQRoZpWHS7XcIwxJI23q5Pq9liemJCa3QYNhKD60s3tHxotscsmJycpFKpsLGxQRzH+6Q4B+frIP95QEuzbRvLsu7Z4DD68yCWOni/H46X6vgopEQewEr3tusDedF7uGewl+9qHFjmgXh/Nq0yndwhNcNDCa0rkalsb3MmNKR18BhGz8koPDGazddqNaamptjd3d0TyDK1+4uGd/ZcVw4ybAYGAAMIZxTqsG2bwwuH8H2P+vZm7r3348dPYG8UUSi4WJZNGISkWUyWJQgpsG2T2DWQBd111u936dXXSPAZn6gRRQGVSgFDCsJ+B7swQRJlpG7KmrfOrJzkuQ99hOs3Vzg0f5yqW8GIUz734ac4tniYt16/yFS5wLmTM1QKFpDiZz5bjXVeevObBOzST2NW7mxy9twJOt0Gb726wsc+UOSX/9T/hS9/40scO3Gc+x88w7uvvsNLr77EL/3Cn2Bu4TBXLl/l9dfeZGF2nk9+4lNsb25y/PhRTt9/Bneiwm6nwe5WnfW1LU7cb9DvpZSLNU7fd4qTDx/BM1tkdszbV6+y2/Y4ed/9RF6HU4uTuMWMRj+kHwuOulM4RpGKMYNTsdgNU8bKHt1GnUzZWHKSB1cuUim4mH/ql2C8xoDgvkeAGUzknL6m9OQMD83jT0xog06pt9sgsJTC2qqzfusW83OzuK4DbgHKZaRl8T4ZNP+7DtM0mZ+bY252FtA3/Hl1HpVlxHHE9evXePXVV/H6ffp99BYczQaJcrlESiX+7akTvF0t83eWb/Ex0+Q/HR+HSoEgjgnThJ1mh/nD8whp0O/7TE8eYn1rh1dffZ04TnjtlTdx3AKQEfg+0oCxMS3NGcYRJcfUvmxpRiJigiigVBrnsWce4ca163mrr6Db79Hr9DAxKagiDz7wIIuHD2NZJn/0/LfodDrMz88TeAFhFlColOl2u+w0dtne2Rk6O+w1a+wVG1Wup6G5qRp2SNC85263+57i1OB1B7PjQYAZdIONmqEOxl5A2gu4g+sjrXyBy/ScVELo+lCSaE1ipbUhpGHo8DvEXjN01+FAuH3vs0gSjWfLTG/59VsPM2qB1kCWww5QsU+jYoBrKKkhFiW044dAsrmzRaPdzH3xdDdnHEXESUSSDCRCNfQi2Ds3A50SyBkrSZrj3jpTj5OMWzeXcxxfUC2Pvb85/76ehW43rFarZBlEYUK3186NG3NXAySWbVCquNgFiVIhpYoJImZyagzLslhbXcXr96hNTdBuNikXDNLEY2z8JK+98TZB2+cTH/ggZdfBNA0MIIsVE9UST/z8p7Bck14csNXcYLO3xYVr77KxdYv7zp/k3VevcPeWx4eeOskrW1fobLf5Z//tb/J3/87f4ZH7HuXu7RXO3X+KxsYGjXadL/7Ob3Hi5BGkAcs3b/G97z/PL/zcz7Nd36Ln9fnW899CVC1mjywwNzPLg4tzRIFJHBh88ImnmZmeIBF9bm5d4erqEjsbTZ56+IPMHT7GWNUkNna4fecqMnFYvbHDbPkQtmUyOTnLTHUSO/J56Bysrn0DYsFTS5f5pVe/ifl3/y6cPZ1XiO9B9s6hsCgK6XQ6rK+ts7q2SqfTpVTQjQDnzp9nYWEBaUhq01NMzs0Mbxr5/4eM9/2M0Wp6mqb0cvnGQu5hqEV2Cpw//yCTk5P8/u//Phubm3oLLiRpbi+fJlprwjBNLo+P85+ckvzqym3+vu/zj48fZaXTQmQmpXKZiYkJMqEwDMnOTovAjym7ZWRJ8ulPf5pHH3mMVrPDV7/2dVbv3CWOY4IgYmpmmvGpcRr1XbqtDm2vwf1n7uNXfvWvUhgr8K86/5pWo0UY+UNJRaEEtm3RajW1AWgc0el2hoW3RrNBFuutbaFYpN1uk6VquB0+SO2CPBCne5zoe8EM96KpHczwDmKpg+fd6/lKZUiM4fsahsRybQwpSMIIlWaoRBHF2p8viWNQmi4plQ7ECJG7ZUO+sqBUtpdmqDyTzjnySuQMC82V00+ROQ0ThoWxfTQ+qZXxdFtHCmS5HoUgDTTF0JAGUmRaQFNpTD9N91rSB4veAIoZNmkILVo0LJrmim6DTNv3ffo9j3Kp/L7m/k9k9Nnve0RhQpZrZdi2Q7HkYFoGm/4OjmsyPlGhXC5SKJo4BallFJOElZU7hFGYBweDZqOBlQlsJeh3e9y8e5uPPPw0Jddic+sOcRYyNT5DtVjhxJnDtNtN3nx9iaXVW0wdmgBH8e61qzz+6P0cPXI/3/nGDWr2CR4+8gFeL7+EGfbZvHWD/+I//3V+5k9+gZa/Tdk1qNVc/F7A9s4a/X6JKO0xf3iKdqPNW++8hWXZXFi6zNTCOOWCw8R8leKES6fjI+0xTpw6hzM5hnAlJpJisUTaDimIAqcW7+PUsfsRZpeb9Q6pb3Jy+gxWq8rpyYdZvnaV8bkjGJlABCH3HT/PGxMXeOZL3+dTl25g/Rd/D77weYbKIiNj9OZKkoRLly6zublBq9Wi2+kQxwn1dBuArXqdD3/kIywuLtJsNJmamszf471E9f+jjCHxP03Z2toiDEOiKMJxXWrV6rDzUinF9PQ0H3zug3Q6HTY2NoiiCBUpkjjOlb6yfCuesVZ0+PtHD/N3Vu7wH925wz8+e5xWFHHu/HnmFubYqG/Q6bbp9z1mpqbZWLvL2FiN+uYW3/vud/mzf/o/YGtzi+nJGV588QdYlsvERJkoTjAsbWflFFx+7T/+NY4dP8Y//p9/k7GpaTw/pNNtUalUSOyEfqtPfbtOkjduRFGIMsB2bHzf10W+XNz9Ix/5CF/96lcJ4mBo2zTqZzc6Rqv9B5swRotl92ILHDz/Azz1oOrcvXDlfdm1ylBpLqKTKSQGKkk1S2igd5xbFknD0ELs2ahg+358V5M68tw4D8JDI80RjHowX3ShLhsWXzXrA5AKJRWZSEc+Q1NgldDKZ4YEoTJNHWUv8RkE/YMc54GGyEDZTvPuo2FQHpyvNE1ZX19/z3m71/gJLO8jbMtmZmoWy3Jod1pEcUih4DAxMUbWM0hNrV/rugVsW9LtNLUpYadLs9miVKygFCRpwvrGBpVChUPTc6RRwkPnH+DYsaMsrVzjtTef5/5HzpC6Prd2Aq5fW+PqpTWakWLu1FFOP/gM22u3+fM/+5c5fmiOTr9F3E7YXtsh7KYszE5i4CMocGP5Kv/yX3d4+oOPMTlVIElTdhu7lApl7SllKqQpKI+VuLt+l0OHFplfnCcSPoeOzZHIgGvLFwh8g5mJ+9jZbTJZrOAKmzBpsVvfobXdwXWLdNotypZFJh3GK9PIpMC5Q48wKdcJuwmLs6d4862L1Kpler2EKI05XZ3lA5eWiP7iL2N94fM5b1Lsi8GjN0QQhty4cYObN28QhiGddoe+1yeJU71dQ2/pL168iAJWVm7z7AeeIYoiur0uc7Oz/4cLwMAwCHVyv7RBJmyYpr5OhsFYrYYf+Kyt3gVgYmJCF1f8YKRdV4DQlWkhQBqC3ZLLP56f5tfWt/nlNOGfPnqesfEapXIBu2MyW5xh9oE5Lr79NiLNaG3v8nr7Vc6dO88/+kf/DbZdYHp2nrNn7udzP/0Ftuo71Fs7xEHM7eVbrKwvs9vv8rV//f/m8q2rTE1Mk2Qx5XKZclHQ6/QIeyFpsl+fNsuUXkDyAGjlzRzf/va3hwF1NMsdKL8NGyJyqteQBZGP0eBr5/q4o9oOByGKwfkffXy0keJgYN/PAVZa6S7TRVMpxNAD0BBiz7Mt0zTBTEoGnnHD7DsFxXsDvU79B7+z764YzVDzP++vMQz5z1nenqy99QbPVRIQhhYJUhmCTIdnMaCoCQZ85YOL1ihzZaCyNxqERwun72e87yBsqBTTEKSRD0lIwZIULEfL3gU+c+NTZLakVq3gmDZJ5BP2A4qlEp2kTaVYJo4TWs0+lfFJRGzQDlt4XkC5MEmlUuXVS+9w9cKbPPb4A6Rmygvvfp+lpSWkmuDI8afpr3eZnjpE2aowc+QcC5Uipsjo+3Vaq6v4TZd6fRvHsUhUiDIKYEo6gcfGToPy+GHKY1McMgoYQtHutDEygSl1I0qxYFEo2DR2d3jkmYcol122du7SaXdRSYXNzhoPLz7GrFuhfnsZP2kgwpinn3oOabooafL9N77HfSePUa3UcM0CyJQrN96m3wv44Ac+yFf/6A84e/o8UhXZ3t7ldBhR9UPExz6at6Teu3cdpfm/7777DpcuXSLwfcIoJAw0H1ULsehArFDcvHGDRqOJ53k88vDDeH2Pt95+kyeffJKZmekhvvfvG4/vRdD/X/P6JElot1pDmcGpqakhNzXLMlrNZu7EUuLI4lFeevkl7t65S+CHWnxHaagly5ewbLDFFhJhGdyYmeL/Wa3wN2/e4Y7t8NrWBiu3b3Lm/rNUymVsy9aFwFiRhAkEGdcuXadYKlMslOi2A37lV/8aJ0+e5Acvv8SiOIbv6/m922/yX//3/y2ZlXDfuTM0dnboNNrIVBH0A1q7TZIgQRnaPFMvLnquFUtFIKPZbDA+PqGt2oFyuUziJERhRJqmlMtlZmZmaTQadLt7TJgs5/dmBxkI+ZBSEgTBvgx4gOkOH1MMrXlMwyA1TFKSPPDndCwGNWEti6oEYEjNJ8/l+DL9RghhDiaEDo4KDVMIyAZSnDIPnDnQa+RY6yCRECp3l1YClP5+7y3xMVyATMMYZrGDImWG0m3gQmoyxZBdoRAqQ6KPKUXlIvJGDncM8G79OfpY9E4yzSBOE61Glyh8LyCOtKCTUgLDEHnDGX/8FLWSY1EsFkf4glq8XUqBzFJuXFmiG/V5+tlnqJaKeB2PNIxo9vqE/T62YdDp93ELRSYqM5jSYGV1jX5PgrJoNGB9dZvHH/sAZ04c4Qdvfp9Lby1TrowRJw5vvP4Oi4fu4/FTxzlcdCkIgUnMbqNOEvuo0CfxBDv1Ha33axjEysB0SswfPsahxePcvnsXu1QhyxS99i7KyjAxsQ0bt2BTKY9x++Ytvf0tVdle36TjtQmjTFeDo4Bvf/2bvDkxxuS0Q3XMwfP63H/+EJZdojY+zroJBaeEbSjq63d5t/oy7159mV475fSZIzTaWzQahzFRxDseD//hH2I8+STyzJn8TO8V4gaszcD3Cf2A7Z0dlm8u5wWBjMAP6fcHZpEJmUpztkBIr681Ynu9PhffvcjikSO02h1eefU1nnv2WSYmxt7vpf/fbIxu9ZIkyTMfQRhF+EGAbVnsNhokcUytVtM1iUIRy7J5+KGHuXHtBq1WB/LuukylkET6xh1WZgRZfhNftG2+X63wsW99n995/H6sYpGzJ8/w5S9/metL15CYZLHAlAUsYZKGkkQqOn6f1o7P177yNU6dOcmt27cwCzapSslkSHW8wIRboTpRodlqYBgmE1OTRD0fy7QIfI9OFGIWtJFnGmss03Vdjh49guf18IM+Z8+eZWtzi3a7TalUQmUZXl9rr2RZRrfbGXbPDbLcarVKp9PRsgG5ZOjg1k+zDM/z9p3z0YaFNM9gdaOHFvchP3MDnrEQOuBmgr1AjBZzRwqkY2OZkjhSCJ3SDuVZVR44Rd76POiuE3IP3x4c06C7TEMJecEx/2BNitjvWHGQ6aFyl2Zg+H0E+YFkgJIjbAY1hCAGi3WmaR8M7JjIO0cGDS+D9zUsk0xAnCW6cGfZyEyiklzEXWRIabCnV/fjx/vvmLOsIUVj4OM04AGalsXxY8eJSDQWFCcUXBfTHENKSa/X48rVa2zvdhmbsDCERRwI/JYkCcAowGSpymMf/Tiua3Lx6gWibsaf/xN/hUuXr/L7X/kjFg+dw4oiLK/HuJhGknFn4xZf/upXefa5p7Ask0wlXL++wlPPHKNYmKDVl0xNz/PgQ0/Q6jRIM4OjJ44gk5jbt67RaO+QJTEoHfDW6uts7e4yf/gwd1bX6QZtIqVIlCBLfNJeRMUJaQW7bDYtbNtASMXlpZuMjY1zZHGRvt9n1bmBW5HEUUizsY0pBd1Wg7W7d6iUS3TafVyjxFPrdU7W62T/7H9ElkojEwwGt1Kv1+PW8i22NrfY3d2l2WxpvYl+nyAMiHLpwlTpSm2aJHkVWpKlCs8LeOXVV7WUZKdDu91m+dYyY2OP7hODeT/jh3FLB91oP+l7ZVlGGEV02m16/T5CCOI4xvd9oiiiXq/rrE8pLNtma2uLiclJxmtjmKbJhz78IVqtFjeXlwkCX8MQUg4bFgDIqUwKSZYmvFtw+OTWNnajgzs+yY1ry6zd3UAoC8csgDCQSstT2raDzK2XlIKXX3yZ5eVlTpw+Tr+5y7XlJeLcssgRBTqNBMc2KbtFVJaROkU6zSaVWpUw0jKh5bESjuViCIOC45BmEb7vMT42TuD7jI+P62abbhfXdoii3DMwSSiXq1iWlTcTJAip3bFTpbQWRq48NjoGrsuj532U9yuk3AuUIsdY87mX5ritYRhD1sNgx4EQGKZJsVjMVdwEqRBIIIkSDT0oNQxi+yhkOZVtsK3PL5OeS1m29xkjc05IMVQlGxRv97E3fkjI28v2NZd7dGSpznb1eiI0ZXk4b8S+czkoAIpcDvbYoUWazTbN3Q6mbREr3fJtmoMsWMK9IJZ7jJ8oCI9WUc38AgywETONSGTGkaNHOP/gOXZamyxdv6hv+uVlGjsNDFGgWhxHJBUW504xUTnO2WOLTBRtXCmI6fDKhXdodn0+8MwHubuywpXL1zhx5Azr67tkYzEzkxMMjGGiNKTTaZJlilqtxuqdHd5+6wIf+dhj1CrTpDKhXK3S83ssHj1KwT1ClHpE/R7zCwtMzk0SBD1ajRa+H1OSBnNHDpMZku3dJqblYJpliuUCM1Nz2Mqm4paxilp6ME58kDEFt8DFS2/jWJJuu0/pxBH63QiRGpiUOXvyPGZao76xy0RtGhU73Hd7hWee/zbir/8N5OGF/MLtTbwsy2i322xubnL79m067R6+7xOGiXYvznJDQqm7yFSmcl2DGCETTNMiyotb29vb3LhxE8/v4wcBt26tMD8/z6GFed5TAXwfY7TLKIwirbP7Ew6lFM1mk26vhxSC8fFxwiCg3WppBbV+HwGUikW26nV6W1t02m0s26bf7TE2VmNqapr7z51jeXk5p1Xl2J/aSwcHHVbk2dW2qd2Mn+yHbExM02l1iIMIlSREaYht6Dbyubk5fu3X/haFQpHvv/ACS8tLVMeq3Lh1g0vXLhIGHmEcYtoGvtfHMk0mZ6ZZ21jDKdh89nOfo9lq8Qe//xU6/R6ZULgVh5PHTyGUpLXboOy4WKZNv29y8uRJLr17hcOHD3P48GGWl5dRqKFMZRAEuTZIaV8BKIhCnGKB2sQ4vW6XdquVf/dBALn39R0EwCTT3NuB4aVlmsRJgud5pJHeyg+oa0IKDNNgrDpOp9fVTueWBdLEsByKhQJSQGunoR2k8/ceFLgG7AW9+L8XWx58Jw1tiH286dGi5KgWyMFxkI43ZHcMMeI9SEbTJ3IPPAFytCHkwFwdvPdgBzB/6BA/+/O/yNf+8BtcunApJ24MMnwGX/pH3wT5+Am0I4oM8ErDkDiWSalUolgsEicxSTfFdRwcy2Rra4MoDWi3uiRJxszUPGPVGaRRIUlKeG2LjhQcOXqCmlVkzFII5RGmu+y27hIkRb75/HcIe21+6Zd+gdfeWGaj/jrPPvck1WqFOE3BkLR7Ps1uDyUkGJI49bm6dJnvfud7zM8dohRnVCfHGavVcGwTx4WgqzNGaRrIzMQtl5krlvG9CM+PmF8sIhBUyiVm545x9OQZDh9apFaqYStJ0bAwDL3yx5FPu1fn+p1lup1FxqtVXNPmg888yfrGGl/54pcx+kWQMa1mgomJI2eYf/cCP/PGOzi/+qvwJ36JARNtdIvV6/W5efMmKyu32d1pkKWCLFPYls1zzz2LaUq2d7ZZurpEu91mwCPNFGRRgsrANLTGgSb7x0Sh1jJot9os37zF7MwMprnXUfZ+Rpqm7DYaOd6q3RmsfHf0w/Dh90xspQj8gOvXr4PSGsq12hitVovV1VXCMGRnZ4der4cQGtPUi7/Bxvo6pWKJo0eOsLa2xmuvvabpRoaRY3Z5oWr05ssy3ZKbKm5aNs9Xq/xMfZe/9uZbKNMkyLfymUgRZobhFPnCz/w0jz76MEIITp85wXdf+R531u/i1GxarRZet8/mxgZTM1NsbdfZ2dmi1WxiOibT00c5cew4F65cxrA0Hc40JSpLSFREq9Gm1+lSnJ6j1W2zvrHBreU7lNwSk5OTTE5O0Go16Xa6WJaVv97k+IkTbG1u0Wy1dHWejCxNSMOMvu8Nd0GQSzkisE0LlCJNE4wcYnAcm9pYjSAM6Ps+lmMzPjaGYRhEcQSej5VZWK52HzGlJE5TkixlenqG+dkFgjCkVKkQBCEb9TpSuMzPzpBEEdvrmyRRnBfpBiw0vcVXgyw8nyOKPc7z4LgH9Yr982mAYwMIRgAH/XdGWSN5FqsYGnEiNJWREcji4Px8T4Fz9O9DaEUb47722mtcvXqNolMaWfRGBJZgyOj4ceMnCMJVlIowjQzbNqkUbUpFi7ExrQ7V9QV2waXTaYOpWLm7ShIbTE7OUHITut2QQ4dPceHCOokvCGWDh0+fZbwAmeqx3bvL1TvXicKYIwsLHFo4RRrDlaUN3nrrOh/90LP81MefxDYES8u3mJyp8eLrr/PG5St8YHuHCIPEiAnDgD/85leZOzJPkGaUpWTx8CInTh+hG9SZVROoKCJNIoI0JEoTop5Pc7dFP4yZP7LIzMyk1hUxSzjjVVSxCHYJU1oIFAa6cGBYJmvNJs3mGkKE9PoNHBcuvvMG/VafqBXQ2Gwg7Co3roX0xw3+/M51nnr+jyj8k38Mn/jEkPc4uvuJY80oabeadDsd0kS37KYJVKoFnnzyMcYnxknTjM3NTV57/XVee/U1Oq0OpgFxFpGlmmyOEASBT7vdwvN8hBB0Oz3qW3U2N7dZWJjb64h+n0PlgtabW1scOnyYer3O5OQkCIGd75hGK9eDEUURrWaLra0ttra2iHOX3lqthtfvs7G+gVDg9T363R79fh/LMiFT9Pp9Gru72JbF6TNn+NrXv8bmpqayua47rE5nmSJJYw3NZBo7T7IElWo2QpoqXiyX+L+ubVDt9LiLplilSmGaYNoWH/jw03zskx/FjyIMKRCG4szp0+y2dvn4hz/G5uYmr7/5BoZlMjs7i5AGQeDx4MPnefjRR/jeD77Hl774JQzL4qEHH6TZbLF86ybdVoOdnW3tmo2iFwV0uh2SLEEYCmlKlpdvcuPmDd1Om5t8zs7NUigUOH1a88cH8SaIY5JMkcQRaZ5BGqYBilzwJ2NsfAylFEEQUCgUCMOQJE1wK0VimUCiMBxJkAaE/YA4zlkmpnZzUSIjyBIM26DkFIlVhBf1OXfuPE899QFsy+af/vN/yu72NrdXbhH0PULPR6WpzqJVXiwd0M7SjCxKIG/5Hqj/7ZuCag9e2GOIgP6KuYiREsiRV2moYoTZkN+jEkmmcsEiOShG3zs4ao7wXlCWhm4CIV/EZZphmyZJlhEFPjtbW4hMQJLlMUFnQdlw7v8xi7rDoDXPpFIpMTVepljQFjVRFA0FMYpFh53dXZIkwzQKnD39II3dPmQuE5NzXLuyzfxUgV/6/IdZmK+AiKh3Grx74xrbvSaPPvkAZbvM3eVL/N4fvsXmVoKRwunD0xQtg51mk++++gKPPHueO+07+Mrj+p0VSuNVlAO1qSnmTx7DrZR46tFHOXLsOOVaCVkUTE/MYRkSFcf4Xo+d1g5Rp4MSkkq1SsU0mF+YZnZhmkxlOIUqrjWJI6qQCeJMV0VTZSBJ6YdNzIpics5ht91nc2uHtTurzI4tMFGdwHJMrl2/zvzCQ9hxlSdfeYUne0uU/sFvwMc/rosU+ybEgCkQ0+/3h7xRIUReWNBE8jTVWa+UkoWFBX7mC1/gqSef4vnvPM+LP3iRLNMqXEJIklizDTzPIwhCbNvOK/FjXL26RLVSplor79tyjY7RbGSga+s4Ds2craCyjE63q9kNnQ6Lhw+TpCmTExP7AnEYhmxvb3Pzxk29aHc6lCsVBDo4X79+Xd8IWcbW1ha9Xk9X9bNM25rnOghpmrK0tDScjypXQctUmivJxflxJkM6WJZmw4xIKcVd28KXknN+yFqpkOvYKpCC6dkZMCT/9P/1z3HsMtPTU1RrBYLYJ80y3nzrDXzPZ3Nzg82tLVqtFgDVyhhjlXFMYSIywfbWDnML8wgpNdzV93FsF7/fJ45SpBTaQCAJKBQtCk6R0yfP8YmPf5L/6f/zP+EFPmPVGih93vv9/rCF2XW1m0mmVN6lZgwz/0GTz/jYGDMzMxRtl067TRzHrK6tkaUphVKRIAqJkhjDskhVRs/rkyY5lptlOvgooXcII0LoURRyd2OVta0Nnn/xBQqFEkLpAmBje4c4jMiShOGOfBBMc/agLrylEOf6wTnjYn8moDWxB9myEEJ7wN5DlGf/eC+dbORP76HmHZzzBznBo3flIM6Jnofl2rpAqrR7hzTyRSLNhonUDz/G946fwPJeV2NLJYdqtcrYWA3bMof0F8dxiPPKKcDi4mEajTavvvo6UpTJYotHyuPcf/YYn/zgUxye0Tf+Ts9jaXkL0nEefOAoSm5zZ+sir711gfp2RJZOUXZrFBwbVIYfduj622w1b7HTXiZKG9y+ew23aFMdt5g7Nsvifce5s7bKbmeb+4rnmJ6uIZyUTrfDbrNLa2eHbqeNFwdIIZgdn6RSKuDHIbVaFcuxSY0MaSoMEWArD9coY6PxxEwoVJpgqozN9RWef/mP2N1pMDd9iOee+xAbt7dZ39zggYfPc/XiHbrNDp/obfEfdi9j/eZ/B088xo9eJcXgSuZbNw1FZKmi4BYYq429h7s5Pz/PL/ziL1CpVvjmN/9Ic2uThCiOcRxHY3xphm3ZeH0P3/fZrtc1X7t6+n1R1TrdLqt371KuVOh0OoyPj3P37l0sy2JjcxPXdalvb7OwsEA3FzF3bBuAnZ0d1jc2GEBaM7OzGFJSG6vRbrXZ3t7Wi3oeAIZWOezdFCL/PQxDrWON5pwnSUSWxqRZQpZqPYkhFjg4f+zdIBuWyQ3X5hfaXV4pF2mbWtCnNj7OI48/RpKmLN9ZYaw2TWW8xnRxgrs3b7Ozu83ly5c5deqUxmODgOXlZcbHx4nDkO8//wKXL18mTAK8MKDlaHy7vdvGkha1sQrtZhvfDzENAxOD2dl5Ii+i2+ny7sWL3Li5TLVaZatep+95SAS+7xPHMZZlYVmapbSwsMDVpSWI9/RbMiBK9cLtRyH1nW1cy0FlGc1mEy/wMaSkaEiCKNQCNEKRpMlwJ2EahobqDIM03/GU8mSr3+8TJ3F+XgWmYVEseIyNjXP27Fk+99f+Ot9//nv84b/7fdIo1hmoBksZnWC6CzBXVpN52/kIL3ow9w9OylFK3b675ccE0Xtlvj8uSA4/a4QnnCUpQe6uYiitRZ1mKbZpY1gGCdpl5F7c4h813ncQHhuvYdmCQkF7eaVKECYZu60uQZxSmZjEKDgkKsWtlLALLteWrjM5McvxY+f4wQuvcmdlic986pNMj5fJVEjL69HsdXAdh/NnzrDtL9PsbRGmm5TGFSdPjNHrFOi3QpSAIA3ZaqwhhEevvY5jhpy//wih3yLopTxw/gSnH32IlY0m58+f47GHH2JmcoKSY1JvbbO0tMRafQeZChzbZHJ+ksXDizhCsr56l/JYhSRVoGwcy0CREeITEVMipUqNIhY2ir7vsXzpIkXb5UPPfZTr125w8Z0r3L1V59EHHyPLYHezxYP3PcyDX3+Bn968jfVP/gk8/jh7/NzBhNs7z1mWEYYB/X6PvuflpPyBIhYEQUS36zE+WRm+ZsCLtG2bz372M5RKJb74xS8NqWyWZeU3mW6IyDJdwPN9n5WVFebnZ6lVq/trOKOFLRRBENLv6WOqVmvUqjVazSZADpWkzEzPUK/XaTaa9L0+BbfAzMw0nu/T6XRZW12l3+tTLBZxHIfFI0fw+h6bW1s0Go0hVc3zfby+pwsyhoFFLqaeZdr5Ae1yOMAZ0yH0kJBl6TArzDLdOZWjeftO9G9PjPG3Nur8J5vb/N8Oz2HWKnz8k59Eobh+/RpRmhEmggdKD3FnY5V3L7zN5sYGaZry6isvEyUZcaIz9GazQRrr4O8HPucfOk/P92i12sPrqFAkSUYcp0RRgrAlvh+zXW8S9ANCPwIh6fc92u0OQko8z8s1jBkuSgMXjpmZGVZX12i3O4xaH8lcVrZSrRKFIa12S8tthiFz83PYtk0UR8S5q7FtOtiOTbFY1KybnNJmWVpQP40TTNMkDEL63Z4O1ELTx0xH4JZNTCExpcGVy1fYrtdHalIDbtfIvMpxYtCt3AMq7fDpPyx2KbX3b2Tev4eq9sMCsPjhDIp7jX1sjpxyph+XqDTDyLnHWZYSxxHC0AukzPbqEZb5x+ysUa05Q3qabRcJlMPWRp0LF65y9OhRHr3vUYQhaPW6rG1t0tjtU6lMMzt1mOb2NjIN+fgHP8BEpcjy7dvMzswSRVAr1Jgdm8EwYc4+jLR6NJsbTNWOYSYOL964yMPnHycRu1zfaLHaXKU8VqVWnOZTH/4cl68s8dIL71AsjHP63Dka7R6GdDi5eJbT8/cRpxGXL77Dm+++RqvTY3J2lkNzhxkfqzE1P0a1Vmblxi2ajS6LR8+wUa9jmRGz7gyR8jUfXZikKiRWPYQoI5XClRFnTy3yu1/9d7z67ktUamVOHj/M5kaL77/wfWqVo0zZizz3rZf49J1rGL/5T+DJJ0Yv877zO2jR7Pf6ZJmGdXRlH5RKyJQurMRxzFtvXuAjH38mV6wasIoFSIUpTT74oeewHZsvffF38f0AwzDx/R5SGgSBj+O4pInOIMMgoLHbpFqpkG1s8I1/+A/p5H5hIwdHlLdn9no9Do2N8Zn/7P/O2zdvYdsOvV6P06dPsXR1iYX5BdburnH6zBk8z2Nrc5skiVlbXUcKg0KhiGlY2LaL47isrNzRTSV9X7e/ZrrrUkM1EsexCcOQbq9PHEfa1WDk1A2yMpXjhQOx8CwbriKodDQz0djpBdflv5qd5j9b3+JcknH4Ix9hcfEwdqHAan2LtdUNNrc2+b3f+x0mJsucO32KOPC5c+cOSZIQZylJljEwvTRNgyTRmgRbW9tgwM7utv58Q+8igqiP5VhM2ONYhknoRbq2IA0kkkMLGl/PlF5QxYjz8SC4pGlKvV6n1Wrl7bMGcZyB0G0qSRzjOg5xqBfNuB/k/GFFc3uXarVKojKUTDFMiTAMJILA84e7Wl2MM1Bo805TSPphhImgVKoQ+z6+H5Clkr5q0dpssHL5Bi9nGb7vkyZamCcFTUdD7L9mAhT68UHhbsDJHWa1o9NvEHxHqIcDCtsP6/IbZUEMCrRC7u9APDhGOwSllFi5uH4i0cX/QXdikmJIiZImcaYhtJhE+/HlSLUCioXCez7jXuN9B2HXdfd6y5OU5bs32d7dxTAtDi8eodnsEIQhEzMzjI9nOL5Hwa2xvbXO5Yvv8JlPfpKxsSrrG+sUiwW2tjZp77R48snHkVKQZBFCljDTGnG3yNZqnxtX11GJQaHqENsdojhmYnqMLFacP/okN29c59qbzyOzGmOTCzR6HkdPHmN+8Rinjh1l6fY7vPzyu2zUVylWCpw+e565uVmqxQIz0xMUqg4bm1usrW5SsCqMV+a4cv0OwgqYnR/HVlUmMBnDIBARnXSXy/ULTJeqXL34NgK476EzKDvlxRdeYHO1weTEIU4cfYDNtYAnrl/iU2+8gPH3/h48+eS+C/1exgAkSUoUhvR9D8/ztABKrkSlVIo0DIolhwsX3+HcAyeZnZsZmUF7dCzLsnjyySfZ3trld3/v9wCIwgjbcUiSBMfRW9AwDLXYSL9HmmbE7Q7/jy99iethyI8aj5fLnPylP4nv++zuNjAMg8uXr+iMKYyo1aq8/fbbFItFOp0OpmFQr9dzKyxBoVCkVquxu7ur9YDzmysMI6TUWKcO/JrNkWYZruti2zZxHGlM8UeMg625GlMfOdVKC+NcchxeLRX4tbvr/Farx5Hpeb7y9a+yces2aRhjmQ6Z57PZ3WXz9rLejsex3q0kMUJIzQvNtHYBSpFEEaEfYLkWvU4Xt6jxW5VmSNPk9MkzOKZJlmTsbjdoN9v0uj3iUHPuC4UCnXabTBrDLrbRoDH4XoOi5gAnV0phO86wqaXRaOSuHnsNGQNcs1Au6o44suF7DRgoruvqLjvfR2TaoNWxHSbGxjDHJ5BCUF/fJIlSktjH6/ko3QMM7FHM8im9j79w8Brpa8E+2GFPTnPgvHFgDKCpkes7SplUI38/OB+U2N+K/cMC8d7je0F7qJvOKJY8oMwpUpUSixhT2PuodO9nvO8g/NprrxHHMfV6nc3NTWrT05w+e5ajR4/mgjKXePyJJ6hUynSDPhdfu0ylXEGgqFRKVKtler0OYejT63W4eWOZsyfvZ3OrTqlUpForE2QxE6V5njj3UeLONa4EXabHJ3nikccpTfTwkw7Xr93lnTevsnW7wXe/9TyN1i4T84cpFKuUSxUePPsAHj7f/sHXWbu7yerdNhNTs5y+7z5mZqeYnCgxM16iWBRs7Kxx48ZddjYbfPCZ85CmtBstTLuMyCzKokA1g5oQFITEzyLqrVtcu7HNqUMn+d63v887F97h0Pwxnnj8Ga5eXqa9GxD3PY65k3zo1d/B+Jt/E37hF/ady9Gt04A/GQbaAFJTyJp0ut1cLi/JVf5jhDQplwtcv77NlatX9gfhkbG1tcUbb7zJxUuXhpzKJE2wMotM5ME+ioAM3/PY3t5hYaGDq/Zv2Aa98f1+f9/7Z2nKrZVbhKZFvV7Hti2UQlOrmk0OHT5MFEVsbmzoLa9tUywU6PX7yHybff36dRzHJooiLMskDPPW1yzngebVc9d1sCybTqedU9YEoyR4IcTw+bp1W9/6wwzoHjfa4HFfKX63UuHvb22jbq3wW//y/0ur1yHxAyRgSYFKY5IwIExC4iQZaj1kaYJ2HbcwTBDSQKKIwwjLMImCgJnJScIkoef1cSybidokh2YX2NrYpFQs0jbbtNtt4iTGMEySIKRSKNHebWA4AmGYpCIdLsZpzpYYfIcoioYQRRiG9D0Pej2azaY2H01SRJJimdbwdVEUIQJJoewiNJGCBIbCPb7va5YFQlMPpcQUEsOy6bU7tJstvK5HEiVotbEB5KsDlIQfaeozKLaNFuIGHNv9esb7r9vB6zh4/mj2ezArHn3uPq2KHxMc7xWg71XEE0IzKFSaDWsXSIZ0zYNiSz9svO8g/J3vfHf44Qoo1MYYH5/QItVT0zz8yIO0OrvMHZ7h+vUrtNs7fPxjH2Z99Q4njx8lSRSmZXD7zi2iKMayTXpBwObVaxw9ukihUmJnp8785ASxKFLfaDExPse5s+c5c+QUttOgE9R5ZesVbCulF27Ri+qkImFiqsLJE8f4+Mc/Rm28yEZ7i8vX4M6dTY4cu48zZx/CtB2mZxY4NF2hYPr0vDo3bl5l9W6bSqHK0cV5NnbX6PcalPtjiCyiZpoURQoILARmBIszh9haXefrX/s2n/zgx6lVqnzpS3/A1Us3OHXiQcyqSX2zxQPNHY7GHuoLn89b0vdnAkmS5LzYNdrtFqZhcvz4cUzLotXq4PU9wjwLzNI012+OUSSEUcC1a9f40Ic+mBuwDvdzgN4eZZnC9z2dnSXaqDHNUhCQJoneNqYWnudRr9e5ePESZ117eHxSSv7Mn/kzfPzjH+cv/+W/vE8mMMsy6lt1kokJADzPx3Ec2u0OpVKJW7duMTMzw1a9zsT4OGmS7nVG5ZlXlrfUdrtd+r0+ntcnjpMhLJPlWhie5xHHnRGBlL0bdHADDn3k8ixMILW85T3DwSB70u+zYRgkSiGWb7E1P0OkstztVxHFIVEckKQhcc62GOyM0yTLO9QkEokhBYaUOK7LRz/yYX7w8osUlEOYxFQqZcIoolKq0O951LfqhEFAkiY4rkMapRqCAXa2t/NFOUAaUm9180xVKcVjjz3G7OwsL730Er1ej5MnT1Iul1ldXeXO6uqwrTnJIQgTiWmZOK6rFw+VYVoWhjTIspQwCvECXyvQpdoVxzYtTMsmiRKSIKKbtonDkCjQWiVplCI1yMBQbGoYswbtu/eu9AohEIYxbGseXpGRBXMQ4IbvOBIPR7WMRzPg4XsfyHT3sxzuLfc5Og5CGvuaO0Y+QzexCIQ0UEIO57iWVU2xbZvp6ekf+jmj4/07awhX/5Lv7LY2Gnzv2y/ygWef5dTxs2RGjFO08XyP9bVVnn76MYTUkyeJFY36NjMzc/hhQKbg0PxhpicnuXTxCgsLc/S9Lkcm50lp4QUdThw7gmv0eOj8GVxLYAjJ3dt36Wx3cFSB3naXsUINQyQUy1UWDh2mWiji1Rt86w+/zs3VNT701LPIyhT1douPPvchFsdqmPikWYullUvc2rjL2nbIzz/3BFXX4OX163hen7DvIyOFawoctGCJUHCoOI1biCk/9zTfjd/gX/yb3+ZDTz3Hgyce4u133mVDbnL40DmmimUeefnLiGeeQUxNcHArliQJ3/n2d1lZuT0sgMzOzuI4BQSCNFH0eyH9XpBjseGw+BIGMXGUsrvTIQrjvNli//tPjI9TqVRotVo6087VuzTkkSBcQRzr7ZUfhPT7PuvrG3R2d4f6AbOzs5w/d46J8fF7Vpd93yfqe6hMEMcpriPx/RAhJN1OlyCIEECj2cLJYRAhNKVM5oR3UIRBiOcHBKF2j0ClIPJiW6r78xkplA+CrRCDRUdpFS5lgDKGN6xCsF+PWeVbXJET+zNAsmtKXisW+Mxugx9MT+lgm7e3BirOA69ASBOpJJa0SNMEsoQsTYkyrS4Yxwm2YwEZr778MkkUUyi6PPbYY7z1zjvs1Ldp1OvaPToISbMUx3IolUqU3TK26aDSTNPGTJMg99dzXZeJiQm2traIwgjf9/e6yISg3Wyxs73D7s5OnrFaSNMAQ+oCUZZhFgqIXHoxikLKtoVSgsRPCT2P0O8Ps0NTGmRRSECMluJItGBNzmaQQqIMg1TlPb/DRXEwR+4hCpWzXGAkiI4E4UHgztIEJbUAjpFn2TooqnzuvBdOODg3R1kWo112B+2o7jUOFveSVC/IGQKkgUBQLpVxXTdPDjSPXNouEaFenLME0zI5ceo4Tz/99D0/5+B4/80a9v7WVCklcZxw+dJlUHD6/qOUa0Xa169x9swZtut1lm/d5NyZB/nWN7+Da7s0Wy3m5ufY3W3SarWZmZxjYmICKSVJEiIN/Rkzk1Ns1DwOLxzFKSQgAvp+n+a2IvNneeUHbzBWG2O6dpLJaUW5XGZqcorXXn+Xb371j0gEfPQzX8BLM26ubfPxT32SuYkpLBUg8Fm+c4ub11dpbiWU5BSnj9xHEnncXF4iCiyi0MDvx4ii5je2ej0KBRdhxDS6u7xz+U2kKVhb3+bN168yPbaALW7jtSXWQpVP33qFj/V2UL/864j8vI1e+CjSXE/bstjc2sK2LIrFAr4/RrFQYHXtLpubG/T7HlIaQzwqy6DZbBOGMd1ujyAMKZYcRoOwUoo4915rNps5IyLKe/n1lj2KIoxAbzv7fY9isUShUND6C/ntsrGxwT/4h/+Qf/SP/tE9JiuEUUgUBoAgyxJabd3FFYYBhjRot9u64h4G9Lo9LNvSAV5oquOgHVcLcusMdyhZNArXZBlppojjZB/3d3+APVjkvPfjew+L4d9SFG0pORlFREFArPJsNxdLH+gBZCiSgeYsWj/AAD7xiU9QrWoMvFwus72zzWuvvo5bcPiVv/YrNFpNVpZvEUYRvV5Hb/fRTS3SkVTKFU4dP8VOfZc4iBirjbG8vEyn0xm6XARBMDxnS0tL3Lx5c3geOp3OEKrIlDYgrdaqujMNzTH2PI8ojqmNjeGUCtiui0gyglAv5GmcIaTANPSuKk1SnUlngjTWpg1CKq0QJg2UKUkGLAA0Dc2QIzQ0xfAaDgNuDhHJ/Lortb/gmPdaIE0Tx3YwpS5Ca/cLzdEZqJKN0g9HseBRPHgQhAdNK6PB9/3Qxwa7hlELOZUput0e/Zy5k2UpRg63CSFBZZqyJrV86BtvvPFjPwd+wsIc7K8gAnQ6Hd566y1m58eZnBrjkYceIc0yvv/S94iiiDfffJN2u8NGd503Xq+weGSeixcuUSqOc+zwSR588AEsG0oll27QJMt6WIZBu7HF66+9wWc/+2myLGVleZObSw2uXapzaPY0Dz90P2fPHacZ7bDa2uDqtat886vfo+xM8szTT7N8fY3dsM/HPv1TTBTKFFG4JDT9Xd5483U6jZiobXPm6EkOj0+x079Er71LmkwTRwm7u7swNYZS0O31afZ7zM0VEcLl4oVlbGcCMoelK2vMPnaYsdJhotDkiXfe4mde/TbWf/p34AMfGKH8Kvqeh+s4WKbF+fPnuX79BlJK5uZmsWyTO3dWaHfaeQEoAJI9N4BU0e95hH6MadpaZeuHFBZcx6HVaumOM9MiNoycYwtpqrnDZqSFqVWW6ZbmKMQ8IHDyIydrPgk1JimJoogwTBGD7W9eRBPorbHt2IgRs1KNq6ZEcagz3jRBke5luINDyTOmAbadDmCHIWXoYMY7wB2lFvTODjr9DiC1Ec5pjkGaBpp+mSl8LwQ12IZq7tSocaYUEsuxuHv3bl5Ejen3fXZ3dzV0kmb8zr/9HdbW1ylVK9Qmx2jvNskirQZWrNRYWDiEZZhIKel2O6zf1SLgDz/8MJ7n8cYbb+gOt3wRHmCgSZLox9A7klEvuaNHj+IUXK1XoRTVapWpqSlM09SdhUrviMbLFSzDwOsV8D2PNE2Jc+xZZRlZqsiSTBs45G5uGvs1EIYEmeSMhfx6jWS4Is32BUTD1Nbw5Jl0prL9wLEAYRjaocK2cQsF3ekmIM50YXHgJzdqz3Qvm6aD0MSgcDl0DPkJaGqD3droSJMDYvT5vAD0/M53kvV6fSjL+uPG+5eyLJVGZPBgsO0YrEJXr15hemac8WqNu+urOLZDtVKl0/SQUjI1PcWnP/Vp3r34Jo1Gg7HaDN97/gd85rOfJIp61Lc3WNu8wcxUjf8fb/8dbFuSpfdhv8zc5rjr77P13ivbVV3tzXiDGRAg4YiZATAcCAIYIqRgiCETpACIClKQCQVJIRghBSEoRAkgFRIFEhANAsT0GGCmx/WYnunp7mpb3V2vzPPXm+O3yUz9sTL33ue+V1WvhwNl9Otb995zz9k7d+bKtb71rW/NJ1O+/frXuHztFdJkQF2lnB3D+nCLf/Wv/AU+9ckPs77W4+DkIX//H38WRinjU9jZucyf+LE/yQ9+z8f5+V/7FX7goz/A5as7XBkM6HuLdpavf+MrnJ5MGJ94jF3j2auXGaaOt88eMTs/w6oejjmT6RnWCdDe7w/4/a9+nRu738ul3vNc2nyZd+49YH10mb39Ex7dO+XW5ed54Xc/x0++9WUG/+6/Az/zM8EayLNaLBacnZ5y7fp1tJLN/aFXX+Xe/XsMBn2yPAnGt8D7mvPzKUW5pN/P0F6xWC6ojWM0ykhMxvb2dki2PBkqODo6wjkvGKGWhV/XVYAmaqq6brRty6JkuVySlMXTLVFFkOuTELIsi8bDS9McFOR5j2JRkuc5eZJQVXXwTLQY5lDZVpVL0UEO3vBFrqhC6EgiIrOqMwCEzS+6t0mSNNWE8a9XwlXf8aKceDrx90mScPXyJbzRjKczilq41N5Z0YoNBrgxADhc5bh9+3YwOBI+V1WJ91CWNd/42jdRxqB0wtpwjWJRUBYVw+GQl154icPTQ3a3d5lOJ8xCAU1VVXz5y1/m5ZdfZn19naOjo0Ykq6sD7Jxj0B9w5cplenmP8/Nzjk9PODw8YOfSJTmkEU94OBxijGE2nzFZCn5f2QE6Sej3BuBCVd50HsTZIRYTaeWxPooiKVDiXSYuobYWpaV/nBwSQVLU2lYWU2t0Egx39EY7BjgmFpMsJckyTJagEi2oVCgcsTUEwnf7KN8lcdYdrXi+a/ju7/X6x4fAIO9Oa1NNYl0pjQnNPo0xzGYzlsvlU3zGd+kJxwlL0wSU4ESRGnV6esKDh4/oD4asr2+SJpqXn3+FN5Z7HO+9zp/9iR9kd3uH+3ceMuyvUZcFR6cHvHn7dV58/hmMc2jvuP/gbb75jW8xnlfseth7eI5d9hkONvkzf/pjrK0Pcdbyndvf5h/+1/+Ak+UpN156lheee5bnn+1x87lLHM2PKfUE509x1ZBM9cCXvPbma7x15ztMx2OqYkgvG/HKzedATTk4OqAualy2YFZOuXNwn9PZS6yvrTEY9njn7dvcuXmDW88+w6c//H28c/czXL/2PMVdxeWv3uanT97mg6cPyf76/wL+yl+GEHbF6Pp8PKG2TkTGNWxsrvPJT3+SylZN19fz8zGnZydMpxOKUnRktbZUTjQx+oM+/X5GOezz3PM3GAx6PBaKe8+du3d5+623sLbCa01dAUoFepfDO0tdl5RlSlEWZEVCtjSkxWIlC+KcY36BGUH4xCRImxZ1gfM1qKjb4DHas1zMGqNkjOBpJAlJYlBKwn3nXFNkoZQLcxWZEaHHGD4YaNdc+8pecA6vhKerdQYoYZTYumFLOAfOim6Ecz6EmCET7+SO5GAICVBfUSnLrBKtDaMl1HRWkrTiRcvDbcPi4CEHY+lqh3Ia7zzHe0ccPTqkLi3KKZbTJV/+vddQmeKZKze4f/8BZ+enzS3NZjO++c1vMhqNGlaD1jok04KXaQw1Dp2lbF+7hM3g+Q+9yHyy4OT0TBqQ1jWz5YLxZEKiNVmSUpVLiumc+ek5ykO9WLCcz5vEn0yKeA8C+UYoIMyZl7nSSqPD/id6wEaRZBpvFc56UJK8c81zDK/VQutzgV1g+hk6M+hMoA6nPXiNNx2x97KV6Xw3BsRF6trKmlVKzJVXjc16v6GVaQourBVueCzhbq9BolStxdAnaRrWmX0sQnu38dRGeDAYyIUFa5+kFiHGy3DO8Z3vvMGXv/xlLl25zOVLV7Cl5fx0zK2bN/nExz7GbDZjOpnx4z/243jn+Wc//wv85q//OhuDP8729oDU9Kj1gKrUbGxc4vYb96gWA86vzPm+7/0Q6+sDalfzK7/1G/zsL/4882rBM89e50Mf+jDbW5f47K/9Ou+89R3mZcFzLz/LeHrM9etXKfyEk6NH3Du8S5L2mc0relnG5d01nru2jXeH3H772yzrAquXTJYzhvMZ9w/3uba+waKyXLn+DP/VP/lZfvJP/RlubT/PFb3O7oO7/NVv/S4f2btL9sf/OOpf+9/BD/1gwDlXGzJqbbh06RJKiddxdn5OWRTkvZwf/7Ef4/TkmOVyxtHhHnVdiVOoI4dzjvMVo9EOw+EAYzTPP/9sg6sSKTVePuvrX/86p2enjYGo6rppgd6E4k42aVmWFGVCWiSkZckrvZz1qsYDuij4R//H/4CPGCMdFMLCfSHPg5ypwfmU2tY42xpUrWLiTQcj2GoP1MrjrG40HqqqxDm5LunMIBtECjdsA0FYK4ZVWo13NgqRikZrcJ1baSVkI4wRtSSiUleYrzEKUxScHh5Rp5D1c4ajoWDpLhggJ9lwuUQh7DvnG7aEPIYOd9U6bNV+nnOibSGsDceinmN6Ke+8dYfxeEyxLETxDEmeZllGXdf0+/2GmWK0AcR4Cf4rHuT61iYlFekwx5czrKlZloVACt5TVSVp3pNKyflCqg89KOepOprUWmvxNp1HeYlYosGK2tPeedn3KzcukVGammBffRMExtCmEUqP85RojFekvVwSmkaKKdAKrxXWg+llZL2ccrkUTL6s3hWCi1+j5/ukn9Nc7nsb4AZKgVZs3jm6qmgXDwJZJ9Erjp/7h2yEh0F0XKqDEtJMwPr4gVUl4ik/+7M/yw/80A8yGg1wtefF52/yL/3xH+WlF5/j3oO75HmOtZbEGLy3vP3OO7x15z53Hzk2twdok3F59wXOThdMJyf0ejnXn9lhc2sI1JycnfBPf/WXGLslg601XvrQy1y9dpn9Bw84OXxAkShUmrN/usfoUo/j830SVfD6nW8wWl/n61//Jo4MtGZjZOjnlvPxPnfvvUVlLVVZUC3mlLMFd+494KPPvoj3cPnKNR69fZ//9v/+n/BXTw/5N37nc2wcHmL+7J+Fv/C34Hu+p/V+Owa4qirGkynTyYTNjXVqa/nWt7/NlStXuHTpEteuXaMsCm5/59vcu/MO0/NzqmqJxbEsSvA6JCeky3WSZHz8E8LPfmzxACcnJ3zh935PQqHHaD+xY2w0HrJg67pmuVxS9Xt8+M//eZ6fzyjLCpQnTTJezftkaR7a3EgmfN7rgYcsk4q2JlT3npISlwh+aLzHe4PWUlmmrZcWMZU0R6wl1lw1jhBoeVG8R/5J8kkYDs09B0hMcNIqvE741d77QNfr6srSKWsWw/zFNOUvzqZ8tKq5vb2FyRJsXZNmCdYK9cg7cUB2d3epq4rjoyPKolzp3RaHtVY88brtJ6cUJEqvGurKcvetOxhj2N7eptfrSVuj8J7j8XglseS8wyQJo9GQwWhImmWYLOWde3dxynJ0fox1JaUvKOqSq1euMx8vWC7nIVJxJELgi3EAFtFyPj09bbHnRtdEvGHdMT7dxGkcEYpQQSHdKy9dLpQKoYYcqM0caUhNIp05EoMy0m0jSRJ0JmL6KsmoqxrlfOhTB5XSDWf6Im83rvGLRS1Poq097egm+ISTvVo+/lhByBOu52nGd40JS/txhTIVOkjDxUVSO0tZVTx8+Ij10Yit9W3qasHB/gPGk0P6wx7r6+tsb28zn8+Y1yUqzyiThEkxI1s4ivmSk6MC7xOMSTg+OeC5538MpTTjyZjf+p3fxLoKjefyzjaXd7YpyhlHZ/uYnsP5mtH6iLWtEUmecHh8xMnZPpX2uMJyPjmnv7ZFLxlRzGagPHtH+5yeTnDLFJ173HzB4fg+58MtvHOMejnXJmd86B/+Z+jf+wIbr34Q9VM/if/Jn8I/9ywqSRqc/OKoQqPTyWSCMYZHD/f44he/yJ/7qZ9qvNOvvPZlXvvSFzk+PqKuS7xyCGNWN0ZYq5yz0znXriief+4ler38cXqOtXzuc5/j7r17Tav0uBi6WWRnLbWuMbWVbrGFQoXW77MsZWFzyoD9G5OSB9GYJEnxAR9UgA5lxnku+gPFckkdeK14jUlUu189WK0wRryU2lahxbhtrnHFWMYik1CsEhkAjy3scD/Cp61bzrBvPa9mKNU0oWzmzHu+kmXcN4YfOjnl9pUtnBNDbkyLdXpjWF9f58q1q2itGQ2HPHzwkMlk8kR8ciUxZQyKVeMAUHsV+NuO2WQedJ/rZtNH1broXTkvz8ikCWVdMy8LiuNC6GIJ5L2UXppQFzWT0ymHj77G2miNLE1xrmYxm1NMC3zt0AFmsLhGX0Twdr+yjNUTNHEjDSxyv3ViZI68JPIcLuTrJAnpnG2SuN6L3KYKRtgrB8o0veySNCVNE+rKYouScrGkKkpUVeE6idE4l+82us/kImzxfiM+oxbvfbJBj40uOh/02JJ7mvHURnhtPSfLMtI0wxiNp6SqS2xdU1WSWBEAHO7euUO/1+fDrw649+AOX/vGGR/92EeZF3Nm8zmzxZzjkxNOz6dsbl3hfLIgzQ0vvfJRhtmAz//2FxgM1xmNjvnkRz/M2qCHtQt+/Xd+hV/9jV/BKkOe9XnmmZs8e+0mV7c32X/4kP76iMV8zubuDjtXd7n6zFXGp2Me3LuDcjXf+vo3QNX0Bxm5znju1gsopbn/6IhiWWMXntT3QS1YzDzHe8eUb73N5q9+luf/9t9G/9iPw0//NPzRH4fdS2Gtxlm/gFN5CStPj0945+13SJKE+/cf8ruf/zyHB8d86Yuv8ZEPf4jbb7zB53/7Nzk7OWExmzctWJz2gCR7XK1xqqKsC2bTBaNBf4Vr672ES7ffeJNf+IV/xmJe4H2kDEVDYprrdA6sFd3duk6oaoMua3ymVzxawQBj8kT+ea+DIZZ3s7WlCiLy1kryT3i7UuAiHlLggTpFbVVjOL2rm/dZhQkkjHZWMtu2rkVm8QnkfIjVcqHHXsCTfTDCKwbSN8hkMxRQK8W+SdiualKjsDhwltQYnDYSamqNV3D95g329vZZFAVZL2eECPDHOUuzjMs726RpxoN7D/DOsbuzw+R8zOx8Ah7SNBEucLFkUSy5fv06RVVRVKX0cvM+NPz0Tdm6UsKflb6BUwnZndANrXMoA9U8odIJy8USV1mMVSwnc0qtmXmkIKOQNjwxB6oS3bSWStIUW9VBfsMDDrkKf2HG2oSaYObSLcOrwFiIBtm3HV+stU2ZdZKlAj2E55hnKeujNZbLJcV0xrw6b7pT+6AFrJuF4gNcwmPtilaH6jRLePdX+c4a0Shpj9UhP4jYv2qSw875FUw4esrNpzbUu/e4tAvjqY3wB1/9AP1+X9T3y5L9gweMx0tQDm0gSRNSJzKDZ6en2JFlMp1S25qbt24yHA55sPeQZ597jpu3pKz1yuWrbG5eZntzi5vPXsNkGcpobjxzjaw/pCrnPHfrOhrH/ukj7h/cYbQ9YL6ES1ef5YXnP8gzO9cxFBwf7bN7eYtBuQUmYTgYoJXn3t4dNrdHfP3LX2K6OOPS5jajtT6TownXLu9gXcnDhwfUpUFVObnZwdh1RnvH/Njv/n02/sN/H7W+hv63/1f4P/WnUGkqT6dDTn/Ck6UoCu7eucOdu3cZjyeA4uTkhOPjI9Ik5SuvfZXb3/4OVbEUEWznBfNzCutsI0gTOvbgEOJ8L8tZWx82H+Sc54033uQ3fv1zfOELv8fDB4+a0t1VvmQiFV603QysrUNZdI3RGocVHLZTfeZ9G+lESk6kSTkXvdhWKEW8KdW8v4v3oRTaKepK432EFnzz9633G97f1lS1wArd10LriYFUB6LChve2ucbmcHrMS338cTml+ZXhiH/j7Jz89IzxWp9+mqKVVENleZ/dS5cYzyb8+q//Onm/TyrUIAbDIdaLdoQ2mhs3b5L3e1y5do0f/Rf+KG/dvi3P2dVk/Z5gv4MBm5sbLBdzPvDyy9x87ll+/hd/gaqopQzaGBxS2WhDSbZWckD60D1jc2eLyXiM94pMJ+LBlp6iXlIUS4lQerkYkloOs7qqoG4NlweUlQkRYSQlhQnxt+FAaIKZiPWueIOJwJIBz1UKlPfkoQXabDZr6HGNhwnUXqIajYbaUcwWzKZTyqKQMv0y/D7ojRA9UToEmvc0dL7BcJ/k+3b/tIlctMGsYL3CohFRKY1WXjx3WuObJMmKUdadiOcPvWz5wx/+cKOiNR6PqQMJPCYR8jxHGwlpYpnlnTt3uHHjBr1eT6q3rOMTH/s4D+7dZ31txCc//jEWi5oXn3+OrCe0jjfvfYtru7sMNgw78z79gQFVMxz0+P4f/F6uHxwzncPJWc3WcIt+knNv7y6Hx3u8+qmPsCwTvvnWW/QSgy2XOEoe7L3No/17rA83eHjvIR/fuMmiWrAx7GNtwWxW4Io+qd3k4/em3Dq6z/e++RU+8Mwl/N/8m6g/+uOwudkJPS5I6Km2ZYv3nqqUbsfz5YzJ5Izz83FDgC/LIniliqJcYJSn388Zjz1JKsmKuobKha4DvhbcE4PSjnv332Y8nrDb3wLg5OSUv/23/w533nlH2APhGiIdrOFHhlCzq6lQ1zVFWUpGXynSwOF0oU2QQqMa/NTinGlobtFdqOoybC5hCNSVGF4VROVl40g46p0Jhr7Vhoj4dOyK4XwLQ0Tjay/S0mg5omLgWw83Go2LBljFjfzE1e359cGAPzGf8lfeecR//MHn0UbRSzPQhvXNTZ555hmqe3fxXnrjJSgG/QEmMaxvbqBRws1eLkj7PW6/9Rb6zh3yJMWkCYO1NfIkx3snnrNW/MAP/yAf+ehHebS/z+WrV9io5JlWpYi4u06peDdZtLW1xc7uLuPTMygqOVgCS6GqSuqiBCAfjcB6qrrE1Y7EKUmwdnFTLSXeJqxp1Zm3i/mEi/OZpim9Xg6Zp/YWh+C3ideYQGXr9XokSbpihK21kkfwoUGs9cwWE6qyxFm70qi1+UzV0sS6/54GXni/0WLJq5hyl5IYc2GqU5F3sTBEQcDA5VCLcM37jacXdVciLj2ZTDg5OeF8POb4+JiiKIQL2l8jScQYS4iScOPGDZ599lmKomDQ7zMaDLh+5Sq4mp2dHU4OTqhqz+XdbV772pfZO9jn+z/1cRJT87nf+qe8+NwLJKnF+ZIkUcyWCz700U/wrbfvkfQtG8MBCYb7D/ZJ03VefPYVjk7O+IVf+iU+8tFXGPXX2V4b8Btf/ByDTFPNSqqZ5+rGNSZ3Zmz0N6hrGO9NGZ04vv/Od/iZ299iPUvgZ/4i/t/8t1Bro8bgXDx5i7Jkf29PMNEsY31jg8l4zPGxaAMsFqKJMJ6cSYjoHHmvJ1QWk2CQ0zVJNWmqcV7mTRuFq8Tr8V4SVx4H2nJ4fI/Pf/43+dN/9k+jteHs7JSjo8OAw3YrhmIiQq7VuuhZrAql1LVwhk2SoK0Ys6abLxoVPLC6tmhVU1diuKPxUzEBo8Ak4rXUdQWU4CWEVkqgCpc4tFvd3BGHdEHbogtJNMmzwHCIf3Pxq3N1XKQr6/VJyZvoUXX/3nvPwjv+061N/oODQ+7cP+Qf37qEVxaU4XD/gKIqSbJMqqOMITWGXp5TW0ue5CTaMJ3P2TvY5/7eI5I0pTcYsLW2ztrmJr1+H5zi/Pwcp6GwNf3hiK9/85uoxLC5sy3OjbWYJKH2DlvVUBSPYZF7e494sPeITBsIFZBKich4ELnFe8/4+FQYF86jnCMNkIrvemtBGRECq0G183gximgSioHtEivSdOJItREKl3do2yq2RWZIURR4L8UjVy9f4fDggKquqcoyVF8WLXvHPf7cuknb7ngvQ/zE5/8eIzoDF18fk7Nam2b9RDgmzkNTQ+EfL5l+v/HURtjWCculZ7GwnJ3NebR3ymxaS019JaLHpRb1/8QYtDIMexv00hG9bMTXv/41Xv3wy7z+rW/z/HPPkiYZPoTtB3t7fOkLX2BzZxelHPP5hB/8nk/z3LPPYRJLWRe8fecOl3du8GD/kPP5jBeeeY4rW5s463nn7Ue8/NxHuTy6xpvf+DbLwxPKozN8bpju7WNncwa9Dc5Op1zduk5fjVic1aQqpT4+56f/y1/k+htvs/bss/Dv/R/w3//9qGeeaSq8nJVkz2K5QGtDry8HzXK+4O6dOwwGQ9bX15iMz7lz5w5HR3tMp1OK5ZLpbMpstsTWjiRJ0XjyzLC9tc1w0CO5c4f0G9/kZJAyAdZGI1zlUCGz3mTftQvUMvjlX/qn3Hz2Fq+88kHu3X0gnFS1SkZXqMbzFSaKJA2SNG1ERtBiHKuqJk0tda2l9XmEhFc8//gzAId1NUoiUAlrlQ+hmWo0H6JH7n22cjBEr9mG5puy+H3zL8Ig3rdaEd3Mt2yYiL13oCEf7lzRfNb7Zaijd+m951tpxu0s4+XJjLrcwVLjlLTWWRxWbG1vk+U5670evVx0lH1dM5lMmmqz3Z0dhuvrbO3ucHRwCMBzzz3PydER88WCRbng9PwMN53yW5//bfJeLwixS4uixBiKqsZVNUapIB5uGw8/cq6zLOGl516gmi3Isox79+5xenrKcDRkuVjgvefqlSsM817oXj1nNp+1VX8B5yRg79EL9C6UKcdnHr5qrekFEaC6EsegKgUuMktIc8F5pXinDlh+6K5sDDpoke/u7qDRFIuC2VykQevQjqo9GIVZI59OA5+tjPd4rt2o4d3Mr/KeWEMX8fH4nm1g0R7YseWTVrpdX0gFoDEGo4XSpzoMmKc1/k9thKfTiqpSlIXi/GzJ9WsvsrW1xZ07d7h06ZI8GA/9fh+8NBYc9deEsO5ha2OLjfU1puMxy+mC+4d3GeY9ZucTHt15wKW1y+xeusZnf+nX+Jf+hR/m+WdeYNjrc3xywGtfEbnI7Y2rfOE7v8lwc4sb25cYpZmE+Uu4sXuTvDJ864tfZSfpk0yXjB885O7r3+HS6AqLiWfAgBeufoTTvRp7WmFuv0P+na/z0u23SX76p/H/638XtbWJCgkp7xzTszHnJ+cURcEyhFCXrl5hfWPE5HzM5vqGNLA8OWE8PmNv7xFlJVxM6ZO2oCwqnJUuBr1ezjM7WzxrHdd+/hdY+7Vfp6oqtkYD/sH3fQK3NgziKA6tO95iqEKyFh4+eMR/9H/+O1y+coW9vf2A6RpqK4ZaKdE2qENXBIVuqGCJSYjwbmNYgxGsK4dSwrXVKtLKdNCbEJqcUpKE0S5419H+hTeKfd7wshGNjok812TI4aJ3EpOLSENO74VqVrvGE+4a3S5GKUO3m1JFTqtrPLb3NMQdvDHOhbWOqnBUvqZWVvDLSnqxOes486eN8FKkD2qtSU1CqhN+5Ed+hPFsKrKXeKxy/MCP/hCPHjzgrTfeQqFYH61jsdy6dYsPfOADrK+v8/u/+3s8vH8frwzDLGe6KEm1QZvAHc5zesM+KEXe70vonyQopbh16xbGGG7cuBGSwW+zv79PgmqoctGgxMNRN55xO2ykDIaCDe+VHJbeN103dAPHhQhkqUiWtURwrqYuo/BRMIbGoE3FEvj93/1CYK1dxOrtyppotCUee9Y0uPJ7GTn1Lv/dvL+8aQMjdl/VNcDxM2LOQpJ9YR6VBZ9gVOBUX0wEP+V4aiO8sZ6D65Elu+xsrTGdLZjOlnz0wx/j+eefRytPlhryPCecn+xub2IS6cv2yU98gLW1AcWy4M5b71Av5mwNhyS7ioP9M5698hy/9+UvsXtpg+m5483bD/jwR14lM2ucPjrleb3Bt+ffQvuU3cEm270h2sO8KBifjbm6c4Xp6ZR65vnYqx9leT6hHNfsZpewvsfbBweMzDbXz1Je/PzP8Sc+94vs/H//Lkm/D3/uz+P/2l9DbW0FD0A8zr2He9x96x20jx6xRRnN2VnO4eF+4F/CgwcPmM9mLJYzptMxtRU5wVi6WJU1WdZnlKd85O13+PA//icM33iT25vr/MonPsyDD77EX/i5X+ZPfO11fvFDLzNLRY/UWt+wTqJnYUPl1/HxMSenpxiTABL2pzojODdtokBLzX4MC4WUL3xfZy1KSYGAeBq2WUjdxWfrmiosSCkQMUBkK7R1/JFiJQk/j9Y2UBof9w4uZpi7XFgbqHN1VVF1WBGNAb6AVXZH/O7dwtc44ucbpZo27B5YKsisgzpg3K5mWZcs6inOHWOShK3NTSra5EsTnqNZLBYo4PqNZ/jOW7cpypKTO++wf3RIqhSVrVnf3GRjbYNZMeOT3/NpDg4OmB8sSLVhY7TG2fKEonbURYm3jq31DRaLBc/cvElRFTza35f5Hg7RCEYsGKvj+OSEJERwVVkhzmj07R4XvBE+LxeeedtaXv671V9QSCKzm6ADYV74UlhSEknF3+nmYO0+kxUjqVTnM+N4so6J6jyri2PFiHc+4/0oag1s8C5wR/e/u9+7wPwoQ14lJoRX9t5TjKc2wq+8dDOEGYq9R4fMFgvW1tYBxfrGiLVRRpqEGwyu+urZIljUcNjng6++gnfSKcI7ODw45ujolO0raxwenlAXnmc++Cxp0mej5/kXf/FXWfv5f0r/hefp/dRP8NwP5wzzTfAw3T/kype+xObmGvfvvs3OL/8Wn/aOjfMzLp1NRJHAKZbLEuM1u8WC/IOvwL/+P8R/7/fCrVtw47pgmx7Kqubo8JiT4xPOT0/Z39vHGFEyy9IMkxgOz065du0Kd+7eDRVflrOzM05Pj5kvZti6JAUGiwXryyU3T855cTzjsnPs3HmHL7/8Iq/9xJ/k/tYaLkvI0pT/4lMf4S999jf5iS9+lb/7wRcwWdSFCFJ8gQsbE1nGGEySkqUJ+WTCi+cnFP0B31pbp3ZCIUuMcK0l3I5epW/uVcL/0JPNhQ3ZsAuikTENbUfgAemEW9fSM83WZUPziVq2slEszqmGnqStxcWqrI4R6C5wFw6aMniYddcAx6uKxgPfHJgXQ78YPUSO57tthh3v+cvn5/wX62vsB777N7Kcn5jPSStHlF9JdMLu1jrbO9tcu3ZNRHXwzBdzjo+PSdOUjY0NFtMZa6M1vPd8/Wtfw9WWVBvS4Yh79+5RVyU3b9xiuLbGbDlnWRV85ud+jl4vZzAYcG17l4ODfY7PTpnPZhSh23RZFCwXC44PD7l67Sovv/QB9g72UUrxyisv8+Uvf5nbt28HzvU+RrWRgUfRVnpJlCSjFSZaxcc7rB9vmrXQDrUSjcR3imGVCl60d+GZKCndlt913G7Vef6+tRHt8M2PHmO4PPFpPnm8Fy7c/ZkCCAnqi7/rJgEvHgZxjcrrV3WQ/9ATc027Ee/p9TKeuXkp8P48SaLQgUt1wamPfy3/HwAenWgI5aZae648s8OVZ7Z51b5EXQnVxyQiI8hrr7H965/D/zv/S65+/XVu/l//Y/iP/g4EjOiyc/wF58k/8495oSy5slgwvXIFdfNZjl76BDoV7PnypUusbw7Rn/wkfPxj+LVhuB+NrSrKcslyUfKVr3yDu/fuCrziHGVdoxJDmmakaSLJhqpib+8Bs/mUYrmgqmqq+Yy1o0NunJ9x8/iIZ09OuXZ6jvKeCqmYTAAAsBdJREFUZZ5xtLnB2dqIn/uZn+DhznZoEllyuLfHaDTiaGON/88LN/ifvP4WP3J/j7d2t1jkmTBOLBSV8G+tdWwXJRt+wTrw6vkZHzw+ZFiWeK35/UuX+aUr17nXGzB3VcNv1Fqy4okRYn1payQV7gJfNwGisQ0nudKkIcurlMAzDqHQ1bWlrkKnCecab1sHHLMG8NKYsw4JnJh4Uypk6aNlR/62tpL4K0MFXmRERFwwYrcRx/OsGuAGww9KYHIPq9SmrrfzQ4s5f3l8zrqz/HvrG9RKYfFowuGkPL0sx+QpV249w+XLl/DekyYJ4/mMyWTCdDLlQx/+EDvbwgVeG4341uvf4uTsFK01Gxsb5HnO27dv8+nv/14+8upHeP3rr3P79m2UUTxz4wY/9kf+CPfv3+ed77zJO/fuMZ1NpVS3KoU5MJ2Spik/9AM/yEc/9lF+4Z/9U1xVc/XyFba2tlhfWw/KZ4LlWmxj9Br1sWg8JEwKWH7A3X27R/GqQ/96nFftw9xf+CFBdVuMLgq3uvVXvrbvqZpfrUICfuXLCqfl3Syw6v5Odd60ky9QXW87Gs2uxVplPPiVV7aQhG/WlEcqEXVT1h2xYvUUHTzieGoj/Ma33+R8PObVV1/l0u46WSYb04Vk0XyxYLlYsrY2Isuz8HCF3xjn4aI/0myNcLfaeDLTuaSHD+E/+FscffBlBn/uJ+n/9/9V+Hf+Xdjfh6MjPDCbz3kbzUuvvspXvvIan/uNX+WZD32ET33P9zNa22S0vs5g2CNNheLlnWCUVbHk6OiAycmYh/fvc3Z6xsnpKdPptAmDm9PPGKk68p66qkJJak09nzM8P+fTjx7x3MEBt46P8WnC4doab165zC984qMss4yT3V3saEjWy1jfHHH61tvcvXOH0WjE6ekpjx4+4vnnn+d3L23z0vEpf+mdB6g7D2me6oV1Hz2LpdZ8K035vw3X+JXtIbvO8W+eHvE3Dw941B/wSCd8+/JVfufKdSotHSQSI8mDxGi0CfgxDmdLlAZjEmID0UQLC6DxJJVgd3VdUZUVVWWxlQ/Z4/ASL5Qn8VgFo64rOQwUrcBN1/gK9GKbjhsu4ol09lYnnO16JtGzvojd4b3Q65qEipIIIXrWSmHCZ/zAfMFgtMa4CXUF79NGsb6+xvalXUy/LzrQ/b7Q72YzNtbW6Wc50/MJ5UJYQmVVk2rD5e0dxtMJOEcvy1jO5vzaP/tlxgcnaG8oJnN2r1zhA8++yGK84LlnnuP4/iF16ajLiqoooLZgHUvnMNpwfHjEr372V7j79jucnJzw2pe/zNe/+jUmZ+ekQVfC2wsen9ZNpNK1Uy7COx0YoP0ao6H2EFx5QWeuxdDLgR1f4pRvGns2BTaRGtkupMcsQfygiym1x4+CJ4yOcVWN1WzX7epraK/jPXJn3flSiBNiIdD6Ori0ko7o0XBrraHD6Hm/8V0Va4DM3XQ6JUkHaG24d+8hk8mc0WCNLEvBLXF+yVe/9lUODg544YUXSQPG2etLx+Ys4IRZ2mM8HqOAre1NNrdHQWVLiaf71/86D771LX77X/sr3PrG6+zsXmV9c5Odl1/GvPoqeM8Q+IgXfuP3X7/Kp/+lPybZ5sDFVegQ+lgW0xn337nP/t4jFosZjx4+5NHDRyyXyyA/Jw02UZClWfDOKpSryVEo59ieL9heLLk8X/Dc8TEfODzkLE2588IL/PYf+2PMr1zhNIGTyZitrS3q2uKLEuUdRVmxv3/E4eEhR0dHHB0dNYJIRVGgk4T/9MYV/psru1wtS0ZFTZMcsVLlZmvHoyTlLEvZvHKZu9MZs+WCurScO8/fuHyNjzrLvzifcr2q+Ol3vsMfOXjI10ZrfHZrm2WdYY2RBJy1KOVwWmADZVxwUIO8YENEj0oDkowryxYuqEJm2znRFZY1IktXcMTY1UN+Ft83jghXRCP8JAZEV5IwGuAuTaqL/TkrfOyY0Ovyopv3gMZzaUdwnZpdJwZ+OpuxrErSYZ+19XWsrVkuC8aTsSjEhay/MYa1tXWmY6FwmsRg0qQV5C9rEudZnk74s3/mJ/jMz/4c5aTi87/xBXq9nI997ON8/SvfpC5rfO1xlSORLGiDmX/5S1/CekftrDQEHY/BQy/LyfK86XLcHU9OhPLYa8K59ESc/eJ7dZ+NRwSFIq5OTLK+x2fJ3z/hl+/y2cHn7Hz/3kNe71e+f5oRReNX34uVQydep+vAYN057uY6nnY8fXujwBuX7sQPQp2+5+DgmIcPHnHnnbtMJ9NGbW3/YA9tFNevP8PHPvZR7t69i/eWXq9Hmkrjwd3tq5LBTRLu379PLzf89L/y5/jghz4A3sHDBzzY3OLO0QnHX3yNvD8k6/e4evWqCFUbQ6+XM+z3yQJHOetleGuZL+aUxRJbWebzGcdHR9y7d497d+4xn0ywp8eY/X2uHR2zPZ3xTOAxymTGhS8e2bCuuDwXmcdeVVGnGdM05Qta8//a3ORrOzu4fp/kzTex3/mOqH15R5aJ+PqlS5fI8oy6rlgspizmy+ahRoGWoijY3t4Wyh4LHqqU2hipxXdQ1QpSRWIykiQj6yWMtjYZKDnwK1M2JaJfV5pvrq1hlOL55Zw/d37Kjx4d8Cf3H7E/GPBrly/z1fV1FpubTIKEYcRQjXHBGxbaVBTegcg1dqHbhWuScK6pr6ehpkGsyvMNBOGhKV01DTbsmkKWCEFc3ApdhkSXOxx/p2NyLVxX9/VdBbfuiIaiAjI8HykrfjvPg3PUbi5nLcul5Xw25eDgoPl7G7L5SW2plBTgDHoD5vM5y/lC2qvrVvwF6zAKHty9x//z7/09puMZjoxr166z++wtFmcTRoMRtrDY0gWx9Itwi2JzbZPxdMLm5ibLIxHNX4bmnEma8CTfqzsHF/HzizS+JxmQd0twNoetfMp7/s1F/m03clEdWOrdRgNJPaWBi/f1tMmxVQrlex9CT8KZu7zhf25G+B/+g39Ef9Dj0aNH/Oqv/irSTFHEus/Oxxit6OW9Bpg2qeC6J6cHlNWSs/NjnLPs7OxgUs3p6YTZZIH3kKbSYHJ/75DXvvhVrlzexR7tsX3/Pucf/BBZmpMFXvHp6SkPHzyUNiJpQpokjAZ9Br0e2ivyvIe1FbPZmPlCeJHVYkl6Nmb94R67J8e8+miPZ87O6JcV8zzjrNfjsN9DSnQtti7FKHiRoLytNbd3tkmHA15PEvTOJcrRGncePmRZ1SSpwTgLdWuEfGjJc3Z2jklS1tfXSIwmz/tsbonXuFgs0FpTlAWLxZJPffrTFEXJ3t4edS0lxnIQiFi21glpkpGkGXk/5dKlHYpiSW0rcD60oRFcVyuNNpo76Tr/l40N/ks8Ly5mfHI65V++f4+/6Bx76xv8vVde4VHgEVsrbAfRrg0kdCMJnBjCQyx7jqI6EvpLcZcL7xGhAtMcZLH7QDSMUXcgQhFSkdfKEHbHRaPa/Xmsqmu8XYRbjA+eyZPkBCPUoRQHacp/trPD//T4mHeSth9gNyRWCJYeZSFji3OtNXWg6jnrmI4n4hkbE6od24PDBJZKaSuWyxK8Z3d7g1Ev4wPPPctf+xt/nV/+tV/nb/z1v4F3kJoU5S2qY4hjBBG94PW1Nfr9AdPzMYv5HDxUdNs/xcNQP2ZoLyZGn4ZTHZ9ffH3zs2am2vEkQ/9uBl51Xv9uBix+xvvxby9CVSswVfd+4THvWqmQmAu/fHcQJBjslTWiVt7Qd3H29xlPbYS/9OXfY76YMpmcU9UTcBlV6anKmmKxxFrL2I9RSjEajch7OcaKWMzR0SG9Xg/rYGNzF+8dWc+TJwnzecFkMqHX66M2N7hz9x3+0T/6x1w2ij82nbE+nvLiW2+T5hlGp9TWMp1Iry4JKw1gwUhIPQ9c2UQptm3N9f1DtsYTbpycALBMEt64eoV/8sKzvD0YMNnaZKkU07JoyikXy2UjqJKExF5VlaRpRmIMKQ41HZP3e6Q5WKoQzom4uHc1zqgASymcr1FasLIkSTA+I+8NyPIBaZo0XRVu336Ha9dvMp7MwU9JE0+dhMIH1UObhCRJhRuqPd+5/R2MMWR5ija6bbOycqLLYhwDrw17fPXSJf7rmzf42HzO//yNN/mhvX3+q5u38HiMlxr5xEiIrRKD07GYAVAa5aW02XoXeoxJKKqVwflY6hkXoWqwXKDh1PpgPHXgENsLBRktw6ILa6wWbDTeubWojpFWEUMP4uMqCBdFKl3MYidGkWcpKMWv7O7yE2fn/Onlkr00IXOegddU3hAp/c7I5xlSXFlhQqdh0XYI1+082hP0PtoIIOZFuiXYAssZDh4+4MGdu/wnf/c/5Xd+93dxVUWipWhGNNNbGEe6i9jmPjQKakuaJFSJyG6mmQ7ORAc7D3kbwvO5aCC7kI3MVWsw34vq9914fI9RCS94quo9XgdtPqm5Xvnmsc+Jh/pFrzT+/N0Og5UDu0kIrhriCGvE/dVS2xQoHbkCT7qs9xxPbYQf7T2kLJdBs7XCW09VWcqqxjkpfY2YTlmWZLmEzQrFyckpo7URadpjuVwyHA4ZDDTL2Ux6m6UpN2/c4OjggPl8yv7+PsXGOm987BN89Hd/G//a6uaMd6lQUmkUQ9LAZ4zDas3R9ianl7b52e//FPc2NxgrRb6z02yGXl0xPzkh9UGQ2iU4n5IPcrxSTaFCkohYR5qmGKXQOmGgE5TSeKpQMi6kbVtV1F6yqF5Bf9BnMBw00pVagbPSsy+WQ2ZZ1oidjIYjFvMFWSJ4sfMeGiOckOc5JlWNRwlgXdV4aa0Rbr0hadETW/P0+MbONl81KR99+20+89yzzK3oF6d51vaDC1ikAvGIbaDkxFg+fE5IHa94pI0RgKAVIcmZqqpwFzbIxYKMboFFF35ojbTM9cXfK+RAwLeVS/E1fsWT9mx6z8eLkoe9HkWe8bXhgE/MZvw/+n02bM2urRmnpo3stCHRikSLzoLIZYpko0ODcngrz8MYIztYdyquvI8nGdHZGk/G9NKMR3v7vHn35xhPxuR5Cko8YG99A/WAHDhi34U/XtdSphwPX6M12iThsHN0Fe9UyHyZWD0ZRhemiHN1cXQPxz+M0fV6uwnY96ORxeuVIpMnX2/XOF70mt+rcOdJNEd4ejz5ybDX0/3t00tZjtZYLA1luQAsRV3hnAhfa52Q5aFoQGsGQSVqbX2d4ahPlqUMB0OSVMp9+6HaZ9jrMxjM0FrxqU9/lNe/8R3e+M63JWyrKr7wp/80v/cj38einLMsRSio18vp5QNefPEVnIVLVy7zla/8PoNeiqsLDh7dEzw2zViUJYssJev1KKuK+WyGrlvRmUuXdhlPzqjqPlWdBp5qX1pdK0/lpGqrqkOzQa3p9fsMhwPSNCdJcvCeqpyzWCwD80IoUtY5XDDCWZbS7/cZDAYopZhNJxjdegKR8A+yGHr9Hv1ej16v1yTGdNonTTOuXr3KaE26+s7n807dfyntjIgVUabpCuC8oyoq6qLD+HCe1z72Ub7n9hv8yN4jfnF7i2W5RM8NO0Cq255g1jtc6UIlm2DAjTB6lBOMa/tC2OeD96e1AtvKGnbr7S9iwd3qLmClDLo11D4ov7WGWCmC/q0cPo2Rt5YilBU757hiNP+b/X2uKM2//8rL6F6f47U1fvj8nJ1g0JJEk6QRirGSINMar4LIErXMC16qzpTHIlrAaZqSkMrvujh2MDjGK9IkpZ8PWe+PSPMM7SwoT5anJKmwUFwtVY/xmUmi2Ipx11LJ6EMvt7gOlG+NjfxMaKAueMLdBKfMo16Z6ycZtYtwQlc86Q86Vqlhjxvhi0mvx2CQcLK8K3zxhKjp/a7lD3rQdP/uaT+zO57aCD/73C3KcsnDh/dJU0OaLCjSEmNSlDKkaY8sFaWkPM/p94f0B0PyPCXPUsqy5Hw8ZW20RpEXQvEqpXWPp+azv/LLZKZHr59jrZTbJkND3d+Gok9Oys7uJjduPIN3Cetbl+n3R5R1xfYrHyRPFWkC5VrK3t4jkuGQoTHs9HoCMSwWaCP4WJqkIbSr6Q9ytNkkZnWLoqIoampnqYL0Y5qmgW0BJk3I855sgloWdJYSGCC6Kb213jV8yTSVBGJsApilhrXRoDEsEduMRkJrTT/vNW3OldL4kCwbrQ1IjGJjY4NB0BVWSlHbBbUtHgvpRdbSU6YldWpXN+HLL3L0l/4if/I//y/4lUGPc2sZTydMZ1M2NjZF2rARTK/kv8M/twIRtGHzRQPsm3trf25MOFh0q9F6EZ9sMTv/rnCF8x46kY+82q9cS4zchP5m2bKO/+3ZBDcc8r//6Ec4znPWlOLzN2/xl+/f50/NZkzSlNloSJ6IAbZOEWnyWkkZrgKMc1gVcGjlmkaPSZJIoBDbXDXYrFDNtIc0Ten3RuRJRpbn3Lx8GZPAcjGlLAtqW1IXFVVSN168dw6cC81Dg+5tY0wjRc2tKHhFTN4HL7wrLNMcyBe+XvQIn/Sai8/6vcaTjNuTjHD35xeN8MVcwcVPfj9Pvvue73XdTzqE/iDjuzmgntoIzxd7JEnC1lbG1naGrdeo6qoJ+xI9wJg84Ice7zRpojBho6UmQfUUti7B1qwNB4ztOUmi8T4JEzRhMFB4lzEabtAfJVR1DzNPKcoltix5dP+hGN9lQX+wxo1bt/jRP/KjTKdj9h7d5Rl1i8HaiKooG3hEKU1V1fT7IqdqQompwjPavIRXcHxyzHKxwOQZ/TQNLWosqKC1qk2jGpWmOUpBQYH3DmcSktQg1UF0QkDxpLTxmESTpQR6XoqnBz72YBOtDcGsQlfZ4NEkidCc4hL0tsRhyHNNvz9oHnhR1KiqDmFnbAEUK9Y8aSZaqQINBFzNlLz9I9/H9/w3/4hPHxzzs8M+zjnOz85YG643FY0+eFzOWawT8XTnQjVb0ACI4Xbk+EZh9ViuGrWHITBtQnjc0IJ8+7NVLK+T7GxwXb1iWJodqQWq8MgcSuPRWkpqcWg8f2M6YZ6m/J+evUUNqLIEPEsnguobzlIaw1xF+xa6DnuHVyFyMaZ5Tg0/VgtDI0lTyV8oLdKgIRqyzoITFbHhcMh4PMZZqL3Dabh0aZfZ9ITlYkJQVQejMSQy/87hVVTtEkguNkOVSZEvlrrh6ApbRibRNJW5jzMhViOMx7P73TD7YnL0aUfX8F8M259kQC96lLGSzb3L5z+N8fyDHiLvNroQRJMY/gO859NrR2yMABgM8obTGTmQgjVlDPpD0lRa4NSVRuseKlxskjr6A+HeKl3R62uU6bNcwLJYkhhFL8vBC966vjFgbX2AdRWwGWAPE5ILQdnJWLSpcCwZTw+Zzs+ZzRfMl0sRlk4zWVRaN3KNlfMkSpNoQ10mbG5toRPDfCFMjei5WWtJnKhNJR0uqGik9vE4TOLw3qAwWAcKSYo04iNerKlSTuhKSpMkgq8ak2JMQi8fYK3j7OxUqEwEARovxtxai/Zg61DI4DVp2pMNb2JIr1FkocWda4otIArEhwVio4dIUzDhtrfZ+9Cr/JGvfI3P9FOWyyWT8TnV5QJFirV1eNYV1nc6XbigCdwJbX0otJD1Ua9wgONcNIY0EOW73MyYbXYymc1Pxb5HI3ERt/PgDagoxOKb+24SesEoK+C6tfz+oM+joiA7P5dPUKBcTQHsOOFNLxZzig6GqJTCa0lKojW2rsNBGa9F7j82o0xNgtJGOLQaaudIlG544VVVsZwv6fcHaLPG8fFhUGKzDcOkdQ/jf+rgAUdjomkKKtQqNzb+nVYGdAvvXEy0vReGGn92cdgL0cf7je5nvFfI372uLjwXfinfX0gsPsnoPYmNcdGo/2Fh3H8Y7/PURvjq1avNzRVFwXK5XDmRqkq0b21QyjJJSl2J6lKv18MYj6dEadEeWBZjktTQHyY4FN5Zer11slQqtvIeaO1I0gRtUpSCtbU1hsMRCoNSCZaa2i44PnmE8wVeVVRWoASjNCoxJEmKcpa0ylGJIUOTaiMSgbm0XPGKxsD64O12yf79waDB2ZIkoT/IsLZGm16gtEUetQmerXSP8N7isagYb3koqhpda7JMYBetNdvb25ydHweFJidMEWCxWOCcRWu4dHm7UbHK85zEpCiU6BhUBYlSJElGr5+xsbFGWZWNMHpZFNhAYYtD6wTtEh7cfcD1nR12nKWfJiyW0q7eVgW1itrC4b1cbLzZShX6gOe6EC678Puyg8FGDFmpCywFHzPN3c0kk9UNHbtGOCYbL4bOkhuMr2nXbeMt4xuP2fu25j8m+pbK8R/ubPLXjk75fC9nVpbYCxilU0q0OxVgncRS8QbC+1ZVReIyTK4pqhqLByPQideGo6Mj9vf3RbDdS8+4wSAHIk0vFLfweOEFqr3+8B8B8ui8pIvhIlTImIy76KlF4/g0hrc7n++nifBuXul7Gax3w1TfzSt/L+z1v6uH+//v8dRGeHNzE2AlqdIF6JOkDtzWMLzB6LRJVLSkfzFk4jlAmmSsjSTJ0MsHZJkhyyBJFcp4tJHwK7bTruuaxAAo8jxjuL5FkmjOx6cUZUlRVpRVKYLYWoGJVCXdVEo13qMWQ5v1cobDoXhsnSx6XDQRhhgOh/R6GctyJjCFl0IKrRKc9tTWi4B20MtF1SglVW8KhVcehWZtfcRisWQxKzk/HzMajfj4xz/GYDAgyzLOzs4Yn51z+/ZtPvKRD/HCiy9w/cZV9vf3ePjwIe+88w6ZzhkOR2xubuKcoyorhqMhV67sYozia1/7Kh7HbDbnrK5QyglcEj1KpVBeURcV3juM0WxtrjNZzLFVyfj8jNH6GgDO12KIraeqa4qiEA0N2yq8Ncm1Dr7tvee6c/zMbMqR0nwzMZTAHWOYKU+hHjeOXGA1XMwwe79qZOP4bnwRgTi6HqF0MPn5QZ/fuJFTJYm0Aorvrdr1Q+ilZvxFr1HKg5PE0EszFJJcrnEYUghViXVoJ+W9J9EK62o2Nzf4iZ/4SX7ts7/CL//yL4UkpV8xnA1u2v1M1YFz4lUEZyFGQhES6yYIu9h6XNsrc/k+Rvj9jNy74bLvh8W+VyKu6/A9yWN/r89+0vjDYnr8YYynNsJZljS4XJomeFuL0fHgURiVYjsP01pFbYWP2eulgpkiIVRiBCYwiRjFTGXgIdFgUo9KdOjgKl3ktVaYRBa5CvhaWRSopWJRzJkvZyyKOeAxSoyzS40wEJR85tqGdHk+2N+jKmtp+52m1K6mn/To9zLKuQEl+HQIYjFak2c9ev01rl2/zmitx9HBXcbjUxbzCluDTwx15cBVoCzWgyKGTRL2Kzxaw87uFq988EMcHB5RlpatrR2SJKXfz7ly9RpXrlxmfH7OeHzKs89f5aUPvExRLHnnnW+yv79HWZZsbfXwVmMSR94zDIYbpIlhvpixd3CP5XJOWS+oa0tZLwUrxaO9pbaCF1vv8Ap0ssYgyxmsrXHl2hUeHh2xXBRMpuOmIaN3YnyrSjQjopcr3nCr/eCcQ3kfpCwrlIY/Xyz56brmUb/P/yh48rVzHGrNSQNeypc3jOaX8pxvZRllWEcXQ0pBIJSc4CjwBhU0KgQeVsGAhTbrAY4IYAiw6hG2XwE0E61QTpDgVp4w6seqRgdX6Xi4B89TBVlMPPPQODPNMkyQ0FGoMPegjciH2rqirhz379/nP//7f5+zk+PgDUfKnUIF1TyUQpsu1BUdiigDKilAjYKQRNZKS5TZ8UDTNG0iPXhcUjR+fa8w//3Gxfl9v5B95bCJ1xBlH0KUpHQH3muYl+9f7fd+1/nfZVw8NP6g7/fURjiGNEpVkt3WChUSLN6F0tOOJ+yJvFpNkqpGNEsbTZpETFQ8oQaod6BNezpro8L3saRQElxGJ+HGxdntZRmOmuVySVlInyqT6OYByh97Do8PKCtR6KoCfGASJQalqltvw/ugMuVRiNiPrTWT8RRP5EmLTKExsi9VosBJ8qu2oWABqRrTSmG0ZjgcsrG+znw2YWN9nTzvUVWOJNVoY3n06B2qUoTgl8WM11//Jt/+ztdIkoSzs+OVjSR84ITT80Nc4GwLTcvhvMAciZHXJakmQYXEoXhHtvZUFeBrhq9/i8EPfC/f+wPfy729Pc5Ox9TOBkaJRDANzFCV0lG541E1HlbjrXiiVKG2ljf7ff76Bz9ANp+T2JrnFktuFgVXl8WKR/p8VfN3plM+m+X8o36PN9OUUgfJRd/W6+d5JusqSbC1wtUVDoKpVXJ8Nn3nLm7STqeEJkF0EZe+8PrmdxdGB0bxhOSbl0/VyoTDFzHEAR6LdDsJBRVlKYU+Z+fnnJ2d0e/1qMqSylUNbh4PhK7xjAmu1fA8GNzwuzRJWS67Uotx7biV9+ne77vBAN3XfLfG5v0SYU/+eeSD+5V/YgdEKvPdPufdvu/+/A+aYHyvz/yDetdPbYRh1dp3Py6GNUnSTrY0/PVyKKsgtmIEo0oSQ5JErzm+X2t8kyRkgTuLPEIZWvuQpNNUZYWrQ9LHOrIkZTgc4r1lWSyRpEU0xpblcs5yvsQ5MDohS3usrW2QpRn1YCDdK2rJ/qOEXjYcDLi0c4X1jctcfeY61i54+80JuVZ4X3N6fExlHYkGlRoSbZD8l5f7Vp7haI2N9Q3SNKUoCopiiStK9g/2qcqa0WjA5uaA/f19jg4eMJ/Psd7ifE1VWIpide5Ftxfxumsn+K4Wr1trRRpE2+M1QJAHNUHSEkNV1iitSKcT8rMzvrqYc7C/x60bz7C9sUlZWhxCcXPeB0ZA6/F2R3z+jTnq6Bh6b6mc52g+prSiZ/ydPMVnCawNg1GSDs2ZV9yoa/5n4zH/8fk5v9gf8B9tbTbYbLz/9fUBN25ek5LdyYKtzS1Oz8/5zu03cDGGUQ50u1ZDTCJJLtW55mC8lFqFKLoeolaqEeSKI5Z1KxUKIAAdK+RC2XeW5ZhU+MK1c9TWobQJ0VmLxx4eHsq615rlYoFSSnrZ+VVP8iJVLEJ9Fw1j89/qgjRjxzvtfn+RFtZ9rhfHRY/vSZ7oRcZA93fvBlWsfpaXPXjh71bu410MbptHWL2ud/Ps/7uOJ83de+HVTxrfVaPPi//i0FqT9fPQ5SG8XgukEDesCR6tMUrCiSabDSGObARPVMgio1ZxoDzPGAx6aJ2wdKU8B+fJ05TNzWs475hMJySJ5uz8NGBvijTVKG1JM8/G+hrKG6z1GJOwsbFJL+9xeXdXFLAO9qmqQuyIEs2GclmwN3vIcrng+o3LDPsDCuVZzEqUh9RonPKowBt1gTkADqc9y8WCYlkEYXYrvbgApQzea+q6ZD49xztHpSu8haIUzQhFQh36b0XPR6Ac6eNX65DAaTL1tsGkGw1oFaUiPc5WOKdE/ax2fOq3XqOqS373hRtMzs9wdYXGkacGqxICzZiqrhrjQhDh6dLOArpLV/ym4St40Vyw3uMvWLPGG9Ua6zV3koS/2evx4aLkbx0e8ifKkq9cu8ICGI/HXL12lT/zZ/4U3pdsbGwym5V845uvs/fGI9IsEW9YQ12WIQDywcBJobE5OUdrzaVLl/jABz7A7du3qaoKYxTz+bwRps/znDRN27WfXLhupUiCIlyshHTOkSapVBwqUfCz3lFUFYT5aA2k3LMLHOw8z7HFslGuk4lDIoDOodc1WFGRLmLM8ffxv611JElLpYqHaPy96xwa3X32fuOiob5odGLJcLyeJyX/LhrF7gHo8Q0FMr62W3LsfSgm948bwa6H+1738gfx6N/tfd7r+6cZT22Ec0J1kqCpeJOgEqkWQilyk5IlacDWpAV27QTZkxJYQmgeArxQ4STQjm5U7b2T7g01Di3UW7x3IQQWQ2+MdCdWJJCnWFezLJbMZlOOjg+Yz2diIBQkJiPLUpSSKrKqtFgnG3MwHLK1tcl8MuH0fMzkfMxsNqEsCoEitMI6hXXnrA032Fhfx2Do9YccHR5wcnQEXhgWuFAkEDme8eT2Chw4hNpV1zXKGIS4KVnwsnRgZaHOJjNkhhTOhySLT8iStO34Gv06b8DXOOtYLpehfLUN4wTCFGNZlhVl4agrGwx/4P2ejSmA0+mUyWweWq2HTa8dCgMBexz0+43BWS6XeFe0kq1KoULG3/uYuPUNvBN5z97rC5uYAK0olJKGiTs7Owyfe45v7u3zb//25zm+95B7Vy7x4Oycj966xbWvfYPj4yN2d3Y5nc3JlgXfn/foHZ9QW0uSprw1GvCNYsFJatjc2OSDz7/Azrff4Bn7kA996pP8W//jf53Lly/zuc99jr1He5ydnnJyciI0QYUY2GiEw/+JTZQ27WmakmVZWywTyvalE4kSnZFENKiLsqSsSikYCe9onad2VQOpLeYzCGXojSH2NPRCkG7W0cA1gka2hRoiZh5fnySexKx6viI9alc8xujNR22KrqGW9Vw3z8uj8C50fWk0dFtHK00TsrQfkn2qMfKPc41tgPui4WyvxTlHVUvityxLcSDqchVrdhFqDEnwTqWkQKe2oez5AP08LvMZ72kVjvI+QFn+yYfNe41/rkY4C0bYejnhnU5QiZDNPUBlsWXrGdW+oqTEpAk6SUAl0g7ae5SXpIPG4UL2NhoO7xw2bFrvQSfxd0J7WiyWoqfgQv+vUA23XC6oqhJtPNr4kJyRibZWPAGFSGg6I3X3y7JgMhnjrWU2nTbdaK0VOlZiDNqk9NdGXL58mc3tTfqDIaht1jcm2LpmNj3HeBHncUpYBqiugn/EsIIAtgpc4niU43E4CutQXqG9dKf1iRiAZn14BHpR4JyiqIqVvl3L5bIRRe8uFudcqBqz1FWUllSSSATmVcVoOuPm2/e4nRqyumaeGOkeUHtQMm+SgPVNhh8Iql7t5zmt8dZivGMX+LHFgj++LPns2ghMEvQ92nkxRnoS5nlOr9djOByytrbGhz/8IX74h3+Y61euYI9P6f/j/5ZXf+M3+L6PvYoxFRw8ZN2n+P0D0umMP/fGmyS725TPPMPJyQmJMfz4/jFqNsPVNVo9IPnad/Bbmxz+pb/A8o/9C8wWU375s1/h6PiIYlGQJIbLly8RtTdQqyFmF0eNcEGXVXDRG9RGs7G5QX8w4OTkRNbXdEbtxPRU1qEqh0GRJ6lsfGdXjJZWpuV4e4/SMSnlqWM3Y79q3Ly/UILsYxm76EhEI9cdKhwqXWpm+8saVNFZU7IefDB6WpnQDEChNaRZQhI48Err4PCnQhVtIiPR6W7eM+z18IHSuSXwzIWm6SirZUOL7VILlQLr6nZfhXuNkVp8hlVV4+yq1650Z55o817NHPgmS/RU42JU8bTju8KEu1CENChSImZC5IhKcYMCafxpDEaHajOl0dEA+1Dnj6hOiUscblaBtD5yTfJOaVDKN5u1CUHqitqWVHWB0tAb5DinKMukmchWrav1BrxvuY7n4wlGaza2t9nY2GQyGVNVgQPtAaVZW99ifXOD67dusbG5zXzWkw7JW5tU5ZyTfRGGl0PKMZsXFEX5xHlTKlSx1d1TNSQdPOiAp1eh73wzPa4I1yQzXzkfwvsWJxaDG8M4AQec85RlHSIMRRnbiwtoz68+f4Pd41P+0m/9PhaotOb21jr31oYh7NO8vbFBoaXqUIowYtNQh7PhGhFM9JXFnFfncz6+WHCUJvxXO9v8w62NphuB0ipUHYoa3NraGpcvX+bWrVu8/PLLbG9vsbkpVWWlrbizNsD95f8e6f/gX6G+Ytja1sxnhof3lswXM8plzf633iBdH1JmCQ8e3OOFF1/En55ypa5Yn87Ae6ZVxZuDIXulY/LgHt57prMJ4Mh7KZo8rI+IGeuVMLp7uHUN5UU8s32NpSon1NVMyp/7BuUyKufxaGrrKMu0iTrkMwPH3uhgVGgKN5wTnFv450KDVICl9R7jWmry3A6cDoYYu+rxdfDb6H23Iv5dfLPC+k6hBRoxGyrAZVHu1BMLR5wvUb7GkKCNIc96pGm2MpepSx6DWeJ1xT1qraU/yBvIZjabobVuHKUkMTz//LOsb4x4++13AFlborchhn0wGLC+vs7e3j737z1qmnIqJeqG8XMuXbrEzZs3OTs7C1Kydej23WFsdOblSc/8Dzq+68RcHDo8jogpJKrtswQSYnmlMUoMMVroO1qFfziUB6UkeSYC1iGcV6IStbV9Ba1lsyglRSKHh4eNca1dLVq68TLCw2rxo1Z1KTbr9J6VxVZXFuvAGrmP/nBAUmqKUsIfjZReD9cGJHkfdEqaDUiyPtY5er0e5WxKr9fDAbPFnMVylWMav8Z/LggDtYGQ3K8Y4RCOuZqmsEErlJf+a2KbNbU2K+3mu4mL1ZBIYIsoDi9ZZSVQDwlnG+v8v//oDzGcztjZPyRfLPnU4THfd3gibYFQ/Mt3H6DdxYXmubj2HHCYZXxzOORvXb/KV4Z9xjpFAf1w8OW9hH6/T6/Xa1XpjOH09JSvfOUrXL68w5Url6jqmrPTU5I0xRjNzs46a1s13/cDL/PVr77OO2+OcbZmMNjgbDnn8N63qW3JKx98mctXtsifvYbSjsPzMw73H+EsXLt0g1c3rzCdznn7nbcBx/GxDVFZ6KPXGNXAaLiQbHm3cfF1umkMINh8ajSqn2K9qK7JdCbBWw3zZytxTAIjpayEe99whm1NXQcnQiG9/LRqcOymOlAuBIGk2irFJ11zLFLqVoV215B1Dld3ZSeVPGnfVu5JcUkwwsEBkPxPUJXTGbHsP8Ilq9MZITRZRbJvFVeuXOWDH/wgr7/+Og8ePERrzbVr19jZ2eH4+JCzsxPW1od8+MOv8tJLL7C7u8vp6SkPHjxgfD5jbW2TLMt46aWX+NrXvs752ZSqktxGkibBkRCdmp/5mZ/h5s2bfOYzn2E8Hof78hLBdg7dJ2HALQYvUrjfLevi6TtrXAhTlFKhz5I0+dRGOgcIlgLOaJQRrNehUB6M9hjl0SpwPJVHKRO8YvDKhYWv6fd6ZEki2fOqDg9euvuiAmXKVmHRBbxHSaidJKJwlqYpuzuXWV9fZz6f8ejRI4qiFJ2DkJRQRhOLOdJBTlUsoa5JUxHeca4k7Xtu3LpO1supXcHJ2THn0xkP79/h7PQQX8zRiNrXslrivLAQuoYROqc9QlnrGk9i6BaiANyFjHctwjcCqmsSLVQzr4RCFXUcfBrNugs/V9QZ1NZja4u1Oc7LIWF07OgM9cY6d3a2qKqa7xhDrjVpYkhMwtpcysCdA+8DnllVlGVFXUUhCEVV1+zhWXovTTKBXocSliQJaWbo93tkWU6aJvR6PQaDfujIoqjqir29R01EVRbS6+3wsGDvcILSFSYxDEc53hucL9i9tMbupTVmswm9XKN9zfjkkOl8Sm0rRsMBSiWcn53w4P4jjo/POT45YbFYkKVJiOx0xzDoxmCU4TDOmu7X8aATPLJ5Po1hCa8JLBHvfIAN5P51xG2hIb95F+CzwD0OtAi8cQEElPVtUeCkdFoTQ31Jljaqdq5q1oLWVmRTXdxgHqvba1VKkSaRBupRygbjmYQ161FW4cNh4RGjtEJv80qMlY/GPkbDCqVKtBY6WVGUjdFXAe+Oxi1yrgmHDQqMVkwmp9y9+xaXr+yQ5xllVbG5ucmtmzc5O9/l9OSYuq4oi5qXXnqJw8MDDg8P0FrxygdfZjZdcnh4yO98/rc5Ojph0M+xubBJer1eU234qU99ir/6V/8q08mYz/zsP2F7awtjkqbxrHUuaFeoJuprUYqWYFDbGmNkf+BXXvSe46mNcMzArob1gA6wRKIIYnryem2oTRJOOIVRniQk9cR4+8BA0IIVowUvRqMxFIuaB3fuC0dYe/I8wbuayhZN00Bn6+BRKLwzaGPIsj55LhjX1atXGQ6GzBdzJtMZHtW0v4necp5lpEmKB+bLgvlsSV2WrA0zPvDy80znpzjlyfspSllOT4+5fecNvNWMNrcZjPqcHR2xPD8nD9n5+bJoONMXRbQFCkma4pf4IGMU0SQp6rbUVKY1xSTt96mRkNlrg1dGFrFvP896KyWzXoT1Y3FFi6khiT1ovKjBQJTdukmn1cx5mOvK4iqLLypcUQXjo/HWsWuLwJeNf6VXcGRw5HlPNJGDZ5wkmqqSTapDu3QTEmNGa5LUkKaKNNvl+MAxGvXJEs1sPsa5imwg197ryXJ+eP8+x0dHImVaLJlMJpRlhVYJShmcFf0RvBT3CD4o1xq1iIUmqRiOehJh1VEzOniKug5eZvCCfOeW47zXcj/iIIYkb11RlwXeWVBRcD4+Y/Fe47qpQzJK6wRnFbbTBduKP41WDp1ofOhe7oPhB+EtW1MJU8fHJG149iEpprVEo0p5yUlAOOAjXKEwaS5C/lHpzzqclwRvQM2atRrhHInxRIHPzmxwthRZKuwR4fFLQZcxhn5vIGvfC62xrBYslhMm01OSVDRWrly5wvb2iM2tEbPZhMuXL6O1ZrS2xnQ65Y3b36HX6/Ojf+RHWMwLvvLa13DUWF+DcfT6WWNQNzbXGQzXGA6HfO/3fR/jyZg33vgWaZbw0gde5MrlqxyfHPGNb3xD9FcI1YfNARoiAmWb5y70WUPiaWDDpxl/YDgiciMjnKuVxnRgXW0EoG9eH8Kn5gVEDkCK0TlaJ2KIlSFL5XuMla63WjLDlZ1T1x6tZSE5381sxjBQMtWL+ZzpdIpWqsmwKok3ZVOVZcBYXSi/lQRC3uvjndCK1rc2uHxtR5KRStrbzBZzRhsb9NIhm2trOLvkK2fHEkIp0bQwJjTQfALmFbmdkc7XLt5WcEZrwUw7Kxr8qgeiQ0We1wYVcPeY2VVK4XCCAoZNIsIw7T95H9O1HDKnwYhHzLbblDMEuNTGUieOKqmpslbQXp5G2kRDAHUVRISae/DBCEtLK21cgKRkMYmHKZ68DXQ66xRl6dGLEJ0UFpNAVRagHAs7ZTGZ4r2nWBYUi0p4uU6aYuI9vTTDmAwRWRJjG2NgF7qERCOM0iRJewCZLCMnlfZRGDnUgkQkwaAZF4p2mrnyja6ERHcSpjsnJeDWVqhQdNROV7tGGhU6J/Ngrcy9VxEGCMUx4QBEm8iZaQjRyiuITE8VoK7gcbZeXacowgtc5Wx74EqCUnI3xiQYNIlyDSzmvWo0nVecBmgx7BDWx71n65os77O1tcX6+jpra2uhdiBhOp0xnY45ORWW07KY4xaOIi+4eu0y/UHO6dkRd++9zdbWNqPRiLOzIybTc65fv8rLL7/MW2/d5pOf+DQv/fSf5zM//xkOj44YjAbMxwvOx2PSNOXFF14gzXK2NrfYvbTNm2/d5stf+RI/9ed/kg984BW+/OXX+OI/+CLL5TIsTTG6selt8+BUK7ofIVD5gwBKP8X4AyXmooeUpCmynhUGS1A0BcApLR0Hmr817ccpRWoMWZ7hnWbQXw/hlkebhGvXniHLephUTmlhZXke3H+T4rggFmAE1IqI3+E9VVFQFiVl0I8FgS60MZLwCCeaMwlOBXWtYBivXXuGW7de5Pj4iPPzhzhfk6QpCQkekW9UumL38jbrwy2ODg946/br1LZgtDZAewNJig+4b0z+dReneOBtoifSdWK5qhS9GBK1avysdyt/g6uAaIRD0YBuPVs0lLYOuLEmTbPGAEdsHFoj3G741YOjObw6F+Otw4cQW2sPjWSnwDrdUScJUdMYgmdlovqXRFBKdVkGInwk8xEXd2uY+r0eV25e4fzsmEUhBS1W2yYpKZ0/BKaJ6zXRhizJwkEfRZY6t9TAOorINoic9ohdF0XoWkLQPy4VdR0x1wBCxDdV0PClUeEZeLwXWE3pUEWnVbMW8ML3vsi7FdqYbGgRkZfKTucdWNBOhfUW8gfBeMdD27ualZvtHIhKKXSA45q5sDWejmsTvNoImSVak5lUojCnQBlhxYRrbjH1CNc4lFOoAIkoIM0zesN+pwLQs7Y2ZDAYsLsrfRNPTtc4ODhgMhmzXBayXlTFcjmhtjXaeOaLCdZJCf3u7jYvv/wS8/mU8fic1177Ei+99DLf+73fwxe/9EXmy4JEj8l6KR//xMd55eVXuHrlGteuXcd7z2uvfZnnnrvFjVvX2T/Y45/90j9jOp10ov64h1vD2uSw6GDDKiYvoVvn8F7juyjWSJoL0ApMbE1v4uRaFLF7gBLlMy0hba/Xo6ody6VtPK0sS8UQZwPW17fZ3NqBXLDUfl86UNjQvcO5isn4jKqqO2LVraA4SIcHZ13oAu3wQYwnuFVSDed9oM1IWJSmKSZN6A+G9PI+/X4PrRXXrl3j6tU1POdYN0PrlNqWzJeW07MjnEkZn58xnUxYlnPp+Jz2cJWn8pDWKVXlV5gZkTYTscYoVA6QJCl5PpDDwQVf5cLziyyTLBMFt6oIlDQUyiSCMxtJeu3s7FDWFftHBxB+rlVXQEYMlnc6OnJ4L7QnYxux37CZOslWxNok2qMShXYa6xIJhUNoq1cqIRWpaal24rRJwtHaOry3iUn1MKKCWOtIeBc9PyiLkrtv30HrjnelhPJovEapFKvE8EUWoE7EeAiei3inugMeKA1G7kHKulXjmWVpivMuhOwE2EjgIx10NVa6Igcs2ynhzzpNSLvG/3eyX3RLy+zS/JprCt9KMiikAhrPXp6GaILIi5WrJVLpUhS9R8dr8Z5YPhOjDYgIdmefd9TblCJ0KgnRrSd4xLJPnVIYk6KzrEkm0nja8Z/DeC2wTLy3EHBYW+O9PO+ikNZpcs9SmLW1ucH62kgkU7FkqcG6Cq0UOzsbgGI4HLK7s8Pm1hZpkuCdY3dnm6qseP31b7C1s8Ozzz3LG2++yebOBhvrN7l0eZfDo31Oz05Y3xwy7A/Y3FxjbbPPnXt3+Lmf+wVOzo+lIKputaPdheS0PO52D8kakNlSj83su4+nNsKJGcmC01IQoMMp52uLx5FmKeBJQ9mxSQckyZBer8fm1iYmSSltK3GoFeRpQpavkQ9G5INcvBRbM59MKMsls/k5ZbnAupqqKiiKmTzEJsEVPOBwxIpkonRJFo/IheKGgFu5WEUm3u/m2hqbW5siDZnIIXN0+IAsTUDPmBf3qaoTrl+5zPms5mxsSJIBVelZFnPKZYGtYTJfcl5NpbdcHYyIa8PJiK9GHeaLCTvJUCcBi5SH3TR0RPro7V7a5aUPfIA8yzjY3+fo+IBFKHHVSjGbFRiTMBgMePbWDZI8I31b2Aej0YhiuWRZLLAW6kpI8I/29sXLCmG49pHnHTdxICKGtWSUFepR6vEpeKextQaV4jBNCO6R5yCbPrTnCc/I4vGqQicOfIKytWjEurB9tcUn0JoHOegdHp+ATqCkJvHCuVak4fpBqRRjQPsWp8u0walYnBDuQ69Wr0XzJAGnDe+r8a5muRTN7F6eA5CmPZz15FVKUSyoqoKqLsVL7WC6WinSRNZz7WoRw3eW2knbK4SP0XEk2nlf4bKqqJsC2hkSZ5rkFaGhZ1VV0Iliuowc5wj6bpEv/LgheXy0Do7WhiSoIcZEtjeEewWvfVNEIrivQWNpko4QZDvbdzdGGh1kecraIANXsZg5qqqkqgRr7/UNa6Mhu7u70uHFeYqyoK5q0izl5jM3qKqKBw8e8OD+jDtvvwleqionkwkmkWKsk9MjRusbbK6vkYZKz7PTQ7TRDDb7TItDtrdv8corz3Pv4X3u37/DdHKOUTAYDsjzXtBI91RlSbEscK4Wh8OYEBnRObh8k8d68tw+Pp5ewCfUvLduuQtVNsLlXV9fZzAcNJPuyfG+R6/fI0lH8qByy3BtDfFOPVmvhzE5KIX3lqqYcnpyzGw2pqpLKjvDU5P3UhxLnLvIvV2V4FupWSeG7Z0FDVKtFiZnsVxQH9UNVQpCOOZLvJrj9YRez3H/4QHLwnM2rkmSDbztIV6eYjQcMC6WeKswaRIwQDnNo2cSmzG2uguqcw+qMcKNpvEFbM0khhdfusWVa9ss53OGo5TR+jPgPUfHx5ydnTEYCZPh8uVNtrYHlFXNB158Nhh3OD09YzGbcnp8xny+YLkscJ3qNeWDNm4XTrhQMaRovak4h9qIp2e9DxoJsRpKDEKskIzRgNIEXFIMnVYK5bxAGx680ahUwly8DsmjUK0ZPEijNI/RrlQbVK/gdlr6wjkfPTz5bA8rRtg1iShN69HQPJ/BYMCNGzexteP09JyiKJmZhNlMONpRxD6OiKd3Q1ntV1M1Fwtrmmnv/GwVnmgPxFjeb22bZI7NAOLDiXz49hpc2A5+xSh2rYVqnnuLHXfhKK1ElEqSwlKsIXRTF+oBfCCNdECO0G26mRujpaDKOsZRWN9DkqasjUYkaYIyjnJZcPedO9RVRVGWLBaLpqHAYNBnc3OTs7MzptMpztrGyGqtGQwH1FVJuZwzVYqqLMkyoUPirSQHfcrZyT4bwz5rox2uXL7GD//gj/L8cy+SJhneai5dusxwOKSuas7Ozrjzzjv8/hd/j/39R2FP+8D5lzmThsAGpd0fvhEejUYNq8CFkuM0TUKLbUlsTceLAORr8t6QPB+i0SymJaiKSs2Ep6c0aZZBkjPsr6EV2HrO5PyI6WRMbSvB+pRQ0MrKYl2FswZFQushrNK/2gx8XFuCzsSFHDE2r2jggbIsWS6XHWPkgAKv5mjjmE81y3mB1imQoPQcdBnCE3ngeSgg8dahdMD9gvvincOWQhuKRQrOCUNCxO7NCm85XnfTuFEJhmptzeGekM2nsxnWBjU3D6PRIBROOJbFnAcP7wlnsayYTqfM53PKsma5qKhrh629iN53vU2lwBj0ipkIqyissdTrDlYdqGdWqihDqlA8YZ81YSZh84shUUQOuIAFgtMnQe5Te8B40TRVmrYmOmKTdEJu+UXEZJ9USNEUBygr7AYr9xqrKRvjpBSuGy5fMIJ1XXN6espisSRJMmbTOXXtWCzmoBx1Jc87z/Pmby9WTkUlPRcEnpwX1z/Cc11Dd7FgIY6YQIa49kzbhQNJjq/aVtW8NlyFCNF7iPQ6v4oFBVw87ilWDXTIO0hkJx1lQOOVA29RTsd3aE2wkhJwT8ezxwX8XBT/8PI3VV1xPJ9R2xpLqx0RCzSqqhKKnHdMx2cc7D2UO4glz/EutaJYzsjyHibLMckZvf6Afr+PUorBoE+WZWTJdmg+65hMplRVwmCwRprkHB7tU5fS8WY8HnN6espsOqMolpTlgl4vw/kEW7sQTUpiPM2E+RQrCJ9mPLURHgwH0rVX9A/RSrOxuUmSGsZnp0yn805Sx2HdgqrSwrWrLXlfk48EUK+riuXEYn3Gab2PwaFNTVUtWS7nWF/jncUbHdaDYGka02TrsywjMUnwcPzKAdHCFc06EC8ieqDxKl1L3RHvToV29galM1zt0LpHnqVBdQ0WxQSUUN2qYok2BrQR8reS97GuJrqL2hhIfGNMtdJY5wN+XeJc0my0JDGNV6xNEMsBwLP3aJ+6rlguC+pa+Iji5YjRWCzmlGUZfhZYFIEiJNWMCltLMkXTYpe0SKF4NxdEwsMbiRFWhixoAmgdS7DBOY1XCSjZbKJC50NrpKIh88fscet/JmgneK32CBdZC18WdCtXqKS1fDTCttHolSv3tjXGsBoRxa+N1gCx712X/qdAre4YW3f1EmTNFssCvCLLenzyk58iSRLefPMN9vYfCduBthozGtDEGPIswzsnJkvpQHfzgluHXEXzWZ1rjoVH8r1oqljbPWxckztovffV++hKdkb6IxA+0zcc5Ti0UjRk06DFfXFIDKOa/RThER2VMbwKsyYet1YmrLeA4TuHc3V4Fla86AiZdHB+nMc6SxWazcamC3LtNV4yIs0ajXdhvW90WpgvqD2YJCEN0Yl0pjEcPtxj7+E+1565i0n6jMclg0Gf4+MDDg73mc/mIdqw0qBVa8qiYD6fYZ0V+mRiSJJYPyxOhnMVXDgQ32s8tRHePz4AZVGJJ8012hrGD09JTYohwfkEpxS9YZ/+cIghoS6sQA6Jpt9LUXpJUc6wvsBWCyq3QKGYhjY+NlSJOV+j8Gg3kIy2ciicMBVMitaKNJEyyLq0jaDMYrHAVq0wCEooPU53POfwwLCuJcm7zjLUKrTMSPA4jM7Ie+v0e336/T7gcHVF5Sqsr5ktZozHE2ovm8xhwEq2W3QyPCiHV6E5JoQQ0uHJOlVIkTnhsK6irKZiYLwP3GbbKRdelflr/7brwQEdHqsYNAkjUQrjW+EVSYbRqYqLX1WEHkMy1QTsT7xU4Z/WGO1Rqpb78wJbOS+QQpII1Q0vc6w9KHrNdXobOHRKGmnGrE0DeXh5L0+LjmgdYJP4nsjmVCpGP6bJC3gnQkw44aH7yNmLsArBOASQJGLHrlN5FqloWksRkUk8j+6+TZb3sFUlYj84UlHLoQ7PwmhNmucMslQognVNHnRP4vPy4R6cs9LHz4p6nnfg6tC123u8szjb0WxWWhJ9PjoZci+6af8UvG3dBQYU3lYtVAfh9zTJJR0YENGoxTkBmuuuqvjMAwNISWJYAh+LpQ7XKe/gXZu3UahQNGSDNx4jplYAyeOFA+Ks5JxcLcVezlPFw0OFa3Nxj6VoJeXUhIKUuvKghC9flxWlb/eZVhpNytH+Kf1knYOjN3m4d9isL5EhkOg1NuGN0VWMqmMU241kolPYrR5+v/H07AjXhmwqTUjSnDxPGeR90iTH5H36a+v0+n10YgRnsx7tLLZYsFxIWDydTRhPTpkvZtReNZoHQs1KCM8fpTVZ1kMRFZFko9mqovaeYrFsep5FWCEmvVqaDI3bKwT71bLDLl7XJst0wLxkY2ZpRr83IEmSwCU2pImiLmoW84L5YkmxFCH5VBvhN9taXhssR6ShRVxU6E8paZqTpRmg6MpC2rqmqperXn0HdomLIc9ztNZUVdWUS7Y4Z/BIPJ1NF3AqLxGGCth5C4PQGKZmzlRbsmq0DvQyjXeaTv0Q0U+NgjPOK+HNNj3laH2nzj1F73YFP/PtzxpDEGxGs/kihIJ4bAIpuGa+vfMYbVBGdXjA7Qd0aVlA0823SYR1hHGUUmRGPKnBYECSpCyXC6aTCbW35FmKtzDsS7fq+XyOv6AaVpsaG2Cn6OV2eeTWWYka6sCBd6vzLxFoTSysiWH46pCkaPe7ri0Q5k17APnmqxJD1nmaxLXQgXqAtregbou2TMizxHXmQxIy3vtq8hHqoEESNWEUAmfEPSimWSbBhIM4sopATh0fT2XvUV6HnErW3qt3kgyNrw+Vi03EbB1p0uPg8JDP/NzPMVxbI8vzIGsqhncymzVQYXR8utoaF/U2ZP13mrQ+pSv81EZ4fWObqq7oDfv0Bj2SVNp6jwbC9zNZjk7T4H0GjMp46nrB8fF95rMxy+Wc6WzKdDpmsVxCUx4ZNUjlcuThijcwGA7o93skqWYxG3N+ekJRFCHsbfyVlQXdxVbj1/jfXYztooGLk6xV0p7SIRxL05S1tXWM1lTLJVWo1tNKHr5RjtQYtIdl6O7hvbyNNrpJ/Ml7ZWRZjlKiCSxln6udKiLEsnKgXBgRfnmMywvBkMVQLa6IlseKV1hXrmKpKlkJPy/ims57sEGC1Mnn17Zsn5nzEjJ7Au5Jy519l6GbZxMgkuDJNrcRymSbCKY5KFp+Lj5WuGnW19fRyrdym95T1UHP18cEmXiYcVycu4grd9dPpqQ5bF1W2KoWgXbvA3ylcJjm8yIc0R2RE9tcQ2djg6xfoxU2bQtsurKVWZZRliVFUTR/F6sfu9ipC4ZaeMoB4nHtIdSgTRe/NvPtQlOC7u9XHYD4WfHaoD1cvHfYugoURLnGSBvtzDDeg24iEt9AORIJO+og9JUowVatlc91Wkm0GoqDAsIR1AEjCyM4BM1/CeMoVv1Gb76ysqZr56i8o9/vBfGfMK/WUXae2cV1EhPpTVGPMaSq9fifdjy1Eb710kskWYYyJgjKKHxds5hNAoe3Eu+13yPLc4plwfT8nMXynPnilMViSlVWFEUZKtgcOhWsBmg2cKSU4aGuLHVlWaolqhCh7mB9g7flGhUpebSBHB9XlgpkdLXacrs7LhpqraWlvUhSirhQVVUsFgsODw9JjGj7OizraxsMRyOMOWsKGJy1IuZTp0Q6pvdLSUAgC6Y5TYmZb79yfZ542uqAy6mVa4/fx0RkuziiixD+u/kHxD5sRHZGOCFow9s2HF/9nO4BVtcVkbom0EkLjXjnZQ48HU/4wnzrVQ8zGt4YTtPF7oN329Bag1fUebzyxLVhZ2eXj3/60wwGfbytOD054Rtf+5q0aNIGY7rt3juE+gvrAGgSqI0n6jy6Fnqjq207fTILOIIn3SnOWXEK4sV2fpcmSWMvxIN0lJUUkog3L3mPNE0bamMU14nG5El5EPkMh42GOXqyjacb1wOrXztGo4sRA00ZNMRy6roxwmKYbWfNrDo9UTwr3jsI5108XoHfCF5vazXbKFbmphMpqrYyVF4rX10d/OcwqQqCiFi4tW5xTrgO66wU9RiNw2NraXrblveLomFcm09isrRUUnHUYpL+6U3wd8MTzhXeV4IpWU+9LDjc22MynuC9dPutgt5t5TzFYkFVLrG+wKsS5SWdErPBxhg5AQNp3zlHpQwoR5YYcI66WjAupetAlqWCFGlF3pcbFbFnAfiFeyzZWxcQPaV1QyKPxqXrkcbQruudODzj2bQpLcWBcilJIt6r7ml8Im3sXS0LZ3dzR66nrqnKCuoFxXIekmgV1hqspbMwhX4VbaY0Mm0PHxt0DXRgWjgvPfyedIAoJVVdy1kpBSkXPI4Y0nsnehKi1xEaXypFo1+ALErXYLTC5ZSEpgkhslCiCBtHknMmGJOwWo0PnYWEeuYvXG/0WLs/a8cTWqlr8KYJmok4cDTKWimyVFOVc177wu9I6F/bRngHaLpgWCPJkjQkAh8b4U0lGgoHBIgcpI56G/GlPvx/BEci1hyMsxK4poXFAmZorQAGSoUWYPGxaxGBFxwCkI4tiZaKT5fnLNOUPEmpgpSjD62n6qpqKJCSQ7At0i1Shc3ZHKngK/mDC3NwERqywd2Mh4Z1TuAWI6XStS2apLlANymQNgdFktiVw6J1KqTVlnPChkBJlKJics4TImslOYDgmBBw4Ah9yWEu91M7h61FC8OYtoDGB4/XOS8aHDohT0SG02tJSGtN6Kodis6UeONRMD5y3ZtDNcChcXK9cyx4PIJ8v/HURvj+229Io8ewuCfjCcVy2Zw8dS2kdKlt8bigxYmqASv8QdWennIfHQ/PB89OeYb9HoNezsb6DsYI92+5XLJctp5f9AKzQKR2VkyvRLa6mXwpUxbjvLW1hXOO8XgsHS6UWmnJJNfCSqEFzqOcxnvbYK95npBlKTs7OwwGg5CwQxKDtqaaGapiSV1WFGURuJWJeE+K4IXJxmiYDLZd+bZ21LUPIH9rWCPNq+vRaW2Yzxe4OtrG1gtpIwTE+IZwzcXKiOa3bdiqwufIHMfsuIPQ5eMx7vAFERqvFToI1ni9yndWSjovdNfAk7yL9ll4jDKNYJP8TD/2GqUUZVlQloXMn12ldoUPF9J/MNx6xdKsjhYi6cyQbktvQeb6MX9n5V5p5Cy71xrfr/HkOn+6yq+VHEaaJFjV8snzLG/Ls4MnHPMhMScSy9Odk0gRWujiMdTq4sF+4T6ip9DNNXRpeM57KcYgHOrGYIIkZnx/19lPqx57vLaayhmBd4KUq0BdbfLSKVAqQh7NhYS5FiPcABDKB6fCNSweub7wL9gE6Z6SoFLTPAoX/kbK5kPBhe9cbziM2oR3u46rqpImAv+8jPDh0QPqqqYsC5bLokNQjg/Hi/nVTuglus3UQuiHpZ7g6TQ3pAS3iuFaljGbTxuF/SzL8N41uFue580Cj951bHaJd02vSa1UEKfyFMtlk6lX3jeKbs1hgODMOiTVdPDkkkRwqX4/D9xe+ZvFYsFisWjwvul0inOOXDnSJGd39zLz+ZzJZLLCYZbmTasea9cfERxNQjXJgFvaiFIWWlycSRJ1NMIpfWHTx7nxvqPk4VvHtXNRzRV0I4dYQqyVbuiBK4k81X4WIdXjbPDA/aoRvqjK1n2v7msvHiK685rH/sa5UP66ajgefz8f+A/BWFwY3dc+KVfQJI2aw0A4uxbx1EQB8PHx7oeMEmgz3mu4F3wnaagUqoMLGyMiOkmSNEZWEnbtXMYkt0A5UlAe58JaiYS6h3prnNs5VRcWxkUor6G5xfdRkV8SkNpkFRPvMgielN8Q21EHPW1xgmonuG1ZFsIKUhfuow4RUXxPLV5tlmWApqtCJ3MjHPc6JC6VlzzNcG3E+uYGSZZKt/ay5Pz8vBF/l+rXVge5m0CXOelcg/cN9v5ezsXF8dRG+Pj8oD3JQojb9Yp8oDx5atBOdHrjglKqUxzQjpXNBGgvcpRVVXF0eCh0FS+bdzafSv+qTDKgTYujxqOVWnYBcaKiF4IfA3jLYjYHhA6kPHgrpjCS91XYFAqhXvsAZ/R6fSA05JzX5HnOxsYGg8Gg8eqcc1IeXBTMT0+kpl5rRqOExaKgLC/wTl3n+9D/Km6AiAG6QNlT2gnXEpl3reTwEH6zkOaF5bCaoOzOsUJwfDmRVJu/aC+qeRZxExsjWedIyo+Y5Or7dzs7SCGGU1Iue9EIR+z3okGLH96+d8dbYtUxe2wja42r7Yohabz7jjGXjUrwiPW7YnaPGd7uFF3w4gT7FmZGSzR8fDxpQ8aIrTsiBBImqTEy7a3GMv32WmMRUPQ8Y5I2Gu7ar7Zl6uZQnhSNPG4oHzec3rdtgxSyj8SJEqJfreuV5xGN8MWuHe0nAErE/7UHnShyY3BO9kFd19S+bg4MMcJV44E756isNHhIEy31A04BSWOzRIZbo2srzCWlGQx67Gxvc+O5W6RZxunpaWOAvfcsFguJLpD9GY+ZVRIANOs1zEdzj+8ebK2Mp9cTroK6UnD7ZfPHp0IAsW2gCXkS70XRKUyz1xKqNokRpTE6eIDhJI3hRtSRLYsFaZLS7/cYDAZsbm5y7949lstFQ4TPklS6F4csbFkuJZETIIoyKIbJQrVtSONiSK5EOB5RcIuiLFFYPXoBWZox3FzDOsd4MubR3p54zaotqjABIxvmGaPhEK0Ui9mcLM2wmW07FxglkYLRpIlwn2MH5JiVnS9nTCdjymopHn5HGjNNxcA///zzbGxucuftd9h7eEBbAccFN1U2TlPJF9kG3RG8Ra1VaIyqQ3upVKheTSjYGtZYXCIQiYTFSntUEiQOg65BN7ssG7DdoM7VYT1FQ6BWjW4IL1fAgZDDCSZAVMm6lLDGW2lNYyzMkRJlxwXzesHQ0xi16FVH3erwanyzGRXK6yAI1DXSMRfk4/9CWN951UUooIHRiJhFM29ahz3Wyal0Q/yup9kyFzzetpWLymh0x6Ns3/vdw+eozbKauOx0VQ+GBy36HlZoM2gfRY508NbbBKxQQNtkrDGtwJJ4XdLwVZJ6UvpdhOjNBrVBX5cQW6XhpKAjTK4P9ig6JYJLG7I8DVCNCIGl+QCFYXwyRinNbDmlWBZSFZikFKokqJY099p2CHkcXgGP17olCT6lM/z0PGGrBWuJalLBs222jpLMseB+mVTVdKp50jSl35fuCaKpm7Cxti4ZxbyHTkwoe5X3zvIMWxYUS2n0V5Ql09kUpeOJGsP2NhyzddtJVhGzo1KL40K1zcXM9Wg0ore+hkkTHFAVZcC6PVrnJFlCPuhTVzXHx0eBv5yRRj1gaDaLhDCKWfByE22oyorNjU2uXL7SbJzK1cwW8wa3XBYlRmUYnVGVC5zz5GmP3m4vJAugqpZ4L+2U0jSlLEv6vT7ra+s899xzDHojiqXU18/n85D0aT0e50II59+dSK7QZFlKr9dDa8NotEGeiZRjlmUXwvE2JM2yLFyXSH7a2rFYCGz19ttvN9GKUqqBkaJXVFWintWAWq6TcY6H84px801o2Jrt+H/hupRrDHS38aVALn61OKfzvt3RdJwO16F0yzNXACYeFlG8W8pwu/Pz2Hs26+5JcAhB4e/dwtkOOBmvSbVYcZzTLj3OeY8va3QHfuj+i170xT2x8qmqbfMkz//xaGsF3vAeGl1sjXkCAmlMl5uuwBuSVPjzcR24LoVQK1Toaxd3nfK5VNoFI0yYndrWTQl/pMYJ/U+TZwlKeWpbh+eZU1eWyfmUPM+ZTCYsgoPnvQu5nDZ+tzbiwS1M1p0JgTPjfz39eHpPeCkG2GlHmqf0s8GKzsBotMbGxjpJbqhcRVkUuNo2dB+RYZQNuFwWTKcT5vMlaWpJkgyPwnpZFGVd8uDePaaTc6CVhEw6ZHfnQsXbCmHdr2xwWQSugS3EZVcrxmQ+n1NWJUmegdZkScL169dJkkTCEuXxWmFrR78vdeYNTYlwOhpDPxD1y7JE48nSFO1hNp1TVnPs2RmL5aIJ99NcEntbW1sYnXJ+Jr/b3t7BOU9RLFDKM52Nca5mOFwjzzOp2zcJ8/mM09NzDg6OcM7Ry/psbGywu7vLaDSSrtBaeuW9dfs2Bwf7eJUIvKFao9RdQAqPMRmD/ogbN29y+cp14bPWNVVZMpvNmgx8WQrV0DnHZDLh5OQE62rRtKhkA9R1uxlBwunhcNjAOEopTk9PghocIXNdo56gw7oKBTwJu/znO5T2waAED1J70U8Obqtzcv3/vK7rSaG85ASSlf9u+ysGeEu3ZfFN8qjjwb1bknQVyrkIQ61eQ2RmxNGFIrqHxEWsP/79E8CaleuIEJzv/L1WgV0VSp4jDGDqVps7SVojDAJJGCP6DlprvO412hvT6aSRzq2qEmtrstRgQpTtncMlBheqXRuWhW8dnXcHud57PLURvvHMLbI8BS3Z9UylTacMD6SJKIjNJjMwIlCjjCbPM/r9Af3+gOFgxHQ65eT4jAf3H7GYzYl6w9KpI3IBxYAOR/2QkGtP7rjIGmqZvfAIVRuyxdBXa8hDbylrpWwyDu9DmWlZkWQp3nn29/eDPoPQ3WpvMTptikmyUGSiwrV6LZ08dDj2q6oC7+lnudyR1phMsdHfClU2hiwTOtLx8TGJyUmTIUmS0Mt7JIlhOjOcn58xny0pK+EZdzdP1xvx3jPoST+sJEk4OzvDeU9VW6bTqVTTWaHaNC1a/KrUXoyAnYWytDx6uM/eowOUUo3KXJIkVFXFcrlssvPdDVzXFVW1BDR5PiBNM4bD4crmjsUG3cRSV0heprSTHAtz3N7ryrd8t15HfP+Vd3gCZnvRmAr27ZrDqhElCrDBRVz7SZ+xioM/Pp70q4vvY57gWkbMFVbXhbUWXbYGUgxTa6hX9tG7GuJYRdot4umK8PtOh+9VQx+dpm5BQ3zfFXjjQiVr+zntAaGNhISreLZrPGcxzCLELw/Fhq4t0emSakGlNInRmDRBJz2qqg7QTY5bVphM07NSiZqo2LlZ5me5LCjLKmhfOMG/XVuX4J3D1bHM/OnX5VMb4Vde+SAmFXEYkyZMxhOqspQbjpGS9wzX1/DasZjPmC9E1EeyjhXjs2mDzfXyHlmSNQ9RKR3KcOWBJSZFJwrVFBTEjq7hX+DOStdiGSp0Q+gmIrRuPeOIIsbkV1woiRasVvvWgIIs4jRL6QUNWWnvnUAophBFNIdWkPZS1oI+cdbL0eH9puMxi7mI6xwdHgjVLmBXghFrfKLx9YI6wBdKKZbVnMVyRl2XLBci6ekj5kUQfnGQ93qMhiPyvBewbMjzHOs9SQ6DkRj3xXwOtTyL4+PjFs9cMTTCxFgsZiyXc5IkbTZQTBaCajokt1VS7cFgnSMJiaJer8czzzxDkiSNt3T37jvM5rOW1uSDFkRk1Tel0TF8Fi2N7uazLuoSQENLis79RYPyBFjg/YZSzYIOmzhijfF9RORdayQUjl0mOkbjSaMx7F2vvvnM+I1qPteHZFd7Ya7JycjfaFm7rm0qG7mz8fvcK2ynEcJFI9zVHLmI+TcYMyIHGi+0uYdwACVJ2iTdPT4IUwnVqzXkHY+xw5JRStTlkhUjrzGJiF1F+DEbbaCTNPSgg/HpsRRvKRssg6j4OUejW6ERVoUOHdwjtu4JBtMWQp0NwKoJkps6JPf6eRag0RznPLPZjNm01TRXOqHXGzEcjSiLirOzc6YTWdvWtprW7zee2gh/9StfRimhZZkkEe1cou312DJwF1XsbiakfvEcAK/w1jendrfkMs9zdnd32djapiprJpMZRVGymC9RWhgXSQqJ1o0ZJnQ2cIqGFhJxQK1bipJz0SjHk5pm5XfbfUfDUlsbuqbKwjVai25AnklvtN6AopYTttfvk6Up8/GY+WzG+PSc6fkE5+tmEyilSFRKnmc8++zzAjFMJmLUwzxkWR5CHMGdirKU8lPjqesC72pidVHcAAoRiNlcW+f5F19ifXMbV1sWswm1rciHAwajNUySsFwuONo/4GT/sDn04maIQykletDOsba2htY6nPxlZy6Fl9zS3trEG2E1RMO8WCwoioLFYgHQQBfWFSuUsjTJQ6JHBxaGA9WGz9bWIuLSNayNeHrXOMbft7gxPh7gXe9pdVz0XFusddWzlXPfE5t7xlJbYmZECRWyTTjJiuzisw03uWOQ4kvjpcVkVYt3t2vbIYlxAhYZCxZiBW93NLBXqkk6c1dFA3HB4MYR92Q3aZfoNNDQtHRx8ZLcapLFbjWxlySh0dmKcW9/f5GqqLuGPc556AGolcFoQy9N0ElCZT3WSZGXK6eyVrym9h2M3mvZL5SNtx/hTFSsvnNoL7kK65w0Y3CSZNWAt57lYhk6hGeM1jdY39hgPp02kUWe97l+/Vk2N7Y4PDzm3r0HHJoT6jpIpz7l4f/URvjKlSvBJV9yeHTEYjINycxwursAVEc1LCDRaahQk3Yl62vrzftFA2SMYXtnB+cc87l4zEmSsbN9mbyfYW1JUUyxrsTakkXg3UoCTpPl4RRuEjOuCaGzLGMyma0sKqNbw3sxtIuZ0y6uliSCaUuhRomezlmWFVmWUSwkaTUbT5qEmGDEq2FknvRQCup7JVrDxuYmayNpcKi05mD/gEeP9qgrwZp0kpD0FXjLcDhkbTRkOh03Ij0KSFIdMsCKe3fuUr/5tvA3Q0PJytYUZS1iMt43usZRm7W7CdrElWJ7e4ebN29hkoSyEK/54OAgFAhY4HF8vjsEQ3aU5TxAB6u82zRb3YDS0LNGLEmMdrohddDQjco9jcXxreXyrXcY37X1vN7dAMefd3Ui5DpZMSDd8Hn1bVTHg40Guv3dyiufEG6vhv4X7agPQWYwlsHYxzLwrkFv7oE239HCKfKvMUZ2tXptFWtvIb94zdIZvMfWziXKyjJfLLDlEmt14xjUZaewgRDZXMCNLxrh7pxcnJkutBH59bPlQhJvLnS2LufYeon3FYTmrcJfDl1RJM3eidIU3tvgoMk1JqEjiARgHuViMbsKEZQ0BJ5MpqR5n92dXbIkYzjskySGy5evMFrfZj5f0p/3WN9aZx6cUVvXF0Sj3n08tRG+fvMmJkza8y++yIqz7UX3wTkb6D9OKtWsb8ttFSS5VLcdHR4ynU6bRXByckKapqTZgCTJ2dzYRCnF+GyCpybNNKPRGiBygBGXlHLmpFl41koCLlK4uguj8UoT02Ba3YUYtVvdhQ1dlgWL+RxnPVUlNKzBcEiebWHrkqpcBj7wiPX1dbIso7bl6uKuhZu8XEJRLDg/P+fw4KjBnQGSJMO6imJZkvVy+skQMGBriuWC0WidXq8O+JwizxOs9cI48ZrBYEiW5YgnKYmz2WzO+fk5k8mU2XTaHHyxpX33EHLOURQV9+495OjolCxLKYqlJCiyqE4lmNrm5iY7OztorSmKonkPOcBKiqJiNls0HZ7joSgedIcm6D3WViEqEC5yCzm11yWczyamIurdeqIKmFuxjiYy03wQHfdtnqA7ugZ2tSChVShb+ZtwCdFbbRKccqXh560PG2Gri6N7KK1ey6qRF7SxhV7wPtK8g1zj6nsKjNcm6uTrhc8w5rG8Svf1MQkeh9YJ/cGIP/MTP8X6xha333iD3/y1X+b09AjwoVee4OXhErloe1a0m5v3XT3AI0wY5yVeQ9Mh3IloUu2ki4uhwLsl1gdI1GmBbppW875lYSgk8W9LYvpBO8GYnTfNQZrqFKVME6FUTjp2YyW3kuiMQa9Hnvd49rnr9Icj5sua6XxKaSsGowHDRdUY4ajf8X7jqY3w2ckJG+vrDEYjssEAX0shgUy6Yzlfsqwq4QNLATG2DGhN4ADaStx+bxXDwRraKIpiSZpmol5/Jt7Tnjlgc2MTlGc06lMsKxYBF13MJbwdjUZ4JKnWnG5Gs9Zfa0jtF3FPpaSU0brY5t4JkB8wYlnIreZuXBgi46hIkoz+oE+/PxAcyxh2L1/BOkdRlCEBZplMptR1i2PKw20Fd2IIbYyUUo9Ga5RlRaxRPzw+5OT4ULiSSrzYPM8YjYbSlshZ5vOlaJ46z8bGJtbW7O2dMJ/PcE420traOlcuX+Ha1WtN8iQmw5bLJfO5FK80GzGEbZEGJ9xU22zM6HmLqEyN1inDYb9pzSTsEElepGmO923xQK/XC0lWgVbOzs4oy0JYFITmok1IuepRyn5sPVHxsFtPLz7/OLlC9YLIE46w1OM+l2+8ohbCCmGwFn6q8zHz3vmrxrB13rPzJdxC8xlx7amO1m98H6U6Rkp1illQjQHWweg3Mp3xXZVakcyMcqPNM20w5hYHVTqRVmUNxBSLbORFzlgRZfLxp5rFYsGvfvaX+dT3fA9bW+tcuXKJyeRUIpgGn28hqXiNhK8utrwPw+nIKgn7SylUpxpTGS1cetc2FFbBQdIdPWgfn0WEoDqfEWGjeB3Nswrz7LWDWqF1qxNstMME7r42hsJlFHWNVoY876O0IUlzams5H4+ZzOeUzlDUJWVdooxmc2sjODTFY51+3m0o/5TAxfE73xJ8pN/HeY8NQsfxYUoDz5az671nPB5TVRK6a5VQ1yr04pImnJVbsFjMKQrZjM5L+HPjxk2uXbvGw4f3mc2mVHVBVRUMBz0Gwx5ZlnDr1k1OTk84PjlrDGaWZfTzXmP86rrm6OjgQnizWkGUpjpgWHGIuE6T6AiuT9djUloq1lJtSJOE0kroUZYl4/GYRLeUHu+9kAd9m5CI//I8xxjDYrGgrl2j+p+mBt2ofol3P5tNOvfhAtbtG7ZFbPrYeESJdF7uqoEBDZ0sHgIrFKXgLUZ8fBBkSiVx2oaVrXZBKI5RirzXAw9F0a6JSE+M9wWwXC6Qg1mKe6aTBUXRrpuWUtR6Rt1agm64HF+zmjFvJT67a/G9hjY6HDjt5z4JL704Wi8Sgru18ruw34mwRfSy4ufEzd+2DGuhAwDnqyCJGkXRH2dXdL83RhyD+J7yDJKVz6jt6kFnA682XqdwndsI0TqPdZDnGUpp6qrE2aqhfVprqf0qa6nVOQ6wSKc3VRPPNIeWAq0avQmlRMxKJaITnJoUHFSV2ApphuBxru3ZeNGrj0O8c0nqyy3//9p7kyVbkiNL7KiZu98phjfkBCSAAgo1tXBFLigt/BUuKcItP438A/aWUqQIKexmdaHQqKpEIt/84kXEHXwyUy7U1Aa/fmN4SDRqEZbyMiLu9cHc3ExN9ajq0bTO5Z1LpyL2HfiBN5sNFoslOrvB4I34JEaH9WqNzdkGi6XFciVFLEy1gXMOn66uQGRwfn6J5XIFBqM9HPA//0//y51zCHiEJvz3f//3AhnUdQxRmnpRow8jYDlKvyf4YY2xNxidEzrIRYXFhrBarbBcLsHMWK4knGm9XsJY4Kff/hTsPYaxk8Ue3mRVEa6urtF3A1bLlZSe6Tpsux5XHz5mL0EmVW72Kb9EWuApHVdeJqB4HMBg7yRIO+CbFNyr1lqMTNgzA1USrMvlMnK2xnEJl8snSUoNtri4uEAfsKTr6+uwmUlkRlNLksR6fYZElA2xKBiyaxupQTeODqvVSqIjnMPhcMDNzU0xURUaSJhhJsgoBf+L8A2moEvVApgRHXRN02C93uDsbIOLi0sAssnqc4pjSAR6HSCND+/fR5jD+QFuJBiT4Buhy8xTuhnMrujnlARqataroJ6DAuY+y7+bjkvqw2mBHHHho2tz9lPmonjpte8c8Ei9d3lfQ1YYvoIQ1uiTU89DZKIVkFt/SVNlqKMyg5TjJkea0s5Jm7ZV4HQhoS31cOG4MB9AIKc4ehLgxShE7VU1fSTMgkTDHx1jsWxEbowO1gJN1eDZiwuQJ1xdOey7g8Y3lZzTumnlY8OMyFQY/AeS5h/eqVeuRcRzdd5oNJCvpWyXWI89YDx632LjV2C7Rjc47IetyARL+PLLl3jx/DkWiwWeP3uOQ3vAQ9qDhfCvf/1rvH//Hvv9vmBsSg9Rlt3JJ+5iscBqtcHi8jIUCSQYSzDNAO8FbxmGIcAPG5ydr8HeoWt7dH2L7e0NnB9gjQXYY7GssVjUEsLiR7T7PXa7nbxkY9IuS8fF9qytoGW/Re6UWWDOOeRzSIVOuKLwTYSd3QQn3+g9BifjUdeSA+9dFrAehLDisDo2yhdrbYXViqLV4NwYzam+D2N0uw+fS7agGKziCRanr8ViUcXokypWgagK/lmdaAJlpAKVasqqJhzTXsOGA1ZvukdVNWLSBnKh7faA3a6N150ymKnWL8JTJFZdixa+Wq0Cli3jPwxDjMggorCZd4Vgn7apQFbBr9/l/6aNMthp+nl+fj5P7mtTzTz0ElIhBnF+skZ3hP9yLgnRFsU0BycBPe3BtF/sfXGMj/UOGanqRtKGjVFcPH1eOPZIN7ZQWYIgMbY28LY4idggI1COGR309cd+UUibDuMiSsHkPmB8++1PYK3Fb/7pH9G2HWhzhi+/fgE/eny8+RiiQ2RwiIULRTkyjjZXUstE4+JdRlUQZPQJa6nrOlhrcf7MYH1+iX506LjHoRvAPTCix8gjYCqMVKOqLf763/2dwKv7LQ67Pb791U/x1eZLPKQ9WAhfXX3E7e2NmERgVE0dzHV5LudGmFG4gVXrU83w+fPneP78SxBW4VgPKd7IcEQ4OzuTF2oNhqHHxw8fpIrHYhNLW3d9i3Z/wKHtJc7Uj6isQAljqMJqbETkoGZdwt9KwatvQzTINBFUCMdJCcXu5BzNpZeSOYJbDSHtVi2AcKRYACTn2KAVLhZCRbjf77Hf76NQHscEmYhwWgaTvw/aOQVOY+F16PvEIStatTgmJRnCYxyHWElanHFNSD3Od30foz8EMkqpr9aKgAcoOkfG4AfQIbbGBmeITOqqqvH8+apYbLmgt9ZC1jJDw8z0ugpT5STg8f24ET7XbHVzg9x3Gt2gTbWuvB/5oo9Q4T1tqhnreyo2cLW1s9/LLskzp56n74WMCUU6ddAb44MQSbyunqTxEnl/ZMzLRCSGi4adJiuk0yh0KwwEyWvxGc5KJrDEUPAEVhaWGd6IA8yFGGrjgs/DKntisjTZu4zPAxjHQKjOqp2Lbvvtz77Bf//v/z3+1/+tx//5//4faJYVUInixIYCD3PIviQXlQCNaHIukRlF+W90Y0nvUixayvoTElpYNkMJw2S0ux2YgfX5GV48f4Z9d8DgZJ7u9nvUqxU2Ly6wOj/DV99+g6ap8B//n/8L29sb/C39Der1w8Trg4Vw3Rh8+dWL4Kl0OABgItRNg8pa1LAgJ5PMggJPQwCohwFv37yClCghgIMH0jg4dhhZSttzcF2+ePECy8USta1DuFMviRP1AnXdwLkBzg9gdvDsYMFYWAMtlpirArlSRgQpSe8JFIoC5iaINDXF0osDi4ZdBQfUYrWOFQ801E2v472PlJzsPAwnM8k5F/DvIUI1ksp7hrPzC5lAQaAosK9WQtdpzC7FfrdtG7W+1WoVzSipJuEj17EPcZBCACMCX8xQA++B7XYPYI9FU0HxfXF0IEIRuXan4WnaVABUdYXVehm1We99rFqcU4/Ku5DFcHZ2FvrYRYhFo1fSInUAOXDYWAmSASXXMSBaInd6MSSGVQWWQlAxk9IkBxhQcgvnzzkHdaTjbBS0zJJuzZExPVhhuYSnNLfi88PGcC5iIR3nTKvLtWaVpHEfio6mSb+zyT/V/uPmM0n1V6WDPUc+cAStU8mwwD7GSYM8DIwwIxqCZYZjGX83hWXYw7GQn8v5Rgq3koGtANAIgkdFwD/85/8bP/3VN/jv/of/Fr97849g79H6HqvFOZy1GEyIAWbAoMPoW7ixgxt6+BEwVGGxqLDZSAr/ZrPBdrvF69ev4RziWlWY7OXLl3DO4dWrV9h2W6iSZatGMoA9o9tuURuL5rzB8/UlqDLwlcGBRwzwOAy3uFyd4+3b77Df7/Du7Q8gw3j//g9w4w5/8Zd/h/vag4WwmMJKduwAU4FCzOk4jmhHKe+zXqwkqcIHAgxr4ZlR2RoXqzOkumpS2LAfe3SjAZNHFThrtXJyXUkK72JRx0UsWB/DMNAPUtEj4YinsTtdVCYG7ystXfISJ41H4xjld0NJi63rGvVimfWlLLiZ5+m7YYQPfMgKCdgQIqTn930PxlZwsGA5MHNMclAqP+1/7mQEEDPTdCPY7XZo2zbD6DQULJmAU69txIENYbPZ4Ksvv8ZiuYQWRNR73dzcCDlQhrnmjsBmIfi1OgT7vgdC2rneZ/pOrq+vcXV1FWEU9SFoM2YNWyXBmKeuA0Fw+Gm4U075Iy13+NlA6hR6ESMDtI85PDXdOJAdy9lnQuaSHRv/V7bjjSjHt0XR0yNUR8wvmmu/xzg0x9R53cCcS9qm9hMZ2X6y+kLf2AN56BpY/g7jLnJghDHi5wEoVEnnEHebChbI9T3IS7QSQzZlE+67XC5xfrFGs6jQ9j0OXYv/8B/+d3z7Fz/HL37xS/z+93/A7e2NWIKNgVnV6DtxJHKQO9YYmEWD5arBz376c/zt3/wtLi4vcfXxIz5++IB3795F5ShXHJxzeP/+PVarFc7OzoSzpu9BRBlMSGj7Dm1/QNU3GL3DYr3Cen2G9aLCtj+AGoPlaom+73B19RGjd4D3eP32Ddq+Bf7yeA5M2yOEcDIHnXNCN5fnhBuGN0IaPXqtcCEVHRwY7MXDr5qwpCenIPLRD4ARLmHV7rSmWde3kEBri6qS6svOD1JN1eVB53M7f2piniGYWqUwO9Wk8CAiBj4MA/z+gL7v48767NmzGAUgccJSa45HodfLnUnOuRiupWPpvZSo4TARxnHEu3fvIr7ZNA1Wq1UcE8GsEimOhp2pcJVqsQf0farALIvNHEUXaLPGwo8eXTvg5noL4XJ2xaaSC8gcNlDB1XUdbCUkPc+fP4/4uG42c0VWo9c+LCq9Tnp/DEOhJBUhpJk6RK3XcHQG5psCOGcGK+eB8z5i7vosU633oU3va62NOSYPxY6PjiUX6V8DD+BR3x/aZCwSrKafiTCUNk3MAAA4j1j3DQg8CaPUUHRSfn70A4AB1o5SNsun8ZeTqLhnUzc4/+IlvvrqJaqacLvb4sP7D/jw8QO+/+EKtrJ4/tVLLDZr3GxvMfzun7G5PMPz5y9wOOzhmXF2eQbT1Hj9+i26fY+RHaiuhJtmUeMX33yLv/7VX8Faiz+8/gHff/cd3r56ExOctC+qbCUYbEhKRNNE/0VVVWiWCzx7/hzL9Rma5QpucHjz7h1evXqNarNEvRZ6gr7rsFqvcX5xgaq22O+2ePv+HbohxdDf1R4OR9TawQZkDA4swcwBSoI3DLtYwDBgYeBD2WtDBhUquHEMNdc8wAbGVMLzAI/BD9EnkQbDou9GjKO8fCHmcej7EZ4dmKUUEk90ntwsY07fMwsm5r1wQKDwGIe5M+OA0b+FqzjE/kaBKskWH68+orIVjJWQNRW6TVVhvRTHk7UV9vs9DgclllfCmzEKYiUrykP/dFder9fBwbkKGuQVttttzIh79uw5zs/PsNvtAjeEK5yliTTnuPQPM+DIw5gazAaePZpG4qWNSSb3er2OzkVrLRYLqTSi42Qrcdbdbrf48OED9odD4FFPmxDnN4XEgRoyhbVwbEZP3m32nYbxlcIMEdMMk6HAjTkWPT1us/cFzR5fYMVkYhWL/PlOXV83mFzIksrdCMPM5CPPtgwymdxbYZr4JCZmspzYdIIjUKMISBNExAHHxHDEiR+BhY87bn7IQoeD/6WqJCHr/YfXaBrC+vIMz3/yEi9/+gV2+xbff/97/PD2DRDme9d16MYBpjLw1sOOYu0tqgbXH64woAWaBqYirNYrrFcL7NoW//Ef/j/0fY+rjx/R7g9w7RDWtDy7WL0aDhi4yysLTeqSePcU0fPzn/0Sf/GXv8bzl19iuT5DZQijH/H9m1f47ff/itcf3+JwaPGv//odyBo471A3Vcg98Lj6dP2Ad/cIIbxZX8hLJABEsK4HkYFjwZEO3QFDP0jZdxBWiwp1RbhYrXG2WuPm5ga3t/tQKiQ4fGgPZo+GZaUPnRKwV6hsDYI43lQjdtzC+Q7MHrYSAnY3uhBCIwUO/WQRcOQaFQdCw/rIEkOoRNTJrBx0ioJgQCSVKxD+ZoYAzQSwAwbn0Adim7jAAm7ZNA3qqsavf/nv8OUXP0Hbtri6usLHj2+xbW8C7iqbi/NjrOFnrcVms4lhb0Qp2eHs7CyDT8QB0bYdPn36BCJgs9nAM6NtdxjdAZ6D406rSniCtQvoQlwsltisz7E5O8f5y6+wCHAIQLBwIO9gwAA79H0X4QiFTLqui0J2GHp49jg/P8Mvf/lLbM7OwKP0dbFYwHmHvRvA4BD+NuKw26Hd7eCGES5gyX2AddQrLxs6Q52qeXabYMAubLqKtaZWOH9UqBrxXOQtT22X4z2Yc+InFeAnFkiIBT99QNmYlTN3Aoso1gtgKiKJhDgo9oPEqtRztPpD3oO8unXxOUl5JZ1DagmwN/CBSF2ghh7OMjBAEigc4AeG9wPGSIsZBwHMgHeUPZuEtlVVBc+S9Xbd7vAvb16JvKjr6BB24xirWRy6DlVdY7lYYGgHrNYV6nqJBhUWdoGmqiUqyHu0ux0+7fbo2g5NXaOqa1w8e4HGN7j69AlDL87tcUTol4cxPpB9ARSSYvpW/AdjDzSLGv/5H/4Tfvvb36BZbXBx+RyXzy6xWq/x5U9/gr/65a/w4qsv8PrqA66uP+LNm9cy/wmoFhVePLvAclnjIe3BQljDiJTvYWSPtm9xu91id9jDB88r2wqVMYKXkMP+9hZXJNixY4C4ArMFiMC2j8UZKZtQzA7G1rg8u4C1JnDTDuj6LfYHKT9iSRij/JgHiSchnGs98oEsJWVxixOTUIT1eHLBVBWhawxQWRM2HyPp2KaMieaIIcuHllIMcFVV2B1ucNauUS8WeP7yDFXt4PlZXGSjUw7TlOarwlcF++FwQNu2cv0QUbFcLoO5FfLcg7PvZz//OX76s2/w+ofv8Ic//CGGjUloHkEpLZumwcXFJb766ie4vHwOWp3BhAXIzPB9BwoVRC1Ec18sV/CB5Oj25jaEBkqf+qFD2+7x9u2b8FmF5WIVYZumaUBr4W2OCQpehPvYD/IuM+wuvtMMz53lvJhYNLkpnMKkyvk8dbhNw6VU2GlkytQBNue0y68xJ4pLmCJVmcm7HcPFji4QSIOiVYDQv3ANThZL4RfLDp7TezXkMT6XsZNxqyAVkcV6MBwqXhgLro59MMyMEUFYB63TDwKxMRxAHmwtlqslXlw8g2ePm+tr8OgAL87s0Q+SCdt1sYK59w2WC0ZlK7DzGMYBo2H4scc49IBG/PgB1I/o/IBqrMK8CWsJBILDMDh4HoNTdIhbniHBtLu2kxDKyqNqLOquxfnlORbNAtvtAf7VWzRna5A1WKOGsyuMy3PseI+BBNq7WJ6jyRyxd7VHCOEGzjlcX19jt9uh6zvh2a0qnK03ccdl50IsLWMceozcS4SAljpiC6CCIQNveyQvN6GuKhgDtO0ebdtiu72BlMiRlwju4VzAOb3mrSdcmRE84UQxYSKtQeWXSE4dFXYmW6dKUxC9z5D8fdGCR1S1aKOKH0miR6lVJWeYTMIPH15je/sxmtwC7aTyORLV4QqTXK8DIGKmqlE2TRPvr85AtRjevn2LV69ewVqgHwT6SCFfLmhLUl792bMLrJYreC9p4ZKtmoRMRQRrZCwZgG0WWAemOTeOqJslXrz4Avv9HldXV5KemkE6fd+ha/tgkkoMM/YNTCh7b4zU+Vpu1nB10oRH54IzgUDsYNkWwm/aplBBjk8+Jr736LrqO5hUeD7Vh8fdp4Qi7m+EqXQ2hoJvQKIGvOeIz6omO9X4T/Ymbh5pbUgkykxPKGWY5U03S2sDxu4BIkmAkvUpoaljoHm87XqphDE60VZZmOaqpsFytYq0m4aBdr9Hd+gx9A5d20rZMni4oZN6cywp5jZktI7s0fjkzzBUwTtZ01IzEsINzOqoZ7gxOSCHYQBZj3N7jmHw+Jd//j3evLoCU4Xl2Rqri3MMbsDYdfAsxQzQSfp9c76A7QgX6/WDxv7BQvi3v/0nMAdKQhbO2MoGwmZQ8uAzh9jsEJupGzX7QEJP4nwgKjzKovkBAGN0A7wH3GGMAsi5EeAB7IY4EfJMNxbQF9aqCRDMU51GoR8ulLLWexqoRqNQAvL6peE6gkkDkpl2c3Mj/YFoEmZaht0kge+dQ13ZkNMvk7dtu2IhS1xvKp443Sz0eUWwSUWLvAlPrEXfL6KjzlgOG1bmGISPThNmj91uK8KdJep0vTqHCQVKgUC2DcCwE7Z3gkgmH7R/krI+gm+ncY0WgbUSi2LEelBPu/cUnbrL5QpNU8MNA9rdHo4FItI90LMBudN10KbxstMQs2mEw/S4/O8yckEtESqE8KmQtel3Uxz52PFH04mGlEwBlQvZ+XrP9DtwzFhWcolHj0j4c/LMUbCn4+N5jEyY589XpvBLn9LmqzHxol0HOM9A3nsQeIYZYysJOKNzQiWpHDDWCiyx3aELoZJN0wBmBWaDoR+lEjkJtaXCjc71GEYPb0kSUOBhdDBY1qT4PFKiSmUbqQsMmaOmlk2jH1ohe/eEujrD119/i8V6LZawqWAXDW4OW7x79wHXH9/D+RGA8JsTVVifbbB/e43v+g74H3Fve7AQ7vq9YHlwEkbTLOVlkcZG+iBslYjawtoaBIZlBlEVFq38I7JiYEUBx7E0vQpGth5MIyrDMA5wI+CVPooIUK0XBHgvab6NPJLzEl41uCyjxpAQxbMuXtVH8qgJndSqBbOEnYT0ZwqeYj0zGnr5eYFOUiIrGMNg4MhKTKUxMJFbIsSrAsEfokvmWKNSc7xIzUTSclWQVxWFYHNJQpFEE8kSZJgQq0lwzgeIo8PN9RbN4govuh7f/PRnWDRnIFuHahxSLQCA8NeGjc0aCwdZQFLgmmAqg8bUYUFKDGkXMMposbAHDz5Gcuz3e7H5w3s38AC74BBkwDCczUuol85WmQplCqtaRsCc8EvHacsFirZUh09e0BEtYYSAFckttc64RxXnpNBHvYg49Si8w+n7TUIvPrlq55JVERUIrdhNsHE9yUmBHEnj3yddSulW+oHMW5m7KjgtbBBuxjgMQ/Y+swgLTRryFGLkdYPw4tBD2NBYN9ngKDTMYuUOwlPpLdAGKlbHHqb1gv/ChKlCIJIcgQDqwpgKjTXCazOEzFKf2bMeqBaM5XKNplmgqht0EBqFyEHR92A/ooIFUQ/HHvvWYbd3WG7WME2Fq9srfHj1e7i+RXvYYr/7BO9DuKZnEFdwQ48d0QPBiEcIYe9dIJRmUCVmkEYZuHEIu4uJk8ZotQpZ+gCr2JMJwV5y0mVvDJOKBcgHjJB+QILDiUKKsAHAdZwAucdbNeO6FqHLSkpGCe8lxXORFk9eiSDOw1zbCQHs+eeUqRu6BJUBDQA8y84YtTEjT2VDafqopZMKEUJVS3rx6EKpGEeYkR0xyiCPUND45Xws9vtb9H0bry+9NCGrMPQZsjAk8eOAN6//gK7rcPH8Czx79kUg5QkVJMjAuzGmeibhJnHSz58/kxpzY4fKVlhvNqiaGjsvZqZ6o8c+OSAj0U6wmAgAjwMMXIqAMYhMYSl2NU+/LcXKlJ5R+5nDElPteF5bLoVfoS9mAriEtkphNr0kFVKZoRhwwsCzDUN2LhQCMtQ04zBxGCHaJwq40G/o3GH4kDEXL5k9s35WimZZ4wzB49X5qfCZhHmlOHddhxqH60P4n7VKggUIT7RJ644BsAP7gPGzRFexl5A37xyGwWN0QlvJo0Pf7aHrjFkzBwN2T4y6biJnyjiKouXYi2XOHBJG5NimkdAyNzLaYQwFegWWZC+cHaZawI8dttsddvt/xu/+5XvU6waoPNphB9+3IDdE0jJrjAQJ+BF4WGRabA+vthzwIjUzVoslFo2EJ+12OwxjD9VSCJKQIea+h0VysAi/a6giMcpOq2V1TEiFtNZKeSBvkGU7wlgb69ppn6aajnMc/8muWWZ7HWOF81je9Nj0xd3jVHrjqfgXKwpE9Tedo8LNGIPVco3aNAAoZqc1CxsFzMXlJRZLSRixdQ0L4Ob6Gl3XYblc4qtvvgmkR+Jp/vDuHd6/fw8iwuXlJVJkgwjmcRRM2nctrj68w6era3xnf4/NeoNFU+Gbr77E1199ib6noAFK52tbw56FECgSLLAJrG7GWBy6Fh/2N+gDrWdTS3al3n8YBhwOB6nSDcAwBAM2Hvv9Fvv9FvmrkXd2N0drLmznQ7B+nJZvoJ93L2VWS3Ba6Rw8bsxS3QKs9lu2kRTHJQ07Jq6cmLfHCkgZ9jn1T8gx+jNxNwCI8erTTS8ncdeIIGEvFWEpGWwLGFNDszstESpYYWwDy4YMQKwNDpU+GFJLTualOsKXyyWGvsfAHbwTC4uZQV4SxA7tDmwIgxeLVAmttHCnYuFkJKZ6HJ04k/cHODMAxoGcB3nAsCbbEPzoBSrh4w34rvZgIbxarQAC7KJC3VTY1GtUofDl0HZwYw9WrRciVNyopjUHHl8XTJ2gDbOULwEJheXZ2QqLxQqAQXvoMBz2hVlZ2Qp1lXnvYyJCPpFMMM19cAaWE3tO4JaTPkAjOpGyc+68BhJXBQcoQo/3IXklmW0p5Em1YhDwi1/8Aj/7+c8xDg7f/e77mLRgrUVT1+gHwYO7to3ZiIYI1WKBFy9eQDPm3r55g5ubK3SdOOakNhziJqAWxLNnl3CjkLkLpi9RKaM3gKlBcPCjqAfspPZejPdlRtVUWNQLsVaM16HDMIzougM4OGXGQfCy23EHEwqx7vf7lLhS1WJDMNAfOnRDiz6EP83NZcEy59/Dqfa5zrmHtNOCmCd/cfqISq00n8PJqQagmLuIa0e06JSkVOq5ufAETj36FLoBAqPaPQJkqvzkDuQ5X4bOubiWMz8NQ7RsqZ4cikJ4g4oIjjnEXgsdZdYDpKCVBIsMw5Aq5lA6xrMwvtVBO99ub9CPI9bPv8Gh6xKVbshJIEhY5rKR+nI1VXBshFC+H4V601uxooOT0XtGqLUWdmfcP5ChPVgIWyuYpoEBD4xd32IcUvprXTUhZTI5oIw1cb9W0ykFSoeSIplZS0Q47Dv0wygmSigvH4VgjTgp8+iDfMKp2ZvoG0uiEBCEfY3UpFFMTbWHIECRXrCYUJnmMdHOkqkGYdQPWKw6n4QJK0QeG43PhGxIUE3YYL874ObTDS4vn+Hy2SWurm5wc7PD0Pf48P4Ko+tBxPhAH0OasY1cvcvVCnVdoT0I2XvbbeHdAIIEute2ApOEjYEJ+90W2+011usVPI8hUH0FBsH3Iww8zhYrrFZL8Dji/bt3cA6om6WQ8VQWfnTY9beBLEg4Mdqg4UpM8QE9+5gdudls8Oz5c6wvN7BfGox+xM3Ve3S7HQ7h/LEfMA49OG6gwasOHfqwaU0sibzlrA2CQ09OwMM011OCWw2ZeAmSBKApxKGMcXKOYrI6n47x/ZSpluakQC4IklQlpODPSYA6MDtQUIFy1VeFsNJnHisUmiEnzyPcJynsUxN8ctiESOtEDhCyfBMsXQAhiigfh6myFMNEWcLuwGOQWyH70Bh4y2gWFSxXEmnlbIyK8kF2BD0bQBLCwn2tglnC3jRSyrEX3pmR0fYjPmxbrNfnsFWDHoyRBwAic8h7VLZBrf1iL94sNoEXx8CxDVneoV4m2+Aj0/l2t9Wm7cFCWPOq1TwWOkobU/wMsZSljvSKJub8E5Fkcn18F68h5T/EaaApmtNSLOMwZFV5GZ1z6FCacPluzAETS0LZZ0IYAIS6j40kYBDZiJ0lzVcIo6VRnKTMioGXcaoSppYmqLULUCNlUs7PzyU3/WKDalFLPVhSS0EKeo7DAFs1OLt4gZdffAHvHAwRvvrpT/Dyy2+wvT3g1Q9vcNh9Qtcf4L1orM57uJBxJ5mILjK0yaKp8NU3X+NXv/ob2Mrg1Q//gv/yX36Dd+/eQStYeO5iGBu4AmERxoRQVQ4Ga9TWYreVGnq3272YirYKYTx9jG9WhjWpQFJl5Yxs/Hv97AVW9QquG9F1Dg4O209XOOxuE+8GJzpFfR8iZJIQYZ6Q5mdNIYKEv4bolnvafUL5GENGdKSSYrSczU0kZ15+bp5MFE1zyoV10nq1/2U/ABU8ac7rTxm/8izFYstPS/gj3cszByIlLcQ7p9XWkflOMjCVo0L9Iul5VOgeYfWcHxMia1iy9YgAYxmVIVgS7hnjxPns3AjyPkT0ALopie/GxU/SfeQ2HowhPFdQoeHGFrejg7ELGNugroJcqCtUZFBXJpLiWwgQQqYWrNp5sK1g64VUGRpHYYpjBtgFLPpH1oQvLi4AJKk/jj2cG9H3u/iZYrpAENKZo4LhUNUC6A9Di66TmL8UDK8vMDfvXIFP5ZrvFG+VQQdy4XysyAi/sJKkiNyUSAFAIw0q4aOAhj6F32TVhQmbNhcig7qucH5+gcvLS6xWa3hnwSyaX900cOOAw2GPDx8/oO320KQRW1WwxsBWA/btiFevXgHMGPoBN7dbXJxd4Kuvvgaox2pd4fLZy0jUc3NzE9nONN89r6JRNTXWZ89hmiWqhcXm4ixMdkA5Vp1zGPtO6DEhKcQ2lPW5vLzE+cU52DP2e6lVtz+0IRxtlFjesLAXiwU2mw2WyyW+/upLECFzvqWKItZa9F0PEKNzPUbXS2XaIIAV/qHMKRY1QaSN9l4lliZOzQcgEVMh+9imUENyeJ3SopOQdiwVlLVKs0XyFUQnVnn25KZBABWHGX2I/KYPfAq1SA04rEsTnPFqeeaCNGqAPlGFxq5NFKRZzt90NBBr6RkYAioTLFESyi1LgLcezkmWbB9qE+poEwiZXI8WU8SvOR9PyUD95uVz7Pc9bnctQC76JpZ1HX0bdZ0K2laNJBq13R5te4AngG0F3/fi8PcGtZA2AN4pGn9vewR3hIQetW0ruGS/xTCIEBAmLwmunmqL2owlrDcLDMOA7fYWXScLkGPtLxN3cSBgwLU5mj/5xEzCNpleunPPY7/SD1sZLJollos1qkpI1ZV9LFVsTeekMB79lxatVptQQeVGh3E0saS9OB0arFahNFO9xG63xfX1TSQFMlZqV/V9H7kgqqrG9acrvP/4FgTRCkQ8WRhT4fz8DJvNJloWOQlO3/cYhhH/evs7vP3hFeoF4PyuMOtBEM9uqBBc1xbffP0NvvjiS6xWK6ny8UkSc7z3+Oqrr3D57BmqWljuhmHAbrfHYd+G/lYhYWREVVXJ6RZuaa3F7e0t2JNgxfDwcGB3gHcZq9ukOnLUd+h0zG/xjvUy2Ty6r00jKMTUfpgp+ZA2nbPaUyYJK4szLhMi4ejpB3mvI4yXNOHs25O70D3jwYSYCs1C3gNSBrUUy37EZpdZn3PPrX/n/SqtGQdQqg1YWROEMMAkNeeYGeNIGA3BjAbOZkRD4dFU4R/9iIEdpgMjUR0e+8Me1Q1htdqgfn6B0QFtd5CwTO8xDh3YVyisZDIRNK/rBo4dHCAlpRjwbKVYBRjE49G9T7UHC+GPH9+BmYXA3EvEsFT9XQamr0VIlBCzve/L6hvj6LDf3waOBH2ZAFMyD3KJy6DCFAJKDE01wHKCSzqjvAkToYKkWcuVmZGqN6ACM2JGmkyUfBOhUGjRxDc9NeHGcQjna4qt4MdjwLOdHzCOHdrugKqy2Jyt8Iu/+FaOdRKoPoyMw/6As80mTG6Htj1gt73CZrOGC/GR7AnW1FhvvsJ6tY414G53n9AOBzAMnn/5BVbLJfr9AVdX73HY7TD6LmpqTSN1/MgAb17/AAbws29/gc36OYZ+xJvXb0KKNGOz2eCbn3yD1WqFYezx6dMVbm9vcNjvBfPtRyjfcl1XOD9bYrcf0XUdqqoWTuhQVLXvpT4ZwwsPAQHg44WcTOqEqxGpID6em0eLHWq/hDc4EcRzwmE6145w5nuUAfbJKZv3Q+8vuK6qZfLDkFhlCEUDNHlC73XMdjenWJQkP8cCPxNUR3P7uKnikCxMweQp82NIBIDCC7pJovhsChfdtRkSBRY6BF8Nm5C6KoJPyzYBogx679EPDmNGp6oiRC3hYbQwNEjUkXwRh8kaiSfe7bbY7Q+oF0t4WCwWS9imAbsQakaMfkgwrB+6YBWH4qvBt9VUFbytAkTiA/+yAT0ABgMeIYTbbhsH1RiDJjANqRnMIbQDLAH5EvY0ZItGcFWwgaEKME5oCY1G4XI0gRD+vm9hAOXLtbZC3digjdugGedpnOFdeJJdbPQAhuyex9eUHlX6RTaxU59crsmBAfLBvA+TOsAqfe/Q9wZdt4OxiSOiqmrU1QqrZSMvzxhszprIU3w4HHB9/RFd10MJRz5+fIfbqkGzaKSEkWtB1uHy2SX+8q//CqvVGW7evcHVzRu0hzbk8cvG1rYt3r17i/V6DSKp/sHMWC1XqK044dq2ha0MqtpidCuYagMeRvTdDvvddawzKBEMFgZ1eJ8j2PVwvkVtRNMYeof9XtLNPVqZCxNFs3y3U2unfC93KRjpOik+XJMGjoR99oan8eJTTZhMEiZzAoX1v5nOpY+MCNpgWJncwmJkPgq9/kO08aRgzMMoiTFuzo8ye8XMIpCitsnxrFwV3lNMuDEhSkrCTBnep+M5QC050U/urJPzFbqUdVskPgWlDiZZK9572NpHGBGQ8fcBMnHOSc5AVcV7ECjOcz3GuQocBCyZCiCSEvfGw1QWl+fPsNmcCfzRdxIHHCrvgBlsAKk+ZeAh7IMcqsBke9+97cFCOH9BuYOu67pQycGElyEONu+U+BtIdlYYVLIBB+MwPLpRzU/iUy2fVESEs7MNvv32JxhHj48fbyRKoN3Hyhmi+QKptDowr13ki+yheFrRMxSlbAiIzhdOGFvybhOATjQASD/3h6tIqydFNWus1xt4B+x2e3jvJFpikE2hqizqpsIXL15guaxw2H3E2zffh/psiVNYx/f6+hq3t7fRofi73/0Or3/4APbJShBaSnHKffr0CcPQYnt7HUPn0rMKJ4Bnh7YTZ2j+PRHDGAhr1VgO6ZxQmBMSuRl/7+g/Yg5pH3MBPHuNGRjsPg27/CwEZpXKcLmhZIlF0a4+cb27NMuyH1l9D3rc+gJCJFEUkCHaiWx0ugoLIMGTAYcojVJ5YnhfHTnmxL+Tkm7E5xKEcOxwSP6KFgJFrZlMBV/lVocI4RwmKULvqIyYcc5hGF3I9qzgYeA4JKCEcT90LUAG680ai2qNoetjPD+zQGoWHgwVwgxvCCNYtGT/sLF+lBDOX7w+qDpV6rqCJmpIHCOHslQ6EEY8j9DQHYKkmyYvNlBWfLiv6WKo6xpff/01fv7zn2F0Pba3uxgylS9y+VlGYajx+sc13WC0hW2QEoNAHhecH0vRM+6DoNaQITG3xrEPuz9DI0+IpLinsKdZKfHEBnCMdr/Dp/ev8frVK/zw/e/Tzh086iWGrpqImJ/73R5EWmPOwlQyKW9vb3F9fQ3wiDzUyVqJ72Y2AZP2kUAGQMjE2wdyooAvTrTc4/eDom/5+3mMIH5cE00sFxRHRzzYuXXqDg9px5vBY/oy/3lO4DONt73/HhotQ4GPgY2+Cx/hNhF8NgjgMR0jB4ZsuDS2EiEyQhUOsRYVm8nlQUjFDhtBufFRTMIAIFWmTaqHeDSOzPChBp1yYHddj350GEaPUUhOQN5C2N4YvXcY9jvsuhZ1VYn1r2F6QZppTrAPds3o5V7sSmjqrvaojLm85bF/srB9oI9gCPVdHuqlLzNQPBoLzxJnOHeP/FblfCwdEKqRS2jWgN/+9rfYH7bgwB0qLyFlJsk5E7KdmUl/1yLItcnJN1nHsh8EaCVj3dmtcl6k+QQJo0oZhZrkIiFzAGJmjvAs+F4W0zAK/3AVYrK3u1vY1xXaww7D0AbTKYtRBaf+hbGoqgrn5+dgZ7HfHVDVDV6+fInFosKh3ePT1RVut1sQ+UjOIiGKMinHkPAh8Z8Jwx3HAQQtmS4ppqvVKmzOybsOINNeNDg/jfP0p2L7p97f8Ts71nDLc5KGpd8dy7NySZXfB0ERTLryuGzDjdE/ekVVUNLGnM9VrXad3/M+bDVGCWifJ1pxieOW2XrymSaCAODAvWBEUbBe/f1yXlX5GKImWq3yP2v8e+rDVBO2dpxx7CknMgAtQ5YJ4Zzfw5AN6dsyMCbQy0oFdB+vl8YeYC/p1Ov1Onyyg60c7CAVcEbYOFc9PNhxSq2mMI8ziEhXNEPWgxfEGJWxgSbjR6aynLZjfClUUQbFHdFljGdVVYNJuBsMGcAzrE3RDN6LyaqB4XqPUwLZBEeQtQbj2OPqSsxu50ewF8hDzPhFcT2pApBjulPz+fHjMNWkJQZZftNNJ+e5KMpCAWA4eE4bkoSBlYsjHa/CORw7+JARN9UY8/MDN0c2gchYMKeSSzfXN6HKLmH0Dj/88ANWawvmEf3QwdogJNkHA5HghhG9B8bRx2rRNlS8Zkhael0zlssGxhCa5gJ1LSx3mrYs88TFkEVkQiE54nL4SDb803HCx0L5IZEO+fuQcMsywIg9FbwZOjFzC0e0uXTOMVxRWmDMI4pQSJbEn/TOjzXzJNiOn0E2kjocx3E9lM+Z16BTS1XWrYYI+jh3IQLZSL1IG0juXQbnMXuMQ/LfMKRgqVPWPYQ17TXeXj6zTeqTG0f0Q5/FTOfPK+NqjdR6jNp0VQce72AdsgO0gAFJVu4RhU4wCtouZK7aChVZAAOc8zBs4DzDk2yYpk4OSqJwNZ/PLw4bHsf4b8tIDIPmRyZ1n7ZiclBYdpxw3umx+fHJrMgjF8rY36N7ZOc9e/YMX3zxBYahx+GwD2Fv27ATKn1VWTcKQMShfDaQj8cP7xkLoBDAp45PQiY5dHJzbYrheq9sWFOTfF5bVKEc+8D5mQHeYBcFVAp3MhDmLYvxdgclNyeiWNpIq0Xn7yrFgeaQlS9jgJlD2JqP1ZiBlNLtI93hdLziyM6O56kxnoc3kD1vuuo0dCqfxfoe8ndGRQTH8TXnWvKPhLfDptAYDXiyYcxs8CTH6890HOIGlsLtyrV09IzMoUBAggV8mI/pyiybc9h4zVH4qUHuhUokWWUBU63sPR0iZpZK5lUdNebpeyMS7bKAQ62FL6IpQjeIwdBs2FOaaNg6jYVQIhsY42C9kVgQytYKiSYuVXzGwHWjG7BEuHhSVkhBAaZjfV/7bCE8/2xTrDO1u4TeQ0zKvHVdh48fPwppeNfGiSvB1QtodARQ4nw+cFfkRUt/DCE81+biIbUd41UTyyKDUbQlaGcqhPWa08+mJjUFvF6/Vo90jsfmi94fLRitOF1VVSxsao1F143Y7Q5hXNUakgXVdV181v1+X3BPiEanQoeKPeaPxWCBu+CMkuSHkTaRuzDhRI4/3+4S+nPNx6KbIjA0t+nBizgwD+bwxlTZmY7nnDKUf8dePPzxMwCOhVyJAVAkQRdB5rPrM7N8lRFn5eM8DSnN+6XHlkoBstGZjkcOM5JEN0C1YA75B6fhAGMMbKX4rg0WnsCFTkayUDDYM8gCDqXT2QAgsuldfoY8+SwhfDRJgtoQESM67ssUvgA00cFE88/70iw8tSgOhz12u214sWXGnOJiasJqOm0yn1J5IP377mfVB3zIccc7PZAWuPZzrkRPrvlGTThcWMSVpmSKsOLA35xaGdtc/gxnxjJM8rdUZkhQiXIXRM6D7PoqUJV0Z7PZhAofCxwOHep6i67rA/NZGcKX3k9imUrvVTV81cKTJnZakB3DE2XjyU9kzz1zXUaGz86dOb8pTIX6wzZ01bEVelCYgsA+eOY5LijZl8Jlda7rPCFwiLMPfQQddXwaRzwVhNMoD633NnnQUif38hwMHF1Lf2rFa+j14zVyvEYuqnhvLoTTAeE3Vktb+mEoUHoGIWzCfTxLVIJM4RNCmBTObACS0FbvRaCG24AJWG/WqKtaksv6DsM4okeCXuSeAEIsMwMgerxI/WxNeBpIbqvwdJmzoXzhDOd6waTYSvyhsQC8YMMsxRvy8JbjiZ2mAoUBKMx47zH0o4TKsSzO0Q3QEC2dHOXu71Cundyk1us+dHdL0ErOVzCO+rtcp6rqog8a5aA4nmcUGDFz+me13h2bTAspf5bPUQr+uOCIQSTZUBwEuCt4NtT/W2p3UzKWYejQdwd0XYu21Xp34XyCvIdgK8q1pxtQlhU5EcBzC3wqZDg7NhfsylyXzqXi2URTksWWdL7sukev/PQcOL7/zHiDAVJuBxuNe31F7D0cBW4DEmFl2KJkhte5K/HGIjDKdSiQTtnfKSSR93NOM7WFRo+4T8ZXQPl5x+NiNRws2/R8qKYjQlQgQ+l/4EMOGLJGVrigYyTTP2HMFJ4TFKKgKZCGAdCoLGJTcDcwAEfpL6osuBEFxKKGYcD0iEFKznl0+wF7PmBkyb7zWdKKyLdQHYiylfYZFtxna8K5Bhfxp3LTjY0mLzV1OFWKDYlDkIqyp83CdM3jz4RUZEAMhyMCw8U+Ts2v6bPM93v+u9MY48S8m4wVkCj/cg1RiYYifBInXxI+OlIp8C1p8+odnjzBiT6qRq0m4FhoIvrcxtiw2EsLpm1bHA4H1LVMn3H06PsUGlQIHllZsa9zVlK+uvR5iRKUdKyF5lZYHm+NML7Td5reo5iqZSKQQg35u3osHDJ3bvFTpRJpej6h2OCMgfcVEIRwac2ksUtKahjICenVnMX5mEZAdICxqoWYzqSZ807Ae/laNjn0MNl8c4Gtmmhx3UARGTF5IK4J6Z/8QfH2QbhDrQbKvgN8qJIerVMiLKgBGfF9LBYLMKTUWju0aIdOOIppSFwZ0eFdPPC9YzVtfzQmnISamll3t4RDJs93DO9BYkzTaz+mFYuREbTt+ePy/h+bZ6Wn/DH3l5+lQJzeQ/gdkmPLWhOgmdy81bCy0CcjZNbGVGFcUskluee0n+XiPGqk9/FR4OVOESIKizG94yl5Ut8PcG6Ecwz2x3hf2m7189NxuPlY5X04it2d7Is57lkcxJRJ/GQRSMicKy7CzEeW3UP6earNavDyzdwOFJsxEBNbe8ulNi1Ze2W/dX7+2L6Nu6Cge8+dUVzKaKBjp7VS0Ea4xWRyALKWCUnps97DKYQQjjHpBhBnt9OLgwBUVJXr0HmpfciiTTOJsHZOGAmtqTCOA54/fw7bWHy6vsan62v0XY+2a6USCAvt7iQj/VHtwUL4c16yCtmk2AkFHAFJ0GWmTWkmldf5zI09CpE8RAxIEzsvVphrS0AenXC8wcwtwqmTY/643AGRa4ymOKeqNKqDInTDzFJgtaqxWNSx2i2zEFXv97ti00jCbDp+5fPclaQQz5iY2uKkChSGM+a4nIPsPhrvmY5VTetUUPvUasm+mTku07YLXl2a/EvnPGZOP3b+Ty3FXNvLOlc+h3EgJEclBfpUvbW6jNI1KD7H1An2OX2d79WdJ6bjT1qGx/0RTTjXUgDi9CwgiDcw+95Mko0MmYCHG3hSl1o6ngH4TEuVWPosUspLlqdq3skRyRjdiA8fPwgcBAbdEGDFWVdVwoVSjTU8sSRDIWn54HxdP6w9WgjnmlB85tnFkpwIQIhMwAgOLE1EJsZViqCcCjpJj6Qi1fL+KaJrkbPjJcNMYlVHJ/HEwp4mGXvMQh85uhHMQ9CU9HRzNExT52E+PvK3nxxfQZ1dRMLiJKXK0/UU4yYClosV/ubXf4fz5y9Qr1agugkbVwapuB5wLiqGv/3tP+K77/4lJMAofaT2jUDEUvsu4HMmhPeUwlN5N+T9aW6+fq+8wKlSgoT7KWl6clABUruOAdIsOelTZWuJrKiFk/iwP2CUEiwyFjb1ezrWce5lS46ZwT0DxkfoxFOIm45zsnRiSjz6ZDxj348Vgrk2932+BrzXArbx27BR+GBWh0fQAp1EMJRwbCHNkUKJFDBPZkTukFz/yy2Hu+C1uX5KX4+tPRUqWoZerQpRIBT3Z+3s7LnZDYuNVqbHnNWU+mbhA0exPCeTSfchAJZgrcgOE9dOHDy5n9PadrqZjXDOxDFdhkIHerzjQeohaidJEl+IKeDiYdwrRrViYDTgwcbQSmYGWxtKL002mjvaIwp9pgUZvZ+TpsHoBMSFmkxKCh1NTpEIBZL8QXFmyigIGYiEncTRvasFTIiR1p9qY1VVBQHYQ4Pira3iwnWGQY6RCo5qV2Q4pX/y4bTAaG4W5htP7FZIBU6LpCQVmmrqRISbm2uYpsHSEGh0MVEABME0+xZ+HIKJJskPp5xZYrGzeN9jXxla4QQBndTxz7UCTPqoKc0Jgiof1vsxCIoQAztJVQYQCOGHNHYuQSG2MoWGL9cs515uph+VzAk9j+9O59Tka5Mt+jmtbcrYNxVcdwm6ZEkdsRQV9xCEyUALfMZiL6GPsfppsHd1rHTsE4xX3vu0v+L479nNBOUbi9Zi9n1hTZ2QNUc+iNDmAD6m7AjmI8tVNqA035RVDZlSmG+mWe/DfMnIt1hoFSpVUoIi6DgGpwVtmov1ETdPiLJnKgODOlqS3iuebUMa848shKUPPPs7gBBelhmfRIXgcQ5gp6EcpWmI7JxT9/vclmuq8nvIhdfM7/AyjfEwhkO1j/x81dKTqTudIACKGNKpea8a43SBTyeM/t73Pf7w6vf47g/fBSzYwGdmquAk2T0Ywcy/m/sgN12huOnMe9Bj6ioRWmtT5jRrbcyQ1M0pZeaJFpovQb1ufq2mabDZbMAsSRzOOSxXCyGZD33t+x5d12XpzT7wYXBxzVJQ0tHzFmM9gUCm1t2ceT819fP3XcArQNGPdEw5vvJL2iSnVcDjyIV3pSbzfVj0j4UN53DdVBhylH/lO8j7cZcvRVZh1m/Mq1fls/rCv8PB0krr1xR9BhAtXU35F+e3WOLGkIS9QTR9Hx15qnRlm44SjWXdUcs1l3FG4Q3S9JU/gRC+q6k5QJmALbQJZEIisIVNJ9U0dfRYKMuVHtevKU4rJlz5T19i4rdIx4fdU3fSmXGd2zxK0zYlW+Q7dn5uLkScHzH4IeBkFYiVDyCb6FOTMphuKhxS7K8ueNnV02fJ+ScdKZ9Htd4yuaLU2jVt3DuxWIahg+s6EKkXX+6h741IKhqcnZ1huVxiuVzi8vISi8UC2+0WNzc3uL7+hEO7j/c7riMoFIblJlfCJgkjThZZ/r1HihuP4zmzZPJ3cpfwm8U/iZGGnoN2OZkn8qVYZkDgaJheN99YMLFAH7bIH95U2JTPczS/i/VxDGc47+4s7TP3DScMMdvU03cUjRmVLRQrksytqSSUKVgb2d1ZuCgqo2WVGIal9BghvXNDcUSieaBrCEAU5FrElIwBMYOJ4BgzkMt8+yxMWB80b5LaF4QrTCD2SCFLnhnsbZyYCa9M8MZ0Nzsun50zgJ3qacILddIKDJERQAOxKGDg5gjeccEVxVxB4h8dw4Ii4YGALTWWqWfdxxAoFfpTIWHjTprvsLkwkZRWeclz8I/OU5kUmg2YQxAhDjMuFAj/ASCaAQAOAaBqNmtBzrquA1STYoIBxCokgl8aNIsKzB6HQ4v2MGJ0UmFbK61oLTprDTabs3Bdi+VygaZZoKostttbfP+H32O/38M7h67viiw7HZdcO5V3obgoZza8jk0pRI60S0MwfNqpJGuuHPOH4KxTgRWFbjBtY+p54GAAafKEvrdKtDDVqIoqIy5saLlGNm9NPkYb1g0zmt1UliXDjNWq0dwxnNCIIybeVkNP9bQ5XvDio6B9BkGnmn/5lCWubIhA9ngsciiN1c8U4MxKZQccbCgJ9uzZM2y3WxzaA9zQwXJ6LsV1ObwrDkJae2G9lF1ipCQYtWYIPJuCP9cejQnPNVX101Bw0P7ylGEfKywAgLXJlJiaEbkmOTUJ759f8ya23jdn7ZLrluzLkrzhIUkGujXL/yikQk+F7rEQzsO9cu0sXI7SJjSvYSWDTXvG2bH5pqVtHIdATjTlTNCf+k6yK5rynerGITCDC2m05Waj80qEiNBTbm+3GAZ5v1LYs8FqtYYxBuM4wlobM+y0L13XYb93ePfuNa5vPh2Zs/lP/b0w8zkJK3VcpeOAuTkwHWI94mhKZZr7tB93XnKiNROVNJLR+RzKByl7HRGh6zr0fReSdHTeTPwL8Vnvvn/++/19l/Wilmy4zaTNbVLapTTe6fUQkG8G6qjJzplCGCZJDlGKZrodn09l69HayZ9fb8nx71zxU8rYi4tzfPHFS3z48AFv3r3FMOaVogOsQISk2Kd7WCMCdCqvPDPI/QlqzE014HxRKCitPZfY0+MIgqlQ1u+muJ7+PlfD6jFNr3GXKakUfPF5SMOAkj4VHSHBjB3HcmOYCuFZE+6EBfE5LYcL9NoRDsq05umYnRrD1LfJtdkX4z/0A7zv43n7g+B0zWKBxaKCtTYGui8WC3jvsd/vYzXm/GfXdaEO3W52gz85Xo+fBkctt65+LAw1v/aJL4Q9MOOENpYlmoUAcb8fW3mFZpv29KI9FDY53UpN9ijd4I+fsrHdtw4KQfsZ143X4LLbMfgqsxbbtsVvfvOb+LdDqcBQwB/Upj3q67QPAFhVYzKgU2nTk/ZoTHhu0hIR2HuMfoTivWLmlzhN7ihR8H7O6aH3OTZDH58NNF3gOeQxB21wNI10UwGUGEQxIc3Iyp/j1D1Otbnvp8+vP+cE+pS4Wk1aouQQzY+ZEzh6bRW8TdNIqaW6hjEGbuzFoaqbYqiJF5/TApvNOb7++idYLtawVjPoxHnX9z0+fvyIcRwL9rR805pSLd41PvIFfhRBrPf4sYWwtil8VMT3kmqejK5PUS2ej9cXl5NzZq0cz5M5RUk/PyrbNENyU459tham/ZlparEVmvU0umXyfFHoq/wNCNPjYJVkARPJFa3KjSySg7J7HEN8lKWxy9NQ5Gih7F/2sNPnD1ozlxe6sz1YCEs6cOgsCcZrslhXAgdTVTXchPHqZJ8KwOmEyAXPnBCS9N7JJCpeajKt0ggcm2hl0zCf5M/0wdwthWIOBZTXmb7M6fcyIdVk1gy5uQyzdL4xarqKUTPlPBbCo1KIpE1tDJM4YbrzcJKca6xBZaWEUt2IAJZirmLVqFDN4SSBLgkfP15ju23R1E14Noqmohsd9vs9RjdCMtXkWkquraQnBWEQhcUw6SNHARQWeP4UnI6Tv+/XcP9Uwje/fqlVqSNUnpWBGNfPLLApeyXzV66NpCAIjppiivPdiAO/7zFfBYq/5xWoZOlB/1+o4sfXuzeLlDXSIKwjQ0GjpPw1hXvJu3aZYkMEGM4plSaW5Qw0kC95QrinMgEyRwhFb6+PrHOHIdwSnPkYDHPmAFe4JO9GgPg4RERQOILzn/e3zxbCTIDNGIMMNK0w7WL5YtCwphyaGAv85VhYTFNpleUrbyVGJi8+T4wIR80+Ux7JIR+EGME4WfLzSbR8Og5VKfuT9yV8ZjSAXSMwJsHl2RjoNWU9ienqPcPxWEA4+c4vY6VYLiD8Gyl3Xq59YuFQiCCwBmSEDtQa4QzmMP4KIeSVMIyRUjCGCH03oGv7AEP5gOuVJj9DBRMHv42klfJ0rIOmmASBalNJmzl+nXz04WNw3B9DIB855Wavmb0zlrDNXJPluG517SjOrufI+enSweYOpEBzmu9cP3MrToSs9i1orTQ95+EOSu1cFFlxCVEUhmk0lAdCuCQKjNhwCCGb0/6PG8Xn0OajHCIVjhkhpo41pxHHGISpLl2rfMV6DpBTdUh9OuZAIhRmIWcXf2D7LDgiN2HjAMXFlAZuajLnsZVEQpSRC+U8gF+PyQdehED5QspJEYc4u04a1SOhl7obd0qEYobJhOE4d5KpNa9ppFamLiaBWyRXpn4cTWwdsxJKyI9jPn6uXOidmq/lhNZsIomZBhuMg4cjDWerYAxQWUBqgrlIt8ieUNUV6jq9Q+dGDGMX7/O5wm3qBzjGhYPnW7WYfOVM7v1jYPD5de+63l1Qwty8mR4nzyGJBESIseXFdQopFmclHrrqp7Dgj93u2tRO3+84+ieHrB6yUeoaK9fI6XNyRRFQAVs6/j24yP47dTWih47+fHuwEFbPttyUQhJBZgJN4gJVCE+1t1xg5LGsuUMpv0YpbE+b8OEM7eHRN6dwsvLQEIJGNs7tVP6IQLNE6FP+idIBqQqAaIenJ2c5edLzzAmUuclcHqNCdPZ28TgiJQMSHN97Qt+Lg6iqalhTC2bIBswG7AehWAq7krV1LJoIAMNAcL4Hs8yXy8tLfPjwobB4aDqp46Zx9zs7flb9p1cqj/1TCJhpv6Z9mvos8vd6l59AjgPEhBWtVpqL34cjy9UeNctjS+Cufk/7+FBr4NRG8sdYEvrshTbOiQb3lFCdtyLLd39MEJ+Pkz5/GA+EDW4iD07TYiXBL/9YOzIry+5qDxbCq9Wq+NtxiFwI9/beCAsfGQlaJoAwRjNBO6Y0jt571HVdTAAiKiCLHKKQAbU4pilMLX0u2pGkC4fhDS+5NNf0BaUXYoxuDio8fQj9AhDSne9aTACQ6oSFnTUU7szhFNVW0wKcNiEnkXhDZOfkoXrzQkqfRZ87HZtVywjPqmnIkphBEfclklRpN7pY8kZvU8AMJwSocw5t2967QI9gKCp+pGPyzyhGqGJ6dI6X6jFT//ZjtfS4qHSNEgX8NuujLx3JqS/SjnHUuTmUa7QGhhgweb+VBnN6is7x01E5+bPcdcyR5j1RnGSNlueViRDJxH9wI4RJmhSX+Fhx4ymrmvhorWpffPqbwnw9oWul4gZhnuicC5wxUYpMZfLRYxGUEjrSR8Up+XAl4MFCeBq4LsC5T1oSWzhPuLi4wGq1QnvYoT3sYEh5CgSOqGupJdW2baEh6T2mE7c0xctCiMcYMQv5TtAmrC0rb8y1NMEsFIuNL4UAsI1lru9q5cTNiZVVeEksda716CnMufYLebeBIUqwvtJE12ucFiRTLSc47JDPEU27lIKpytgGJLw+JbQod0C5ODXaQcdwHIf4TpUfIh/juf4yymQM5dOde7Jk5xRLaubZaXLMH2MsquWXryvOVl3ZjbsghxSbrsdM55VGCmQWVm49hbV2fFO999x979Yg59oUVy4xZAYpKVNYk8laQtioHqctyxNPRHcUgEFNzlPsCceJECZqGzKnAKWIiEMohaRVZKr7l+M1KyDWmNPr3DV19D662VMYgMfOts8ueZ9v2kSIrBxaBmccJXBfNTDvhfS7rmusViucnZ3hw4cPsfpujh+r5qvCQM2TXAPLh4IyqWlA0EKfKoALE3gmnbL8/vjZp3DDVKgcwyazI5gG7L7XxIp5cjSXyq/nNdD7TMU8HEk5MPJMxbQpcPEuknl43AcV0rmQ+VO34+fO+4VHydz8naaxouL705ZPdp2H3zK7z9R3MFG9jgh6CJMD7uxXDklNBWvZDxx9d6rptxyPlUgeZg7cV9Pz1So9fV0OsMqJp8nuGK6nn0YSXw4a+PTwUhWezJp4TX33eR07jhd8WMtX9wnT9mT7LMdc2rMo/Qv93+12gbLQSrByECJCEO5xOBxizKhzLgoAddopVSIRFdSJ3ntcX18Xwf8KdcSBIIKx4mASp9KcVzdNwKmpdV+bE3r3OwyScLvvHjmODJR9eyi5/PS5UsvHQjT/akLQc5cQdy6Vo9emkStlunW5If0psdmHtmKBPKIVm2z2Pu52+Dz0eZNAnT+HkpAJsJI/um8B0pzsz0P6/dh2fE2hjZTKIUm7jMfyH9EHBo7oYTmjimQUFZLjrVUsMTI6zuPNKP7NaZXMsQI/dH9/KMau7RFwhJR0lgnN4BAGZUiYiizXggvrgjYmmlbGEIwVjThmwQVug2500awBAOZOhCmJqYxMENV1jbMLyfXuBydOMhLsxwRBHmhbQGQjtltCHPpbMKUmAov0rWXtWFua05iQfTZjdmcLOv+p5+QCTKw+Zc6aO/74RSc82gRYJX+mnAMWcUz0GqLBEoj0/XjhmuBJ1YZMCOu5CWKRcXmIEJpaMmJy6u8Pb3PPT/qARdO5le4x3XyLjWhWCbpb4JGhGKaZn6F3z2EB1SCP+zD3jEF2nBya6byY/p6sON3c0/elxv/wFjp0NMwhrEz3lzRtins+XhDPH1/qyDyjvSZNWXhY8jMCThEGmIDYd2KGIRzBKpLMn1uWiXFNN8qkdD1c+XiwEK6oEQILS/DkQV5gBKOONK/E5dJ5DymAJ4CMEz5fWFiWrCvHLIPCDD86sPMYA+E1Aq/nQIHPIMzCbmSAR4y2BpZrePLhWAdrJWSqchm1nDGFow845qbN8djk6UyLQ6Mj0jU4E07hk6P0xOOF4dxpTXbqnBLNX2exlnwqvb1T72tyrqVEkBSlId7baRXk0XUgWGiwP3OZJq5sZUnAlZ50zw4IHKq5YH9Mk8KW2eJ5wOl3JeyInC31GKmVh2iVebhiLPINSU3j6bpXIXrKcppaZZxPrDD+svYDHkkGZMoCsFNq0bkN+y4oZr7pnCmf5fMbQdHTpJ0mx7eEL9oIBzxkOtwvmMtxkTbRSxnFgzEIiHzBIo8yhhHEyBMT/iaGISHBSvpLYlkEVABnmxgYRC7+JRu4DXJd8xXubw8WwmfLZ/DkUTUWHh6jkyeoKqF/ZGNlX+Dki/YIC1vz4j2jqqTO0+gZnhYwZEBezD3f1GEnkiJ6RFURKzmMPdw4RIeRHw/wwzbEpoY9aiJEp5SOU4gix830s7xNTWoRhsEeiItkKhTKa8xFdNyHkZUaZ/nZfL+4eMY8sWJOCydiuDFEQQR2rnyz0bHJ72Uyakvpl4v12qYb2VybLrZSuMyPzR9rQh9bOgR2Hjix8Ev4KPYCSZue1/bvg15K2T61vD5fMD5mz8vfkfx9Wrjf3RKhejh7YiEea/A/DhRyerAIM8J81qLJzpnZmE4NA0Os08ItStPNgSGMbY9TRB4shL/+5X8DD4fB9RjZxbpntpKFKZqudIRZ8CvnRzg3xH88Svn5vu9Rs4FpXqCpF9gsV6jqBubyJchKNSlDDM2+0ucbBx/YuhzGcUC3fY2bd/+E2+01+v4AHh3I51ru3QQ+c46Y/POkeZaZf85hsuuWWpX8fOjIpnvm/c4/T+br3eer5qsOstzBVj5vIEfnQMbDAinlERJyzzLOUjc17ZP3DO/mY4A/t30O7j5zEVkPP0J/8kve16bYet53Io1Ouf9d/ttvhBI9nWikOFYa/qt0KXvnagE+6hJHc20yF7mIGBTn+dEtfJh/c9r7fHuwEHbrl3DwIMtoDADrhXOgqmCNwbPlEouQwBH0REC1JHiMwwH77SfsdjvsdjuQaYD6JZp6gfP1GlVd47BpACMcnXNBYRUDS4iAH52DuWRcrjq8e/sK1zef0B32Er85ideMgzarhU1wnyLRQp6kYHzzDPYork8FRd7xvfL2pxBUer+psJ0SIJVNzUkRtnLF+VC8qAmrwzXcfxi8WnV39u2x7Y/RhOOZ/0akXOGkBB4rF/7ttSL4VoVxmgT52/p8TfvP107h82rFFE+hmnb+YazCQ4p13H9P/q+6XT21p/bUntpTy9vDc+ue2lN7ak/tqf3o7UkIP7Wn9tSe2p+xPQnhp/bUntpT+zO2JyH81J7aU3tqf8b2JISf2lN7ak/tz9iehPBTe2pP7an9GduTEH5qT+2pPbU/Y3sSwk/tqT21p/ZnbE9C+Kk9taf21P6M7f8HUDd3xRyUV8kAAAAASUVORK5CYII=", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Skipping elephant: There is single or no object.\n", + "\n", + "-----------category name: elephant, frame name: 5\n", + "are elephants distinguished by action: \"NONE\"\n", + "\n", + "-----------category name: elephant, frame name: 8\n", + "are elephants distinguished by action: YES\n", + "\n", + "-----------category name: elephant, frame name: 11\n", + "are elephants distinguished by action: YES\n", + "\n", + "{'elephant': {4: None, 5: None, 8: '1. The elephant is standing in water, facing forward with its trunk extended outward.\\n\\n2. The elephant is sitting under a waterfall while spraying water with its trunk.', 11: '1. The elephant is spraying water forward using its trunk while standing in the water.\\n\\n2. The elephant is playfully spraying water overhead with its trunk.'}}\n" + ] + } + ], + "source": [ + "all_captions_mini, valid_obj_ids_mini = getCaption(idx, \"gpt-4o\", True)\n", + "print(all_captions_mini)" + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "{'elephant': {2: None, 5: None, 10: '1. The elephant is walking with its trunk hanging down.\\n\\n2. The elephant is standing still and facing forward.\\n\\n3. The elephant is walking towards the river with its head slightly tilted down.', 15: None}}\n" + ] + } + ], + "source": [ + "print(all_captions_mini)\n", + "# {'elephant': {2: None, 5: None, 10: '1. The elephant is walking with its trunk hanging down.\\n\\n2. The elephant is standing still and facing forward.\\n\\n3. The elephant is walking towards the river with its head slightly tilted down.', 15: None}}\n", + "\n", + "# {'elephant': {4: None, 5: None, 8: '1. The elephant is standing in the water with its trunk extended forward and slightly downward, appearing to search or reach into the water.\\n\\n2. The elephant is sitting under a waterfall, with its trunk raised and interacting directly with the flowing water.', 11: '1. The elephant is spraying water with its trunk into the air while partially submerged in the water.\\n2. The elephant is standing in the water and using its trunk to splash water forward.'}}\n", + "\n", + "# {'elephant': {4: None, 5: None, 8: '1. The elephant is standing in the water with its trunk extended forward, appearing to be reaching or interacting with something.\\n\\n2. The elephant is kneeling under a stream of water, using its trunk to spray water over its head.', 11: '1. The elephant is spraying water forward with its trunk extended.\\n\\n2. The elephant is projecting a stream of water from its trunk while standing in the water.'}}\n", + "\n", + "\n", + "# {'elephant': {4: None, 5: None, 8: '1. The elephant is standing in water, facing forward with its trunk extended outward.\\n\\n2. The elephant is sitting under a waterfall while spraying water with its trunk.', 11: '1. The elephant is spraying water forward using its trunk while standing in the water.\\n\\n2. The elephant is playfully spraying water overhead with its trunk.'}}\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 4. 확인하기" + ] + }, + { + "cell_type": "code", + "execution_count": 87, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'person': {4: '1. riding a bicycle, gripping the handlebars while leaning forward \\n2. standing upright with arms resting alongside the body \\n3. sitting on all fours facing forward ',\n", + " 7: None,\n", + " 8: None,\n", + " 12: None}}" + ] + }, + "execution_count": 87, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "all_captions\n", + "\n", + "# idx 10\n", + "# {'giant_panda': {3: \"1. the giant panda leaning forward with its front paws raised and reaching towards the back of the other panda. \\n2. the giant panda standing upright, gazing into the water below, with its front paw seemingly near the water's surface. \",\n", + "# 7: '1. the panda leaning forward with its head lowered near the back of the other panda \\n2. the panda lying on its side in a stream with water splashing around',\n", + "# 10: \"1. the panda bending forward, placing its front paw on the other panda's torso \\n2. the panda lying on its back, lifting one front paw near its face while the other rests on the ground \",\n", + "# 12: '1. the giant panda pressing down on another panda with its front legs while leaning forward \\n2. the giant panda lying on its back with its head tilted upward, appearing to support the other panda with its body'}}\n", + "\n", + "# idx 30\n", + "# {'person': {4: None,\n", + "# 7: None,\n", + "# 9: \"1. a person seated on an elephant's back, leaning forward with one arm resting on the elephant's head while holding a rope \\n2. a person seated on an elephant's back inside a wooden saddle, grasping one of the saddle's edges with their hand\",\n", + "# 16: None},\n", + "# 'elephant': {4: None, 7: None, 9: None, 16: None}}\n", + "\n", + "# 884\n", + "# {'person': {4: '1. riding a bicycle, gripping the handlebars while leaning forward \\n2. standing upright with arms resting alongside the body \\n3. sitting on all fours facing forward ',\n", + "# 7: None,\n", + "# 8: None,\n", + "# 12: None}}\n", + "\n", + "# 기억안남\n", + "# {'person': {3: None,\n", + "# 7: None,\n", + "# 10: '1. standing still while holding a bag with one hand \\n2. standing near a vending machine and appearing to interact with its interface \\n3. standing near the ticket machine with both hands extended toward it ',\n", + "# 13: None}}" + ] + }, + { + "cell_type": "code", + "execution_count": 96, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{3: '1. the panda leaning forward, extending its front paw toward another panda \\n2. the panda standing near a running stream, looking down at the water',\n", + " 6: None,\n", + " 9: '1. the giant panda standing on all fours, leaning over toward the other panda \\n2. the giant panda lying on its back with one paw raised, appearing to reach upward',\n", + " 12: None}" + ] + }, + "execution_count": 96, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "all_captions['giant_panda']\n", + "\n", + "\n", + "# Skipping frisbee: Determined to be non-movable.\n", + "\n" + ] + }, + { + "cell_type": "code", + "execution_count": 194, + "metadata": {}, + "outputs": [], + "source": [ + "def checkCaption(idx, all_captions, color_mask = False):\n", + " vid_meta = metas[idx]\n", + " vid_data = train_dataset[idx]\n", + " vid_id = vid_meta['video']\n", + " #print(vid_id)\n", + " \n", + " frame_indx = vid_meta['sample_indx']\n", + " cat_names = set(vid_meta['obj_id_cat'].values())\n", + " imgs = vid_data[0]\n", + "\n", + " for cat in cat_names:\n", + " cat_captions = all_captions[cat]\n", + "\n", + " for i in range(imgs.size(0)):\n", + " frame_name = frame_indx[i]\n", + " frame = imgs[i]\n", + " frame = frame.permute(1, 2, 0).numpy()\n", + "\n", + " frame_data = vid_data[2][frame_name]\n", + " obj_ids = list(frame_data.keys())\n", + "\n", + " for j in range(len(obj_ids)):\n", + " obj_id = obj_ids[j]\n", + " obj_data = frame_data[obj_id]\n", + " obj_bbox = obj_data['bbox']\n", + " obj_valid = obj_data['valid']\n", + " obj_mask = obj_data['mask'].numpy().astype(np.uint8)\n", + " obj_cat = obj_data['category_name']\n", + " \n", + " if obj_cat == cat and obj_valid:\n", + " if color_mask == False:\n", + " contours, _ = cv2.findContours(obj_mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE)\n", + " cv2.drawContours(frame, contours, -1, colors[j], 3)\n", + " for i, contour in enumerate(contours):\n", + " # 윤곽선 중심 계산\n", + " moments = cv2.moments(contour)\n", + " if moments[\"m00\"] != 0: # 중심 계산 가능 여부 확인\n", + " cx = int(moments[\"m10\"] / moments[\"m00\"])\n", + " cy = int(moments[\"m01\"] / moments[\"m00\"])\n", + " else:\n", + " cx, cy = contour[0][0] # 중심 계산 불가시 대체 좌표 사용\n", + " \n", + " # 텍스트 배경 (검은색 배경 만들기)\n", + " font = cv2.FONT_HERSHEY_SIMPLEX\n", + " text = obj_id\n", + " text_size = cv2.getTextSize(text, font, 1, 2)[0]\n", + " text_w, text_h = text_size\n", + " \n", + " # 텍스트 배경 그리기 (검은색 배경)\n", + " cv2.rectangle(frame, (cx - text_w // 2 - 5, cy - text_h // 2 - 5),\n", + " (cx + text_w // 2 + 5, cy + text_h // 2 + 5), (0, 0, 0), -1)\n", + " \n", + " # 텍스트 그리기 (흰색 텍스트)\n", + " cv2.putText(frame, text, (cx - text_w // 2, cy + text_h // 2),\n", + " font, 1, (255, 255, 255), 2)\n", + " else:\n", + " alpha = 0.2\n", + " colored_obj_mask = np.zeros_like(frame)\n", + " colored_obj_mask[obj_mask == 1] = colors[j]\n", + " frame = cv2.addWeighted(frame, 1-alpha, colored_obj_mask, alpha, 0)\n", + "\n", + " mask_indices = np.where(obj_mask == 1)\n", + " center_y = (mask_indices[0].min() + mask_indices[0].max()) // 2\n", + " center_x = (mask_indices[1].min() + mask_indices[1].max()) // 2\n", + "\n", + "\n", + " # 텍스트 크기 계산\n", + " font = cv2.FONT_HERSHEY_SIMPLEX\n", + " text = obj_id\n", + " text_size = cv2.getTextSize(text, font, 1, 2)[0] # (width, height)\n", + " text_x = center_x - text_size[0] // 2 # 텍스트의 가로 중심\n", + " text_y = center_y + text_size[1] // 2 # 텍스트의 세로 중심\n", + "\n", + " # 텍스트 배경 사각형 좌표 계산\n", + " rect_start = (text_x - 5, text_y - text_size[1] - 5) # 배경 사각형 좌상단\n", + " rect_end = (text_x + text_size[0] + 5, text_y + 5) # 배경 사각형 우하단\n", + "\n", + " # 배경 사각형 그리기\n", + " cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1)\n", + "\n", + " # 텍스트 추가\n", + " cv2.putText(frame, text, (text_x, text_y), font, 1, (255, 255, 255), 2)\n", + " \n", + " fig, ax = plt.subplots()\n", + " ax.imshow(frame)\n", + " ax.axis('off')\n", + "\n", + " frame_caption = cat_captions[frame_name]\n", + " if frame_caption:\n", + " wrapped_text = \"\\n\".join(textwrap.wrap(frame_caption, width=60))\n", + " ax.text(0.5, -0.3, wrapped_text, ha='center', va='center', fontsize=12, transform=ax.transAxes)\n", + " \n", + " plt.title(f\"cat: {cat}, frame: {frame_name}\")\n", + " plt.tight_layout()\n", + " plt.show()\n" + ] + }, + { + "cell_type": "code", + "execution_count": 189, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "013099c098\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "checkCaption(10, all_captions, True)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 5. 전체" + ] + }, + { + "cell_type": "code", + "execution_count": 196, + "metadata": {}, + "outputs": [], + "source": [ + "def create_ref_exp_check(idx, color_mask=False):\n", + " all_captions, valid_obj_ids = getCaption(idx, color_mask)\n", + " checkCaption(idx, all_captions, color_mask)\n", + " return all_captions, valid_obj_ids" + ] + }, + { + "cell_type": "code", + "execution_count": 231, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "vid id: 03a06cc98a\n", + "\n", + "-----------category name: giraffe, frame name: 2\n", + "are giraffes distinguished by action: NONE\n", + "\n", + "-----------category name: giraffe, frame name: 8\n", + "are giraffes distinguished by action: NONE\n", + "\n", + "-----------category name: giraffe, frame name: 13\n", + "are giraffes distinguished by action: NONE\n", + "\n", + "-----------category name: giraffe, frame name: 14\n", + "are giraffes distinguished by action: YES\n", + "\n" + ] + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAnYAAAGBCAYAAADrK/zFAAAAOnRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjEwLjAsIGh0dHBzOi8vbWF0cGxvdGxpYi5vcmcvlHJYcgAAAAlwSFlzAAAPYQAAD2EBqD+naQABAABJREFUeJzs/Xm4bVlZ349+xpjt6rvd732afdo61VdRVSCNNFEaNQaSC1djAmiuPOG56pXfNTbJoySQiImaJ8YGe1QkublgE4yoIQgqglRhUf05Vafffbf6brZj3D/GWuucQ1XJASES7vryHM6pudeea845xhzj+77v931fobXWTDHFFFNMMcUUU0zxfzzk3/UFTDHFFFNMMcUUU0zx5cGU2E0xxRRTTDHFFFN8jWBK7KaYYooppphiiim+RjAldlNMMcUUU0wxxRRfI5gSuymmmGKKKaaYYoqvEUyJ3RRTTDHFFFNMMcXXCKbEbooppphiiimmmOJrBFNiN8UUU0wxxRRTTPE1gimxm2KKKaaYYooppvgawZTYTTHFFF9WXLlyBSEEv/Ebv/FlP/cf//Efc/fdd+P7PkIIWq0WAO9///u55ZZbcByHcrn8Zf/eMZIk4Qd/8Ac5dOgQUkpe//rXf8W+a4opppjiS4H9d30BU0wxxVcWW1tb/PIv/zKvf/3rufvuu/+uL+dLRr1e501vehO33XYbP//zP4/neeRyOc6dO8db3/pWXvva1/LDP/zDZLPZr9g1/Pqv/zo/+ZM/yfd///dz7733cvjw4a/Yd3014GMf+xgf+MAH+OQnP8nGxgYLCwu86lWv4t3vfjeLi4t/15c3xRRTPAfEtFfsFFN8beOzn/0s999/P+973/t461vf+hX/Pq01YRjiOA6WZX3ZzvvHf/zHvO51r+OjH/0o3/AN3zA5/ou/+Iu8/e1v5/z585w4ceLL9n3PhW/7tm+bkJz/f8B9991Ho9HgjW98IydPnuTSpUv83M/9HNlslkceeYSFhYW/60ucYoopPg9Tj90UU0zxZYUQAt/3v+Dn+v0+uVzups+7t7cH8KxQ6/Md/0pgb2/vpr4nSRKUUriu+xW/pq8k/uN//I+89KUvRcprqp3Xvva1vPzlL+fnfu7n+Lf/9t/+HV7dFFNM8VyYauymmOKrFJubm/yzf/bPWFpawvM8VldXefvb304URQA0Gg1+4Ad+gDvuuIN8Pk+xWOR1r3sdjz766OQcn/jEJ7j//vsB+M7v/E6EEDfo3waDAefOnePg4OCmrunnf/7nOXbsGJlMhgceeIC/+Iu/4BWveAWveMUrJp95Lo3dW9/6VvL5PBcvXuSbvumbKBQKfMd3fAcAf/EXf8Eb3/hGDh8+jOd5HDp0iHe84x0Mh8PJ77/iFa/gLW95CwD3338/Qgje+ta3cvToUd75zncCMDs7ixCCf/2v//Xk9/7oj/6Il73sZeRyOQqFAt/8zd/Mk08+eXMDcB3G9/Txj3+cJ598cvIcP/GJT0x+9lM/9VP8p//0nzh+/Die5/HUU08RRRE/9mM/xgte8AJKpRK5XI6XvexlfPzjH3/O8//UT/3U5Blns1le/epXs76+jtaad7/73aysrJDJZPgH/+Af0Gg0nnWdN3O/cRxz7tw5tre3v+B9f/3Xf/0NpG58rFqtcvbs2S/6OU4xxRRfeUw9dlNM8VWIra0tHnjgAVqtFm9729u45ZZb2Nzc5EMf+hCDwQDXdbl06RK///u/zxvf+EZWV1fZ3d3ll37pl3j5y1/OU089xdLSEmfOnOFd73oXP/ZjP8bb3vY2XvaylwHw4he/GIAHH3yQV77ylbzzne+8gRA9F9773vfyPd/zPbzsZS/jHe94B1euXOH1r389lUqFlZWVL3hPSZLwmte8hpe+9KX81E/91EQL98EPfpDBYMDb3/52arUaDz74ID/7sz/LxsYGH/zgBwH4V//qX3H69Gl++Zd/mXe9612srq5y/PhxXv/61/Nbv/Vb/N7v/R7vfe97yefz3HnnnYBJqHjLW97Ca17zGv79v//3DAYD3vve9/LSl76Uz33ucxw9evSmx2N2dpb3v//9/Lt/9+/o9Xq85z3vAeDMmTMTAvq+972PIAh429vehud5VKtVOp0Ov/qrv8q3f/u3893f/d10u11+7dd+jde85jU8+OCDz9I8fuADHyCKIr73e7+XRqPBf/gP/4E3velNvOpVr+ITn/gEP/RDP8SFCxf42Z/9WX7gB36AX//1X5/87s3e7+bmJmfOnOEtb3nLl5Tg0uv16PV6zMzMfNG/O8UUU/xvgJ5iiim+6vDmN79ZSyn1Qw899KyfKaW01loHQaDTNL3hZ5cvX9ae5+l3vetdk2MPPfSQBvT73ve+Z53r4x//uAb0O9/5zr/xesIw1LVaTd9///06juPJ8d/4jd/QgH75y19+wzV8/ve95S1v0YD+4R/+4WedezAYPOvYe97zHi2E0FevXp0ce9/73qeBZz2Td77znRrQ+/v7k2PdbleXy2X93d/93Td8dmdnR5dKpWcdv1m8/OUv17fddtsNx8b3WywW9d7e3g0/S5JEh2F4w7Fms6nn5+f1d33Xdz3rHLOzs7rVak2O/8iP/IgG9F133XXDc//2b/927bquDoLgi77f8Xe95S1v+ZKewbvf/W4N6I997GNf0u9PMcUUX1lMPXZTTPFVBqUUv//7v8/f//t/n/vuu+9ZPxdCAOB53uRYmqa0Wi3y+TynT5/m4YcfvqnvesUrXoG+ifypz372s9Trdd7znvdg29eWje/4ju/gHe94x019F8Db3/72Zx3LZDKTf/f7fYbDIS9+8YvRWvO5z33uS8o8/ehHP0qr1eLbv/3bbwgzW5bFC1/4wmeFQr8c+Ef/6B8xOzt7wzHLsiYJJEopWq0WSinuu+++5xyjN77xjZRKpcl/v/CFLwTgn/yTf3LDc3/hC1/If/2v/5XNzU2OHTv2Rd3v0aNHb2rMnwt//ud/zr/5N/9m4kWcYoopvvowJXZTTPFVhv39fTqdDrfffvvf+DmlFD/zMz/DL/zCL3D58mXSNJ38rFarfVmv6erVqwDPyjq1bfumQ5q2bT9nyHZtbY0f+7Ef48Mf/jDNZvOGn7Xb7S/pes+fPw/wvOSjWCx+Sef9m7C6uvqcx3/zN3+Tn/7pn+bcuXPEcfw3fv7zSeyY5B06dOg5j4+f1/+O+z137hxveMMbuP322/nVX/3Vv/X5pphiiq8MpsRuiin+D8WP//iP86M/+qN813d9F+9+97upVqtIKfn+7/9+lFJ/15f3LHie9ywhfpqmfOM3fiONRoMf+qEf4pZbbiGXy7G5uclb3/rWL/k+xr/3/ve//zlLclzv/fpy4XrP4xi//du/zVvf+lZe//rX8y/+xb9gbm4Oy7J4z3vew8WLF5/1+ecrD/N8x8eet6/0/a6vr/PqV7+aUqnERz7yEQqFwt/qfFNMMcVXDlNiN8UUX2WYnZ2lWCzyxBNP/I2f+9CHPsQrX/lKfu3Xfu2G461W6wZh+zh0+7fBkSNHALhw4QKvfOUrJ8eTJOHKlSuThIUvFo8//jjPPPMMv/mbv8mb3/zmyfGPfvSjf6vrPX78OABzc3M31Lz7340PfehDHDt2jN/93d+9YRzGmbxfLnwl77der/PqV7+aMAz52Mc+Ni1MPMUUX+WYljuZYoqvMoxbVf3BH/wBn/3sZ5/187GXxrKsZ2mlPvjBD7K5uXnDsXGtuHH7retxs+VO7rvvPmq1Gr/yK79CkiST4x/4wAeeFT79YjD2RF1/H1prfuZnfuZLPifAa17zGorFIj/+4z9+Q/hzjP39/b/V+W8Wz3V/n/nMZ/j0pz/9Zf2eL+Z+v5hyJ/1+n2/6pm9ic3OTj3zkI5w8efLLet1TTDHFlx9Tj90UU3wV4sd//Mf5n//zf/Lyl7+ct73tbZw5c4bt7W0++MEP8slPfpJyucy3fMu38K53vYvv/M7v5MUvfjGPP/44H/jABzh27NgN5zp+/Djlcplf/MVfpFAokMvleOELX8jq6upNlztxXZd//a//Nd/7vd/Lq171Kt70pjdx5coVfuM3foPjx49/yV7BW265hePHj/MDP/ADbG5uUiwW+Z3f+Z2/FVkEoyl773vfyz/9p/+Ue++9l2/7tm9jdnaWtbU1/vAP/5CXvOQl/NzP/Rxgasitrq5+yeU//iZ8y7d8C7/7u7/LG97wBr75m7+Zy5cv84u/+Ivceuut9Hq9L9v3fDH3+8WUO/mO7/gOHnzwQb7ru76Ls2fP3lC7Lp/PT3vlTjHFVyGmxG6KKb4Ksby8zGc+8xl+9Ed/lA984AN0Oh2Wl5d53eteN6n/9i//5b+k3+/zX/7Lf+G//bf/xr333ssf/uEf8sM//MM3nMtxHH7zN3+TH/mRH+Gf//N/TpIkvO9973tesf/z4Xu+53vQWvPTP/3T/MAP/AB33XUXH/7wh/m+7/u+m+o08VxwHIc/+IM/4Pu+7/t4z3veg+/7vOENb+B7vud7uOuuu76kc47xj//xP2ZpaYmf+Imf4Cd/8icJw5Dl5WVe9rKX8Z3f+Z2Tz40J1lcixPjWt76VnZ0dfumXfok/+ZM/4dZbb+W3f/u3+eAHP8gnPvGJL+t33ez9fjF45JFHANMj9/qaeWDC81NiN8UUX32Y9oqdYoopvmQopZidneUf/sN/yK/8yq/8XV/Ol4Rf+IVf4Ad/8Ae5ePEi8/Pzf9eXM8UUU0zxt8JUYzfFFFPcFIIgeJam77d+67doNBo3tBT7Pw0f//jH+b7v+74pqZtiiim+JjD12E0xxRQ3hU984hO84x3v4I1vfCO1Wo2HH36YX/u1X+PMmTP89V//9f/xDe+nmGKKKb4WMNXYTTHFFDeFo0ePcujQIf7zf/7PNBoNqtUqb37zm/mJn/iJKambYooppvgqwdRjN8UUU0wxxRRTTPE1gqnGbooppphiiimmmOJrBFNiN8UUU0wxxRRTTPE1gimxm2KKKaaYYooppvgawU0nT7z2H38rw2FAmmgsy+POO+7hjtvvIp8vkQ6HnP3cw1y4eBFhSRSQy+c4ceoUoHnsrx+jddBEKYXv+xw5coRbbjlNEIScPfsEuztXSNLE0ExLTP5oFEJq/IxHJpPBdV1s2yYMQ+r1OkmSUKlU8H2fwWBAHMcIIbAsC8uykNJGKxutNVpr0jQlSRLSNEWpFFtKpDC1uOI4RimF1hrHccjmciAdoigmSRKy2SzZbBbXdUnTlDAOyeQznDp1ikwmw+7uLjs7O2xubppz2BZaKaS0adYb2MLiFa94FY8/+hjr6+vccutpDpot2p0OhWKZhbl5ht0+7YMmSRKD0JN7kVKSpinZbJZSucxTF54hUSmFQoFKpYLrupPrD4IQ28tg+S7f+NpX0+10eOCue3jRHXeTs11Srdk8aPKr7/9vPPjw4/iZHJ4tefF9d/Otr/sGji7NIq9rImDOGeA4DrbjkCrN+3/79/nIR/6E/+v//f/kgftvx0KDkiCgmySEUvCbv/N7fPiP/ohbTpzgR/6vd+Bqjas1/SDkr594msr8PMMw5Pd+90P0um3m5xdZ39oiU86Rz3r8v/7Z/4PVmTkUkACPP3meX3jvL5EkCa98+cv4J296A54lsLQZ81hLJJJOt0Max5SKRSxpnp0Q4nk7I2jg6uYu7/35X+b802f55m9+LW/+zn9Ku9/lQ7//OwyDgDe+4Y1UyxVsKdnZ2UHYNvlSkQ//8Uf4xJ//BceOH+cNb3gDvW6XnfVNXvWSl5J3HYQWCAE8T1MGrdXoGgSJFqyvb3D23Fle9fUvI+M7gAQh0ECiFPutOoMg4M8/9UlOHTvOvbffhWs7CGG+QoxvCBAj6ayWkiBNGcYJT557hk9/+kGeeuop+t0uKE2aak6fPMU/+fY3cfrkUYRIzfmEBYjJOZ91C893T9f9rYEYTag0T16+wJWdLfx8kSROsRXcevwEc9Uyw1Sx02zw4Of+mjQZMuh3aTQaDAYDCoUC/X6ffD5PGqf02wNyuQKFYpkgiOgPAuYXllhZOcTh1SM8efYpPM/Dtm0ef/xx2u32pKCzn8lw6PBhZqpVcrkcV69eZXtrm26nw+xskeHwgDAMyeVyk3Zttm3T7/eJU7Adh+psFWkJThw9ztGlw1x+5goHO3Xy2TwXnn4GFURYWhMM+ggpCKKAYX9ImqTYto2Ukmw2y/Hjx1hdXcW2LZaW58hmfLO+OQ62ZSGlGVEjgb4mgxZCjub0tSGQ10bq2tBoQOjnGTyDNE1BSDb3GvzOf/8I97/ohdxz+2kyUiN0AsJCaUGSJjz22GMsLS2xuLCI0gopxtdw7eTXy7UFZt6mox+LVCGAEMXnLp7jrx5+iPPnzrI4N8Pi3CxxPKTbbdPutXjJS17BvXe+DM+x8aTFpSvn+atP/xU5P08Sw7lnLvDEM09zy1138u3/7M08+LkH6fab7G2vkfccwnaf+u4ezYMmSZzguz6tepPZ2gyu79PpDggjjZcpEIQJ9VaLWCWUK2V6wwGv/MZXcvrWk1y+cgEpFcePrvJ1D7yQqB+yfv4Cz5w7z1/+2aep77eRto+SNlguti85dHQRrTWPP/443W6XOI7pdru4rss999zBv/uxH+Xw/Dxba/s89FeP8tDnnqTdT7A9j263iXQs7IxLd9Cj2+tgSYlrW2QyJYr5HP/3N7yGF9xxkoxnEaaKi2t7fORjf86JO0/w0rvuIO84JAI2e10eOfcMp4+cIiMUWRe0EJw9e54/+PBH2N7aw7ZclNL0By0Gwx5hGOI4DlEUEUURcRyTpoooTijli8xWq/R6PeI0pdFpEaPActHa4tDiCskgJhwOyOV85uar2I4gjEOiOECKFBWFFPM59nb2sKVNPlvEFhap0CRC42RcUp1iWWbNPtjfR2uN67pEUUQQBJP9X6UJ6Njs47ZNJpOZvBcAqZZoLIQGKS1c38fyfYZa0eh0yNk2lWyefrtFwc8gVEy700TpFC+bIYk1URQBpq1eoVBgeXmZKIoIwxDLshBC4Hke5XIZKSVRFDEYDOgPuziOzXA4BKBarVIqlbjrrrtYWDqCX5hlfn6BI4eWsISg3R+yu7tHvd6gftCi024Thj0K+QwqiRgOAqIgIYkV7/z+f/7cL/Pn4aaJne+7dLtdsyjbHnEccXBwwPb2LiJVYFlkcjm0gCiOyBcKzMzM8OhjjzLo91AqQQiJbUscx8LzXHZ2tul028+qjXUjzKastZ4str1ej06ng23bE+IzJm7Xb+BKCbPAaNMIXUp5Q39Ncf1O+BzfaxYvMSGGtm1j2zZCCBQKgaDX63HhwgVKpRJJkly7l+tPqzVIJptENptlbn6eOFX0h0PiJGZne4eM6+P7Pr1ePPo1jVIKpRRJktButxkGAcPBAC0FSZIQxzH9fp9MJkMURXT7PTytEUnAQw8+yC2nb8F1XRKlUAK6/YA/+uP/xTPnnsFzbCyhWVle4KUveRFLizeSuvE1hGGIEALHcQBwHZdCoYjne5/3YUHWsYmThKA/IOt4vPTrXoxnOwzabR45f45CqcyRY4dZWJjn0tU1VJowMzNDLpvF9Twyno9OErRSI3IgUKP5ly/kaTSa7NcbJFrjIUHYpCohiiN81yefyyPQ2JYNWt9UqyutR0REmEmhNXS6HRrNJsdWVykXS0gEEqhWKly8cgXbdTh9/ASPP/IYrYM6Vy9ewrIsCrkcthSjPVXDF/h+rTVamL/X1tepVqs4tvOsz0khqJYr0G5x66lbuOXUaVzHRQBaKXOfQtz4dVqjtGZtc4vecMgjjz7KpUuXCIchSghSlZLNZFheXuLYsUOgNZY0Tnx1PVEQo1v5gk/yRqjRn0QrEm3mca1aI5vNc+XCJfYaLcIkod7uEKoELeTEQHNd94ax63a7OJZDmqa0mk3CKEFKG8t28X2fTManVMpTq9Xo9/u0220cx5kYe0mSEAyHOJbFsWPHWF9fJ0kSEpViuw5hHFGvNwiCIb7v43kecRxjWRaO45CqFDGiMWL0XtbrddCajOfTarWJkwTXthh0Ogx6PbK5LLZt4/s+cWTeaSklw+GQs2fP8fTTz6CUIlvKkslkyGQz+L5PLpvD8z0c20aNvmsMz/PIZLP4nkepVGR5fo5CNmPG/foxEjf89ZyQUpDqlJlamfvvu4uZcg5bghi9dRprcgLLssj4mdE5ryNzn3dOPXrnPv+4lII0SRGWYHl5mfwzZ8nlchSLRTzXJYoGCCHIZrPkMhkqXmZ0npScnyHvZzl27DjVyiyJgocefQTPM91Otra22NpZg3RIK42xIjXZD2zLMnOi0yeKIhKl6PX62HaGfq9PoiAMQ1q9NuVqmTRN2draJpNziZMYlUR0Wm086ZAt+rgnjtNtdZHy+YNdURRRr9exLGviLLCk5OTqMUqFAlpp6gdNkBZKg5IaLUE6NtKWaMBybIQl0alCawlSoAQ4mQzCtQiUYm1jm0/91UPcfcdpbr/nNhzbQgiQaKq5LPecOolIgSSlXKrSGwyIwvBZ1zt+z8b7l3F8mOsW0ozJ8vIyR1ZWuHLlCvVW0+wFWqGkxW233cnJ1ZM8/fhZGvsKKQRRGJGkgkazTpyGWFKRcWwGQ2HOLUaGp9KESQiOJGNnWVlaJo5j9vf3sW2bJElQSk32oHw+j9aaIEhBC4QYmTVCIqWFHq0zanTtCIFCkAJRFNOPI5RSRFHEbm8PFYa4UuLZEiElWiUEwRCBM3kGarS+pmlKEAQEQYBlWRM+MP5vpRRSCjKZDJYlCYKAJEno9/uEYcjFixdpd0NWjrlUa/P0hglBELK9vU29Xqfb7TLsDkiiiDiKabdjLClIUwVSYFk3H2C9aWJXLpdptdpEUUwQDDh37ixRGFMolHEsSTDogS1xbBvbc6hUK4RRyPbWFlEcj4gZI5br0mg0uHzlMv1e79oy8XmrgfG0mAebpukNk9C2bbLZLFEUGaJ13eI3mZTXWZRjhi2lnBDBGy3hZy+BUorJwI6JnWVZKK1QWqHRDAaDG8jX+Npy2azxJI02Fsd2UGlqWLyAK5cvU2+2sGwb13FIogTbtvFcnygKCaNgskiOSZVt27Ta7dEGLgjD0JC94ZBcLje51kQlVAs17r7rbo6vHmdxYRnbcemFEQ997nH+8tMP0mq1cLwMlmNx3z13cuzIMpYYPZHrCJFlWZTL5cl/aw1+xufQoRWqlcpk7My+ohFAr9dnZ3ubW8+c4cUP3I9vW2jf48ixY5RLZZqdDpbQeLZNMV8gTRJarTZaKQ6trDDstnClhdaQoFECXNfB8zMo3aReb9HtD3EKORwpENLBde2Rh1MivxgKokGhUYxImDSEZ3tnhyAMqc3OGmNAKQQWnuPiOg4HBwccO7LK6RMneeTRR3nm7DkW5udZXliceCu+EKccGwGGSPbY29vnvhfcg7TkZG5eb/QkUUyzXmf18BEyniHVw2BIp9Mhn8lSLBSuGS0jQtwPAs6eO4fleuzv1VGxQkoLKUBaNrXZGnfdcweWbSEwXhxpWWg0WmlUmhoPuDAbzs0+Wa01CgjjmBjF4sIC5ZkZhqlCWxa5UpHt+j47jQPCKMLyHBKlKBQLWKJgvOJhyObm5uT9ynhZ4rhLq9XG7vZxXI+FhWWq1Sq2beNYgsOHlonjhG63SxgGNBoNXNdlZWWFJIpJohh7NDC9Xo8kTbEch/6gR6rSyfWPPdWVSoV8Pk+j0SFJU4JhgNYpG2vrNN0seSdHNptlY2OL4WCAnytMLHfLsbAdG9d18UckZEwUx+tRkqZ0wgGDdhfVaqOVJkkTVKpGJC6DtC3GE6rX7dLudHAch0qlwuu+4RXcfdutuK478dxd/06O/zbT4sYRHM8Ux7a4/cxpPNfFEhpDx80bHcfGeLzllltwHXeyJnHDOa9BoZE3UL9r80FIwTAYsr29jeM4fMM3fCP13W2kVuzs7IDQzM7XKBWK2HrsZRfkvQz5XI7BYECpmJLP5RBCUC4VyfoZyuUKl648jWsppNJ4noewXZpWy+xHto2QZi1WSYLSikOHD7G332J7d584jvE9n1q1Rqff59y5c7S7dQ4fWabbaZJzPKQS2JbAkhaO404cCp+/eY2JyHiPGe9Ltm1z8vgqWc9DJYpep0ej0WIQBGhpgSPBlgjHxs9lsFKHJI0J+n3zNLUeecDNn8FgwKNPPs7pU8e45547SCSkSjFUxgHiCsFC0ZDIJDH7b6PZZHt7m8FggJQC27GJwniyZowdH7ZtTwgeWpMmxos2NuylEBQKBbrDPtX5Be65927CnnkvjEEU0u32idOQJI0R0lyvnc2gfQ8p5WRPH78DliNxXZfFxSX6/R7ra2t0Oh3SNJ0YaAsLC8zMzBhiFgbokddYSgshJEJIY6RrbXwpQiIsSZwaIhelKQmCTCYLUUikQlzHMZ5lpUAIpGURJylCpxOCOx6/6yN/Y7KntfHsjb3xQpj7kFLcQP593zhthJC0Oz06vQFyv0G70+GZZ54xhqttY6WKOBgSxRFuxkNrAUJi2wItkuddbz8fN03sXNcmk/EZDIw7VKmUK1evcPzYCXzX46DZMIurbTFTrXLs+HGeevJJBr0+WqVIC2zHwnEtUpVw6fIFDg72sG0LnV5bHLTWz1oWxotsGIaTh1UsFiefH5M+y7Imx8yAJOhrfA/HcW4gcFozGYAxgbp2Po1l2TiOw2AwIEmSCXkaX0cYhly4cIFisUgYhkRRhO/7VKtVThw7Ribjc/78BXqdLjOVGfYPDgiCgHK5NHmZVKqwbBsUNJtNbCR6NOnH5/N9f/JSjY+7I2/Z2D0tpSSOY2zHBmEIp+95HFs9xmxthlTDw4+d5QP/9UN0ukNqtRmEgDtuO8MLX3AX+ex4Y+BZjOT6Z5Ymil6vx+zcLAsL1es+hCFJGq5cXeOpp57idd/y9/E9z2zY+RyZfA4NZHwfCczXqtxx6xkuX75Ks9sFDb7rceK22ykXi6RKESlFJAVeNovreAhpc3Vjk8/89RPcc+dtFDyLjO/iWAJL3Hg54/v4Ql67VCmEJXE8l2wuR7vT4X/96Z/ieC6LCwtEcYRj2cYDJWBxaYnHzj7J7MI8r3n1q2nWGwTDId1ul4W770FYFqkCoRWW/Vzb3LXnqpRCSEmr2TSe3LnZ0af1JJw53j2ajQbVYplaqTyewQghKBYKuLZznSfafF4huHx1DY2gPxhycHCA0hqdGqLkOjazczOcOLlqtnIBQlqkaJQGrVJ6/R4Z3yfjZxD686nBc9zTaANSaBKt2G0d0AmGuNksc7V5GoMh/SQlWyxSqlZxLJtzzzyDn8sT7u4yM1fGcyyazebEaBp7o23bJhgGBEFI1O3juD6ZTJ4oirAsm6fPX6E36HPXHbexMF8jVYpGo2nCn5kMh0+cxJKWua9ulyiOWVpeJk5iLl/aBw21Wo3FxUV2d3dpNBosLi5Sq83QbHSIo5hur0O+kMUuWeSzORxto2JFGAa0Wi1UGGFJSWHkmRlv8kKKyaY5XocmFn42R6rNBpwkKZZ2kFLiOC626yBGa16apnSHQ4ZhRBDFdPp93vf+D3DrqZMcPXqU2VqN48ePUczlcGwHKYQhsXo0ahqEFJN5okeeDoGgkPHRgBx/9lpwn7W1NY4ePfosL5UGlDaRCzmac1I8t1dBCEM5Xcel1+shhKDZbBDFEUHPhAFB4bou5XIZpTWWNudvNBoc7O2zs72HxHhxbNtmYWFhNNc1+Xwez4ZO44BhNITEeI5qtRkuXLhANAzxXY9KrUYct1leXmZmdgktnqF35TJCa+qNBv1BH0VKdaZILpulVd/DlhKBwhEulpSUK2Vy+TxCNicefjSTEKbjOIZcjrw8tm1TqZS57fQtOMJiGIQMBgEbW1soKXAyPsoyoVI/l+WW287QaDUART2MEEohtUYiSdOEIFWcvXCRXC7HC+66FVcKeiolHnmVHa3xhMQCpCWILY9IpTSbTeO1jJPRPjXD1qZxvIyJimVZVCoV6vU6URSZtVHA/v4+g27P7IVpgu25FEslarUqliVoNOp4nkev0yNJUmzbRQgLaVkonVIsFllZmKfbaZHJZBj0Bub8GC+hlJK1tTWa7SaVSpmlpSWazSb9fn9i2I33WPM+WUg0Qpr3SUqJVoaEpipFaYnlCLSURImJ/jjZLBnXo1KpsLe+ZvZVYSI1cZpgjeRT6GRC0JMkwfM8CgVjsI2J3pjcRVE0kUnp0TtMqtGoSaeecrnM8ePHmZ+fR+GRRjFba+s0M4YPNPcPaDab5PN5crZFHIb4uQzVmVmG4ZBoOMB3bcL+V4DY+RmPSqVMs9FESswNIIlHrs1+MKSQy5PP55mbm8OyLOoHdZSh0EhhXJRzc3MMBgNardaIuadmCRECLfSEUxh2rEiThCgOJ4uR4zj4vn+Dm/v6P9djzN6vne/aH6UU9nVxxzGxG1stYDyLpXJ5ojdoNBomdJLN4vou+439ybna7TZxHFMqlSa6v/ECVK1UqVar9Ps9oigaGd+jEK/Q5HI5ZFbQ1R3iYTi5r7HVN14wwFiE42scE1nXdSehK2FLcIwbeXNjk831TVzbZ3+3zu/9wR9yaX2TmeocuWyeudkKr3rFS1mcqyKMjwaQz69HG8XjgiC8MeSjr7PbBQyGQ/xMhmwhT6RSBBLPsnAYh3kMqvksd956httuux0lLC5vrHH56kVecNfdZF2POE4IkoRuGqPixFiirkeqNYVSCS/r0u4O6PZ6VEtZ7GzumrdOPB+d+rx7AsIoJE5iEJK5+XnWNzfY2d3hgRe/kOXlQ7TaHYr5AtKySLXCch2crE+sFaVSiWPHj/PMpQt0+32UNLqiKAlxpYX1BfKTpBCkWnNQb1Aul3Fs5zryNNr8BcRxRBJF1CoVo58TgjhJ2N/fp9lokM/lOHrkKM7YshSCMI64dOUqbjbH0088RRjGxFGESjWkGi/jcPedd5DLZRmEQzzXNVauNtclpFmYxzo/xGS4/8ZnO54nSoDjueysXyVbKFAqV0iVIkkVjXaHQj5HueCSAMKykZZNpVIh67tUq1WiMGJnZ4cTJ04QRRGtRhvbsfE8F4QkimJa7RYHBwcMhwGV2RqZTIZOb8AgCJmfrVGtVtFak8lk8F0PDezs7LOzszPadBT94cDoSAXk83kqlQr7+/sTj0KapiSxkQf4rkfOz1KtlFFRghYWcRwb73mnQ9QfUMxkzdoijFGqUCihbtB6XvN8CRPJMP9CCI0Q1iQ6YJ6jWQ+iJCROIoJwaDyrUuIWSlxa2+Lq+g6u65LLZJmp1igWC1QrZU4eO0K1Wsb3PFzXxRqFp8xFCKzxK6wZGUYCtESPCJoUklKpZKQNcMP1ayAIzXqVy+ZuWDfEcylcRlKHYqkIe9s0Gg0caUwYz/OwHUkmk0FpTagSfMtFadja3aHeqONID1JFHEXkcjlqtRqMCIm0LCwLDg72Cdt9cm6GODTjEgQBKCaRj0KhwNzcHPVGl2Bo5r2fz+D7Ri+VYLzUSWI8p460sTHvsiVHkR8xCcyPJ/2EDEzCwKMx9DyPQ4cOMVurYgEohUo0Wgm0iZ2CBXqkLXc8FykluVyOqFCg02xCqrA0NBodHn70KZ5+6km++RteiW/ZWNo872a/T6KhmMniuh5SmfNGcUA3CElTQ3rMnmVC0GmaTvTZY8Pj+siWGBGYXq9P0DcyiUSlOInP4eOrpGnCM+efZqG2wJGlwzz06YfY3h5iWTZhHGI5FkmkEMKe7KM61YRhhGt5Zo8Xmni0vw2HA2ZnZ1hZWeHChQv0+/3J9Gk2m5P9z7ZthBpdp5CodEy2zL0hBUJYKASxSvFzWe645x6WFhfpdrqoYEi/1UJFIUmaopPYvIdSkmpFml7ztnqeh+d5hGF4A+G7/jkZL50kCEISFaFG3v9CoUCxWKRarVIoFIgTSaoceu0m9b0dkiSh26wTDQa0wyGRZaGShNncMvMLi/SCPntbWyDSyTlvBjdN7Gq1GlppNje2SJIUx/GMxqzfMw9ACizXwcv41GZmaDabtNotBCAtScbzRuxe0m63CMMArRXGmy1u0GZoPQoDoUjTxATKRgRtPPHGC+74965/qa6ROeP9mqwro98bfy6KEtDptUUHrk1oAWEYoRETC7vf7yOlZHFxkVa3NfoOTbvdnnj9tNbEcUwmmyFNEubm5igXSviuz2c+8+DkWuMkMVqK0UKRy+bQccpBt08cxyCYuKyHwyFRFE0ml7SuJYgIISZeAADpWOQqJZYWlshmszz9zDM0Gh0e+uzDPH3hAoVihZnZBRbnqjxw3x2cPrGKa0m0TkbP4G8iIuYhDYdDHOfZnQa0MIkOe40DBnHEzsE+3SDEyvpoNC4CYaYKCBNS8DyPRFhs7e3z8MMPUyhkJ2FGy7JIwoB0TMa1IQVaCjK5HLbj0B0ExMMOc7Xi83jGxjvM85BVNN1Oj+EwQAhz3u2dXVzPI5vLkQjNMInJoRlEIcFIXB8rRaPbJuN4zM7N8ui5pxgmMUoIgjjmkUceZWV+nlNHj1zzmDzHJWjMPDvYP+C2228befgMUm28eWEYsLa2RqVSwXM9s2mOnuF4vovr9HVjT1+SKhzPAyFoNJvEcUwQhOhU4wiL40dWuefOO7GlZK/dGOnqJJViiazngFKkKp0YEDeHkUdICAQSy3bo9nvYvk+qFO1uh91Wh4uXLuH5PkePHgHbws34ZHNZtra2WZyfYXZmFp0x8odut0u326Vx0MR1XUqlMn4mC0iOHD3Oy1/+MhKlaXU6hHFEs9kEoFwqUC6XWVhYwHVsjq8skGjYOWiTyWYZjjwSQRQafZwj2N/fp9fr0Ww2jdbON9II27LAcbE9C9d1cGyHvb1dZkuzdDtd2u023U4HO1cg9VIkEmldC8elpBOd8HiNMU/LhPi00CY8LkGpFK1SFCZ8mWJIg0BTrZTwfYdOu0MQhghpgfCwHIc4SWm2B9QPjDxDCIHvSfL53MTgrlTKVCtVZudmmamUKefzOJbEkkZVZ5I2pAn9CbPeLi4sjoy+Z787YRgSxbERr4vnmCdjZ+EoEiOlYGZmhpMoBt0OSTgkHPTZ29sijiI6nQ5rG+tEVYcjyyvGaO60aTSaLMwu0m61Wbu6hu955PJ5dvb2qFSqlIpFdrfWjLi/P8TBQqeKQb+PYzskKqY/6CPrdVYOHSWXzbG5tc9BvU6+WGDlyCGkLbF8j72DXbq9LpcuXcIRmjSOsSZiE7M3jdf7sQGpuPYujjVpjUaDfr+P4zgcP3aMcqmAJUEn5qFEcYwWAiVGHqk44p6TJzhydIUwDGg36oYwCIGOU5Iw4tN/+WlCNeAfvf6bWJqbxwaEAkdabO3XubC5wYtuv5OZioccXXGUxLTb7YmGLkkTkljT6/ZIR4mDWqeTfeT6KJhtmyQurRRhlIwIb4rLNZnSwf4eBT9P0S9iWTYzM7OsrCyxub2JliHdnkaQsLu7S6VcZBAM0FoRxTG2sLBceyQBkSRJyrlz59jY2KDVak2m0BiDwWAiZdCjyXX9vq+UOWZZNp7nEwmNCkOEYzMzO8vi0jK23DUkKxgSpYkhlWkyepcMuZNST97X8b4bx/G1aT2WPSXmmQRBMHLEKNDG2TI3N8fS0hJzc3P4vk8QBCglQUsyjkM07NPY353cpxCCVGmSOKE4OwdCkqaaWKVoFX9liJ3v5ZBWG8f3ae/Xyfoaz80QhX18P8v83CJpbKzITCbLuafOMRwMkQhcx2Zubo5arcbOzja9Xo8oCib6OSnHHrvRMGoj+tYj61WMM7CExLYdhLBGREaSxBFxbDJd0zQ17Jux50+CNlothUJYhmFrAYlKieIhepLUYSOlmRBKaqI0ZthtkjZTLMvoZLyRJbWzs80gGqKE2Xy10qRKTbQASZIQhhFCg+d4FLMmLNNut3E8E17JZrN42RzSsshkPKQlcFybREVoZbJw5UgzILTAslzCOEIJCywJlkRLiRZGg6a0gpG+pVIscWL1BJZw2FjfYWejzsbaJqVyFc/OUchnOX3qBHffcQaSmCTU2M7IDf0F9nClNEEU4eeyZqG+jjelCEKVsFk/IEZRq1Qo+x4ZaeECjh4nE4zCjEoRDvrgOJw8sszjxTxZ3yNrmWymOElotNu4pSLZYo58pUh0+SrDfsDG2iZLczUsC2qLc3iuP3rNr7kP9Zgh6ZGX8JpxzWimkaQpFy9fph8MSSVs7+2ytrFGuVxmaX4RV9j4ro/AhLqbrRa276MRnD1/nmQlxstlaTWbeBmfKIpodztcvHKZuZka1/xbevK95r/E+GppdzokacxMtYyYPCJzsalS7Ozu4Xk+lVLZ3IuUKGXGOuP76HKZcqlkxMMTWifoDwb4foat/X1anS5hFKNSjU5SapUCL3vxC5mtVtBAqVBmv9Egk/VwHRtHSIRtYefzONK+3jfxBSG0MQ9swJaQ8U1WOxrq+wc0mm1aB3WK5RJoTalcJFYJju/R3N3Hc11SJeh2OsSJJhhG9HpDhLCxXUmxnKNSq1Islzl18hSL8xXiFCKlCNodEgSu67K5W2dxYZHDK0s8/thTWImmVCnT6XWRjo2wLWNlCIHnZ6lU8tTrdYLOkFhZHF48RIokGEb42SxSCoQFvucQxSHBcIAoaZqNPdrNfeKwj13MYQuFa1tYJhNhIujWSpBaoG2BkLbR9GDWOITAkpJUCxJlPH2o1OiutIJRQpHUmqzjIgsF+lLiWDaWNMaAMUBBuw5+NotSCVEwpNFsc1BvcvHSFVKlsF0HtKaYL1ApFFiYmWF+fo7abI3Z2RkOryyS9VyzFI+y859r/KMkpdMfGP3RaK26pg01n5cjY1cJE57vBQFX19fw8zk836dR36O+t8fu3h7dbpPN7TU67R533R6TyVqUcgWCMCRRCUvLi9RqFYRIkTLFtaBSq1Krlel162xcvkASm6xG23MRrsByXfphAMoQMhGEOH4WZVtc3tqgOegicx7dsE/YCcjkCsRhQmu/gZ1qjhxeZjAcMgyGuDkL13aMvrFapVhu0+0FiNRIECQSnQps26NUqtDvD7FkD992ObJ8iIzjIjT0+kOa7R79YUQiwbEslE7I5l1e8tJ7sT2H9W0fJ+cjBx6hFlgasgga9QYv/Lq7uPXEMVxLIvVIUoQmHQb0W22SNCWRYGtjOBT8HElJ09hvoEeeOrBHCVSQJilSajK+bzy6MEoMcLFdn2yxRKveQIvA7MtSkC8X2TvYo9kboLTF2vo+izMLJMOYNIrINJqkcUqsI9JEESYhri3J5QqEvRCpBGmcoCzIuj5hMmQQBEgLpC3Z3d82+jrbRmORao0UFpbtIixr4mUeTzktjHxJC+MQcD2ParVKJwxpDgIGg5Bud8jW5i46SlhaXCFjuzT39xhogUpshFAkOjbjKNLRmq2J44hOpz2qqKGueWVVOvJAKoaJMdwKhTyZbBbLtqhWKszPz5PNZU2SY6+HwCbra1Jp0W/V6TYPsLTRblpS4rg2Ml/Adhx2d3Zp9dr0el0cUkwKyM3hpoldmkrCKGV2fo5+2EegcVxBFPQpZn0skaK0opjNQyrY2dqZbFIzM7OcOnWKra2tkbduiNImXmysWjXRShgXvwatkJZAWjaMdHDXRMcWvp/FcVKCQX8SptRak6gELUwWkpQm+UIiUWlCEA9NJpUlSEmJSVEiRQqFHrnXlRSoNGaYBEglSOIEy7KRVoE4NhZNt9sFxwLHQtr2KC7PNc9hmtJsthn2esxUargZh93dXQaDPn4+y8zCLPlMjmw+T5hEtDtter02UoOXtVmcWWJlYZmd7V2CMKbV6tBodxmGCVgewtKkWhBrjUCTxhFCaCzAsQRBt8/jDz9K1iuSJhbNdpcwSMmXsqBTjh1d5oEX3M58rcSl8xdZmJ/FtQvGYnkejPlbrEyYxMuNMvFG2cEKiFTK2t4eT1y6gLYlR5YWKdsOvgCHkXZyxLBSNEma0m7us3LoMMu1Mv+3b3oNrUaTvGNCMOevXuXpjQ3mVhY5tLiIk3NAmjI1rgW+LbByHqVCjmEYkXNNsHe8CZmZNM7vvFE3ZDzDxqu1u3fAMIrQtsXFjXU219c5tLTEYm0eV0hmSxVzBtcln82SAMViib1mg+5wwEy5gu04CAW9rvFgK4ERvTP2GI88oVpMCKfSEKeKtY11atUKuUzmuqxkQaoV3X4PJQQLs3NYtsMkxwPzTlRKZbKZzETTM8awHzDoD9HAhUuX6A0HhEmMTlJcIVhZmuHO209jSxMK7nV6DHsDlucXyNgOE3+svLZE3BSx0yC18VTZQuNJCUnM7u6OEbS3WnQbTVytqWZzHJ2bZ5gmdMMhxUoJmcSEccLOXp393T0qlVksaREGKcEgwrJ9HD+Dl81QKhepzVRRJkuK2mwVfJ9Gq8WFq2vEYch8pYZr1fGdDHv7B2BbrG9v4WQ8MkkO1/PwhwNm55dYnJ+j0Qlo7u8zOzfDwvIqjWadTMYjVikZz0XpBGlBEPaQUmHZml63Qbe9hy0iClkb39W4tjaC59E8T2Kj80ljTSwUKBchTQRBJJaZH0ogUomDS6oS4jhAKHA9B40iHZElJSW2b5P3sigkWkuzzslReNcakalU4OSyFAt5olG4OBV6EhUJVUy916beaPL4ufPg2eRKed70rd/CPbecRIpr2rnPH/1UKVrdHq12l3w+TxSnkxJPAoElb/Sfx2jq/S5XtjeYmZunkMvxxFOPGY9oGlOpVen2WjTqBwRLPWrVLM3mDlnHYjDooZQh5Y5rEYYdVNLHkQkzhQLNoEs5l6darHBZCbxcllTDiZMnqJZrnL9yFRC4vscgTomFRb3Xoz7sYZdyHAza9NYH1CplKpk5iBR2JFkozeHZGVqdHvVWnXI+j+94eK7L8RPHCULFhQuXSYaxCc0qiWV5DAYRaxvbxEFIxs1Qzuc4eeQotmURx4qdvTrrW7sMo5TElXjSQuqEbMHHz3u0+j3ytTy3FG7jwU89TCRtbOGgbAdPuLzmFS+jnPWxjaYHYYEH3H/qFKuHDiE8n3aaEglJTpjqDlnPx0KgkpQgjLBsiScss6dogVQwPzvL3v4uQkosy8b38xQrM9iFIr1QUaw5tBt1tEqozM6w39xnmGhKlRmGgwGtKEKohDgc4tSbzNWqBKnE92329gOqtRny+QLdgyYZ2zXSEAeCVpNADYiJSHVijAFlKhtoKVFCE6cax3VJlMQStkleFIZcea6H6zlGhx8EJNqEhebn55DtHpsHbfrdiH47xFEDVBSyvLBI3vUZNDt0ohQVJ2hSk62rBGmajORm0G63JhFCKSWW4xgjOgWdhMQabClJo5iin8V2BYOgT6vZYnEpZhg2QWlUkuA7PioJQEiScIgnYH5+nkzWJGEJ1yO1HDr9PuvrawzCITqNKBczZLO5m1mBgS+C2PUHfeIkYXZhhs6gRRJGuMIhHiakKqXdajM3u8DCwiKbm5s0Gg2iKMZzbU6cOEGhUGBnZ2ciKLx+J7gxoUFPMk4FYiTotG9YV8YlPsaaAKUUKk6vOx8TIahlOdiWTaFQMqLraOxOFdi2RapGgnMpRp4Qk/Gq0hRLS1KdYgsjYB6HbBzPxc34pNKINbXSE+sUrVGpIorCSUKDUoqDgwM832OmNkOxWBwJ6wW+7XJQD1lfv2pc6kLSbDbI+RlyuQxJmtJqNwnCCKUSLMcaeTGtCTERaEIkGdtGKeh2eyQu9NohWtkkyngwHNvh6OEVXvmKF3N4xZQ2mZ+fIZfLICQT7d/zYRSIIFEpw2DI9U5yDbS6Xf7Lf/v/8vDnHqZanSHjecYCnAiyRx8UJtvy8qVL5HN5lucXkAgWZmdNaFLATr3OY0+f4+rWFv1gQDmfIwqjkfZQ4DkOpXwGyJAkITs7uxxeXDRW8c1OaiCOE+NBDiKyhYzRXyjF8WPHqJbL5vuui3F2e326wZDZag0tIA0jZqtVjq2ucunSJa5cvcL8/LzxrIlreiYz5Y23ePzUlDClQDZ3tjl98hRajGjgSDS+tbvD1s4OJ44dw/LckV4RLDXSg448U67rTkJl45qM7XabcqmM3N6m2+lO6jc6loUjbU6cOE6hkDPvCoJ8NmuSONptYs+jls/fqJm62Qc6iuSB8dCgTamSVhAhXJ84TQjjmIX5eRZn5yl4Ho5ysIQg53q0hGTQ7RqJQ7M9EmR3SJOUMIqQSjDs9akePkxBODiJ0R4NRiUBSsUcG1ubtNpNivkCFy9dZPPKVe69626KpRz9wYBMNkOz1yUdJTbMz8/TbjS5cuUK3W6PUrnM4uIiT509i2VLTp48waDTJEkitFCEoUIokxijVEK9sU+/16VcKFKplNHRONNwvC6Y0I7JBIyJIoVSo03CttBKABZaS1QqJ0LxXq+DsAXlWtlkDkqJ5dg3rJ9KCdTYEzzS/Ght5oElGNVSFLgjyQaWNFngyqyxFibbLk0h1CnNVotev2+SzqznV1NKKamVTBmgXq9HGITYGZ+RbHCUjGPMK4Wpw3hwsE8xV2CpOk8YhaBgaXGJI4dWePyxh7l65SJJYrK2q5UySZwSRAG9Xo9+v8vO9ha9XId6vU6r2WR9fZ3FQ0dYX18jSWIqlQqlUpGMlzXeICX47EN/TZqCLS1cN0MY9qnOzhArRZQmuBkfW7j4rsMgCsnksszOz6MLRYIgQvb7OIlFHKeTZ2E7LuVKlWw2A1KSpmpkFAty2TxIQRBEWEIibZtCsUSxXCbSgqsbW3zko3/K5laDKI5xvAxSG2PVtjyEgsZ+i06jA8qMm8OoXEyaUpsp43mfVw5Ja2ytKXoulufSSVIub2zhWhbVXJ5aIYdj2+QLhck6IK1r5UAc1wMVUm826XR7aNEnCGJmZhZ58UtewvLx0/zZJz6BhWYns8Hm5hq5QpluEILoogRYrkO5VsW3bPbWN4nSlN5gyOEjS9x+5y382V/8Kb1ei3r9gCRNUTo1YUuVksm4pPFo3sYmRJvxsviOi217qMREhgwxVUjXZNKmOkFKgevZCGEkDFFs9OhhEHPx0hVwM2Z8hMTPZMnmcqzt7HKwu4nErP2tVmukt09BQpLGJKPI2/Wa/HFlDC2E8SySIkae4Egpsq5PoVBgGA7otru4mQy9Xh8lIOv5OI6H0oo4DnBsh0zWRcgipWqJbDZHLpcjTAWRFjAYMhyYuW9JTTMOGbg3TddunthduHQBx3eoZkrMLcwhNWS9HP1mD6El4TDGH+lRzp9/hl6vj1YJKytHOX36FBcvXKDX6z0r0UFrMSkrcj2pu0HTIZhkpIxr23ieRyGfN5Nz9JmxyFtwvVZO4Pk+J0+epN3u8PjjTyBGwmDLskfLzjX93Vi7FscxaZSO6nAZvYll2wghyWSzuL5HgiGAE48dEEcRcZLQ7fao7+3jSIuTJ05wUK9TrVSZmZ2lWCwQBX2CcEBtdoYz5VvwXIerFy8jNXS7HfYtm5WVQwTBgH6/Syqs0bWabF20RZqY7EXbshFYOI6P67igJUEQIUblKtxMFmlZlCtlXvyi+1lZnDGLPopSKYchw9eT7efTo0EUx3S6XbIZ35BiS0yCf24mi+Xa5DJZXvzA/Zw4cgQ50tekGuzrdGaNeoN2q8Xtd9xhxgpodjqcu3SByLXYbTcJhSLj+yzVZlmqzTJXm8G2bVLjuBp5FCAaZQqnaYpwuVGU8QXQHwzptNsM+n0qtTKlYpFyMc+ZW27B9/1rMSUNKk1ot1tYjoNv21SLJURqiHw+n6fX63Hx4kVDrsLI3OrYQyeEEYXHCUqYEhNRktDudWl1umBZHLTaeK5L1vfp9gZ87rHHiNOYEydOoICDZoP9vT3SNGX1yBFmiqXrRmzkl5QC23EoFgsoKdnY2KDZahmtnJTYwiafy7N6bBUwha8TpSgW8+SLeVqdDs1mk3ImM9FwfjHQAMK8L8MwoNFuUK1U8ZRG2BZexufU6VN06k1jXSeKUs6n4Pt0gwC3CnWMUHqcAQ6QzWbpD4YcP32Ck4srPHDbbZQyHtg27TBh+2CPIE7J+Fn63S75bJY4HCVdSUG/3yOMA/abDbxchrW1q+zs7VEqFlk9chQ90svUajXKZVOTcmVlhW6vA5hstygKsR3JsB9hAeVsiW63Q6/bRQjJ6VOnKeUL1Hf30OkoWUKa90NaJgN1khSWJqQKNDZaWqP3z0brsT4IlMqQ6pQoMjW8lJmEkzExa5aFdV3S10R7LCUg0SkTEbiRoYjJ+ogAUjXRvtmWIJvNUa1W+ELDLhDYUlArl6iWiiNtHsRJOtLQjqQEQCK0Mc4qZUr5CgiwLYtDSyvMz84gUTyWPgwIsjljULTabeZn59ne3mJndxspJUtLixzsHYDWBGHAo48+QmVhnkcee5QgCeh2u5w6dYp8pkCr3jIFrftDqpUalXIFraFQqtId9rn16L285Ou/nr/49Kc4cuQwlWqJp88+RX845PDhI7ipYmPrKkmqIMGUJUGQqJR+f8Da+hprG5sEQWSy5ZMELMFgOOT4yRMsLC0hBAS9HkeOHydXKnLQHfA/PvZJru4eEI8yNT3XwbUECZI0EayvHXDuiafZ29vBlhblXB5Xgkpi0lG1hF5vSK10o/dGqASJwLZswjjhmctXyXhZ1qXFC++4hZmsR3FE7KQwRbJ93zdeXKUpFkrs7O4RxSGO6yEsB9vzGAQR+XyRpeUVus0m3d4Q28tRqS0gvAwHnQAtBQtLi5y89RaiQUAum+PI/DIbFy9hWS5JnDJTm6HfbREMA0NSVYrWKaSKXKaA5SmkX+To6lG6nS79bh/XdomihDBUJGELz3ZZXV0ln89x/sIzhNpUhPCzGQaDIcHoXlKlUVHC5sYu+ZlZ8oUyi9UZFhaXKWYzuFLS79TZWrvMYDgqmzKqC2vezXG5NDOHry+lBlzHVbTJgB2VQcpnDDnrDXokqSKXzTMMQjSaWrlKxvPptlrs7e0C4GeyeL4PliZKI2Rk0Y802vZNtq+Xgf6QKA4Z9ofEYXDT6/BNE7tCKU+YhAzCIYVygWKhyPLcElE/Ig1Tgn6ETgVX19bY2dk11kW1wpkzZ0iSlM3NzUmyw3ijZPT+jx+iHP1PoSakbCwyHicljLV06ai+lu+6k3Toa2EAecPvp2lKq9ViMBiOBg/cUYbcOOHh+npx2WwWx3EIw5hWq4VWkGBKE6gRyROWRI3ElOMJIIWYdMcYp0PbrkeSpsRRRCaTIYljo9ewBZXyDDNzcwyDkJmZWZIwZm9jhyDoYTvXwr6u65LoUVkWx0UIl2EQ4fmu0R1IY+1b0sXzcgRRTBjEWELg2BksaZGkKbVahTOnj5P1bAQaiX6e9LXnx/5Bnd39PXKFHFGckBklbWhMUU3LcTm8ssIrXvISaoU8QmsGYYwloThKitCYjfr0iZPkMlmEMFq3zz36GB/50//Jvf0OmWKR5UOHKGWLOELi2w5LCwtUK1WCQczO7o6ZSxqkMuH+yZjexH2MnR5hEGAJScb38V0P3/PIZzMUCwUYZyaPrtqSkrlqFc/3Rxun8XgkcUIxXzCe2Xodx7JZmJ2jmC8Yn42GVGvqrRb7jSblahXHc2k0muzt7VIol0mF4KDVolapkMlmCJOYZquJ47mkStELBqxvbbJ/sD8pAVLJ57GuC5/rkYfMkpJMNsNBs83B/gHNZsMs1I5DHCRUyhVWlpewbEGnN6Q3HFIul2Hk1anVqqYEj/7i5sYYauSVVKmi3+1RyOexlKafmM4olrBNXar5ebK+a0IaEnzfw5KwvbPN+vo6jUYDARTyeWZmZ4hTxe233srq7Dw5x6XguigJARoJbG9tIYVj9Ei+j8gIDi8tk3U9ZiplnnjqSc4+fY7yTJXTp09z4uRJU6am1WH96lWiJBwZdSFnztxCPp/HcU3yRr/fp9Xcx/Vt5Kho7kJ1fuJ5K5VKOK5Lq9UiSRIc61p24TUPrUIpQ+zUaO4miUbY4zVEYUmjkzPrSIFUJ5OM2vG6h7iWwW/+PcoSFGZOqnQU+UiV0e8xInUTM3a07nFdtGKUYZjP5SaZqV+oHqRkXOvTkM40TdnY3GBufp6874/qQ8IwjhhGkenmIzRCgyNtFmpzuJbNfsNEckqlMnEckc1l6XRa7O5sc+niZRM29FxTT/CgDgI81yNJTEeMK1ev0um3malVyOeLlPIVbj19K+fOPU1+fdOsEVJy7Nhxjp08RXV+nvLMHOu7u7zyla9kEAzY3FzjwsWLzFeWoTzLoFHHsi3m5uZJdUwQxWgESpnQeqPRot5oEicpRuEGQtgEQUi332dxaZnllSV6nQ4vuv8FZIsFzl1e5+mrm4QagjjGtixs28J3PYIkYXtrl1957y8RBD02N9a44/bbue/e+ynmMjRbEWEQEEUW29s7HFqscQP7FhqkRQJoIUm0pBvEBP0O2wdNystzuKMkQGlJ8qO1IwgC+t0O+UKO2fkFuv0uwyDk6NETnDp1K8VymWIpj9aws7fH9u4+2UKObLFCYXaWbhDhuA533Hs31ZkZHn7oc9SWFllYWmZnc5v6fh1BwubG5sjQkcQ6BQuEBVoldDpN3JxLIVck43s4lk05XyIYhqSJea7d1gCVKIb9ITpV2LZDqVomUakxwKVNkhoHUK83II3BzxaQ0kFaDrWZOeYXFjl9/Bi725usXTxHu3lgtK22PVo9BUqLUX08MfnzN1feMMccxxRP73a7ptOF69Hr9RlGGyitGfaHZFwPFSdEUYDtOCbzXEhSwPNdEgH9KEIIh3ylipXJEcSKZn2fIFC06t2bXoNvmtjd96L7uHz1EpGKGAYDmp0GjmUjU8i6BVN0+KDD1tYOjUaDTCbDHXfcQaVS4eLFi+zv749ImnxOBixGOhkhBLa0QRr9nbRNiYlx9uf1tZRM8UlTbZrEDM24ZtT1noYkSdjY2DCbyqiUidYm4+/6YRqnp8/Pz7O8vEyn3+fChYt0Oz1j6domoy3BZKqEYTQR5o8JpVZqtKAGRnchBHEU0W63KZXLpjikI4ktEJZNs9XBz+SwpIMlPTwvR7/Vo5AvkfHHx12ElKwsrxAqhdIOgzAkV8iTpglhFCBShW15SOHgOJI4BCkcXMe0Y/ELWWrVKqViDikE1iRUZK5eCzEOfv+N86DV7pCmyrRRsa6R7lTAMIoZBCGVcomFag1HGBf63v4+3X6XF5w5M9KQCYr5HIVcbqK5UxKyhRynT59m9fBh6s02p46usu/tsXl1jVazSblQQo50jFfX1gnDmGLGpZDN4mX0qN7UF4c4SXAcB8e2qYzKjaweOUo+k8WW43ITI02bEBSyWaOVEuBIgStslGVTrVSozs5MpAZHDh2iWiyZpBKlUUIgXRdtW8QCU/NMwKX1NZaWllleOWQ27jgmTFO6wwGFfJFCIW9KEvSNEbG0vMxwOCROk2uEYdTiCfMo0VrTanY4qDfo9XtkM1mGsSl1IhTMzNSoVMsIIJPJYLsOtmPT7LS4cvUqZ06cmixqXzQEo8QPTT6T5cShoySWxVqjzuXtHSxpKrLHSUIm42NLSXMQICyJ7Toobbx1wXDIYDAgDAJmZ2YolUr0+wP6nQ5BrkAnTSnnZlEIkjhm0OlS9LOkqcbyMihMjcfNrQ1EqjgoFE2Xl9RoZnv9Pv1en9MnTzLIFynl8zz86MO0220KhTwnT5wgCEP29nfZ2tog6JhM/iACW2h8O4Pn+sR903bJ9320Nhnskms1M01UQKN1yiR3yLw1gB6JsTX1+h5RmCKEM2qR5FMqFXB9k2SRjrJi7VFdRKUVSplQVJKYunzD0TNL05R8Pk+pVMayTLhtrDMVWt2w5o2NIwSTjMcwjL7gOnD9bYz/3QuGnHv6LKVKmZxnSudoNI12k/NXL5uWZHMLeNI23nvbRacJzXqTSrnK7bffwf7BHn4my8bmBosLi8zNzqITjU0bhEnyMmu+5pnz5wm0ZnFhgfwwR6mQQ+mEpaV5cpkszeYBg0EX23Zot1Pa7QpaJWQ8H8e2OHZ0lVQI/teffpS/+tSnWZyf5+Tx0xys7xInCi/jMQwjZucqpqgtECUJYRSTahNelraNSmHcAaHd6WFZDq7nYbsuR44f4+5776AXhXz6ocdodAfo1GQ8W1IgbAfHy9Lq7FHJZwgGMdnKPNWsx0ypSDbj4Xg2miFBENDrGWnD57+bsRDEQjDUmgQ4tHqMs2fP0251eOSRJ5jL3o0UpkSYwHihem0jdWi3NYMw4ujRw1htn1yaUqrWyOQLBFGMn/HwfA/bdcjkc6Ro6p02W3s7JFHAd37bP+SW207z2cef4sr2BrccPcnZCxeI0hRHOmyubRBFEdWZEqViljgYsHFljUGni0410oZytYSf86k3Gwz6Q6JBjFaQyeQoFqoIaRMlCVfW1kmSmNpsFctyyOQKpKkin3fJ56rs7OwRDAVZ3+PMmTuILZtHz57jsceeYGXlEC9+4G72d7dBmESOTDZHt9XGkpCkybVKG+N5ft06eKOnfLRXmp5lSK0ZDPrs7OxQKldACxr1JmEaYzsOEkmtWjWhWy0YDCO0DMkWylieT65Qojcc0hz0ma3MsHrrGfb3Guw02vR3dklS6Aye3TXk+XDTxG5uaZ5BPCBMhwwGfZI4Rtpmwen1ugSdiMZBm73dPaQU3HLLLdx15100GnW2tjYZDAbPYrufj3EodlzI0nZtU1MmSSfetHGIVSlFJpslm/EJgwBFOtKMaJB6EpoYa06SJJnUnlFqVFIAjdkPr7Fyy7IoFAqkWjEMAyzXwc2YitFeNoOUFlEUjUqQhCORp4VKTVg2TRLTBgZBbtSa6ODggDiODavXikF/QK1awvOy9JttLFuRJpJmvUupUGPYGaCUpNnsMhzGSOGQzeWZmV3Acn0Wl4+yvrmJ5drEcUgcRSzMzhINBmxubKAAx/bw3SxSWNhCUq1WKRYLWPJaJquYlDYRZjO+iY08CEMsx+bQ4cM4jrF0Rk4pWr0+O3t7eL5tSiiYMxPFMY12exKyNdu+GCfITsLoh1dXkb7HrcdPYzSQNlF3yKONBu12F7QijmJ6/T57e3v0+kPKGVO/z54URv1Cc2xyxya0HEZUiuVREWjjJT22ehTPvb7ch2NKUUxOr7G0IGO5oxCtMuEl2x61oZIcXlnBsU3LoPFzzedyVLRmr9nA8XykgGa7y9KKyc60hc3W7h69K1fpdju8+EUvwnMdHMel3myQz2ZZXT1GqjUqjSfeuutri0khSdKEer3OhfPnGQ6HhGGIFtJsNo5kZWXFaEUwc3fS3zmbo1wuEYZD+CKEujc+4NHzHS2OedcnAjxhkfMy+At5zl+4RByGHDQa1GZrtPpdlBQUSkUEalIXbjAYcGhlhTtuv512p8MrXnqEpflFMo6DrRSBMCGdvYMDiGJmKxUc12NursowSXj4sSfY2d42lnKasrC8RHFnCy/jkaYpc/NzHF5awpaSre1tHn38EXK5HHfeeSeHl5Y4d/EicRQRBuEow9EijmK0hChSWJZHs9dFpYJSyRRMV0rhSGuy9pguHmpUhoGRFmjcqsiEc8IoYW1tjV5vONLYaSxLkM9nWViaI5P1SFLj4XFdb9IL19S5s4migEb9gIODA3r9HkmcUCgUWFpapliq4jiu8RAC2hp3RbkmYdHXMc4wCnnmmWc4tbL0BWswjp39hsdrojA0JWJc91p2ktBksznKtSpBEtMLB1h+ERuBazkgLVYPrzI7N0OjfcATTzzKwcE+Dz35IG/+jjczV5uj3WzTFqZ2ahAEOK6DGhXcvbtW5Y477mBjZ5N+t01/MKTRPKBR38PPOERJQBgFOJbNE08+yvLKIYR0OXj8SRYPHSZMUw4tLfOt3/QtHDt2lE47YvfKDn4mwzAICHd3OX5ylYWFJTTCaGx7fcTIq+M4rulzjkn0E0Jy97338OTT54xmrd9jv9UhGAY8feEiwrJQSYoSGiyLYRhj9ULmZ+d59dffx+J8Bc+16fT6hAqeOHcBN+OjRY9hEBBFRgebJqnJ6tbG7zqUFj2l2OkP+OxTz9DphTxz4RlcLak5mn5/gBSSdrs9aVOXpAl33HEHDz40IEk1wnaIR3rBJ586x/rmHi+4/35SFZPL+/QHPSq1MrlSkZ39XXbrB/yDb3oNp285yeb+PmcvXiJXLhKqhEFvQK8/IJsYvZvn+7ieR6lcgjRLv9MxCekWOFmXQqXAodUjWLbD7u4BVy5cJUwibKWI0tS00FQKP5MhXyri+j6ul2NxaZmZ2iyf+cxn6XUHDAYKIX16vZDHHj/L8uoxPD9LFJhQbbszYGVlgWfOPUGpUuWWM7cSD01/ahKJ1NazHE9wjcxNJA9SYglTnsQUd5YksZmffhSRpopYp0RJjEYyHAaAIIpiBJJ8vsDyocPMzM8jLIt+mLDfaJNYFpWlBWpL8+x3hyjH5cwdd6GjiN7gkze9DN80sfvvH/594jSiWMlTKObxXZ9hOCQeRhBJomFCp9NmMOizuLDC0SNHSNKU3d1dOp3uJDSZpgnjBtcTK3Lk7lTaaAhUqiiWi3gZj3qjThiFk5Za44fc7/dHYdK80blpjZQmCzHV6YRE2o7DOA3xWmg2GfVfG3nbrtsYx/q6/b192r0eGT+DSjWe71OrztBum6KWliVRWpMm8URnlyamBQujOD8IFhYWePSRR1DKeLksz6XdaYOWOLZnsqS294nDlCQVFIpVGnsHbKzvEIUhw2GI5XgI4VA/aHH0xAlqM3Osb+1i2y4nTpykWi2zODvLX33yL7l88bKpJ+j5+F7G9KgUptvDzMzMpN2PGLOxie7tC5M6oxWyyGR8CsX8qCL+tZeg2+/T7nSpWPlR6ZkEKS0y2QyW5dywgYjR8x7XHUotyBTynM4cJ285oMyZi7kc97zgHo4cWubs0xcnoa9hENBudzhUG+nMrrt8fU3KN/JCjneg8UENI71TEASm/MWo0GWlVKJYKEx0SN1uj1xeYo0qWY1m7ORUQhjvnVaacVHpTCZLtVIBbfR/lm2hhCBOU8I4xs/myORy7OxsM4xCrq6vs7CwQKlYIIwjDhpNBv0exVyejOfS6nZRacrSwpIxfIS4wVNy3Ztk/paSbtfU4RKY9j+x0iRhwszsDGfOnMIetRC75r/RuLbDkZUjkN58hfPnwuSd0hK0QiKIBgHteoOZxRV816PdbNEPhiSA9Byk6yBth2hERKNRv9h77rmX46urrG9ucWxlhZxtE6tRP0qhOWg3saXk1uPH6ScpludRb3UoFHOUS0VmZ2fpdTpYI3lEuVrl0KHDZHIZVg8f5umzzzBbrbK/f8Di4hJHV1exbYuzFy5g2zZ33Hknu3u7BEGM65iyJNKSlIo18vkyF9tXcBzTvzWOkxu62DB+DiMN2/VPSI8SioSGJDaNxaMwJE1NJ4M0TRgMevT6bTJZj06nDTCRevi+Ty6XI5cvYtkmIzBNk9G8NZrCnZ0dUiWo1mYmayxCTrKyx9xOjZI8xhKWp59+hgduv53lpbmbH/PRPS8tLRkDfOT9t0fHc/kcmVyeQTDEkjYVNw+Yd9V2HGYyM1TLZQSKjY01hr0Ou3s7BIMA3/fIZjM0mw0ajbrpkSwl5VKJo0eOUiwWsQ92TPQiDGi16xSyORApriOQ0saxHBqNJk8++RhXr24RJopOt8ctt93Oa//eN5LJeDhScvHyFv1Gn61Ll9jd3eSBBx7g3ntfQCZrwtNI01M0k8ma7grSpTcw4TMhBVJaFAs5ZmZmuLJ+lUIhj5Y2n/rMZ01kRQCYrixhHKF6fYJUcMetp7j39hM4I2XFTLXEXruPZdvkSwWEbKDSlCAYsrGxSRRFZO0soEmBAfDk2lX+4E//jGY/YHfngGGzwz/+h/+I1bkKpWKRRrNFHMeTZLBs1nTZieKUFNPFwbJtWu0OQRQhen22d3b5sz//c2rVCvlClnwxx+nbb+MvH3qQpeVFXvu6v0en0+bhRx/jnnvv4nWv+QauXNji4x/+E1qtNqlOcCxwPRM6b7ZbWDrFciw83yWVihRFq9tGbm+RyeXxMj7lmRpbG9vEWhHEEYlWZp0VFidOnyJVCfvNJrnCgFwuIZMt4Psl6vUBruNTLNRwXY9isUymUiVC02i2+dDv/D6O1NT3tlicnaFaq/GiF30dV69c5Ny5p0hUAp/XPeX6sOyk3zwjb7ylUInxdqej1qkqVcbzncSmTIul6PUGDPoDpBZ4nketOsuxYyfxczk6gyE7+3s0Oz0O33YrK8eOEAuItMLLF9Cpws97lGdu/n28+QLFc1WkJcgXclSqplDq5YuX6YQ94oEi7iV0hl0Wlua549ZbmZ+dob63x+VLl2i3WyPyo0GJUd2dkQZOY9qpaEWsYkIdo0SKcmGYBoQ6AgekKydeNSElvu+TzWbodNskaWwSCkbFNbXSRIlC2hYz84uEQUi70yYVpu5cqhWJTkzGmLQRUpr6d6kiUdAPQtCgU8j5OYbdIVnHx7Mcwn4f17IolArshD0QKVE4NCUelKn4r7TGt12ioWkztH9wYDK2KmWEJcnms/R6XS5cvkJ3EJKmpl2B7WUJlKY3DMg6kjSJkDLFcQS27+EWKtz5wNdz5NhR9rpD9va3OXLyJHO1Ko9+9kGefOpJ0ApPmp51lucTS5tKIcuRw8vcfesJ3OvnrLhGDa79//NDANlMllw+C8LoZ0zdLU1qaR5/+ikSUpSw+OzjZ3HtDEfnZinmC5w4cmjk2Rsr1oyweqASsC0GQYTnubi2yfBMkhgLyWK5yFwpT5oa4mEJSRpHdNOYK2trnF5dwRKGvvWHQzzHFI8dX7G+noShJy47rUxvwF5vyJXLa/S6PUQKvuXgWiNfhVakcUQ4tLAz2YnwfHw+yVhGYKQEju0gMO2rXMdGoWn0e3ieZ7qlSEk2m0HHEb1hjyubVxmqgH484OL6GpVCCakFnW4Hz7FNKANwfJ+yY9Hudtm9usPi0hKVbP7amBmh6sSYERiPWdDpE3YGWIkgCEJy2Qxf96IXcOjo4iQDtx8FSMuUN7ABWwgs+9nFp8d4lj9U6xtI7rV5NNJvakMoKvkis8UaxxcX8VPYvHiZUj5PmiRYSEp+BikE280G7X6fk7feTpoqCpUqT19d58jCvPEyKvP+j/sS13J5rIKFdByKmALZjXaIUh7FbJZyNk9jr84gjGivXWW/fgBSsHr0KK60yBWKrG3ssLW1y/33fx1Xrl5iY2Mdz7M5fvwYu3u7tFttbMsBbaGU6U3suWXiUDLoG6PTGhU1ty3L6IRHlfwnY6LlyKACwTixwkxHnSTINMbSCSYdVeA6xjoJ+j0ECkuapLFgGGJZCcEgoN3qoPUOCIFtWVi2ZTqHjAy1YDCgPxhie71RY3JrIikYJz8k6UjDJ8GSCpWktLoBZ69cZmFxFmsyx28MR1030JN/lgtFCrn86P0xxocEKm7GmEWuSzOBXpLgucb4bnSbtLtNFqszzGUKnF48zpHqAt1ui8trlynm89i2JE76/PVnP41juQgFIpE4lsel85e4vLbG4qEFTp05zaWLT9Nr19nf67K/vYVUKRnXJYpC0iRka+MShw8plHR55OGH6HS6NOtN7nvBvSzOz0CaMDdTpl3PEO/ElEsF8q7Lg595kJe+8AG00Liei+u7uJ6H6xdodIZoy0baDtL1OX9+nZ3tPfbW93jBN91Jv9PjmfMXScVYlG9hCwcSiRIpmZLD4lwNRzJpi6g1Zi3UFp5bQCJxpEW33Wd9fZt+f0jW93BGBaz7qebSxgH1VkS2VEOlTfqtNgvlHLcdO0zZd9heWycdDlFhRBLGVBdm2T1oMkwSFucX8XJ5XnjLLTzxxBNcuHSRTDHD1v4mwlXMz9c4efIoC/MLbOzuUa8f8OJXvpJWAusHbV76spcwU8jjC8nA2eWWxSXUdpM46DA3X2HpyDznLz3F5vY2vgPEIQkhwnLJ5jLkSjlmZuZItGBvr8HufoNhEtPa28V3exSrFSzp0G612TvYZzgY0Gh0GXRNpBAhsSwfhE2iLUrlWV7+yhejbIF2HPabfZ584izrF9aIgw6njx8m4+Uo5H1mKmX29rdHbcnA0hIxqp1nHA9jDb5GSBMRFEKipUZYJlNdKLNuphqiZEgQ9+kHEZ6XIY4iUsdGpwmW7ZDLZbGkpNNuEySp6f0bx2QqFVZPnqJUKlFvD1BS4vg+e1fXOb60xOLszPOuy5+PmyZ2KysraG168hUKBXZ3dtjbPSAIA/rtITKykLbk0KFDlApFBr0ua2tXaTbrpEliiNJkgbjWX1COKpmmoxCX2fo1rX7bhLd8UxBSIEclSCxsyyZfrXL06FGeeupR1DDGcowWJRll+cVxgrASBoEJVUppGRc0RpM0roQukNiWQ5IoVKoJo5h+b2Da72BTKhTpdbqEw5D19hp7e3vMzMxQKOQYRkVaB3VcxyaNR02EpYVKTc8+S1h02l2CIML1M3Q6XeI0pVqrEEYxvcGA2swijptj7eomftaUN0EKfM8jUDFCGsvG9T2E7XB5fQsrkwPbBssCaXHumWf45Kf+ksGwb3oE6pEnZlQewc9mkEJTzJh+sNd0UDcu0DejqDJZw6ZultaMKqdDpBO2D7bRlmB2YYFBkpJYRk/m+z55/8YyJBqTUHDQbpErl2h12izWZrAtSRCGXLp4gWNHjlDI5XCFJLVhtlw0vSxHIdIwHI53StIk4eBgn1wuT61SnfTX/HwIRoWvkaRK02p1TUXwVOFYFoVsdtIkXgoj3LdHfTfHns2JN1CPegKGAb1uFymkKTJpj8vzmMLVJrHHeCtznm+STELJoN/l8JEVbj19G9VSjbNPPE04HLK/fwCk/O7/+B+88uVfz/zcLLYtiJsxw8GAtStXEMsrVOay17zNXLMsdZoy7A8IBkPCYUAyDNFxzMnbbuWBF9xDMedfNwqMDC1jZJnMZXGtu8UXwI16La45gCdP21yX7/ssLSxS8FxmikV81yUYDJBKMeh2qRTySCBVKbbnUqrWaDbb7NabnD/7FIuvfrXJnO52yRZyJvtXmVCvJSAR18LsuazPQavJ5UuXsITk6OEjdIIBW3vb1Ot1Go0Ge7v77Gzv0uuZNkn1gzryscfZ3Fgnl8/Q7w341Cc/RbPVJBgOcISNSlOkdFHSIpcpMRxEBEEw6cwxFodLxA1k6JrhJJFiXGZCjjLwUnqdDuFwiE4TE8IWAqFNhqOX9XFdnzAKR0Twul7aChDaRAu0MqRw1GpRKU0oQrpXLmNtbOB5Hq7nkcvlqFYqpoiqMCTTsi0UClua9zmMFOcvX+brXnAPOe/5Sf61UTa/5zgOk0IcI+e8BlxpU/KzDDUUcgWe2VlH26bt4UZ9nzQc0m40Kd5yG3nbJYyGHDm6SrVWIZ/xaO7XOdtrEfYjyoWq6XFcrZEvFOn3+5SyFWrVGqNKwdfVL9XYAmaqVYIgIEkThEjQOqBcKhMmiqtXLrO6epx+f8D5C5d5+MEHOXn6JLXZF/HoU4/w2Yc/y+b6Ve44c6tJSlApQpr5bNs22UwW1/eJlCTRRoP8e7/739nb2eFbv/VbqBRmePCvHqbfC9DC7AtSGvKfKoWlNcVijqOrizDqwsRI9uG5FrlsDtfxcR2PiAFhmNCot9nY2mNupkqqFQrz3t55623kKwts7jXpNzosF3Iszc1SyPp02y067Q5pFCM0RFFMt9dnv15nGIcsLi+xcvgIswvzWM88zfLhQ5w+fZrN7S0GwYD9/X1UmrCytMAT585y5PAhjhw5zPrGlpF4CIlKErSQnDi0xPB4h4ONfYLARcmUbr9HMgoBR1GCjkPCYIjQinzRZOg6to1KDJkaDAOCOMHLZllcPMzdd97HY597nFazS7PRplQoUCrYhEHMQb3OyuEj+JkC1fk5+oOIoydPMLc4y6HjKwxSzUc/9lcsLx7Glw6dBqgEzp17msMr88zPVtne2Z5kDJMqJhsLxmBOR5EiYXxHo7ltwibjaho4pnyWIsXzXbS0sN0MlrBwHRffc7Gkhec6CAmtdhMx6JPaDr0wQLsO+406ynbJl8qsHj9MGMT06g2EJSkXy194QR7hpoldfa8BQDiISMKUOEyxhY1Qkn63T0ZmOXbkGKtHV0kGEfutJrvb2wTB8Jq3bmTFm9R+w3aFLRCOiVe7totOBdoC27ZAjipgS5Nh5mYzeLZLHCdEScT+wT5REqGlsX6VMgOQpglKpQRBwNNPP02pWOTI4cOkqam3N2lfpo3eTmBeNIEkCmKajZZZCG130nxcCDEp12LaiyQcO3aMKwradRMOiqPE9P5LU9CCTD7HQb0+Eqc7DIYhQRiQy+c4tHKIW++osrS8iuXk+B8f/kO21jYIHZs4SQmiiDCO0SrFThJ8aXQ8p06d5Or6BhfPn+fQkWVarSaPPfYInVYLnSRo2zZWOBIhFLmsR7mUJ+N7z0nmnuc/nxdKJezsbGM7NonW2EKMalbZWI5Nbzjg0OHD3HPXPUagOxhS9FzMtnYt/Csw+qJup0uKoFoq44w8ZTpJmKvWKI56v469ZM6osbVQKQJN1jVFN20Ay2JmFHIyfYCfRx90XSf7NFU0W02U0uSyWfLZnAnDjhbWNDWh9UnCjh7lTonrqYsJc9937730hkMe+uxnTdhNaywhqBWLJsQ10mNYQoC0CVPNsNPlnrvvZmVuiSRRFAsFrtTrBFFIp9OkZbcIk5juYEAhl6VaKuNZksKoG8T1uLG2mWK/cUCYRCMdqaCQz/OSF72Iw4tz2KPnrxHk3MzoPvQ1xeVNkrrJd3Md2bjmHr0BwyDkwoWL5HO3I2xBqZQ3faKlwPeNTqk36NMbDnB8jytXL3No6RDbGxscbG2jo8h4IZMIX2dxkNhS4DDqd6pNizqtFVorPNthplLGwcHyfNrBgHPPnKVSKtPtdknjhPW1dXLZPLOzc8zNzNJuNUwrp3aDODHh0YWFedJikWGnR6PRolotk8/mTDJHr0MURRNip0Yh4rG85PqsOhh7u4x8QYzkKOP1ZKy7G39uEp1AEEcxSZxMHuv1bRI1Y3nstRZP4+xZPdK4JWFEt9UkjmI8z2Unl8NxXCxbkMn6FEtFIyHIZvD8DAi4dOE8V9eucubEicm5r09c+4Lrx+ilHb+7piapaQnV7feJbJd6o07ecTh19DRPfO5B/vRTf8aZUyc5f+FpwjSg12txcvUo1Zkaru9hCwfLlvhuhtUTR6nMz1NZnOfw6iqFYp4nnn4USwjCYMjO9jaWLfm6r/s6zpy5ld29fZ4+f56tzQ0G/T7IBjMz8xw0umysXWJz/QrtVgvP9Wi26/SDAYsri8zMzzG/uIiXzRhd3ehOLWkyYLN5zeLiMhs7e4Sj0jxRGNLrdJHAQw8+xDOXzlMoFbEFE31zjCZMIkIdUagWyZeyKExpJDF6brYUZDIOfsYz9Q5HLfr6/YA/+Z+foFzIcWx1BZTCRTFfzuLns9hOhmHrJMeXZllZmmc4DDmoNzioN+gPg1GinGYw7NNsNcj4HsNh34S5fZdsNs8L7rufKIpotttYcrT3xREPPfQgjz/6OU7deQed5gEH+zt86ze/Ft8WZBwb15LgpzyzvkZgCXKVArs76/TDDmmamE4NxOg4ZjAYQpqg6grbdxC2hRY2/cGAVKUsLB/m1tvv4tDyUWxc6o1PoZSFSm2K+Rqdzg4IiZfJcdudd3H0xCkeeeIp9g5anLn7No6fOszKoVm2Gn2yuSzV0gJ536PuSYbdOp12k7mZCtvb22xtbRHFIVJYaKFHtfbUpPwaI8NeThIzr3USktLCc32Ehm6nZ8qxZTNYjkBLh6yfY26mxuLSMnEUUSoUTHZwFDEYDmgGIbudFtlqmcV2m8XDRygUPMLYJLoV776TuNuj127/TcvwDbhpYucI2wjFo5SgF5B1M9x2+jYef/xxSAXLy4dYXFzGEpJhFNKs12k2G6hRD1czY5VhuuO/LdC2RNggbdNm2cZBmyxgxnXbGBXPzeVzVMtVmo0muzu79Pt94iQCNEqNPHVpgkJhORa249AbaXYsa9yG7NomKIVEKFO8Uri+YewIoiAiCqNRSy89CasMh6ZcSqvVYvjMkNnOLGmcYFkOWS9LJ+0SJwlKafxcFs/LsLu3j+dm0EjCKKLT7Zn0aikQtselS1foD2OiKDa9H5XGsh3CVBm/prBIlall1Wo2aRzsM+z3UGnC3OwM61evUN/fN6HL0aYWRuGon0xKbabECx94AYfnZ3C+yKzR50p2aTRadHs9mp0OzW6XmUIeLSUxCUePH+XO+h3UWw0ee+JxZBRz54nj3Hny5LOJCGYzCoYBSMnSzAwSE0LMej4FPzNyehkiJoXAc2wytoNIFdVKiWNHDplkGW2KCOezpiaf1s/NSyZ3I4wmLtWKQRAgtKZcKlEqlcjlcpPftaSFcMbapDEd1SNvxLXQlNTguy6zM7NUymUyo2sXgH9dn9XrFYnddouw12d5Zh6ShEsXLlOvt+l3e2g0uXyeF9x7L9VqlY2NDW45dpxSLk8lmzNlJj4v0WVMaAF6vR5r62uEccgwHDIMIk4eWuHUiVWTOTy5n1EHuetDbV9oUlzn5RtjnJRkWdZ1/sxrnzcGlKLX63F1fRPLEpw6eYLq3Ay2FMxWygRhyBNPPEagYvZ2digUythSsrO+weLMrAm5akG5XEGPwk+THG5tCk5oIBkVDHWlxanVVXKeh7IkzWHAQw+VRs3fG9RqsyRJwtEjR9nZ2cX1PfrdNlub68zMzKBTzdLCEsdWj5KmCZvr6wTDiFqtSjQMKRQKtA/2zLiOSpqoUcFjccP8uDbpxgalZdmA8Tqb+njRDbq86719WimSNLomIXjWeKhR6J1J3UrTa1aNoiQRlrTI2jaMqwrEMcPhEIWi24JWo24C2wJs18HzPEqlEg/+1Wc4fvjwJMP3Ri/kFwcNxEnM2tYGCMH53R06zRYvOXM7GS/L8vIhHnvyr3lq+wrr9S3iXp+9nU36vQ4LtSqO7xCmIQkRrp2BNKXVqRPKhFCF9IM+s3NVBv0+rVaTMByS9zIcPnyIdrtFr9fF97yJ16XbbTGIIrxMgSBo0Wp06La7HDl6lKtXN8gW8tx66xls22JpZZnHHn2MB15wP3GSkqaKdCSYH/SH5CuzE62w1qbXp2NZ/P7v/B7FUpHyTBnfdkjj2IT4lOnckegEadtkClmEbZloEmCNjCwT3s6zsrLIlQtX6bbaRCEMhjGf/vRDrCzNc+TwMpYl8EbvdCdJECrh1LHjlH2PT33qEfY3rnBsZZ5ud0AQRGgtsBybw0ePsnVwQHfYZWd3h0OHDqHRfMM3/D1WV4/wX/8/H2RjfQutEtZVQr/TxBKKYRxR39tCuhYnjq+ymPNMBQug3u3zuUfPcuKOMziFIpefepgwiaiVS/hOnjjoMugEpGFElCgSFZAr5JmZnac3GNLpt+h0uxw7foIzd9/PwvIqYT/mzz72FwxD0PiAR3+YkCjBIAy56/6ThCplbWeLeq9DfqbC7fedoVb0iTHZ2rZrk83kKB49RthrsrNxhfrBAQ/3W6h0aKQyQhMMh2YUpCl7gjZeeCExNVulmFgrY8NLpabdn2UZHW8QBAjbJtUWWgrcske5WqVcq/3/WPuvH8myPM8T+5yr7zVt5ubaPbROnZWZpau6q6t6eoYzs7skSIALkg984N/Cd4IPBJfAEiAILBdYzuzObPdMV3XXdOnUmREZOlxL02ZXq8OHY+4RkZVVld3DC3h6uoeZudm9557zO9/fVyCLHEtTLdtkHj2a5imaaXDh0iWu37zBcqfJLCnICslCt4m33ObJF0/pLK987fvtaxd2k/6ENM3IspR6o0Gz0cRxbAxM1pfXuXn9FnmUEQYB0/GE7e1t0jiiLDLlFyHmKkih8kilVlLqJaUidyBEQVEqg0CBwDQNtaZLSZonZIlSG+Z5TjALKMq5wlXmCq0TKgvW1AyqdZW1JjSdJFO718PDw/NgY13XlZktygPKsR0QKpuymBMf1WKlAu+B86LQcVQbK00z+v0BWgkmOk7DJYoSRXwuJZphECcJmqYjdMXj0wwd07IIk4TJZIrjNbi0cpELtTZZUjLqDTE0DbdaI499KAw0IeftkRgrTzg5PQJN5803XwdZEoahQlsEmIYyK1XKGxCioNWscfvWNRYbFYyz3eA/Ym5Wih/1hChOOT45OW8XT/wZB3u7XLl+lZiC/mBAHIUsXb3Be2++DUlKHvhzPyy1wCNUmzgpS9KinIdnn9mmoMQimsB27Jdbt1JlGdaqFUxdw9B0bNOcFynznEbmC+qXPqDaHjxHpEDtM9IsYzwZI8uSqldBIHBt67woQyhvxeIrFrTniIoypX7w4CGTyYR2s6WMgDV9joK90Lqdc/RKKQl9H61UFi2abjDqD5iMZuiaQBYlt2/d4u3XXgcpyaIYWRboGOdF3e+VT3NERUrJaDRiMB4idaFQgSymWq/iei55KTG150beSHmubnyhBvnaR5zEnJycYFkWS4tLzw3Dzw/1t4IgUAbmSUy326Zeq9DpLpCXJbmAOM+YTEYESYSt67z16qvsb++hlSXffPtt2jWPEphGKZplkKQJVdub43Xzv6QpDq2GwLEtOl4FS9eIpaTMMzzHYbm7yGw8IYti2u02jWqVu8efs7i8wHDQY7G7MOc3qfb7cDBUSvlKjRs3m7RaXZWbifLMkqXEMA2QaqHXddCK576YL1Ziz4s3xRMUUs01YRCc//uLmykppYoonEe0fdkw9bw1NL9kz/1AOVfB6gJFFpZnz1Xz5llcmNAEMi/OjZTLDKI8Jwp8nj17SpIk53Pff84hgJ29XaIwZPnKFba2d8CySQVkUjIJAj7+4j754wLXsbi5us7pySHHpycc7O3QOz7C0iwqTgWpCcIkZ9zz0UcV3uw0WF7qsrjY4emjL0jTGDlHRXd2ngH63Lw2oz2P7jvu9xlN+tSF5NifUKYSEwOtzJmMBuzsbiuKhT8jT1KVmDJXSBe52jzatsNkMqWztIEmdOaeW9iWxUJngdCfYuk6tm6oMYFyaChQ4IbUJM12A80UjPyYZltxEdVmS/FdL2wsMSskXs1B6PKco2fZFr3ekCzP0XRTdU6EIApi0ihhaXGZaDjiwf0nFMGIW1cuI9EU5UnTSNOcSrVKtV5D7x8TxyHDUZ9LV6+wsbFOlqX0+0OSOIWyYKndYKFRZX/3GZ1mDcfUSMMJF1cXMTW1cds+OuKnf/cP6KbLe9/8LncfPGASTslEThAG1LttLMNkkmYg1SasABrNDkUhiJKMohCsrl3g2rVbfPHwGb/98AGNaosolVy4ch2ZlYSBT2804uDoFK/qkuaSZ9s7HA76DCYzvv29H9IbTGhWHZKsYDCZMQsi6p5GtVZBSvBnAb4fkqeC9fUF1lYWuH/3LnmeqgtU6Ij5eqXyklFZ83PRpCpj5bkgME4ShEzQdA3bsufcYnBdj053kaws2drbU1QcVGpUlBVkmqAQAs+r4rlVWo0mZSkYD6bs7uyqTasU9I96vweO/LHjaz+yUWlCRbWnirJgOprhC59rl65RloKnT56h5VBEKaPTPoE/A0qKIoXSUAXOHIWTlKrAQ4LQELqlAquzhFIW2LaFbZgYQvkqpWlKnEbIqcT3fYpMtXb1M4NOXcOwVGgxQsOrVrBtF03oxHFOMPPJ5iq7snzephASdHSQig9n6tZ5JBOFJCkypCwVd2RutXI2+eZ5QTyeYAqDulebmxJaytPKMGk120zGE7KixLIt4iTDmsPGYeAz6A9Bc2gvrHLan+K6Hrdvv8LxwQF5EpElGoZtI/NkLibIse35+88KXNdhPB5QZCnNep0yDtCKnDLNiNMUWWaUZUqrVaVdd7F07XmL7R/RajtbTIqi4Ne//i2ffvoZhmlguw6zMOCk32P54gYP95/SOz2hf3LCeH3A/t4uFnCh21W8IgkSQSFVG+J0OuXo5JTDXp8b11vnLSXDttDP2rtwzgnUUDeVaZkIXSOKA9X6UL0pEH84JeH5x5bnHx8hSHNlnXJupQPPUSCeF3f6XCX1XPgx36nJEg2wTJOrly+TFSUPv7hPxXrOS3oRB1O8RPUeppMRQlN5sI5hYGg6k+GQLM+oVyt8++1v4GgGuq5x8+o1XNNS5taBT8X1sOdmz1++TlmWsbW9he/7hHFMlETolsnC8gJ+lmI4BuW8LX6Gr523DF96wT80RFSb+ewcmKZJu90+RzW/4tEIYHGxy+loimUYrCwoErAhNI5HA7YP9xmHPtFsim3ZuK6NZ1iE0xnfePMNbl69hACKLCOLYnRpMuj3aK+soYhhauzkUtEx/OmMTrOJpak2vcxzHn7xBdHMp3dyShJG9E/73Lh6nScPH9NuNpiNJ4Ck0aiTpTlRGNHtdOl2F8nyDM+xaLXbzGYR3c4CmhCEYTTvBOiUMkHTBJpUXnEvn8fnJ0bT5ok3skAIgygKz1t4LyJ2z7+/8Owz/t1L41ONK0F5/njmGz14uW17/iQpzsf5GdJ3xu0SJQhdqWM91z2f9/6UVdXXOeq1OgGK3nD16hVMoVPXDPw05d7TZ4z8iLAIWep26A2H9EdDiizG0iTCFOi6wHB0NEu14J3SwnQtHFfFMx0e7CkwoSxpNZuQK0sPy9IJAp+0KKhUPCzDwLJ0ZJkyHZ8iC6h7TXQJmiwok5hmtcL1K5e498V9DE1ncWER01RuA1IyN4ZXEY6apqNpaq2iyLGFrmglikisirpCXQcJKvvc0KEQNJsNVpY6SJlT8KLHgPK5c2yDer1KpWojtJyCQiUNaYKV1VUM01BCKKlxOpryy998SJjpHOyfUs58+qdDFhsummFRomGYFiTKseDJ0ydEUYg5t82K44hOp8PJSY/jk54yY84L1laXubi2hK2XpOGYXKbYhsSf9FlcaBMXGY8fP+E//PRnNFsLfPtb77K9s8VgeITXqBCFY/rDPtFsTB6HgMBxPOqtDlFeEgQZDx4+xfYqVGtNBCbjcUDgx1y9cpNhf0yt3uDK5Wucnp5yc/lVkijilz//OTN/TF5KdNvCNCyuXL7MpQsX2D/okUQps2TGcOqzsLhKo9HhZHeL4XBAUZS4ToWbNy7SbrmEwRjHsUhi5ZtrSO0cxT6HT4V87qUrtXmcaIkxF08IBLbtoGsleVZQaoLNCxfZ2NjE92eUZU6cl2SJ6tCleQGGiV6pYGAyGkwYnAywLIfHDx6yu72jqB7CxNBMKl79a99rX7uwO94/RmjiXGouBFy/fp03Xn+D9z/8iP29fUQOeZggE2UBUpSpQho0Yy73V0WdFCVJFiN0sEyLkkK5smtqOXcrLouL3XlixIQwSdANZRYrkXNzTqXkkkLCvAWpWgnKQyrJEqQUrK2tsf1sC3sut87z4hy5K+eeWePRWPkv2QolCqRq7dqGdm6v8WIChhBzM2JhIAvOM+XiWPnXVBsNLl25wueffa7QO90gzTJyWaq8vrLEcyyuXr1Ku93BD1Lq1Sb2FZPPdIMkDun1jlnsNCgz1cLVNQiCGbZl0Gp1iHyf6XiMrgvq9Rp11yYYDRj2eiodQxYYpkajUVViBynV4DsbpF/zeBFF8H2fwWCAbhg06w021zdo1evMQp+//4f/xMlwSJGm3P34Ewa7h/wvfvwTNldWVVi1lJS62gzFWc7j3R38MOLyjWssLHRV9FCeK26aaVIItUCeFXglKqO2FJJcFjiGhW4ZFJToZ2jwVxxnpVgUx2RpQqNe4yyyzg9CoihCFxrWnEcpy7NW68uvcFbyvcgn0zUdQUkpoV6rIqUkDAI0lHXEV51oOd/a+GGAZVtIYDydkmUZ/nRGWeRcu7CJJXSGJz2Wlro0Kh7Md/qFVLtchU7+/uedTqdsb20ThgFxmlIiuXTlEtdfucM0jTjcOcaxDKqWzWKrg2s+TwMBZd1yXlD/gePFJV7XdKrV6vk99YeeYZom9XqdxXZDtQXnZ8fVNPaePiETkgurKzx6/IxudxmR5yy0Wrz9xus4tkVSlEwnUxXVZZnUvApJnCKrqoiWgvkiO09PsG10VKu5yFKGgx7Xrl7FcVxOj4+pOB5f3L3H0dERzWaLMPYBSRyFSiFs6FQqFfq9gRKNrS+TJCr/eWlhkSgIzi0w8jxH0+fConP2yPPy6xyle2GclmVJlmeMxxOF/J3zfn+fw/ll2uKLbd4zY/ez44yrd/ZvhfyKwo7nqLYsON89nQk/dE1lcF+7elXlEP9ntGDPjrOcTRWJNcNutVXxo+mkRY5eqaDbHk6mE0witsZDpUqUJVIIqpUKpmaofF6ZMZ4OydFoLy8iKPGnE6aT8Ty5wkXmBYN+n7pXYWVlmd3dfa5cv8bpyQm6PhexiJxaxWV97QIyhf7JkHHvhNSfUW21MQXUXJdw5rPc6YIE07TQNF15A5byPH7KNC00oXJ7C1niWBaZaUFeUKZqwy0sW20ONQ3dNqlYFW7fvMZ7b72CZRov3VdnIpokzcjzFMczKWSCppeUsiBJc27dua58MmVJVEgebO9zcNTneDAjnAa0TJN02EdGDh9//ClBEFKWiuuLlKrYSiJM0yTPUqrVikqgQePp02dMJz5LSys4ts39+w9x9QJ/NkVoBYPTDLdZ42R4ysFpjw/e/5Dvfe/73Ll5A9u02NveQeQR02BEmEbkaUI6m1CmCTXP4ZXX7nD56nXuP9rmpHfCxJ8Qhhm6URBGE45OZmxcuoIm4bVXX8OrNEHoTIIQp1lnaWONk5NT/NmYopA0qjVu3blNp9vFtCtsbx/wi3/4HYar8dqbb5GkBZ99fpetLz5n79lDLMCxXY6PT5lMJHEwRqPEsg3SMkOUgvNbRyrBpWEa2IZFPk+OURQzieM6UKB4sBJkof7NtG06nQVW1tfp90/xPIfJeErvdAqm6jFlpUQvdVyjwt6TPf7tyb+nWquxsNDi7ddexTJNpNSh1On3Jl/7fvvahd3J0QlCCNrtNuvrGywvL3Hrxi3GwwmPvnjIZDDG0gyMUqnyZFGcc+TKIlcqNkPtGHRXo1VtIkVJlMVkmkRIQVkqRMwwFREf5Dxay1AGzyh40zBN0EvOvOskilsn8wSpCYwiIwgj0qxg89VLOI7N1rNnhFF4PkmVhSTJM0xNmdSGvo+u69iOjSxLlaogJJqpK3zjnNCsJnDLsnF0nXazhYFOo9miKJWtxOraBqPxlJPTPrphUm80yWWJ6znohkGv36PimqRZwmw2xbJrLCx0adabJHHCYNjn6ZOHVCo1skQjzTMs21aFVb/P8tI6vX6fKIqwbVU0p1lKr3+KP5spFFPTMHSdTrul2i7zEfolDOBPHuftHU3jvffe5Ytnu7x/71MMXcd1XVzHZut4j9F0zOD0lIZbx3Wr7O/t87vf/o6L3UU2ul2kgFkcM45CMiR7J8dcvHQZYVnMkgTDMDg4OYGy5NLGBnqpFkzT0OZ8Msk0mGFaJsxb72l25pAvnysy5XNk7WzFK8qS+4+fUuYpb776ijIJBU77A+UpqCnOieDLuYDntG8VmVSW+GGAaZq4tnv+eKHpyBJqtRr1euOcQnD2CvDcyVwTSt0tEQyGIz7/4gsmwwnD0xFZmvCD73ybb733Np7jkIcRlqZUzlJKZeXSbCklMvOM9hfealEWbG1tcXR8jNB1dNPEdiXfePcbXL9xjTCNODw94KTvs9ZeoFmr45oWZ15/8uss3lK+8KnkOVomtLliUEgMTT8nic8pkhiGzvLyIjXbUrzI+fVp1mpcWNsA26DWanGwc8hsNObu55+zsb6Ja5nEcUIchXiey5LnkQGJbTEbjsk8b47wCpAljqZRsWy0okQWJaWmkSYxnuty8/Ztnj7dUhu/JOL+g3s06k2Ojg7QTYHt6mxubJLlOePJjN3dPVrNNqtr60gkw+GYG9dv0Wk22J5M0FEZoVlR4rj6XBUroHx+YdS9I85boUJw3r7J5oam5x2EF5DhFxr4CFmeo+0vjm/trGg8s02RL1jezAs7oc2dCl8YK/IMRj27lHO+mEBtSExdmR+vra2dW7b8XnH3FQCefGn4yJdnGQGteh3Dc8gMnfunpzw4OcSteMRpwlE4Q69UKGaSNJiRZD66VlDmGa5jY5g6cRiQZwmW08KwNAb9EY2wzdbWYxzb4dLFiwx6Hv7phOOjI9IwYTQes5alaAYkaYhp6dSbNcb+kEatgmnqaLLAMCxi30evaCwvLjAJQva3d4j8gIdffEGRZrz9xhsKPTH057GR89Xfq3hMZ2OKF8a2ZZkoU/WYPHdUkQxqvkGn3W7x1uu38Sw1h8uze+qFkzudThkOezSbNWzHJE0yyqzAci00y1CRemXOIMxZ3dxg9TTgiye/YDac0N1Y59qt2zx7dJdf/vI32LbNbOZjWBZpnqOZurpndYUgHh4e4E/H3Lhxk0a9TpIk3Lh1m7dfe5VPP/gNx3uPSQ2DIArItRwtNvnNb38Lms0/+8u/5MqFi9iGwcnpgKePHtA7PmD3cJ8iSfAME33OQ0QzWFndpJQ6YZiCNKhVW8RphutUaDSr7B8cEUcxt+5s8IPvf5On2yc8eLzNtdvXOTg8pFKvcuOOslUL4xmD8YA3Xr/NxtoCJ4OI0WBCmklee/t1ms0228/usv3oGbNhn2azhchyhCwoiwBZzm+F+T0oNB1Dk0hNdSFKWSJLsE0T13GIs5Q4SZWNSZqjF2fzuoIhxDzezXFUq/7DDz4iSmPanTZFIcl1fY5MakxnAYtLq1y9eYvdgwOyoqTRbPDWm69w5cIihiYY+wXDccTxXMD6dY6vXdi1q3V03aBZrdP0qiw22xzvHvCrX/2Sxw8eYaMjsgJZSLV1VhofNEMti0LPyJGYtoNZNakvNkhlTjotyaMYTSq+0ZnXkj/1VQs2SaAsVfzK3KNOoX4KAjcsiySNyUolNc61lCyW5BRkFAz9AVevXWMw6c8rbUGeZAhRomGgCQNbFyRxSJYnaEmOriven24YqpCQ6iYtCkmaZiA1DKHTrDZ55darTCZTLMuGccDJ4BhhueRpwWg6o9FssLS+gm5qbF7c5MnTxyQypIxLeoNDDNtls7NMd2lZFY2ui1drIjQLzTShAMMxyIqcIC4YDaYMpz790QyBRppmJGnEydEevdGpUhYLHUPXWewuU/PqUEoyKTGEnEdPff3C7mwy1zSNTrdNq93ARsOZ2zWM44Bh5JNpEPoRK+011tcvMB0H/Or9D3Esi598/3ssLi3yH3/7a44GfSzbZWtvlzfefZedg0PyLOe1O3fwdZMsDZHHp7imwdbTJyx0O6yvrVEzLfww5Lh3TClzTN0lDsI54qC/ZEp8BvNJCVKDXGiMooRwNuWWVO89zQp2dvYJghDdNbFrFYSlq4laAChbFLU3EaAJciHAc4iLnDyN8SxbEWxRRsR+EFGvNahVa6pUKhXv63yulqhxXEKZSEzD5dnWHlkYU0QxnUaFzbUVqhUXKWFpsfN7KI3yn5Pkc57H/K2ChMAPeba1Q5wXxFmJbrssNJpc3NxE5hmhP2VleYkkrHO1u0LVcX+v9fycsfZHxoQUZx09yvn7iYuciT9F0zS69bZqSc7RoFJIoiLFcnVMQD/nWoKhm6ytbnDY67H9eJfp0EdzbDa6be68cgtTqM2SZ9pUHQcHRS43DAOz06DQBGEa4VoO7jzizqtVVfScVHSKR1vbbG5ugiz45JOP8H2V/er7YzQtp9fvsbKyTBnpjMZjRtMZaAZvvPUWhRRcv32bqufw9NFTZSMjNPQkJhwO0fICy7EwtbONp1TCKATaPH3ieUQD59+FFJS5JA5iznDcvChQC8PZQnNWDM4LRinPa0ZN09TceqaunXdDxAs522eiNVk+T/QR2nMrG8nZd3kef3jG31zqLnLp4sWXxt4/6pi3688+toagoum4lktcFiybNnmnw+cnO8iqw6yisfnqLVq5zif/8J8IopJCpghRUJYZpq1sQtI4QbMBC4J4QhxP6LRqPHlwj1atQu/oiMm4jygLEDALfU76JzTaFSynJJMQlwnVeoU0DnBMm/2nW2RhDrnGIPAphIbteFQrLqZj8/TZFp98/iF/9sPvsrm4gaUbaEJtLgtK0iLDcSxs26HMM3QpKYoc09KQZU6UBji5hWNUYB4/Zhga7WaLbqeBrpXqCwUizE86QsB0MiaNQ6rVBprpYDoZmV4iXUGolZwUOYe9HnatTW5aPHz2hLzIEJQ02y0W19bIs4jZuMmjx0/ICh1Ts5HqjWBZBrMgpJA5m+urvH7zEs2mR7dVR2jgWA5OaVMGOU2vRhGOmE4LsCym04iH957w5ptv02m2MHWDXm/Iz3/+Cz75+FP2dnbJ4pmKsatUlUWX0MGs8PDpPoPBiCQvqXgVPNPAJMHCoDcvMK/evoVbq/LZw2c4XoNWt4ssSxzbQpQlm5cv4Yc+R+MhXtXhwfYu6BpLnTrttkezXUHTSraf3kcUE/75T75Jw/Y4PTjhk999yGh4qrJ63RJNpGRZRJIllAh0Q8e2LXRDI05iijRBswxqrQZMZ4S+smrSBZR5oviCUlme6YaFYznUKhXl4iE1KtUF4twgyTMubGxw8dIl1jbW2d7Zxw8jap0W37t+jbIo6LRr1KomuRDMopyP7j0miGLMqv0Hb7cvH1+7sHNMZbJqGxaD0x7+ZMrR0REHBwfIfN4cKtRu9ZytM68hSpmDEBi2ieMZZEXM4fEBum2ovFZ5hr5pWJal8ljnatqz3e3ZrlciyfIcWUpc18N1XPzQR2pqIi1T5VJdouw0/HDK9u4zZsGURrOKqeuEs5A8LaDQ8NyKgkgngjiU2JaBYcwnV01imxqmaePYLkEQUaQlFMofr+JWEBLiKGZv74Djk1Ncz6NaqdIL+uSFCijWNIONzQ2uXbtKf6AeE4VTnmw/JYglrc4Ko+mI1dV1XnvrdYaDAb/9TYW0yOksLrGgCQ6PB5BkLK6skKTpXH0tiMKAYDogz1M8z0XXdDTdplptEMcp//CLX7PQbLK+1FWojDyLUfvTx4sT+vmaJCWObWMZBqHv89//j/8DD3aesHu0z2Q0Qq6XbK6vkfghu8+e8ctf/ZKdnWf81//7/5rT4YDpdEohp/iBzyQM2NrbwavWOB6NQEh29/YRyyssbKzx2q1bIIQiHwtY7HZpt5rs7u0DStiiafrzhfPsPc4FJ/l8aUmBOM+J0pQCZYsxnk558vQpUZLQcF2qtaoaq2eI3YtrmVSIskTlAVq2TSGLc2GJlOr19vf32dzcpOrYz1td5fx/573T51Q0pXgeDoaYQBaE/Oj7P+DShY3zVrBasl/mXYHAj1VsVMutYeo6SEXkf/jwEaPRiFJKWt0FDMthaXmRtdVVVYiVJZ5XoVNtUHW8c4NlXlBk/skxMf8o5VwskBc5I39KlMTksqRZb8yXJoGcF3VhnnHcP2WxvYBpGy9AOwrTX19dYeIH/O1HP8OyLK5fvYxl6JhCqnZYoz7/w/K8TWwIjVLXSfJEtfCtM16Z4Ixgpgnwg5jBaMS3bt7kwbPdecRaynQ6wXZsGs06yyuLVGt1nj59pkRfRcnm5SusrW9w2h/QGww5Ps6I44Q0C1ioVOj3emw9e4ZtGvO/JZTKXlOqXG2Ocj/nLsrzcXD2uyAISOLkpaH28mX4EiwmXvjd3BPj7PFnw+Nll3wopQCK5+X7GQ3vK44zZK4sCtbX1vA874+Oi5dah3/gLat/U3xOKZTdh6fpXO90aWc1XEPDbtYRmzeoCoNqobGK4NGzz5lMe0ynp0hiZfJdr3JyeoLQNaq1Gpqucbi/jyF00iTm4f37ZGlGterR7XYIw5jxeMLu3g71hkcQgNANCpmpzaGlU3Ed+hTkeYprV5n0Rxi2Q6PVplbx0JIMQ0AahWRJdB6jeGaBlWbKy9C2bTzPo+o6kGcEoxGcdZLkc27HuS2NgGa7iWbo556bBVK1nxHM63iyLKPMMyzDwTZNCttGaMq0fK83YJDnaLbFkmVSpGrdk0LSaDZwPIffvv9bFhseP/zh9yiKggePn5BTICj4iz/7EaVW8Kvf/JLZeMQbd26y2mmRZAXhZES31aBZq9A7PWXQ7+NPj3BtA8d0yHLJQrfLnTff5Hvf/wF5XpJnOZ9+8jkf/OZ32JoNuaRRbYAsMXRD3RO64NKlK9RrNTY2L2E6HtPxhP2dHcpS3cOCEte1OD465OH2/0C9ucDrb36D5dV13v/d7+g0m/izGf3TAYPxgPFsyI9+/GfcuXmZ3e0Dfv6zXzPxY4o8Z3vrGUIUfO/b7/Lm7SuYJXz2kcfWo2fIMiPLZ0wmx4oONg8vKCmxLFN5PRoGaZFhCQvbtjFNE69SIQpCDKEhigKZlwRRiGGaKu8aDU3XmM5mJFtbONUGqxevYHoV2p7Ht773PZaWl2g0PMZBzGh7l9FkilNRtKmD4z5hGFGr1ajVqwSzgCTNKYrwD96LXz6+dmHXajap1esYhkGv12Nvd5fj42OklHOFqYZWavOWqYIxlTRYIDSJ4xosrS0iDcE09pUhZ56DJijyAn0O/ytPKPWaea4yI4tcEUY15iTe+QSU5zlRrCxItPkEW8wDltE1DEMny5QvVbXq0W60Oc1PSWOF/lFoOI7JyvKiqrqTUO28LBMoCdMZUZAyzQu6C4uqThUFSRqTpwWnxyd8/NHHLC0ts9RdZDgccfHCRarVKkeHJ1QqVarVGmma4vs+z55tYTsuS0tLZHmTJM7Z2duhu7TOG2++RaftkWQ5Y39KKSRS19m8fBmv6hEW99DcEsuz8MMptm2ClPjjmLJI0URJpWJT5pJKrcHmhUtUaw2q9TpRmpNL5cGjI/7Y3P4nj6IoMQwdXdNUBmx/wOH+EVGUoOkGhq7z6rUbfPPWqzx5+pT/+//zv+HzZw95/8Fdbt66yWef3+Xe/Qfopkmv3+fy9Ws829nho7uf8s6rb3D18mXMosSSkmathixRymCUMrnTWZjHN2UMh6N59fNi4wqkEKSl5Hg8wnQdNNMhyTNyAZquVK7jIMCqeBiujaYbeJ5HHMdK4fjiIWA+2yAklHmBbglcy1FQfJ4zGI0YTwPiKEZvtVlZXZmPe/WusjQHNExLtYDzXBIE0bzIiMnKkvfefIt33n4Tx7aet9XEC0kXYj7xo1rLlmU951cJyIuC4+MjlVspwLJsFpaXWd9Yo1qpkOYZ4SwgmqqostaSpVTFLxD2v15xp07EWaGbFwXT2Yw4S2kutHEc95yfJzVIkAyDKdPJhOVaE93mHC1Ki5IgSpRPl67jWBbXr1ym7rqYjoOnG9hCYM6LhXNuodqiEMcRmtCoehV0MbeLkM8FMkGaMvYVNSHLc05Pe8pU1vPI8zqVqse7776LEHB8fKLG10KXeqvDyvoG+/sHCN3k8PCIlaVFqtUKIs3Olcf9Xp9LFzfPxQWqNa0KOE3Xn4tSvkJ4IKUSgqVJ8lJh/Yce/wevxgvPezH2SCF2z1NRvu5rlWWJYRhcuHAB23b+4GOleF5Mnt18L+2F5gPzpdbwvKDXkThSsmLYdJcvolw3tXNPyrU/+xH9b7zO6eCA337wDxycbKkIsc4Cx/0ebqVCZ6HGo0ePVeIPPD//lBRFxmQaUxbKw72UGcNhnzRzMC2botRoNxrYRoNgGqCZGjduXcfQLJAFq6sbrF+6guZUePbJp6RxTLNRIwoCZWRumli2dR4/Gfg+zU4Xw9CxdBtd2sgsp0hj0jyjlAVlqaFpBmWRk8uCNC/JyoKBH1KvuiCUh2cB5ChlbIFEluBPfLy6qThZaUZRCgajkL/+2a/4Z//lv6RZaTIOQxzH49rtm7heg9npQHUcNInn2awsd1habvPgiTLhXel2+PEPv8PiYotvvHoTS9e4vLZO1dTJo4TrF9dZXFrEq7b5m3/7HxgMe9g6yFIhzbks2VhZJwkT7t67TxzFLC8ssru9z3Q0I4sSanYV11W5vlmWogsN27K4fes27VaLUgh6kyliNiOIInRNgpA4joVXr7C0sYIxnLJ+YYMrVy9yfDqg1z8l8Kd0W23GvRFJllLvVLEtC9cx2dhY4q//3X/k2dY+axevYDYsNjdXWV9dxDQ0psOQB48eIAW0F7pEiUl/qDzsBLkS8Fk6QjOYBQF5kZPN+YdpmnF4cISu6+SF4t6XeaHW1bmdmsqDF2RZSlkGSKE8S8v9XdxGi5uvvEZ3ZZFa3SNIJHGqbE2iOGHmB5SyQBcah4dHOLbD5YuX2VxZ597dexweHgHf/Vr38tcu7EbDIVmaUpYlp6enDIdDVWDNCYSlLBBSWVpIoVAhqSvUy3ENVtaWWFheYDSbYJYaVqlIs2WuXNINQ0dZfpXKh0mWZFlCGAaUhcSxHSzDmntF6YqvZxiURYnneaCrGzwrFYn7TMqfpokSfAjJZDIizzIcxybXcsoUDF1DygJkgedZeK6NrgvKoqTi2kymE4LpjMVOW5nLlimizEmThCSJmIwnxFFMs9Wm4nlUKhXG4wlxnHDj+i1u3rpOf6ASEYaDIYUsyLKCwI+wbIepH3Dvi8+5fvM2hyenjEczkiwhTCN0a5F2d5HBsI9bqdFe6mDaJsFojGXqyLwgTUPiOKDqGli6yXgyxTANFpeWGE2mHJ2csHd0TBxHXFhbplXx0OSLMVBfv8STEsJITVZSlnSaTb7/3e8xDgI+/OxzZCFZXl5mY3mJqmGy1G4yiab8f3/+1/z7n/5HXrt2h4pbYW15hQuXLyOLAk0IgjCkVWvgWbZK/hhN8NbX1OCcL9SlUEWprqmwZZkXzMKAUkoVV/TCxyhQk+IkDphNh7RaXXTboghm5PMxG2UZtVYDt6pI2Y7rMg197K9y2p+/tiF0FpptNQalQo5006TqVTg66jEaDsjSlFdv31A+SCgi7dFxD9t1aC8o9e/UDxkMh0wnExCSTqPBa6++gn1mtSKeAytnWa4lkJaKm1eekbXPDGPnPJAoTpQvVsXDz3KEPtf5CoEhNAwE7aYy2DX0r9N0/cPHWdFqWRbVahWZRFSrDRBntjOSHMhQXlOu6+KeZQBravxlWQ5IptMZW8+2uHz5Mu+8+RaVRpXcAEvT5hNUeY50ZXBuP+PaHro4u+9LhKYRF6lCRXWDT764x90H96nU6vz8F7/gl796n2qtrlAXoYqB09Me9XqVk5MTms0Wly5fIclLFha66KaNZhj0+0Mubq4zGY4wCkiiiCiOkMi5uKBUk7um+u5nxZX2ghjiyzYmRVEQhqFCfb90bl8yAobfe+6fvDbnRd7Zvufr3eNnf9e2bdbX1/+kzUn50m0nX0Lr5hoS4kRZL7m2moPPCH86El2CdbZrKcvzDZSh6aw0u9g67HVXODnZJ44iSinQTZvpdMbB/hGaJsiSjDiKmE6nLC2tqIU1U5msjutw+85tptMxw2GfZrPG0tIy9x88VlnM1Sp5kbG4sohl20xGPoZlsLi4CAiePXvGcDhCliWbmxeIogjXc+epEwGmYWAahnJRAOVPqlo9OI5LKkHTbAQFpuWeszrQBDdu3uTqjas82tqh2axhauocNGwLNDDnj81KSZyk6HFImafzTYtOgcB0ahycDNFrdbr1GkGcsrKxjml5bGc5g/GAW3du8O0371CreWRFTF7E6IZFs+7S9Gxqpsk3bt3E0AS2UCYeTddmY6lDedxnfWMZoZcMRwM8S5CYAqSObdmUOXz8yedMfvUbGrUGr9y4xWcffsJsMMExLVzTwatUaTQaJGnMZDREExp37z1AAJksEY5N6PukeaoK4SIhzVOSWUJKiVNvYpvQbtVwHIffmBqmqRw2TF3Htlwole2XH6b8+lcfk6QZ6xsXuHXzNt3lDsvLbRynQpzmnA4m+KHPq2+8ws7WM6ZHA5KsIMslmlAFqwLGS5I0Iwx8TEOnLOdJS3PhZlEUkBfYpkHF8VS3EHA9ZTRdFGAaDs12C93x6K6tc/v1Nyl0g//0qw+5dOkSmqYzmc7mYASYlollu6RxTJwEFGlCOJvgT32Gp6f8Y0yHvr6P3WTCdKLUe0EQUBT5Obfn7EQoNE0RzQ1NA01Qa9a5efsCK6tdkiwlzENEfAaEiHkUjbJuLctiTvpV4exBEDCdztCEMmYwNENNivNdpWWZZEWGgXFe2JUF8yQK7XwnfaZcy5OChW6XeqXOyeExeawKS12DZrMK0kNQkCYxcs4HKYtMZZ1euUzghxweHjNMJmhAxXVBaEynU4IgxHaUgmrQ6zMajFhZXqPd6uCHPpPJjP39fU77J8RxovhREmqeQ63m8vmnHxEnBY1GB9exyYsUoWmUEo6OeownU9YvXiWKA8pSBTkHsU+WhpgGeJ5Fu1Gl1W4SpTr9QZ+F7jK7+4dMg5DTkxNc16FZ8V66rv8YxVspVRtcxeKo+KwbV67xf/jfLrG9839m0DthbWkNQ9MJwhSha/z5n/0Ziafz//7v/zv2Dw/41jfeo91o8aPvfI8SwSD0mQyGLDXauLZF1WhSaTax5qGJSk19vv8HKUmTFNPQMS1TIQcvLDDnBGRNY2V5lWoSkRZgOzYz3ydOEyquy9raKh9+9Mm5WMfzPMKJ8XsFzwu0KIQAU2qgqQnQnCMaTtvGsl1O+0OOj495ur1NteLhOjZZmvF0a4uFpUVqCy3SLOdXv/kdj548xfIsdE1w+dIlVpYWX1okn38e1ZrJkQynEwbDAWur6whNRaKdoZVlWZIVGUVZUq3WGJye8vjpE1ZWlpXYxzC4cuEirmWdJ3i8ePxjCvzz9vAcxTQtizKNSMqMKM8RuotjGCRFzsl4SBxHLDc7eI6j7t85kpMWOWmWsr27h67rfPPdd1ldXCAXEMmcII4UmjrP/k2LglmRU+Q5VbcyF07BLAyQQuUYT4IAPwowLIe9kyN6oyEFgu2dXdJMIed5rjhReZ6zs7NNpeIxmc7QNAPQ6PVOWV2/gNBMPr/7OZbt8GmecbizzXfffZfTkxNOjk8oijOPR/Wl67racWuK43rWWTj3VnxBVJEkCWEYIpG/V7idFYZfKVrgqwu9F5Mrzq6nmEcfvfh6Z895MeXiRaRP0zSazSabmxv80SEx39ic8/TkcyopQCYhzVXr7+LGOo5jzSkN6j6Wqv0x5xtyXtSd3cvDwZAPPvmAB/fukwQxURHiTwOKTLK/f8BsOiOKEvI05+DggDKH/f09ZCmJsxlhFCIwWOh0WVpeYXGxSxBO5+uJxsnpKUeHh3TbXVzXA6EzDXyiNOfJzi79iU93bZObr77G4dER1++8itRMHEu146RUaHWlUgGhvEPPnRMKiW5YCC1H0yzQJLrhkBeApmF7Dm+98xqtbou7d79gHMQ0ah6nwwlxrcJirYItUECJbtBqdwjDgCJL5uumWg/DKGFv/4DX3nmFKM+YRhEZBY+3nnKwv00xHXNtY4G1jWXyyFder5ZOQYHj2ni2iVGW2Ibyx9Tn845maty6epFao8mvP/yU7Z3HCE2S5SWmadNd3mDj2mVqnTZas8nRyQlZnDILIlrtBdaWN7B1U4XeOzbNdhNZFoSxmreb3UWGgz6GaXHl9i3SMCKYjOgdH7K02GY0G/HoySOO9raoNDtMxxMM3eDmnTe4sLbKJx9/TM8wcYWF0ASLa8vEQcjvfvsxv/3N+1zavMzm5hX6oxm727u0mlUODnuYhlD5uo7J6fCY0WzIZDbB8arE45BSaBRSxzSU84deSszcQdcgL0pMXVMWN3O0TuiCTqdDvVLFntrEaYrl2NSaDZYWVxDCxPFqSMNm4/I1VleX2T/ps7O7x8bmJnHgk2UJtZqLkBknR3sISqqVCo6hEQU+Rwe7zCZTijxmeWn5j03LLx1fu7CbjifnnKKiKNRkI0tk+RxhU2A6qmGka3i1Civry1y+dgXH0dnd3zs3Di5KReQXuq7aY7lKVzibZMJQ+TvleYppqAUhy3OKvCBLcxzbwTQthC6I0/glrtB5u7Y8M7y1MDSTWq2G51ZAalQqDYSd0zs5JgqVMWyRF+RFSp4lxEmEFDmaMFjodnBth2FvRBxG5Gk6twRQffh0LnOeTqfs7+0xmc7I50bH1WqNleVVPv78Y7a3d4jTiDBQ5rS2rbz18jjCECUV26TTbBAGAe1mE8eyKPOCLMmYjmeYuomfx2iipCgSkDmdThOZhdgmjMcDbtx4BdvrUmu2+ea3vsO//Xd/zXg2I/J9RtOA7IzH8E8gRMdxSq/XnzvlK86WKMHWHQxp4WgOF9YvEKcFv3n/MySSW69cZaW9wsWVC0wmI37xy1/w4+//OW2vohbl6ZSFSp2GV2E0HGILweLSEjDncomzok4RsIs8J41jCsNgMp2S5cVcuTxvSQFlUeJHEWa1QtOtksyj5Ix5e0wDXNMkmWfEWpY1z3g1z+Ohzo8X2kxnnnrnf2u+OBYSZlPlbP/Ou+8QhjP6oyGtep2dp7scHB1hVj2iNOWzew/44JNPuH7jJmgFh4e750XdefF6lsMrVVs5kwU5UOqCDMnYn+I57jlqVpYlvb7iZYRxRKlrFJS4tSoXLl0kKwos08S1HRW/Nf9E/xRO/JcPiUqNcTyX0WxMnufM8FlrdaEoyIIQRzOgLMmR5+q/tCjYPzhgMBrR7w+4/eqrtNotSgFRkXMw6FEmKWvNNk5NoajjMGRrcEqR5VxYXaPhKZEEhomhaxTAcf+U3mSEFIJRMOPytat8+tGnDPoDgihlY+MC7XaL4+MjRuMhlmXx/e9/n62tXba2d7n7+V1KTaffH/Dk2Taj6YzFxSXSOMRxXZIkYTKZMPNnCBT1Q9lpPkfZzgupc47w75+3MIqUIjZXJPYzdA84b6WevdaLBdtZgfbl+/fLxZkQYm7e/fuv85KdyguF3dnPnU6Hbrf7R6+7ePG7VAhdgSrWdd0gLkvuPd1ia3eXMIl55/VX8UwTpRw6e+bzYu7lMSXonQ549PAJSZLhzwIKUiaDMbEfgRTUanXSdEgulXu/QMP3A0zDpCwFYZDS6TRZWlxjZWmJo+M9kBppklLxaopKUJYkWU6lYqDrFlkpmSU56XSG1+xw6dYdRjMfuxGzfdLjX/+zv0I3dEzDYDqdIqXEdV2qVcWN0nRDFa+GjixAaAYIA8MwkFKnkOocVWoe7YUmW3v75HPakG0bnE6nJLJE2CYN06R30mO/18MPQshTiiJHSB1RCvIkJQ0CHEPgGBq54eB4Hr/+3afce/AFRpIy7R0zC3x0QyBtCyyTTFPX2HJdLNPC0NUmTxfPjcp1IeZomM777/+O4fCUlcVl/tf/y/+Ko8MTjgc99gcDZseHVGpVbNvlyuVrdNtdRr0hURBiagbTyRQ/STkeThgOekRhzObGCpvXrlJp1onShChNMQyddrdNrWpz+/Z1Do/22d17hi48JoM+JwfHFJnkaP8YP4iIg4BSMxC64htWPZciz/n408/odLrcuHWbYX+GZ7v84te/Yzzp861vfwPPsck1jSgO2d1+wvHRIVWvwo3bt6m4byJlChS4rsHW1mP29/bUhr4sEEJT3oVCw7B1KlUPQ4p5kQ/VapWqpinkzTBAlGgajCdjCs2km8QcHR1yeNLDMnXlY4qkXnVp1KtYlsHezi7T6ZjZUDAdzzAMA1+UWLZJpWaRy/iP3pMvHl+7sDvzajsz6HvRFkJwfo/O+80mumHgVT1M28IPfEbTmMPjY+I0JY4SkjjD81wMy0KWKgYnzRKAcyWZZRkqzgZVTKZpSp7lFFlBkqS4bjHn2sTzCBAVSJ8VOcyFGEWaoWs2FcfEsV3SpCDKMhzLQTcLDEMjjkLKMifPUixDw7YtiiIlTXMsx8TUTYa9AbPxDH+iDJINw8I0DZqNBtOZj1et0mi2aLU7fPTxJ2hCI4oinjx5gu3arK6u8uGH7yN0KPKSPMlwDAvKksOdHeIgZqGzSrPaJphNqbguy91FTE1ZazRqNQxdw9R1CllQ5JIkDpmM+lBEuJagyBOebT1BM4csrqxz6xUVX3Z0fMLyYpej0x6zjVWsqvulheErWHdfwYxO0pQgCOl0OniehyZUjuVoOOZg94iqbSJzwdPdYz68e4+Skr2TY95+61X+T/+7/yP/5qf/jif3HzDo9UmCkDTN2Xv8DD0t8EyLTz76iKppUX1DZ6XTxtK1OQ9eos3FqnmWk+U5WVngB4EqWgydEnlO2venM45OTli5sIHUDXRNp0gzTN3A0tTmoyglURiSJSmWaZ2jJ19ug4HSd58Xdl/iFZ0hDnmW8bvf/Y71C5v81V/+mErFYdjr8/jxYyTghzF//dO/58OPPubq5av8+Q+/z9///GeYhs7GxobyRZRnBPtzJjwlkok/JVfmeLgVj1kwI2910DUD3w84OTrm/fff5/jkmKE/JYgjJnnOj999l85Ch+FwSH1pSaEs/38o5r48PNI8w3U89vdO6A0HNGoNus02Dcvm2vomqVQtDikEBZDkGZPplCRL8SoVrrfarK8sK86dEDw7OqY/GXJhbQ1j3tJCQGHoHA56hDOf9dU14kLlp5aaoD8ZUfVcbMchHxUUQjD2Z/QGA7Iip9lo8PY7t2m1O3z++eec9k5ZXOzy9ttvYxgGm5ub7O4eKpukXPlU2bZNp61MadfW17AEFHnBdDrFNEy8ivcCj01/oUg6u3zzAk+8jMqVZYk/mxFFqp2rzcUUL/rY/SHE7ux3LxZ/L/6tFz03z9uxLxRtZ6/x4t86+yrn3M2FhQWFRP2J47yzOqcOJHnGYf8EqWnM4pzPHjwgDgMGgwHNRo3bV64oZb4mVGEllG1POf8Yuny+uWm22qytrRNvz7h88QolKcPxAJFJKp4LhmA8HiORdDoLzKY+URRSX1zG9dr0emOQOivL6xRFzmjkU6l6xHGOLHNsu0IaxyqZJS3orLTJiy3iElZWN2gsLLFy+SpHn3yGUa2zfOEiSyvrSITKNfZ9RQ3IMkULcF3SuUeqLiCVKbphUkpV4JVSUOYFRkUZXY/8iHuPHrO+toYwbY79lFjTsYXgYDiGZp3j8YTDfo/Ij1hsKn57ns83lHnBn33/O9x84wauoTFEbQa9eo2NCxew85zH4z4zf4qkRBoGpudRnCHM2hxUmTNWldJ9boc1b5d/9vkXfPbZZyRpzKVLF4jTjL2jI1JNcvn2bTZvXKZRbVAzTTrNJqf9Cf/z47/m0aPHrC6v0m63EWh0W23cWpWtp094vL1Dd3WZG9evYdkWbsUjmk54cPczRr0TDg62KYqUKAywNAOzLNhY6vLWa69SaXZJM8nGyiq/+837TCZDVtfXePbkKZ/d+4zu8iqXr1yh3x/i2TW6iyu8MnuVk/4+//bf/o+8+spt3n79dTrdDru794nTGaUsqDearK+tEfhTsjSkVncpypxer0eWpriWhcxSZJ7jOg5excEwDbIoJgkjkjDCdly8aoV8rg0IgxBIOO6NWFhep1LxqDbqtJKMUjcIgymOZVFxHbqdBgvtJiJPeRaOGY0GZHGCtCwSXRJGkv54iL8fAP/Vn7wv4R9R2JVz7ppqwcq5Ce6ZESYIqSGE+tJ0jUa9xurSIlEUMB6NiLOYyXhGKSWTsc94PEXDpKo5KqajjMnzudUIz3MnHdvB1B005u7ecUFZCNI4J0tL0CVpqhDErFS731JKNF1SlDlZWlDaJdKEIpNohkaWZeRZRLPiYRgOSZbOJ1eBZpoq6DupzR2qC/KioIjjedvYRpCjGyZCQJHnIAtC36dRr9Oq19FkycbmOt/7wXdJ04Sf/t3fohuCSsVj6k8oyxzXsQCVnpEkCXvb21jCYlSrU6vXyeKINMmR6DhunU7HBAyyvJhzdFKUE7binZRlhtBMRmOfVqeNhsbDBw+RpaTdXsDxavRHU/aOTmlcvThvxZUqiEuely08x3POyODznyT4fojvh7zxxns06nUQgmajQZSqAPtwOuG/+X/8t/zghz/kz//se2yudzF1E8+2EVrBN159hWg45OT4kCfPnpHFGR/99n0Mx2b/8ROePnuMZRoE/ph33nyTi2trVCwHgYrLifOcNE8oZQa5xLIN0GEW+di6iWs5QIlpGhRCcnRyguM4LHWXWGovsD0eMxgMWFpaJE9TpqMJSRRhmhZBECok86XKR02Cv3921HG+VEt1HcMw5uMPP2Wh0+X61Ss4hsGtW3eI0oQPP/uUuw8e8sprr/KTv/gR9x8+5uGDB3z3u++x3F3kLGh6jqlQSEmQqR1tEMVUK1UcxyGPU4LpjKKUhHnC/vER9+5+ztPtZ3S6XeyqxywIKMdjiiTjYP+AuutSLi0pUZNUditfy9fkK4/nXCoJhHHE0ckxS2vr1BoNdo8OqVQLinkhYwgNTYMCxUGJioz9kwP6vT5CaqwurbC+vIyuCQytYBAnCN3g8uUreLZLMv87UkomSUqr1WZ9ZQ3bcQkSVRgVZUF/MkCabYbhlFKWfPLxpwwHI7IkRWg6t27eZjSeMvUDTMvkL378E7I849nOLn/7d39Hs97i+tVr/PAH32UwDTgdjHjn3W/QG444ODhECMnm5gaDwyOyNIayoNNq4joWmpBzA3Vxfg3PtkpK8XiWlf28MAvCUKl5548R8HuI2jmS9gKkJZnb8JxjvC8/78sFnzITUH0Uzr7N38c5Gv0CYqdpGmtraxjG11kanr+GBtiaQZlJ7j17xPF4yklvyEK7RaXqYbqueh9SIsviXAn6/FO88KoldNodbt98hdPTI27euUIhY+49+IzAnzIZj7Bch3qlimPYLHa75FlJEERYjoNbrWGYNrpu8atf/w5d15hOBrTbzTmn0cTQdWIiSgHH/T5BJDnsDwnigqEfcjB5Sm15mctXL1MWJTdu3mSWxlRtj6TIKMocXUAc+sTBlIWFBSzTIJMCXYNEChzXxXJspKa4oWekR8PUaNQ8FhfahGHEcBoxnQWMhjN6hyeYlITLS8gsp5SwsbGOQYlhmFDGGEi0MmUy6DEaLeLLlOM4olKrs7mxxuK/aHOytUs8PEXqKpvW0jXq1Rq6bpIVObM4JMxzhDCpaGebVlVoB7Lkwf4RP/3FL3Bdm3/xnZ/w9muvU7MdLv7kz1i/domiXqWXxRzsHXDlwipV0+DjT+5xuH9Iv9djYaHNt7//Ho8fPKK9sMClq5f46d//go8++pCdg0Ns2+Sdb3yD7nKXWdVlNOgzHY/ww4But8Xq+gbh1Kfe7KCZDpsbq9RbXWy3RhRl7Dzb5mBvn+29HYIk5vKN67zzzjcZDackQcJYjgl8nzQOEGXJwe4es9EIz7ZZXl2i9bTBoFal01nh/uNHPN3aRtcEliFo1D1qdZdOd5WikJga5LKkmOe4C90gzlJmvk+RZFi6SR6lFKWGYZpYjg5lyWw2o8xTugstFhbahFlOlsU06x2KPCcMIoLZgDAYsber02jUuXjpAv5sguY6SATTyYTBZEqt2eKVN699jXtSHV+7sDsX7c8LvPmUwlm2q9CUZ5Om6+iGYKm7gK1rHPdOaTQtTNtiqbtEIeHooEcUJBQ10ISJbmjoWjzfbc6jYwwLXbMoUoFemti2ixA6aajCf4U0KHMxNxNUapRoNAIUiVUUkjLL0ZDYmkXF9tBQubCb6xcZ9Id4js1sFtAf9NCQ6JqB49bwKjVmvs9wOgUJcZqrHXmpdjm6FGpiSCI00aBerZLlhRpISUSWJEhyKrUKwemUKA7RdUGl4jCbjUiTEMNxMDWTdrtDtVJn69kWp8cHbK6vIkoXyoLBYIh7cMrEj1lYXMawXJIsJ4siLFPNgLZj41gGWZpQr9aoNxZYWFAGyYP+gMloQlGHNC04PjmhYltcuLCGp1vIIqfIEkX+PCvwzmfZs16gao0UJTx+skuaFCwtrWDoBnlRgpDkZY5mCCrVClevXub733mb1aUFNF2hArqAQgpuXb7C8PiE44NjfvfB+1y9dJVrV6/w7OkzhkfqvUVpQpQl7J8eYbsWF5fW0CQkwPbpEafDPkWZICRM/RGj6RDHNKnac+5gCW6lwuWrV8lLSRyGlFlOq1bh0ND58KMP+Na3v40sFcqWJ5n6/zxX0UVni+B8lP9+xNiX74y55UkpEcoJh7//6S8Y96c0qhX6J4cEUcjh8TGv3rzFv/jLH5PkBZ98+gmbFzZ49c5tLMN4oUWqzndaZnz29BG7e3sstTp847U3sYUB88zJtMgZ9Hr4UchwOsFPYjaqFVxXedMFSUY4nZFEEYluKBuFsxQY8aIB7j/ukPNzfGaKOxiP6Q9GVDotDMOiWqkyGY3YOdihunEZVzPQJBRCEuUpQZ5wOu5zdHJMmZbEQczKwgKmqfwkK57DiqMMVI9OTomzlOWlJU76AyxL5+rmJeI0ZhxMGU9H50RqxzOROiRFSlnkTHp9qrZDbzrDrVU56Z/y5PEely5dprOwwNOtbQbDAaZlgWFx57XXeOf118mzlCjNabcajEZ9LMtAiJyTk0M2lhZI4pCyyKl4Dp1GnYpjq3Ohz8n/85g4XT73hpPlHAmWyk8uyzKV8SwEum6obsMfQNG+qld51i0R5yy3l1u4LxWGyHPrjLPx+5I1ytw79Ox5ruty/fr18w32Hz3EWaEJWikxhcbG4hrSrpA+fESSKh5aaRk0W605VUZD5PK8w4N4YY8hzvh2qp21trzGxuolVpYuUK2ZTKYjnjx5wLDXo9NdoNPpKg+2PAMhWVpZZnV9lakfoBk6g+GIk5MeSKh4Nkmc0FlcJBeg6wJhm8pnbpZgGBlZJtEMh6s3b/Grjz7g088+4V/95T/nu+9+k1xIjnpHtNcuYVdcbNdG1ySWLtAp0MixLRMhdXQ0Ik3ZIhmOTVoWCEsnI0MWOaYhaNZdup0mp4MplYqLFCYP7t/n9PiIi+trhEFMp16jWvGo1jxGgwG5LDE0BbJUTI0njx4yyCNEvcKnTx/x2htv8xc/+C55nNJqd1hbv8BStQISHA1cw0QvNXIkvXGfx0cHXN24gERxyFxNJxewOxrz//qf/g1P97b53/yv/kv+6p/9iKbrYgmVWhFpgq2Zz2eff8Zpr8dCq4Hfm7HzbI+K41KvVGi163hVi2uXFtm8sElrqcudN+5QadTQi5zFWoUbVy9yPOyBAK9apdZsk/Vz3GqD4vSEBBCmQSFyPvjkt9TqbZaXN7GsqqJ/aeBUPaRtMhyN+fzze5Arn8wwCHgURyCkAlUsmyePHlIWCd/61ntEUcxCu0ulVgcjYzAcIbOMpYUmu/vHVGsVTKdGrdHh+GAHmae4pkUYp/hJjG5qGI5Hve6SJzlFkpHnijdvVWxM3cTQNGxTZzYZ8+jRfXRH1QmCEtNQugXfn9I3lK9hd6HDSncZt1IhDmMsyyEIE6Igpd11WVnb/Nrz9Ncu7P6QGuv5Tk+Z+hmmQaXmsbyyzEn/gCiOyfMCwxFzpZnJxx99+rxlIZTvnWEa2JaFpgtlPmo6hEFMGEaIPKEswTRtykKeT3hRFEFaYugm1UqNQX+IVJSFOTk7BSGIoxhZk+RZhm3ZXLp4kbXVVZ49eYKkJMtSKp6DberYtk2WFZye9gmCENM0KQuwTPsFYr0gywrKXNJqtHjzrW9wfHrKaa9Pvz9USk1NY+vpU+4/+IJatcLGxipZluBPx0TBDMu0WFle5vr160rqL5Tgv1apMJ1MEVKFyxu6jm1a3L55k6zMuTedcHqwS61qU6s5uI7D1SsXmY4nXLt6jStXbrG4vMlPf/ZzJpMpuqFTrVSYzkKiMGJ3/5Ddw1OuXV6nP5rQP1EFh6k/V9G9fIHVt6wouHf/PlGaUJTlPBYrZOfwkHsPn6lw91aLb3/7PVZWu2SFJM8U/0gTatExTZfLl6/hmBXu37tPECV0FhZ5cP8JrqfRrNbpOha2ayu+4uEBaZzQbrbQTZvjk2Om/kwhu5rOo0ePePD4Ie++9Tba3M/trNc49WcqF7GUTKdToMCrWBRFThj6FLlkNBqgGzqWZRKGIY1uUy1o4uUT8KdKIAmkWUZRqJzjIs8wNI3Lly/z5MkDBuMR6xc2+eEPv4fQNX76H/4jkpLvf++HrC6tvPAqL7fWpBC4XoXltTV0y8SPIwpZcmHjAp7jMkQQRCFJllJKSRwn9E9POT45oRA6jmljmxatlkqryGWJQYmpaej/OS1Zba4DELDU7TJLFVcsjCNlDJznnByfcH1lE88yVQFQFoRhwLP9HY4Pj2g3O3SbCziGiWnoc8RcztFPQcU0eTIeEWc5lm2ztb3N5WuX2B/22NvbYX9vlyJNuXH9GlXPI/BndBZzwlkAZUmtWkUWigM8GY1Js5IbN25QrzVYWV2lKArW19cJ44h+v0+W5fzs579kPBlTqaqNwc7uLrPAx7MsXr19C0PTGA8G5GmC5ziKo2Sac0NYgaEbxGlGnuXo9pxzdXY9NQGluq5JkhDHseJzCmUt/OW26tn3l/Fiztuwz38uXuLRvWixInjeiv2ysGL+pl56LU3TaDQabG5ufOWY/KOHEFBILEvHMHQazSYHR8ckUQRFxs7eLq3rN+YtwOdq7q+cb6R6j/V6nR/+8IfYjkEQj5jNfEzT4vbt21RrVWazAF1oRFFIrVrhytXrtJptBl/cJ0tz8iyj4noIoN3qsNBZAFNH92zKImZ94zJJGPPRbz/G96esr61y5+1v8d4PfsCpP6HuVvjGa2/Q8WqERcrj4ZikG2OZJpZp4nkeujEmSROSNMWyK4BE5gVZUZBkKaZtEc5mKts5l6wsL/L9b3+LbrXCnRvX2fm7X7C1f8BkGvCbD95nMhqyvfWEa5cu8Nard4jzlL3DfZjnkgpNVb9e1eMnf/HnhJbBr+5+RpLmlECY5jx8tEVve4/ZSY+Vah0dgYmOgQIlNKkRxQmPt7dptLrEnkUZxixXKxz3Bvy3/5//jiePH/Hn3/0O/8Vf/YS6p6I2SyAUJbNScjIc8ot/+AVC00lnIeHIR0shyRLsisNoOqaUBe+98xYIjQhoNqo06lUatsnNi+vUPJuD44Q0VtyxMI7xqlWSJCWJ1Tmd+jMQMByP6HSXCeOEaq2D7Znoukar1WRlY5PecEiRZVy6cJm7n36OJqFZqeFWHEYTZVi91G2xt/OE2bRHq9Hk9q1X8MOU125eoiwlW0+f0js+IoxSWguLaKKglDq6bqNM3ASlFHhuhVKWVL0KjVqNYDIlN7I5T9vAshx03aTVXqChCdA1dna20Z0qTq2G41qsrq7QaF7i9PSEMPSZzaY8ffKU+3fvk0cJzUYLy8w4Pjom8KP5RuvrH1+7sPtjh9AEQhdq/tFAaHDSO+Hw+BDd0NE0A89VPjBFrHIRLcvEslQmo5Q5ui7wKh6OYxPHSjE2GExIohTXVKTlLCvmuYpqgovCiIIC13PJs2JuBqshUOoVpEaeZkyGYzzbQxM6htB58vABS8vLnJ4cMZtN0TQx96DRmUymIGs4toum6WRpjjB0Cg0oC6TU0HWlSBRIeic9Br0+O9u7DIYjoigmT3Mc22Z/f5fhoE93sUNZFJRFjue5VCsVOs02S4uLSgyQFggJs9mEw4ND4iQ9D6XvHR3T6S5RdWzu3n/C4y/ucbS/TbVqc+vWNS5f2kATGo7r8sYbb/HFg6eMpgnrmxu83l7g5KRHvdni00+/YHlpCU0T/PqDT1heXWIwmXHSH3JbgnHegn3xwqr/lBKmQcjDx4/JywLTtsmliuRCCgxdo5Q5jUada9cvM4tStnf3WVxsE+Up/UGfRqNBo9pgbeMyjlOn15uws7vPVlYwmk65cf0q66tdRv4E23OQmuJunY4GpDKn4tYZjkasrK7w8MEXc55cyXgywdDNM3YIoKK1er0erXabplsh1TWKMqEoEiQltm3Qmww5PjlC00yKvGA8HvPa7evzFtRXb2J+b9wLxb8DGI3H2K5DGalcY0FJtery1rvv0u60WF5ZZDge8+//5q/Z2t3mX/2rf8nVy5fJsljx/s7I92eLsKZRqVRotJqsdFdJipxnu9s4rku3aytpfaXCaa/PZDojzTIOj47IsxzH8VheW+Ob771Lo9kgzlLysuS036NZrdGp1v/pnVjU6dE0NdHrukG9WqU0ddJc+RrmRUa97pxbkQhUSoxtOYz6Aw5394ibEYuNDuurqwrZmgPGw9EQaRp4XgUh4PT0mI2NdZYWu4zGI07Hp0wnY5x6jcVmm0sXLmNqGtvhU+KpTzbnvFzc3GQ0njAcT2lVK7z62htcunyLe/fuE/oBRVlQazTY2d1hOBxxfHyKLAXra2ssLHSRRcGfffs91dYvSxy3wvazLSbDIZoE13bQUHGJea4mdcRcnZylVF33nIss50KuM5VslmUq3FvTzikuv9d+Pf9/7ffVBfOjLEulY3yBh/fS96/g18Fzjt3zcTxXueuK71mpVHhBd/H1DwF5XrK3f8ijx4857Z1Sq3gsLS8xnk6URYR2bl//R0tGIdT9Xa1UKcnJ85IkyVhfv0C94dJqN3n8+ClJkgEatXqT9bV1XNejLErqtTrdhSUsw2Y2ndCo1SlzyXQ4ZtpLqdYcNjc2OTg6JdU1hGlw69YrrFy+wKcP7lEiee/dd1loNLGFQAoDV6hOkCwKkMoE2DruMwsCpv6MllOdzwlqDgrjGMdxGM2matNnmix0Flhd6hDnKpnIDwOCOKI36rF3fIBtGly4dplmq844mFIIiWnqVFwPzdAos0LZiRkalm1w47XbmPUa+hf3mE58njzZpdVo8f7WLxhsbdExbZXqJASe4WBpFnGhAuiX19dJkBwc9hj1eph5wc/+5m/w/Qk//u73+C/+8ic0XRsLSCWEZc7+ZMSkKHm8u83p0Sm1RoOtrR0Gh6cYpcDUDWrNOm6tRiolxTnNQFF5sjTizW/cYbVeQxNw6+oVZkHE+uo6V65cJksT4shnodvmZ3//t8RBBEjSYEJvNGB1zef6dQfdKNGRjAYDVtbWWFtZJYoT7j/4gvFkwvrSMhurqxRFiixjAn/IzE/QRMF0OsSr1ogLyeLqGksrq5iWheNWSLIc3XKo1TvMpkPipMCrNsmigDRO6LY73Lh2nf393blPbonQDZZWOjTqNaIgZDSZQiZxXBtZ5tTqVTTb43Q8o/RhpAsoMr797W/jWRYfffQRcRAyHg4J/ZDQD7BNm8JUVnLVahXLMCmy/Gvfiv9kxO4lAq9QxZymK++4OI3Z299lFo7wKraK+spL+v0Bg+EIXdep1iosLHRwPIdZMMHUDFzXwnUdouiU6cQnz5TxsWVa5LkkTeO53YZ27iWTlQUiTChziSaUDL3IlFeZQGAIA0sziWcRpmUyOO1RpCl5mszbygWGoTOdTnBtiygKCYMAKVWbuCSHs0aZVLy/spCUJbiuRxwl/Pa376tizLIwdAPTMHFsm9WNdbIsJssSBv0epqVjmYYKtDZNyixn1B+SppniAkmV1WgZJobQaDeaWLZD//iY//Dv/z0HR/uQpXSadYo8pX9yQr1ig5TkecHf/f1/4pPPvsB0qrz62ussdBdpNps8e7bNaDDkzp07FAK2d7YZTgOyXFKp1s55QS+1HF+4zqUQJHnGNPSRmkZ7oY2uaayvb6Lp8GRrmyCc4FQcBpMpJ4/73L1/l2qjxvqFDU57pxwdvc/ljUtUXI9GvcG1mze5+8lnfHz3I6IwQOgaeZ4xPO2xsrGmfKDKlEwWBGlCIX2q9Rq242DaColrd9oqbzNLcHXn/P0WZTG3+DCpuS6xoRHHAWkWI4QgzzNOT09J0xTLNBiOhix22tTrtX/UYnZ2RxSl5Oj4GN+fgRTcvH6Nt996lcVum8ZCA03X+dnf/z33739BieTN117l0uaGKohL5cgu5z5syg5EXYWzVl1SZuzt7zFLIvrjIbV6HVlKPv/0Lo8eP2E8niKEzswPQUoM3aAsIQ5j1jfWkIFPURacnJ5S5DnNav0f5Yn0e8e8DauQJnAtm9PJiJKSJIyIswSj3Z0jTvNzVBQcHO4TBgG2aeHPfB4+fMjF9Q0QgiTL8MMZ09mEncNDvEoVP4y4fvUKAOtrqxi2xWq5wWDUJw8jOpUaC80FhCyouBW2njxRStOywK1UWF1dpVKp0V1cZG19k/ZCl+5Ch9PegO2dXX77619z2uup6EDbZWPjAvV6nds3r9NtN3BNfY5AFgwmPuQ5RZpi6RqGZmHMwV19jjbKeb6xYRhYto2Q6nMLTTz3+ywLfN+nLM54ZsrLkRcKOngZfftyCfSS8EnK89bqi6KJs5/P2sAvWpy88EK/93rr62u4rktZlv84lECqHqqmQaPRwLSU+XVeFtQbDWxDU+P79z7NH3o5OfcsNQCBYZhsblxi7+AZaRKhCwPHctGEQZFnLC+uYBk2z55sEfkxly5cYjrxVcu22abi2RRFxnQ6Je6PwHf5YPYhg8AnRKOsOGgrC+yOe+ztHrB3eIhpGDiayinP4phgOsXSDYK8QACO7Sg1eBARJTFNmNu2CIqyRNN1LEdlxCZZSrPaYHt7h+39PmGR8un9u6ytrXLx4hqaqfGt73yLqutw5+Z1Pv/oAxxLo12vUWYp9Vod5vxKYWiUQnLS63OxkExHQ1Y7XU4GQ57ef8g7b77NpY1NLjZbeIZBUShhmW1YOKbDJA3wZyEffvo5cX6XC5cu0e22+b/9X/6vpOMRP/n2t/jXP/4xSw01T4gCprMxz4Y9JqJgkks+//weSZTQqGmMh2PiKKLpVZlOxwhDcPHGVRa7C+TAJIgJkpzltVWuX1UovuKJShzDwGrUqLg59UYVTddJo5BBv4euqdDENEsp8gyJxvHhHrZpc/XyDdpei0IKWt0uD58+ZWtnD1kKLm5eIs8zDCF5+uwJ/XEfqRUEYUBRFGxubrK8doEPP/2Mhc4CeVHy5ptvcOv2DVzP48H9h6RhSJzmlFIDYSA1A6GXmLZHvdmm6YfEcUSepwjDIs4LpB9gWya2V6MocpI0J4hDMqGxvFnj0sUNsrKkyDL8yYg8iak4FhQ5eZxi6SZvffs7nBwdE/ghQsDy4hJOrUGj0cD6E76SLx7/JMTuRT8kxdMQ6KZqwyKUaqgoUvIiJ4iUgjUIQo6PTpgFPrV6Fcu15xdSMJwkGPNsNlCoW5YV2LZLs9tEpgXj8ZQsU7J2VVCqHWueZIgSNDQMYSjnfQRSlhRFScV1adYbTKdTsjTFbhkkYcigd4KmSQxDw7QckgjiOCZNUtI4wbJM9PkCKaWAUkMzla1CEqUICjqtBQzD5LTfV/yqrEA3DOq1OmEQkMQR/nTKzJ+CKHEck2q1gmUalHlO77SHEBp5miPLkiuXr3DhwkVGownTSUCj2uDkpMdpr4dEkmUxWp6hlQW6oVHmGcPhgFKWGIbFgwePyIuS8aDP1s42nlel4lY5PDggTxN2t7dZuXiR1fV1dveP0cuYS+ur6Lo2V0bx0jZdSjm3MQA/iojylEqjhlepcHgyUJ/F0jk4OqAsMmzXYjzz2T88JEpS4v6IO7dv41kOu1v7WI6H0A1+/Zvf8Jd/8SO+8/3v8GzrCWHss727zWxcwat4PHn0GGFq3HjlFkES8+DJY8igWa9RlAWNZpNWq8Xb73wDcz5pSgfObJct02JjdQ0xV8CCWuRs28bQTaJ5BFyeSWSRYVkOnYUFLOsrzIn/6KFePYgi+oM+WZ5y5/Yr/Kt/+VcsL3RIs4zjkwlhHPHk0WM8x+HHP/4LNjfXMU0DAwjTlEJoGIapBElCqboLVORUmKUMxiOl/lte5Ff/8EvuP3jArRu36A/67OzuQimxLRtNCEzDQNN1pCaQhuK8up6HrhssLS3h2Q7MFXT/FMsbNS6Yt9FU4eY6LouGjq4bTK9MebT9REUuadp5Rmmvd8qnn35GmEZKUZaWuJUKY99nNB2zs/WU4WhAYdkUQtBoNHjj1VdwXY+sKCk0jVjmTH2fYBbw6O49Vjod1ptdppMx20+3kFnBe2++TaPR4nQyJEoT/ux717BMk7Hv8/nde2SFSukYjUasLK9w/fp1Tns9ilLSrFdpNarkWcpkNGJ3PGFtaYlKtYImoUxTyjRFm7fclRq7RCsFpVbO4xEljuNi6PoLRRVIoQoVWUrCMKQoy3MlNF9hb3JuXyIUj/jFe/LscUIIKOVcmSu+VAzOCz2dl5HDF5S2qhZ73o6tVqtcvnzlawonvvrQNIHv+xwdHdMfDrEtg9Nej1tXLiEFFLI8z6P9E6OMJEnUOEJgmBYXNi8xGg/Y3x+StksqboNgusVgOGG8OMMyR5wcDWnWGtiOx2Tkc7B/gGUa6EtdFhZaTPsjgsIk6EdM+1OKious11i8fJXu1avMjoccf/wJN1+5zcbmhfP3sn9yTBDHOLaKljr7siwLKVR3QaL43UkcE6cJQlc+i6ZtkRcFtWadSt3j17/9iLfee5s7t19BmBquqZMnEd/+9jfpdtoYlOw+rVOpeNiWSSkktWoF13OYzf0W81xZgh3uH/Lw87t88uAx0zBiaXmVv/reN3nnjVfQk4xkPMIyNbJCMpuGUAh0qSs/z0qdWX/C4eEJW7u7HPV7vLK5wU9+8H3Wmo05nKFmVVmWrC0tseZ5PDg4Jo9SNlc3MQwTfzDCs2zu3LzBaDSivbTIa6++RlYIdo6GPHy2w8lwxI0b11m6vo4AxcsWoAs1Nn/3ySccnfS4evUK436f93/3AePBBEOowkrX5zZJWY4pBP/6n/8VNbvOv/l3/4EgCOi2O8RJRlmCYers7+xBEvPk6WPCNML2bFy3BoaOV23x1jfeZW3jEpQFlinYXGnTalaoVj2KQtI/OSHNIq60rmMISRr5lGmK53gkeY7UBU61QhiA1DVmScw0itjc3KRum5wcHxMnPs1mnes3rrO8uU4mJSe9HkEW02w2CGYTTk9P6bbbjAYDoiCEUkV2BlOfYDoD3aS5sISpG4yHo699H/5nt2KFEHOzYAvN0BX/Ki8pZI5u6DiOiWGYxFFKEKj4r0rVxfNcXNdhMh2TpQmaZlOWJWmakqYZeZ5z+doN7ty8xb1PP2cymc35c5pqU2pzoXYJRS6RpsA0TBVBNScj65qGZ3uUWUmeZmhIijQlEzDo9dAtgziJqVQqtDttxoMRQgos0yRJEnTNROoCQzMxTAvHdikLELZOXMSMR2PqtQYaGlmekicZhSyxHRc5nbK9/YwoCvH9KWWZI6hx8eIGgT8j9AOEp3YkWZKhawZJktDv9YjjDEo4Ojhg0B9x5mxvIDFkidQ0dFNgaALHUia4S0tr/PAHPyJD8NHde4RBxNb2Ns16k9PTU0zd5vjoiHEQsriyxGs3r3BhpY0lmBO9FXH5y6hBiSQvCz767FP8MKDUc3aPTimShG+9+wa7+yf8+te/wrYNNjbX2dhY4dPPPyOKIjzHZevpDrOZz+GeQmG+851vs7K+wng2IQoCgsgnTiMePnlIkca88eqrCCTxLGE2nvL5wy+IshRTs1hY6GDZNt3FRSqeR6vdVtLyKKSsqQlIm3PsTONsjCiLioODQ0BZMvR7I4bDCUUhMHSTZrNJu9XGdd1/3OCXqu2SpAnj6RTLtvnmN99lsdtBIEiimJ//3c9xXIf33n6HK1cusLTURYgzKqDEMW2M+cIthCBOE8aBIvwXsiRPYnrDATPfZ6HTYRrMePLgIQ++eAilYDgcI6Wk4nnUKlUcx6RSqyFMAzn3dhvPprRqdVYWlzFhbnHwT77jlaoSFUgvBAgpsXQDXTfQpKAsCmazGWmSqNZYWeL7PkkSY3sOJ6enhEGCRGfvYJ/FxS6mIXDrVRoLixQSGq0WcRxzcHzELIxYXlnFcl08w8Zpd5kuLHL3k0+JJz69gyPeeOUVvvuNd7BNg1xKBtMR7Vab3rA/T80pqFXrxGmG4zp855tvgxDsH/fwfZ/NtWWCKOLo6Jij/X3GoxH+zGd66RJXL19FpjnhZEYaxXimgWNZGIZGUebIvKCQKruY+RjMi+LcF6wsVTEDiouZJMn5z+qMcn79v2wYrPyz/jByJs8zHn5fPKF+Nw91eAG1Oy/s4Fz0JqWkVquxubn5lX53f3pYPH9svVYlzTLiJMa2KviBT7vVOlecn9v6/NHXgzRNVKqQ0LAMh7KAIIhx7CpxmFOvtslTQVkYHB30Wexu0qy3Wd1YYTyeEM4iBr0RSRTz3jvvMBkPEMJkGiREZUlQFuiGzqWlS/zLH/9rSkMjGgbMkgivVqXeaJAjMYSGV62wefkiuqbjWoq76jgOnuuh6zphFJOXOZZpU5aqg5LnOVmeoek6uSx4/Z236A16vP/h+xQ6vPPum1y+uIqfJBRxSCg0+kWOVuY4lolr2YxHI0SZIzpd6o06+/sHFGWCFAaea1FxbeLZDP/oiMFozEKlytN7j7m4scaFtS4P705IZIlV6kyDQJmalwpBPj08Yf3SNco44m/+4e+oOAbrK4tcWlnBKCRCh1KUoAta7SYZghjBlaVlfvSd77N7MuTp0y12/AhLlvjjMSvdBf7yL/8C4Vb48KPPmQQxcVFguC7CNEgLcHQ1XgyhKcACMA2dZ9tbfPTJJ5wc7OPqOq16mziYkUlBVmbEaYqORuJHjHoDVq52adTqLNVqHPb7DCcT0qxgPBmxvLKo0H5D+QrGecrxoIdttqk1lzEMi9WVJd594xXSJGZra5t4eYlWp803v3mHYf8CP4t9ZpOeaqnKlJwSNEiKBM02KGXJ4voqURSS5QVxEhOVgnQWkRWSNFW2SRcuXsT0bPaOj9GExNBAo2T72TOePn2G51VIooQyL7j72ecEQUCZl1imhel4incsFPr+dY//PPGEEEreaxnzm1ZFiQldETQ1Tf2+LEuKMicIAqIkwjB1Gu0mAGEY8Dxix6csVfHmOi62bRPObQGEEApF0ywMw0IITRHfDQuE4tRZhomGQVGozDfLMGk0GiAhz1OSVKVZ6LpGluWkYU6SZWRpirfiYlu2SjWwVPtY0zQs00agY+gm3W6XYX/E2vIah/uHjPtDqpUauqarZItCmSgbhkWW5ZycnCBlcb5rr1arLC52VYBxPiTLMjSUPYttGly8cIHr12/w61/9DgGcnp4ShTGWYSA00LUSTUhs26Raq9BdWiArUqIk4bTX57O7d6m12hwdH7O8uMxwMGJnewdR6lAqfEX3fWzHYjIZI1ZVTJoq6sq5Cla8TK6RUJaSp8+eMZ35LKwo+HtxeQXHdZAoF/1arUK1USXKMmUwLGEymqCjYWk6r96+w8qlDYVq3bnNr37xC54+fsQsmtFd7rC2vMLx/j6TmU+71eDS2ioHe7tcuXgJzTaJwpR79+4RhSFpnrHguXzwoWrjTkYTlv75MoZuUc5Rxqk/o1GpInWDw6Mj7t67z0Knw8bGJsfHPcIwBamjaSaappMkMY5jn3/ms8UF+Tx+7XzYn+9j1SnL8pzpdDonfFeVkjoO2dnepsxzLMNkdWWF5cWuSmShRKASJWzLep6tisoY9TwP07C4cfUGKQXjj6dMA5+lpSUuX7lCFiX8p5/9nCJXaJkGajPkFNRqde7cucPRZIjp2gxnEw6ODqEoyC2bdq2m/pj+QlvspQ94Lpl84ccv0zAgyTKiNMF2nPnrCKI4wveVMGhxoauugatyfaM4xjRMbNdF6DrVep2FxS5Pnz2j1W3TaXcZjYcMtp6haSbPtra4cu0apaYUbvfufc7Fy5eZzGYkccLKwhIf+CG//sWvWFpY4MLFi9iWhQ482X7Kx598THNhASkFN65eY2VxGWGYBFHKUX9IbzDGmRfyaytLVCserXqVje4CYRSRF5IkTWk16iSZZDybMRmPSaIIGxddUyh3UeSkWUYmi3kiikIqzybhs46GmAskwjBgPB6fZ7Jqhn5+vl9E7b6qSFPn/vf5cV9VEALIUiU8nHU3vlzYnUVTqJansjnpdheeC9v+6PFCLOEZhDsn5nXaLdrtFgVKCNMfDImSlOda7PlzzwrIF7YZUv1C+dyddYYAXdNZ6CzSanTQhc7e7hF3br9CvdYmCDLSRFKvtknigu5Cl2ajCbngtVfe4PGjR3NbqZTtwwNGec4kiLh+5xX8JOPm5nWudjZI85yFV5qcHJ/w3bffAykIkxjD9bCrFfQ0Ii9y5HyunFOQMUxDhcdLZRFlmubccy4/t/CqVKssry3Qn46o1lWr7unTZ1zaWKRqGniWzWAy5fNPP0EmCd1Wjc6VS7SqHq5tYlv23F+1UEk0RYbv+1QcW6HIcYIrJU3HJpwMmVRsjNUFavUqSV7gmgZ+GKlxWaj0iuXuIjevXuX+hx8Qj4Zcu7LJX/3oz6m5NqJUXa8SRcU5Q+1MoeNoOmvdJf72737F6UmfbqNDEkzZevSI1aXv0G01+GL7kG67w4XrC9x7ss3S2ioHp2N6x33eu32ZtbpDLkt0VPet0Wgwmozp9fo06k1aFY/+wSFhUiAQmFJHM23CKGU2nPDTv/lbykRnY32N5Y01Hv1P/zNlWfDa669imTa2EHz3nVf5186PeLR9yt//8lfs9wZ0ml10s4Lvh7z9+k1aDQ+DCqPBgL//+S+oNFosrawQ+DG6oTELZsjCJE8jNKnMgi3H4rg3QzdMXr12BSkFmm4iEQz7Q5JxwImEmT9hOBzz69/8mok/IZUl3e4i09GAOE5Ikow0ydB1A8t06HSUKXhuZaQyQ0oV71fxPIQmvjru8g8cX9+guCjUvTu/0TRDxzB1dNdGmAKppaR5RiFVLJjUSjRdQwoNzXAYDiZEUUmSSGyzTsVpYAgTTWpQQJHmoAmSJEUWJa5tMh0N0IoSZ85TKIoETXs+cem6jmGp1q3j2rhuhcAPSBIFi8siw5/McB2bqutR5vPddZaja6r4NDWDMisJ/ZAois6LxjMWrJgXPkWeK4d5TXJwtE8QBhiOjW4YaCLDcyoEYUIGJGmJTPL5udLOJ/cwCTjpHXHh0iaGsDg6OiFNMwzDQrMs/CTm/pPHTKMA3TLJfZ8gmpEaOo5tYroOKysrLK8vce3GNSr1Or/49a8ZnZ5gFZJHuzukW0+ZBD6zJCDPClbWV+mdzBW+hkXN9iiFZDKNKKWm+D9ni7d4UYKguD2aAFOXrCyuYGLgjyfsHxxwcHTI8fCUV25epVJtko8lg5MBH/zqt4wGQ0xdp1l1ef3VW8RZzG8/+ACdEs+yWGw1qFZdqg2PxeU76JrG5QsXGfb7HPeO0Y2SO6/eYJZMef3N10jLkp/+/Gd4zQq5KEimYx5v7VBkBbdv3mJt4yoJ+jyD18fQdUWqrgpyYLvfYxQlOH5CFCQkRYkfx2rCKiXjic9CWyF2pVScqeeHVMrD87OivVTrCA2iOCOJUsq0YNgbs1Bv8v5vP2Bnd4eLVy5z/cZ1PE/FzymUSztfFM8d+/OcNE+RgOeoxwpNxw8DZcwdxRRxTNW20WWpCkQhkHmJZlloQqcoJI1mm053kcULG9QrVZ48fIwscmQjI80leBVFmXj5E75Uu/3BRX3+mDiNefDsKVGasH7pAl61ThiH7GzvKHTYgEsbG1ho+JHamD24/5DToxMqUYOF9iJXr1yZG55Do1mn3qggyUhOh1TrHovdRS5fukKYJCx1unx27x7j01P8YMbe3h6zqc9iZ4HNtU26nQV6k4Dtvd8QBzM6zTbf/+Z38apVhG5gVjwkAk1CxbNoLTQ46J2iaf8/2v7zy5Izz+/EPk/4uHG9S28rs7IcquAbQPd090xzmjNNcpbkcskj7dlz9MfojV7oH9AbaUWdlbTLpbizXK7YvnvawAMFlDdZ6c31NnzEoxdxs1BAo3swOlKcU8hCZtZ18Zjf8/19jYHQ4PTkhPLmOjnNAgVyhTwX9uuTqU8wmRD7If1Oj8ALSDQDgUIQ+PhhTBjFJAhUYWIaFpaeA5lmPDoEhqoh0wgUwWQyZjKdpVZIsjUyvfjcvyiynt+LFz/+r1Bgsq/K80P1TALLhVW3FDOfUflFi/cL7tosglHOVilFsLS0iGlm2bcXHM8/dcnZWs/MHjxAMvIj9k5OmY4nmLpBIiVn7S53H+2yVJ/DMrSMezdbadSvOTYhYTr1GI+m5J0iuqGBUKmXm9y69hq3P/+Ez7v3iVNBrdak3R4yHbucHB3TnGvijT1KpSIv37rJ8fER5UqB0XjEyekZ7U4XXwoUy+DKtZe49+ldlnMVmoqByNv0pM324iaVXInOYMB+t8trO9fRFQ23PySaW0ZoKrqhoBCjiRSFFClSZJwgtCwqU1PVjBuWREhSVE1hNJpgmqCqIa++vM3J6Rn9fpf5ZhPTtBmedanV5ynkDHQSWt0OoTvB0BQuLa8gxSxOU9FQVIVht8O9z2/TbR2hEuPogoVqEVOkdM5POCwVcF0PP0yYRB69Xo8kDNFSBce02ZhfwB+0+OlPfkzFyvPf/OhveOvyZTQJUpFIIZAiM38PJRxNR9hOEV8KhmGMphhsLG3Rb3UYuAG5okrRVom9Ma9eXiFIJI9OepztPmEy7OFFEY1qGR9BJ0iIpxO65y1Oeh3uP3lMQsra6jLhYMTx7jPGvS5pFKIZKjLNinsdDRnFnB4d8/jxE0q1Ko+ePmb30T2aC0vcuLpDpVZBxjHFRonRyKczmKBqNr6fUC3XubpzFcc2aMxM8JMETLtATMov/u7nIFQczeL61StUCkWiyMsOzqFPvpDn+OiIvd09Gs152p0+q+ubhFGKbTk0G6u4/Qkryxt89L7g7OQpd2/fQTVAtwzUNEEmKbqiMvF84jDCyDnsbG0yP7/I+dk5rmURRinTIKI2v4Dh5BhMR3gy+JPz8cXrGxd2uXwWAZWkmWGvenEyVQSo2QanKSq6knHtgiggjAOklMQpeF6IolisrCxSrzVQhY5ARUFFE1kUi0xSQt/H83x0zc7aKlGWmWqaFmkKQqioigpk7QNdz0yDbdtG1zVUTZ1ZrMTIJCF1pxiGjmkZJEmEUMGdTtE0hUimRGm2xKyuraFoGr1uD6GqxKnEtnPINM2qakOl2+1hWiZBGLK0skROzxO4ATIGkgCXEFXRiOME6UeousDOmShKih8kuJMpT57sMhpN0YSFquv4YUyhUODKtevYVo5Op4MbBEihZJ+zrmbIpGWSSIlmGDj5AkLROD45ZTL1KZQq1OfmMHM2bhDQmQxQdIXr166wvbXDnTv3yOeLqIrKe7/7gKfPdlmaX6DdGWE1S6QKKHKGuL64wMLzGLl2q0MYRVgWtDsd8uUCD/f2MGwTRTMRQuP+nXuEGz7XrlyBWXZpt9dm7/iA49NDyuUymioYTSZAysnJMW+9lSkPd5/tcunSJfI5k4f37/Db3/+WwXjIS6+8TLnepFqrsbS8xHg44eMPP8Gf+KhC49XXv8XNl26BqhIkIUedDpZhoAqB40foWsJJu0OKguPkuXnjJs+Ojml3fp2ZjKoqvu/TbDawLOsrm5l8PuYVJWOcfHWzSyXs7+/jex6e6/O3/9PfUimVGQ2H5At5vr20wFyzlrntiwzdS5LsdD8ZDRmOBiytrnDe79Lp92jONRGaiqGZWcEXZRmUKoJxf8j5eMLTJ0+yyatqKJqGqmkYlsWl7W1ee+N1NF2nVCtTKRZ56do18oaJrWtoCLRZDfAHJPbZ95HZgv4Vuv4XiL2ARCYMhgPGvkttaR4jTeiPhvQHGW0gCkKe7T4lDWMiNwCZWXzUqnUUyyBfKJDL5Xj//XfZ3NzEskym0yxCZzwZo5vZHGu1W/SGwywqSqgMul0ePnqA/bztnCOMEo7PWpx1erS7Z3znnbe4fvMWjm4QBiGnvQ6pN0G3LMpWnpN2m3EckC/lKZQKlPMOZrNJblbspsBwOCafd4ijmKe7z6hVq/QHfcaTCU4+CzWP4gTfD4iSBEVk65Fm6JiajoJCkiYZKqeozz9aRRGEYWa5fGHQK//wTnwZlZtxGb/OruRCWJHZyv4hxw6ytrtEfqmwu0D1kjSdcf0VDENnbW01S/qZFZh/srATzNS62et3A5/Hh0c82D/k6fEZR8dHOHmHSq2BUFU++PgTlpoN3rh6OZsHUmafRZKlzqizlrCYgQeTicvdu/fQNI3FpQVUVFRFoeiUKBRKWIZF+7zN0sIiTx4+AU3n5PCIxbk5bly/Shh6vP/h+3S6bZy8Qxh5RGlAEAcohs13v/t9dMNARikbi8s4mkZISjz1WSg3aBQreJ7LUGapKbZhcHnjUuaX6roZr1kFTZGozPiTSYKKgmmY2LaFH3ooqgBVY35xjkI+h27O8/ChTpjElGsl2oMBtVqdWr1KrtVnZXmRXuuMpw/uMO63uLK1QaVYIIljRsMhJAlpAkEY8+zJUzq9NoNeB9PQEALiMODRg3u4rsv7v/kNC3ML3Ly8ycANOGufI2diwSgOefxkl0STTMKQv/rBD7h67QrhbFSq4uLOKoQyZSolZ67HYDzls3tPcEcBcxvrpIkKjkUsXN6+ucV//S/+CQUnM6P2Uolc0eneuIJeLFIs57lz/xG/e/d9wukEdzDIvCtLRZpzC0RRxKfvvk80HJNOPXQlQwlDXyJUDcdySFMfd+qx+3SXg+M2K+tr+LHHcDLGckzee/fvmPoRb7/9LabhiLnmPIEMKFTzzC3WEWpMc65CznY4OhnSNTX29/a4c/cuY3fI1J1mqnmzyMHTZyiKzPhy3gRdE9SrNcajMZpqZHVNFHP/8RMeP97F0Cyq5Rqrcytcv7xFPl+k1+sDIaWygw6kYYBl5rLxnqaQJDQqVVYXF6lUa3iTMVGU0diMXIGFpWVUw2B0dkReLfzx+fiV6xsXdqpQSElJpKRUKmHbNr1+/7mhlaqoqCIrQnRTJyUllSm2nb0JVdUoFkqsrmyQyojjo1NMSyNKQgwjC733fZ/JZEIUJZTnGuSdPJ4XkCYpuq4DgiROMU2bMIxJkgRdz/I9M9NPn+Ewk5bbdi4zZ40TwiTCDVwQEk1XCONsIROaQjITWcRJRBxngg/PmyIBw7SIo5jYDXBmm43rZZ47ilDRVQ2ppYzjLPnCNi2CyZQ4TkAVmIaFqZrINCVWInRNIXAjjg9PqZSbGKaNnSugCIVSqcLW1ja//c1vieIU1w/woghUFTufzzJlo4ggjnFdn16vR6vbo1gskisViKVkOBxz3mkxHI6o1xvcvHmTMIhZXV1heWkZx8lTyBV48nCXXM7ms8/vk+5ssVgvk7fUWZvwxSs7yScSTMskiiOWF+YwbItWp42ma/x3/8//nk6/B6TYuRymaZJ3MgL6yd4xlUaVpaUlojjm0cMHODmLKAr57PZtfNdDFQq6qjMajqgsLrO6skLr7ARFAdt2SCKJbdhUy1UM1UBTdZxcgUqhhpCCpcVlpn6A63vUKxXmFxYo2tZzjmWr1eH05BRd1aiWyqwuL9GfTLL4pJmlTBIGLC3Moal/WNAEYcCd+3fI2TkKhQK1agPDMJ+jWnGS0u8PZ0pIndFoQBqFvPPOO5iWyf0H9+n2ulQqFUqlEmmaMp1O6fW6tE5PkSRYTo5iucQkCjjrdcgVS0RE+EmAN51g6QbFfIFatYY3nuK5QYYqK+I5x1VVVarVKuPJhPF4zJWig6EoWE4+c/rnizgx8UK3/aL19by+mBUAvFBYvFh7xEkCKDQaDWSvi6ZmhznHspBpQhQGyJn6UJWCUX/IcDBECkGpUuXo/Bwj7+C6HrbtYFk24/EQIWBxcZG1tTVSCaenJxzsH3J55wrVpTL37j3k5PAYXdOYn5tnMBhhWhaVepHHj5/ij8Z8/x/9kKuXt+lMXEIjxtA1KtUqfhLSH49onXbojUb4MmbFstGFiqGpqEAEeBImXsBn9+6TsyxqlSqf3L3Lxto6j+/eZzDosTQ3T87OEYdBVojMvMUURUPXNDQ1y4j1PZ/A98g7DqaRGQBHUfQ8iurr2qxff3397z33q0OCVL7kb/e8FSvlc7Tu4jG+JL5IE5KZu0Aul2NlZeVLj//3va7nhz8Ep+02H3z8MYftLt3xlNF4SBSH5EsFhCoZTAd8fOcT1lfnqOeLTCOf4bBPwchl1JuvrD2WZbG6usrjx48plYuZLyEzqxjXwx1PePLgIT/4wT/C1HTc8RAZJZiahqZJTlvn9IdnVGp5xtMxx6dnnHdP8KIpC4vLLCwusv/sJOsypWnWwQFyms7VtQ0sw8QdjghniUNSCHTLJJYpuqZhW5mvqWUYGGpme5GmSWbKnirki3lG0yFe4GEXHJx8Dm+2Tqmqjm7ZXFnfYex6TMPMb69eKbMy38BIQwblIm/cuMKllSXu3fuc2PfRlSxSklTBMS1aZ2d0+x3qlTqWZhOGEb/99d+RL5d4/Y03eP/dd0nDCD8I6PV6BLGPoguIU4RU+MUvf4krIyZRwlTApwdH5DWBEvmoaUIx52TUFlVlKiQn4wm//uQTPn+4y3joUbTLLK2t8Zc//DP2P9K5ubNF2bYxhSAEYiEo5nSuXbuEn6SEccSo38EdDXnn1VeoFa6xsjjPSavNT3/5C/afPmM6GuP2+uR1M0O0FQPP8ylVy5QrNcRwzHDsoigaYezz+d3bJEQUyiXOWyd0hwPK1QY/+/VPKdcdXn31Td5+5yYATsmg2+9x5eoKrpfy7u8/IQkCPv/kY9I4pFBwsISO0LO14Whvn+WlBWzdQIbZfU6CBE0zWVnfJF+pEMQJ+0cH+HHM/FKDpfklak6VDz/8KIsTNexMXNaoohkaqjaLOk1SLMsgZ9s4js3h4SHHx8e4nodQdSwnh2Y6GIZBlKZZhGqc8k2vb1zYGaZGmmYb/bWdyzTm5/jZz39OmsbIVEVK0DQdyzJmiF2IrkuKxSKKomV9aEVlNJowGPRod7LCrlhyWFufJwjcmaeTwLYd6rUGmmbiugFpmmJZJqqq0e8P8f0hhmHOArhVkiQhSdLMJy/J7AYcJ4eQEnVmaZKkEWmaZfkhBKmMcOw8lm6QxAlnrRMURUMzVNIkW/xyTn6m2hGUy2V63T5pmjAeTxj1JthqjkqpQrVaZzSaECdTLmLVZCLRVQOZCEgUFGmgoCLSGS6mqMwvLHHj+g0Oj44YT13OWx0mno8XhHhBiG5ZmLpBqVJC1zRC32dxeZWcY7K/f8jS6irVRp3BdMLR6RnjyZggjJ6TrR8+eMBf/uCHjMZjHj1+RL/fJ40Ei4tz2E6Ox/fus31pnf3jU5bmKpQLDrr4wmfqou3uRwnjqYtumqxvrON6HocHh7z99luMBgNOj49RBCwsLlCtVvF8n0qpyOnpKbGQ3Lh1g62tLe7d+Yz/27/9b1HULEatWCxwfHxMo9FgOvVwXZ+jo2MM3WA8HaEqKv1hn1o4j6nbPHuyx+7TZzy4/whF0VhdXqdarmSFv5q58FummbndS4GiCvaOTpiOpxTtHDeuXsPWMyuZMAjQNB2ZplRKeeabNVSRqcAu3vvFpup5Pr9/9z08P2B7e4dXX3uNuWYTEPT6Y46PT2emyQqmofMXP/g+3/722+i6ih8Ezz3CVCWzfEBK4rUV0psvZcILd8L9xw8ZBlMU04CzQyzbRpECjczjrVFrkrMcJlOfJBUIoZIKBaGpaKaBYWeclRRJrVGnUasxGY/J2za6qmbqshkie8GruwBd0jRDcuIkIU5ibMue8ab40lhIATcKUIXC2soq9bkmEQn9UZfBsA/ExJGfFTVBwGs3X2F/d4/9gwNqzTn8OKI76GPkc8zNz3Ptxg2iMGAwHFGtlBmNx+TzBQzTJJ8v8Oknt+mct3g6HHLnzj1uvHSDsTdmMp7w0s1bLCwuc+feA3KFAjdffZV8pc4nD56gRDG1Yp4b25toQpDGme1IkCaYTo7I94ijmDSVjIIAdaYOTXWdcRRjFEoMRiOmwRm67XDe7dDqnNPrtXFMHUfPXB8dx0ENQ8IoymLBLoqnVJLE8fPPWkqJoirPD65fjf36JtfXFmYvIHZfp4qFi1r9y4Xdi63eZJZi0Wg0mJube/473/T1SbLOR6s35Oj0DDeOiZOIMPRQlJRer8VZp4WiCor111Fsk0Hs8exwj7xls9BYwBCZiOzFrmypmOfKlR329gx6vR6FfD57vUnMdDLF1HTarQ6GplMrVxn0BuiqSrVSYe9gl8/vfkQs3RlaL8hVTPxdl7VLa/zFP/4R739yh157jKGqGT929r7zukl/OEGoKoaiMB1PiZMEXcnSXgSQsywa1SrDTp96tUpzMOXgrIU7nVCtLaDIFN3Qs3seeJh5m5zjcOfOHVRDsLK6Qr1W47zbodFocv/xLk+ePGNtdZ25Ug7plWgVC3RaZyTehNOjY9bnF4iDAEXKjJeNIA0ThuMRoCBslThJMHSTRq3OO299i1G/lxnejyects9RdAXD1hFRwqA/JoimJJpCYtjce/CIbqdN7E/ZWl3kr7//PeqlEroAP0kpKArCnvCdt3Jc2rmJFySQqJx0TjntnGAYClcvb6KrGf3FA3pJyN29Pd7/+DZjL6Baa3Blc5PI99i5tEEpZ6FIWGk22V7b5Pb7H6ILBcuySKOElbUNioUiXhBRrlRYXVvn/v0H+EFMvligM+wQJNkBsVDOo2iCxlwVp1BAKpK5xfksm50E08rx0s2XZuJGk6Hn4aeSNE5pzi2Q03XC0OP89BxNKtQrNUbDAQfPDrAtA03RIIZBe4hqWkQohIlkMhyztXMVJ1/gys4Ol1aXufv+Pbq9DrlcjnJhgUrJolot4AceKALd0IiimMD3UFUN09CYjIezdURFM23mSiWcYgVkltGOFCTJ/x/EE7qqopoamq5x7fpVFEUhjsJMSp9kgolsMVBmG0UG8SeJJAwjkFlaw+HhCUJALldG1zOrD0VRWVxcAgH9/gApBf3+AHMWExWEIWEQI0Tm7KwYGqCiaRkd1zAMPM8jDMMMfYsSXNedbagKQqiIZLY5yQjT1tE0hWqtiG6ZtFttotjHNDJT4jhOsUyLlZU1DN1gMr2bOWzHMZ6fJS+Yuo1uWuSLRaI0wco5TLwQRdMxdQPd1CkUMyWYLm2qZpMkjen0Okghacwt8uobb7K1tYUfpVSrVZqNOZ4dHtHujNDNAtVKGcs0CH0/U98pCkGc0N0/5JVXbvFf/lf/Cs00+T/9X/5bTk/PUTSN0XBMqkjOzloYhsFrr7zG8uIS9x/eZW9vF1UYfOv1t3n85CH9YZ879+/RqJRYXqyTiqypc4HsyJnqczQZc3B4CIpgbn4B2zZRZMr6yirnJ8eZOIaU+YUFLq1vYhkmgecSRTFLi4soqspo2CeJQ06OD2g251iYn6dSqXBpcxNVUdAUlTt37tKslllfWwZF4IchrVaHy1c1HDOHYznEYYLvBliWhjv1OO/2cAydKPB49ze/4/SszcLiIjs7V9hcW6TfH2V+bqsrbK6uzDb6hHjmTK8pCo5tUsznnqsYZ74vSEDTDV5/7Q2EyLzo3n3/A867PX7wgx9g5xzGk8kMZY4pFvN8643X+fZ33p5lAUNey33NbBJoauY/mLNtnFIJu1rmg7ufcvf+PfrjESvLyzQLZd585Q1ap2c4+QJLS8v85u9+TxRLJOrzAsG2bba2tlhcXMQwTZx8nkG3Q8G2sQvFLPmD7I94MbkdMnGMTJm4LsPxiFRKlhcWZ4hdFo/1/O+kmWGqqmHOHNa91Mfrt1EVsO1MKaopCr7nZZuOImYq7uywt7S8TKVWo1wuM9dscnJ6TL3RZGF+ntF4yNHJKdtb2zRqBeYaTdIk4ejgkLWlFYbdPuf9Fk6hSBwnPH7ylFa7w9vf/g7zcw1SVcGNEzSZoho6rckEU9cwLZ1mc4HDzn1U3SBfzBCgqefBLP8xkZKh63H3/kOub21y7eo2sRdwV97l7PiI4bBPkkYEgYfnu5miT+gIoaKIrLBSZgKCNEnQVBXHMlEVddYqzeyUPM973ua8UPb/f3Nd/PsXEbuL77/4OwK+VMi9mEwhZz9LkoRGo0GxWPwHFZwXWis/ijlutZGaBjJlMh0SxT5qIhmNu/jekO3LW1y/cYVxMEVTVYSaPa8f+ZhG7uLFXbxKJDJrwy4uEYT+cx6o72foU2lmjBsGAeVyGV3LnAxarXOenNxl7HYwcgqPnj3CtHMomsn65U1yuSapgHa/i6KYNOaaLC4tZs8qM5oOUqIrCvVKlZsvvYSqqlnOrRAz0QwU8g7VUpHxYEqlkOe81SWJIuI0Jk0jUplk3EAp0E0dTVcJ+lOub13h+o3LJDLh6PSARKbMzdVwcjbNWo0oSRgMulzZ2ebhvTvsPn1C6Ln4fvYnTeMsr1wqaFLHSE0s06ZSrbG9s4P12SfU5urMzze5/tJ1Ck6OYrmAeqJQrZZYubHC3bsPODo7JQSkYSDTmGAS4jkJS0trVJpzmPkiumGiSomTpd5RsGwaiaRcanJ82sYwLHIGDDtnXF9dI2dZTBNo+y6Pemd88Pgee3cfIqOUxcV1LFUjdl3uf/Y557t7lPIO6qxwOTw6JHZ9DFUjX65QL1coFcvkc0W++/LLGKbJYDiiWC6jmyaKqmfRc4aOaZukMmEwGlCoVrl282VuXL/KzqUNpBR8+OlDhGqiGTbVepWD4xEj12fq+kz7fRqVOuuLC5wcH+JYxxh5Fd/1qBQrvHTtOg/u36ff7WDbFqkCxVqZ3ZMjFCPH/MoyL7/6GoPBMEtCUQWDUZ848VlZXSANR/jTPgfPzgmjgChN2NzeRtNUkiRE01TiJMSyNHRdpVSpoFsOc3MNFMNh7EV4Ux/DMCmVyt94bn7jwi5JLhzSIZ9zmLjTmY4+szdIw+z0F8VR5lMVxSRpMlML5rLsV9Ug8H2KxSKFokOv12bqTWm3OpTLpect2ySWnJ6eg1TJF/LoujFTdWU+UZubGdFwb2+f46OTbGLrOkHQnS1UIZ7nUSqUUGVKkqZchIUbhsqlS+ssLM5RX6ijWQaff3aH81aXlBSZKkiRkdl3d58h05TRaESapriux2g0IWfnWFhaQkiNveNjFFS2ty4zZ9sMnwZEUnLzldf55//inyCE5L0PPs5QqtBnb3+Pk/MTbr78KlGcsrd/RJxKVN3kypUdnjx9xnlrkPXra1WiIEPwUDQWl1eZTsbousHlyzvkcg6KqrK9fZl7jx4xnnrk83km/pTAz7J3/+53f8fKyjL7+8/wgymaknL/wT3m63P8xX/5N2hCpVEpIAydKM1I+RedtwvE7uj4mMPDQ1IpsQyDarHMle9uYJsGj+49wBtPMG2TMAwpl8tZO6Rc4PLlbUqlMp9++im/+vUv0JUUJ2fRbNZ5++1v8fDhY8bDEUIohEFEznYIgpC5uXneXH+D+48eYjp5+v0+2+ublJ0Sg86Io70TzttdbCvP/+vf/0cWmjVqBYsgCCnl80wGY4a9IftCYzSYkoYpi805HNNCSBj0+kRRSJokxGlEvVohbxnPLUgu3juzz8HQNF5/9TWEUPm73/2eXC6HZdmZcEZRcWecm2q1whtvvo5l6tnjvLBR/bHr4nlM06SQz5OzbPYPDrg3GJK79hK2YlAplMjni/huwGAwopAvI9MhQhWoM5+6yzs7bGxsZIebOEYTKrViMePUwSwf9uKOfrmcUJTM12r/+JClpeVMuAEkMrO6EYpKIlP8OPiDEAQFwWg4oNM5ZzjsE0cBSRLT7XbZ39+n1+2Ty+cIkpjOcMD8/CKFYinjzVoWC4tLBHEEioKdc2jONSmXK5ydnmCaJmmSkrMsZJJxg9ZW1zk4OuYnP/kZiysrLCyvcN5uc9Jqs7G1ycJ8g06ni2abuGlKlKSZ430Ug24QSYg9Hyfn0Gp3WaxVMDWN9sTj6cEhYRwjNB0xUyznLYve+TnjYQ/b1AmjgN3dJ2hCpVAooJv2TMWscxGPmKYppJlRtCBbFxUl4xle5Lx+cf///tzeF33tsjVYvID6ieeF48XPXrzEC99/UXzxImdP0zRWV7/g133jS2SpNPvHZzzZOyBRVLzQZzge4AVTvHCMMhVYlsGljRV0DaLIp2jWWGrMY6s6lppxP79o7D7/YJBI/CDzFL1QoquqQs5xOG+fcf2lGywsL3F0eopumowmEz6/ew83HRLJADeOqVSrDCYTnFyJxZV1PvjgDveenmDYRV6+/hr/6K3vceXyJVIpiZKIIIoolMqkSuZW0On3MHSdarGI605B07CdApqqUimWaBkdTFUjZxiEcdYVysz6M9eGqeuRJAmnZyeU8gbztTIlU2f35JT22TGffPoRL710k1dvvcbYc9k/PuD0/ISVhTk0TUVRFQqlIn7oM/EnKFrG5YxjgWmYGMU8cSrpDoYUu10SITAdh1TTKJQLTMZjnLxNuVxkbW2F12+9wqDT4enTXeIwQVMt1jZ2uP7qy5x223iJwvylbUJdx0VizO7LxPX5+S9+xTROuXTlGjlFUM6bXFm7ztlRmc1GA0+mdIdj/uNvfsWd1iFKwWZzY50cOnEoeXLvIadHJ3iTKfONBpoiII4ASOKI1flFbNNgZ3ubJ48f0e8NqJQrVOsVVlZWefjwMSkS28mhqIIwikBkXNFg6oKmE0Uxq6trXN3cpKppBKmkauZo9Sc01xfotvrUmjWK5QKO9Ta//9XfcXhwRK1QIghi5hcW0TWVs4MDypUyr7/xJq+8/Co/+fGPOT05oVab46zVpdPqc+3GK7zz1repzzcyik9/zP2He5ydH+MUTAa9U9xBi8gfomuSOAozf9LxcLZmKBiaQhIGaGZWVzUaNZxSBStnYedLmI5EMy2qzTr2DLX+Jtc3V8WGPrEQaHqedvucfr+fqaJQZpMwc2i/4OmImQt5msiMDKhqCBQ8LyAM+4xHE1rtUxQtwQ97DIY90jQm7xQAhTDosba6yXA4JM3yeAjDCIFCLpfj+9//Pr/9ze84PDji2bNns5ieTF6eJPK56ks3TVSp4LoBiqrw5ltv8q23XmN+ocH+yTP2jw6I4gBVmeW/JpI4SYnChOPhCb6fKVEmrj9r4yqUqlWEpqMKnVyxwM72Ff7lv/wXuH7I//7/8H8kcj2G0wkLK8sYhs5Hnz/A9312rt/kuz/4Af/u3/87Prtzl+FwhOPkWV9fZ+fqVXYPjznv9LDzhcy6QyjEMiPfetMpveGISqnIpZ0t2u02v3/3PVbW1lhZXkZTDVQ15Nr16zw7eMbp2QmqqtLrdfn4kw/xfY9Go8ZgOmHQHSET+NVv36Vz3mJ9eZGCk2NjbZHXr155gbgtSOKEjz+9zXm7RaHaIPB8Fi43cUydeq3M6twCZycnpEnC3u4zSrk813Z2uLK9zs7ly9y+d4fDgwOCIKBSKzLs99jfe4oiwHMD+t0+aZoyHkz58+/9OevLi7z9xmsomsJZu8PHn31OLl9irblMwc4zHU+JwoQojPG9kPX1Tf7ye28zX3JQVYWJG3J21iZKBB+++zG7e3ssLyzy9uuvYaiZKnXY65PE8SzzNmFxron+oinrC5yyDINWQIFXb90ijBLO2i1a5210w2A8mKAoKmmSoa7VauV5r1PKNEOL/8icylwisg120O9xfnpGMHWpFkrEcQRxikImSlJVjcePd2m3utnLU1WkzDim+UIBwzDodru02m0ubW6wsjiHKbJQV6GIF97TRYH3guktUCqVcfJ5oiQmZaaIAzzfY+ROUTSNs06L+bkFSgXn+UYsgOFwwPn5GciMn6oqFpqqUSgUePjwEVYuh2VbKGOF1fV1phMXy7JBCCzTZmF+EUUVHB0dUiyVOTo54tGDB/jTAFUKNtc3GPVGOMU858M+g8EQO1/g9TfeJEphd/8QP4pY31xDkSnj6ZhGrYxpmoRxTLs1YDwe40Yh7VabJIwQaYqCwmKtghcnjKYeJ8fH3Ni5TMG2sRSBpajkdRVCnzjyOe22qJUq9Fs9mtUG+XxGZpapnNkGzcqPNLNv4gXfqYtiN0t0+MK38I9lcP+p60VbkwvE7gIF/FN8vGzMffF8iiJI0syGaXt7+x+M1gH0BmN+8/v3GE5crGKOqJ/FHtUaVXRDpdM6Y2tjlc3VZWQUUi3VEalEk1Cx8mgIFCkz9d2XXnRWsuZsO0O+yIRcg+GQZwf7pAp897vfRTV18qUiqqmTRuCFIaubmwgt5OD0CTdv3eLD258SxCpnrR5hknmz/eD73+Of/eBvMBKFFNCFwNQNyhUTL0k4b3WolMsIVcH1PdI0xh2PqDTmUITANk10XcW2LFQh0FWVSRDghz62aaDpKoqmPk9i2t7e5NXr29RKOZAJo36HybCLNxlwfLzPxvoaqmmRipjmQoP3PniPNAwo5Gws3SAVEtd3SRVQTZ1IJpSqFV5943UePnmMN405Pj/jvNfh1puvEEQB+UoZPw6YeC6FXI75eg2RxKikGEJgoJC38ly7ep3m4jKDNIWcTpRzOPV9HpyfEk9GVByH/mjE7fufM3J9fBnz6suv0qzmQUhyBYcnZ2f8Yu+Qs1YLcgb/8p//K3xFMqcqLOUreNOAv/0P/5n+SRe0lIXmErVKiV/+9P9NEsesriyjKQrts3MW5+YQQkHTNVISfvObX7N5aYtOt8/Z2QmqpqDpOrbt4EdZGtXG9ha1uTmmbohp5UiDiIKuYSuCN6+ucTLw6U4DFpolhKEyGSeoQsfSbVrnbZ6Yu9i2wfHpGUkcsrmyzJ//xfepVevomsErr73B0fHf8vDxLn4UokiNp4922djaQtM1nHyO9373LuPhgJNnD4mmA8JpD0uElB2Deq3IZDLCyZewiw6GbmAYDeJYksQpSRIzGg0I9iMKlTEbm1eyYrxWxwslQSLxo+gbz89vXNhl4cMZiT6OM8VaEFzE99hcBHjLmZcPIjtdqaqanWJQkEmKN3GzSC4Z4/ketqOioGPqFkJJKZfL+J5PoZDn7Xfe5vbt23TabWRKFuwcZy20SrnMoN8jl7NxnDzj8ThTbvoBaSIxdB1dVSiVCqgKdGRAnErSNGJvb5dHj+9w0j4CVSFOotkGOzvJymxNdpwCppkjjmPGoymWncMwFZaW1/DDkPmFZZpLS8w151naXEXKlLVLm3x25w7nnQ4np21qjSrD0QjDNhmMR9x89Tr/+n/7v+H/+m//zxh2pox9tr/PL3/9G6YTl+F4ilB1hGaQSIilzDzDgoDJZEzgudy6so1pmSQJHB6f8d7HH1Fv1KnN1ZGk2Dkb3/M4OjigWi0jkxhdy8Kyp5OA2E8JPA/bdFhbXmF3b49Br0PrfItbW1toxovRJTIrroF6o8HlrS2moxG1hQaVQo5Lm5f46NNPiaOEJEqQElrtDosLTZ4+e8b/8D/8O6y8zdSdcBJMmUwyHuLR4QmKotJu9VA1nTSRHJ2ccOvmDaSmIVSFubkFDvb/Fw4ODvn8w9u88vKrqIqGTFMUCaQpq0tL5CwT29CQKZQcE22xwWd3d3ny5AmeH/D6rSs0KmXSWRcyiiNEKrI2nK6ysryIqorZbpUZQF/sXmkmi0VRFCzD4I1XX+bz+484Pz/jpZs3CHyftY0VXHfI+voauq7NxAfyOY/tj1Z2s6eMkojHDx5y//O7FKplrl+9SrFQ5PjJHqPpmOWlZVwv4PDwiDRN8TyfMMpsFBQ1olwpc3lnm08//RTXHbPQrKMpStZyTrLc4gvu4NehQwLQVJVLaxtMPRdFZiiSgsD3A548fYpumbhhgGnnyKkGpZxDQkp32MV1p0ymE+r1CsViHpnq2FYOVPBCn2KtQq1ZJ5AJx2fHVCFCUeEAAQAASURBVCs1UiVDBKMwZDQdY+g6ewcH6LZJ4PkMBiOGvSE7ly6Tdwoc7R1zdHqGXszzxptvoZkGa0sLaJbN2sYaneGIdrtN3nPI2zZTz0PVM49CoeqkQqHValGv1kjCiN3dPTbX1znvDdBUjWLBIW/ZTEdjepqO06yh6hqVYoF6tcLaygrvvft7yvkC5XIJyzZxvSl+mB0K804OTVEzO5vZ55pKmZlPS0EcJUzGU9IkWxe/GBjy+T24+PrF379A6J7fq6/h0mXCmOwx/7A4+0O+3Beii+wxyuUya2tr/0DenyRKEo5Oz5CKilMoUl9oojsaS2sLXLu2Tans8ODO5+gqqDKCKECXktPWMSXdQTjlzFroBb4nZPSP7LVI7JkQCrK2sePkWVldJV/K8/6HHwKC9fUNNNMgRuKFAQsLyyhGjJ7XOTo+ZTIJKZQrBKHk0uWrtPsjULL87yhOickO8ygQC+iPJ5y22jzZ3+fK9jZzxRKdbodmtYmm6ago2JaFY+WwZ10ARSqkcUzg+ViGgXLhUagIoihkPByjqhkVQpLieUOiYEyauAwGZxwcP2Xt0mVGkz7tkzM63RZXt7Z56cpVzo6OUVJJEifoujETKCpohsbVG9doLM1xsHdKu9umsVCnWq/ydG+XUjHP1BvT6XZYLNWIG3VkHOMOJ+hCxdYNmvU6c/MLFMplbi3WOXen9AOf07Nz/v1/92+JxwOW5husr6+BlmLZKgf7Tzg5O6ZUruL6PoN+n42VNa5u77BxdZtQKNRrDU76PRRVI1VUIqlgOw7N+Xm8qUexWCKJEiaTKbVqJZuXSUiv0+YXP/8ZzWaD9bV1FEXh4OiQIAwYTqacnJ9QqzeYX5xHz1nsH+4hdMG3vvUtXnrlFY7OW6imwdHJIetba2iKSU5XqOR1+pMhS3MVAgntXp/jwxbNuSably6xODdHv9+lNxwRRyFXtmwMy+Lho8cZ13vQRwL9wZAoSdEtC0Wo3Ll9Fy8IEKrg/ffeI/RcGmWD5lyFyBPMVxyKOR1NSSmVcuSLJYJYEkUJghRVkURpOIspTfGjkKkXMJkEnLf7zC2uEUkVDI1CuQR8M2XsNy7sKvUyEsnm1jqvvvEK6AoPdx/SH/TRExUhBYqWbbpJmoAmkTPiopL6WKqGpQqk56MLDSkkpm2iqFB2aqwvXWIwPif0JkzdKePJmJ/+9MdZAoQqZp5JCY5tIuOA3/7q5xztP0NTBDtXrpCmKb/7zW+RM7NQHYmpKsgooFApkkQFnjw75vanH9HtziPUlHytgJ23GY0nWRuWLBom8GNWlzeYqy5wsH/EaDzGzhWYX1rK1KX5AqVald5oyLA3YM4x+Xx3l/W1Va7cus693cekisLx2TmXd9b4i7/4M54d7PHgySNeefMWc0tzXLp2jbOzE1ZWl/n009v86t3fYeg2teocmmaRqgZBkiCFSpykpFGEjEIatWWCJKVWqvDKq7cQikKsqpTrZRRD8O77v+ezO59y7fJlLm1sEAUew04bLwrouWOUNCuApuMuqkh49uwhvW4f0zBJkpj+aIRVq0GaeVSFns/pySlCVSmUy6iqwt7Dh7y8s4GqKSSKIIwkjpFneW6Z115+lePTEw7PWjx+uovt5Ll8eZs4jvj4w/dJ00yEEwQS09LYvLTJd779Hc5b52xsrFOo13l0fMx4OGAyGuGYOfb39shbBbYvb+Pk8/zut79DyITIndAo5TEQGf6kwGDi8+Ent7l99wGpSFhcXuSlK9voAqQCfpwyGGdZwKpQmJtrsrq6hFAuUAgFIcVzdCtNUwbjMQXHwdB1bMvi2rXL7B0dMvWnKIbEyAnC1MMp2s/bY1+3oX7dpQiBKmGuWue1l24x8qbM15tsbG4SDgI++/Qef/adb3Pn6UPufX6XOM6MvhWRCTGEVFhbXUfTVOYadV69fo16IY8QgjCOmE6nmIbx3PX/xSrzgmqnyKyIKNsOZSs3I6PGKGoWPj4dTxm2OuSKRZ7u76MIsHIWfuzTHfZRdDWzvdE1hKHjuyEygseHT1FyGkN/xPDRXRRNw4pD5ueaeN6E8UQjCkOmkzGhbnDeaoGRxatNPBeJ4PS8jW2XaA0mmE6ezcs3WFpeIElCbMvCC3ymEw8TDW8whijk+rWrmJpKKCVD1+X87IgojFis1lhbWaVRqXB4fEq5WObRg0dUyhXW1xyWag3SJGJ/dxd/OGB9ZQndyaHYFo6VRxM6cZSgyMzLTwrJeDBEUwT5fB6rUCCJJZHI+MWpJIu1i1N8N8adBAi0WeWSgVQzZh5iJpLJBmJ2TzJu5BdiJviiDfvcwBgBMn1eFH21cL9AXi+0CdlTiCx9QGYoX6PRIP8PaPNcjJ0gjRm4Iy5f3eHOk8e4oY9iGuTyOratUnNU3ry1A3GMIjQib8Tjp5/jehH5pS0SZJY3LOUsO1Q8z9FQno/VL+ZRmoKpWVRLdbqDLp4bcN5qsXPlGs25OY5PT/DDkJPzNighZt7AMEpYVoXJNGH78nXeePu7/Oef/ZLJeEoYhyimyXkwQc78UhWhk8QSFY07n96haOap7RRZacyjpAmB54NjoCs6tuEgEpXJ0MN3A4hT4tEIrVgkVjUs2yGfJvjehKO9XR6tFJlfqBCHLqenu8CUNO6TxhJNd1GFS61i8Ztf3KbXaWNc3mZ43kbzE4q5HEUspkEEZpY/HKUeMS6NuSIff/wBpWIR3TRIgymFvI1ja+w9e8La4gKL1SrNRoPO/jmG1LE1k1Am+IHHhx9/wEb0EoXFOmPPxbE0PvvgM84e7pP6I1rH++w/fsDSXIMwDOiPXaxSlWeqjlA1/uLb3+Gvv/vnlB0HN4qYAN04oRclGKqGFkToqsKVm1dJNMH50Snddgt3MCBfKvL2d97mrTdeZ/fJI6LYpdc74eRol87ZKcVilZzjQJrS63Qys/PAZ3V9jfKkSpKGrG2s8vqtWyytr1Bq1uhPXQbtAafjKZWigSYyX0Fd1RiOXPS8RXfU5c7Du/zzf/pXLCzUOdg9IOx2SFGJYrh9+w6qEBTyDocH+5wcH5OmsL6+jqJqHJ2dsbKyys1XXqEz6HLn9qecn52wvbHCla1FinmdNHYZD85IjBTbNinU8ihCIRlPiJMARZOkUYKUAa7vo6k6sYQg8HHHLuP+iMlgTLHWxEsS7t8b88+3/s03mp//gEgxSS6Xy5zyFSVTqV4gG0IgEZkliSZIxYWzeMaTkBeaOpki08zMESVDBYSANAGZgmmYeKMJo9E44yWcnmLbFjnHQtNUDEPDMg38wOOTTz4iigMUoXF+fppFyEynWUstzdpNSRzRaQ/J2TqVaolCt4BpmVkxaqqU57IQ+VReqAJT0jR7n41mg5zpsL29xcNHj8mXSkgE1XqNo6NjljbWEJbF7v4hUlFYXlvFzOW4fGUHzTDxwpDjszOkAtvbm6yvLxHLhKPDYzTdIAxD7j14gFNw+N73v4/nBhzsnzIZ+wR+ZnpJmhAFEdPRmMB1iQMP0zCpVKu898EHnLVa5Jw8+4cHvPXOm2yvX+KgmSnbVlZW+C/+6T/j5OSQVvucZwd7xHGEoQp0oaBrKpfWVznYPyT0JmhIotDn+LxFo1adkYQFrW6f+48eM/F8Gs0m1WqZ2msvU62UmYYxrjvNDDkVlUI+j2maLC4uYVp6xv/b2mI8HrO4sMBCc4HTk1MUIbAMi3Kxws1rN3j15Zd59OgRO9tbnHfa7O7t0ahVuXxpi/X/3SL//n/8HwmTlNGoP4tIytpZUqZYhpIFfKeZy/10OuXJw0cMOh2qeYf/4kf/iK3V+Vk7P4v+6XS6qEAiYOfyFsVSfjaML6w+vmhZeZ7H6fkZUyfP0uLCjGYAK8vLnLTOOD45otNu47pTpu4UiZxFds3guD9V3M1+buoG13ausrW9TX80wLBsbCtHtVTGtHI8e7bPj3/8E1w3i6yKoghFUTO+6aUNrl3ZQVUUFhcWmKtWsk1RzjilpsHz+KgX+8tfuV7AhJB8IZiw7RyXtra59/QJqZSEfohQVFIBbuiBYKb4VQmjiOFwgO9FmVJ8MHq+buw+e4ZuWLx04yaT8QhD1ygXi+Rsm/zSKqPxMEORjQLdVoc/e+c7HOwesPtkj4k75fU3X+fo9ByCmHA0pdGsYiiCOAURxji2Sb1cwXQylXsoBP3BIFMKhxH+dEqpWqeUy5HTVCxVJQ0D1pcXOTw85fPhkMFoyPWrV7AX53HHI7qdNuVigZxlMRwOqJbLmJrOyfExc805BFnqShyHJGmcLXhCIISCZZrZGEWiahqj0RjP87NS5WJY/JEu7Jd86i7OGC987+syYS+4e3+QVPHHnmT2u6Zpsr29/Q+P0wOElLjjMU49U14fnh/TH3colkyWmxapf4apqhRsB6GYjMdTzjsDqrV5pKYw9KfkVQNHM194TS88/uy/L7aPgzDMkmL8iOFwQq87wLYd1tY2OD1v4fsue3sH3Lh5jfbglL7r4ocwv7TC9/7iLxlNAgzT4uVbr1DKFZBp5kOoG5mRdJJIHF2nlLO5eukS0g/Yf7JLvVhCVxRG4z61qzsoqkDTtUz9qgjiKCJJUtzpBNIUVVHRVBVFEaiqgh+4uP6Ee/ePGQ1aHB3tMnYHWIUcm5srOLbKcHCG705ZWqzTPT5mOh5yMvLRU4WiYVIsFJlM28RRRKopDPo93n/3d8RpwtHBLm+//Rb9wQBDLeHYBkcHz7h353PWF5d489otLF2hwxl+6GdrqALTyRB3bxcvDlCfFZjbWOF02uPOR+8TTUek3hiZxJyO2nj9NnmngFR0YsPnxms3uX79Bm/duEHRsjHJsnJDL+Te/cc8ODzk+2++xrJTxFQkVy+tUnRyfBB9QPvZIYaqMD/X4Pz8FM3QKBTzaFqGhqZxSOC6tCcBC0uLWLqBbRqYhk7oewwHA4aBxw9/9Nd87503cUyLWFHIFwzWChXiaoPIc0niGFvXKesKzVKOz/YOqCwtE0YJnV4Pz/Oo18oMOn10TaFaLtBLIlzf56zdYXF5kXAvoTsYZIkY9Spz8wukqoLnZZz2fMnhydP7lIp5KpUiecem2zliODinmNdZXVkijUKmk1FWA5Gi6SAjCSJFEuN5Y8rlKmkME3eCqiQgdXqdNlJRsctFRsPeN56b37iwq1ZrLC4tUigW8H2f6WRCoVAgX8ij6FrWGhKSMA5AZIom3TIxdAMSELF8LrXP/Mazs5lAkCQJo9EYp2ABCrpmksuZ+F6EoinYOZNiscywP6Tb7jOdTLLJqBkEkU9/OGA8HpFFRUg0XUUICEKPfN5mMO6j+oJCMY+ipgzHfebzTZxcgfNuizAIkSlomkoYRBQKDrZtkiYx0Szc3snnePzkKS/dfIlrL10jThMOjo5pd/tESZrZU2gqjWaTQrHE+Vmbjz+7zV/91Z+z3KyQM202l5fp9IboQjCdupimxdlZC9vO4+QKCKEAmRglSYA0JvR9oiAk8Hx0XWUyHjMcDvGDgF/+6tfsXLnKd779Nte3t9BVjZ31Td751lv0u136gwFHR8eEfoQmtIwcHHjEQhCJgH6nxeJcAx04PDigWt7i2f4BqqqyND9PpVSkPRwx8UJ0w6bZbNI+P2e+WEAVQJrgTSeoIiPnz8/NM+gPWF5ZpNvvUa/VKJdLnLfOUBD84Pt/zv7eAf3+gKtXrhEnCaV8kWG3z8N792m3Wuxcucwrt24x16hjaRoa8O133uHHv/gFv3/3PXRNJ4x8dF3D9z0++fQzVhcXaPWHFPIOe3uHHB0eUK1U+Jt/9iM2VhfQROY7mJDlT/r+FKFAzjKp18rPw9hfVAwKIfB9n5OzU0qVStaeFBkP0zAMDJEhLIamMz83R5okNBvNi5KOMAiIwoh84U8gIS/sYooAU9WYK9cQQsELfFaWlml1B3x+5x6NxhwnJ+dMZmgjSObn5/jhD3/IwnwTTRVEqppxvciUrkmSYFlW9gQv8Aa/tNW/8D+plKRSMhwOKBVLs/aYYH5hge5kzNLKCiN3ipPPCNvjyZRs482yeQ1VR0FFIUEVWcxeIV/M/Bx1i3y+gGVaWJZFpVJBVVRKThGkZDAY0Do/Z1HXubSywerCModPDzAMHduxqTZrlGo1lusN2r0uURiRxBJd01maq6EKBVWbJ4gjoiBgOplw+9NPiZIYO5djsTFHyXFQkpRup8/p4TGvvHQDNQ8HTw8IopBJr4eSxNhaDtW28dwxCpLAc7l06RKv3bzFeDzm3d//ntbZOVqtjq5pM9EBRFGAQKDrKlLOjImlRBHK82jEiw/9jwkmxFcLuK8gdl/3u/Bl5euXrr+Hw6frOpubm2jaP+CMTzaUTE3j1o0bdCcukecy6ffJOwamIth/9oD5hsXK4jKaCePhkInnYxgWlWodL43pnR5hxJKtxRXK+eLzovfrPpoLwUer1UJRsk316ZOnjMYjAj9gZWWV995/H1XVCIIEiYaqO0ynPYrlJtduvsbdB0/ww8zjdGF+AVWArqioAixFRUUQiYR6Psd8eYOrayuoCI4Pjpn0e4RBwHH3jOtXLqOJzLYil8vh5LI8zySKCH0f3/exiwWEAIUsB2Q6mdBpneN7A87O9jg92WfqjyhUSzx9onN2fsKlrctoqolIQ2xLY//ZLkaio8YKWioxjCxPPfB9UlWg5gw+/eQjwihgMhzzwXsJ9WYdmfqcHOtIkaIrKf1OG9s0ySs6cRxx3jolCFz0XI4wDvCHPq4/wqwUCaZtjo72OHrykIIQSDVGqJmJfxoFkDgYmoal6lxe2+SdWy+TNw3MjG1Pu9Xn3/5PP2aIYH5rC9cNeffje2w256gV87TO26iaYHtnk7ODAypKgTAIePLoAb/8+U9pn59luK2UqEAchaRRQM7QUYFLq6topsmg12WYxAgl80GVCEyZodFJAoZhgGngAAYJAslc3mZnY51OorK8ssLWpU12n+4zKZe4urNC7I0x1JSHjx5xcnzG7bt3aHXPuHRpk6W11QzllZJqvcqyt0h/NOZ3v/sNTtHJuO/ra4wGA/aTIXE4wveHlAs1pEzo9dtMJ6OMj6npqGo235IkizqNoqwz6YchfuCTs000FeIowJ0OKVQLWMY3p0p849m8sbVBoVDMCKGqQixng2h2qnRDj/FkyGQyQtM16tUmjeY8hmEz7PZxRy6pzHLzFKmQyMwSRUqIwojBYIQUOZJYkCQpSpKgqFCtlVhYnoNU4LkTCqUcgR8RhwG2YTP1XfzAI0mjLJMxTUlJieIY3cjz0ss32Nvbpd09x3Z0wigEIQijmFa7Ta/fR6IgpSBNJaVSETtn0R/08MYh4/EEiaDX7+D5U1BS1tZX+O27H7L7bJ/JdMrR8Qmf3L7D6soqQlVRNZ3hZMxnn33GL375a9545SZXL61hajoyTijnc7zyyqtMphMGwz4fffQR25d2CIKUMIwzz7EoIQoCxoMhURCizjhT/X6f6XT6PMevMTfH0ckJMo15/dZ1Lq9s8Fd//hf89Gc/4/TkGEXRePWV1/jgow9ptc7RDIGmCkhhOhlzdWeH6XCArgq6nXN+8vOf8rv38vybf/1v2Mnl+PzBYyauh1AEz57tsrO2wrWdTXRNYOkCQ1NQhSTwXXRVfb6wrS3N8a03X+fzO/fodjvcv3sXf3mNN954g/29A67s7FCpVHj33ffRNYMf/fVfU6oU0Qydz+/fYzIdc+PyDolM2djcRPn1L7l37w6NRhPPc9E0nSiK+MlPfkqlVGE8HFIqFGidnTDXaPCP//IHbK2voKlknEkkqRTZIWAyRlEgZ5qsrSyjauof8Jgusjzr9Qa5YiELYZ6hYJaikzIz7Z6JJkzdoFqucIGNKYqCpql/bDo936zT2f/J50rKrCDI6Sa1SpWJF1IuVxmMJiRx8pyRpSgar7/xOq++ehNVVVEUcEwjM8wli0VTVW2GGv3hXvmCbuOLok8IvMBn92Cf7e3L5HIOKRCnKWEU0+71MW0ToaoM3THdXh/bMkhmgdWqUPGmLuVSDXcyJQ4j5tbnCMIoE11UylimhUxS9p/tUS6XKV4ucNY648OPPqBerXFla4er21d497332Vhd48+/9z26gyHvffQhN2/eIiak0qyAptL3XDqdPpZpoWsqds5iOh3z0YcfMTc/h64I0ihFSyWXlpcgTmmdnGIaFo1imTQMOTvvYQrB/Nw8ahrxn//j/wIyoVopsr21STgd8dntT1huzmOgYBkmK0vLnB2dZN5qUqIIAcQkaYSmahm/WFGzliqCOI5xXXd2YPj7W/RfEkHMuHgXqJWqqs8NqS+Quq8qbeHLIomvCjReTKCwbZtqtfonX88fuwxVZaFRJ1+K2FpfJ5I+j3fvkSY6ly+vsbZaplos0zrtcHB0znAQsXX5JoVSmVyhRM5wCPpDxq5LOV98ji4mcZL5PX5F8JEk2fqXyJTT03N8PySOU3w/5KWX1qlW60xdn9HY5Te/e59StYhu5dGtHEmkUHAK1Bo5JtNH6KjIKFtDHc3AkuKCFjuz7iJLdZGS9dUlRJww8VxcfFIpSWRGJbJtE01TMoNjRRBGAZ12i9VCHkPX0FSVRFUIfY/jg33snIo/ndJpt9AMQb/Txg9cao06UeAx11ykXi0iL60TjWMef/6YzaWN536DfhCgC4HQFQyyw3r7/IRmrUrRMYj8CbutEwajIWvrayzNNRn2usR+gJq3CKKAqTsllRGqyDiBT588pbGyyHR0zsHjT5m6QwwhyRcKKKaBl4aYlomqmEzGE6pGnp3NbWqFEuenJ6gLTTTTIZFwb/eQT+7cZf3GS7z80hZpGnHvaZelWo3fvfcuU29M0bHI5fNYI5vJqE+1XODO558ACY5jY6gqi80mw86QfrtPHAT0Om0Ktsnc0jIr62ukQqE79QhGUwb9AY1mnQiIkkxoQCqw9Sy6zslc/zCEQCaQpoKz4zPmGlW8wYhe7JO/usxbr1+hP2hRKjn0BxZCTZn4U8ycwbfeeZO7dx5QrdRIZMKVK5fJl0r89ve/5/33brO9tY6hKQw9l2Hooakxhq4yGvS5N+kASbZWppI4zrxm0xR8P2QyGSNJieOsqItm4JhhaqRJQOhPKeQMrmytf+O5+Y0Lu/nV+ewFaRqJFjMJx6R6Zm8ShhFCExRqDonq4Xounj8miirIFILAnVWkGTdESQVSaAglMzz2g4jRaIJQJIlMCcOEVAZoegaRa7qCO3VBTXEKJqqqMIwCnKKFH4aomkOSxJCkxDLjrYRxQJwEdHstpEhxCnb2YWkmVt5EURXiOHnufj/oj4njODOV9V3cqcd0HGQtPk0jTEJUTXB4fIBuGRydHDAa9EijiE8/+hB3POFHf/0jXr11g+9/9zucHB0z6HX52U9+iqkIlucaVMolzs67eH5MIV+gVCpTq9U4PDyaJWxkRK8sP1cSuh6jbh9L18kV85SKDt/59jt4oUepUkEYBvefPMKdTnjz1Zd5+eY1dAHL1Qb/4q//Kbpt0h0MeLq7i2FYlIpV+r0OvhfDLHHj3Xffxfc8tra3SGTK4dEh5XKN/eNjfv27d/nNb99FCsg7Nr47oVYpoSkKqpRoikKzXiOJA3TFRBEwGo04++CMt995HVPTsUyTuWaTJw8eYRgW7tSjUCwyHk/4/M49ZApvvvEqtXqBREJ7MOD49JR8MY9p2yw2Gzw5OqA36GOoGhsb6zx59Jizs3M0XSVfcOj1u9QrVfKOw3vvPiNnGUymE4IgQLFMQJBmtSztdpt+r4uhKZRLeS5trmR8tQs0S36BLCME+UIBNwjRFCUzB1WUi44bOcvGm04zd/AwIo6i521YQzdA/9OhzTOpRrahpBfmwVkLVxUCU9dYmGvy8ce3+eTjTzAtG6loxHHKwsI8r7/xKghBmiakSYqp6WiKQE1TpBA4zpfVq1997he/JyXEMiEWMA58eqMRZs5h7Pucd7vohpEJX1SNeGblMT+/wHDQIwojDM2gkHcghWqlxmQywTRtHCtHHE2oVevP7VgM0+Tk+ITpZEqrfc7p6Wmm7nUKXN66zJPdZzRqdV6+eQPTNNANg0ubG0RxxK8/+IjL164RyJh2f0in02Z1aQlDEbh7A4b9PmenJ8g0YTIcoRs65ZzDwzt3UVPJwvwCJgqnZ2cEowm7T56yv7cPSM5ap5imznf/7NuUK0VW5uf4/e9/x8nBPo/v3ENFIWfbJFGMYRg4OQddy+ghmdA1QdV0SBWQAplma5GUkjDMPD8zrrDggmiX1dPiS8jp32dgrCjK88SdJEmIoui5HdUf3OOvUd5m1lFZYbewsEC9Xv+T4/SPXxnyaBk6OztbPNy7T3/QplJfZn1zjXJJQAzD0Yh+v49pVpEyixQsFusUC0V0p8LzRuzMW88PfHKm9Vw9/KJHn2kYHJ2d4nl+1hXSDQzdoNloUq3WODo+QSiCqevhRSHNhQU2Nte4urFDc26e/fMzCvkShm7hBQFl00QXGUdXFTDwAgKZouiZlZGOQFcVNM3AUsHK20wCF9POowgwZob8mqaiRNk9Gw76DId9ck6OJHGyAsqfcHZ8yvr6AqQSJYVyochoOqLX7pLECd54iogEjfoCvusx7k8Y9HvMv/YWpVKJOEnRVJU0SZDEjIchYeKiKxINiTcZIhSFWqmEY9vkDJMJCuP+AN+dIp0inu+SEiNFRtxXRULO0hG+i5IK9HiKk2YZt0pikMqEOI7YWNlkOo0YDtq0O33Oz1p8+vEnLC03WG5+HwGMwhCflL/+mx+RGCY5Q+es00OkAUVHZ2drA90U7O7tMgmnaLZGUdoMel08b0KtWqZZq+BPXQxNRwYxxVyOOJUIRbK2tsLapS0mnkupVOHK9g6GabDYqBGT4qcJviIYxj6eG+IIFbtcIFRARSEVCm4QcNrr0z0/pnN6wrdeeYVmrQRAvmBhWDr9YR+UhJ2rW1zZ2WYyHpLIkGq9SLlYQDMUqrUKK+sr5EsOzm8tHtz9DCEjSo6FY+RIoglJGBCkMZEaY9s6rudnfNIknc17DZmK564hrufhBwHJzAtRkBBHEdE4pNM+IZf/Ok/Ur7++eSt2oczx8TH5nEGkhATCJ1ICRuEE13MpFvLkbYuiYqO7ksnEZTTuUXCqRDNvK9M00DQNGSuoQswU7llYdhxlJ684DYhmwdpz8w2snEkQTEnSGE1XiElxChabm+vMzy/xu9+8R6XSyNpffjBTxYKuK+QLORIZs7q+jOe7HJ0cEMYphm6iGzoIhSROiaIkM0EOY3JOjl63j+v6kOoIVSVJI8IoIiXh9uefcv/hfRA6mmpjGQq+53J+csj56Ql3NA1DFZQcBxHFaFLhyvY2pmGSIsiXSuwdnnB8llmpXLq0SbFY5vysQ783Jk0FIk5QopjYD0jiGKmoqKpKs9HAyTvkpM23/+zb/P6TTzjv95m3lxh6Uz5//JBr6xsslMo0SmW60wm/vf8uoZRops32lRXu3blD4LmEgY9m2Lh+VlRPvacoQlAsZaHHv/jlz2h3Bpn9RRqjyJj15QUuX1rH1BTSOCUMQixDQ6YxSaowGg4oVMoMBgMePd6l1+9x+5NPqTVq/OO/+sc0G3OAYH//gM/v3aPV6vCtb71FKhTO2wPQVI7Ozvj9h+9j5Sze+/gDvvudb/PLX/+C+YV5zo5OsvZeIc+gPyBnOTSbDXYub9M6P+P0/BjLsTLH9yhkGvj0R0NKxSJWLkfgB9y5exdkimFozDVrlEuFP6h6Xmz7SE1lGPgMuz0KtsOl9XWUWZGoKQpRGKIIgTudkH7N5vqnrosNazQaoWoqTs6ZkeAFUZzQ6ox5dnzM8fExk8kEJ19EU3UECtdv3GBhfg7Pc9HzOabjMVahgKllmZFfl0H6pef+yl8ySp2CGwUkqoIbhIRSctZucXRyQrFcQaYZB9XQDRzTwlMU2u0WgqxFLRNJs9bk+OiYfn/ASzdvMRqN8f2QpeUVFucXOTo+JgpCKuUylzYvMRqP2H+2x+HhIbduvcyTvT16vT7/6LvfI4oSJqMuT/cOMBUF29CxLZP7D+8z9ALGvo9h6PR6XSqOw+nJCXc//wzLtDicuji5HLpQCKcu/V4PTUoOHu9SLpWxTJuz7oBnjx7j5LK4uNWFJuVKEZEk+JMJnfNzPv/0E/rdNt7Yx8nlCVwPRWQeYqPhkLzjkMtZCCExTT2LFBMCKWd2S2pGGQiC4I8mOlx4tGX34Y+3aC9+pmnajOdsZZnYs3F0gdx9EwuVCxHG0tISpVLpSxSEb3rNmNPEacp5p8Xe4S5xGlEoOVimxXDYJWfmiJOUSrXGzuWXqdfX8GOJLgQaYCgKBi8UtiKLE8vywHl+yHoRZez1+sRxQhxnh9MkyQzlL21ucef+ffzARdFUgiBiZWGFV669xNWVDYSi0NNMSoUS550OGwsLaLr+XLCRAk9ODvn9p5+wuLREFEZsrK+xvrBMXjfZb50xmIyIZUxCii4UiqUCtWoF27bQwpBEFXiBR7fdpirqGXI+y8BNopTzsxbt8xakCiIVszQigala7Fy6ikDl5OCEfmeIPwlo1Gusri4zGUwYT8aZR2ySEkcRo8EA3RIUCjYkMe54TKFYRlc0itUihVKJp4+eUStVkUlKkmQcWM/PPh9dU9BVMn71dAox6CJEVyQYGkkUEEZRFu0lLOqNOfqDGDcIefToMbaT581XrlE0TRQpOTk5I4lCvvPWq3x89z57T56wMl/l6msvc6lRQ52rEyQx7qDL7U8+IZyMWW7WCGydVuDijodEfpZsk9lPpWi6lgEuhsbb77zF9s4Ov33/PcrlIjd2NrJxrAr8JCKMQyxDR1gmOgp3PrvDsFTi5a0tTFUlULJYuEIu4Z98/w1C16dUyGOaGpOJx8CNmAQhqSLYWF+iXi8xHnc52N/LIuamPoYquLL9GlGcstBwaNZzIN8idIcMu+eQhCgp2fxPFdI4G8NhEGdIaRIj4xhTt9B1i8zgXAOp4LkBQRhkxXQSEscBishcOw72HqMaKvD/Y/GENFIiJSRWI/rTLjEBIQFB6hKmHqrhwKwfb1gCB53RqEfgRqgi87S7yLVMEgmKhhTpbBHK2q+eGxImPqDg+wFB4DOZjgliNctsjVJUoSMFrKwts7F+ieFggkBlPB7iTTwCTSdNU3RVx3FsdF1FUQWWbWJaFoSgqBqqqpGmzIQM0UxKriHlRW5shCDjjkkJUZyQSAky847KWQYqKsQRiqFyfnzI//o//wcW5pey9+MH2JpBHIakUYTrefzu/Q/JVyrIJOb+/QeMJyP29w+4efMWpydtkiQhjiHxY+LRlMlojD9x6bkjPLeIrgrGkxHXr13l8eMnxGnK8toq3WEPKROeHh+gpynf3rmRmWSmEifnsL2xztLqOv3BgKnnE4dhloVZq3Dv7h1006BSqbD37Cm6Ltjeuoyq2pyctEjiiIW5Bv/6X/1LrlzZwTL1LD5OVUjimEG/h22YTPpjuu0OC0tL3Lx5kyTNbGmOjo5YWVlifW0doel8/NHHFItF/uKHP+TO53dxg4C//U8/pj/sUm2Uef1brxMjOeu2eeONNwhICGXKX33/e/zn//k/4XkuAIahZ1ymNOXk9Ihuu0WSxNx6+SZRFLJ2aROnWKR7dIQehpi2zWA0YvfZMwzLRJEwPz9HsVj4A/jqYiPRNI1x6KMbOk4hT61UybhIM8ViEsfIVDKdTPBc74+iYy9uWi9eQgjiIODp0ycsLi2Rc/LEUhJGIWEc0xsO8D0/s/HIFzFNCz+MKJXKvPrKa7S7PQaDHpc2s2Jb1zJuKUmKUNQ/MBP+uutFDn8Qh5x1Wth5hyCN8YKAIMpMO0fjCRPPR9V0jk5PWJybx1BV0lQShRHTqUsShjQbDaZTP+OaaQaT6ZRCvsDVy1fI2Q4A0+k085uMkyzO7+wMRVGwbZtnx2ckccLPf/V3bK2tYxsaGytLVCplUBS2N9Z4dtbhf/3FrwmTmFuvv8bLV7YQccKVtVU21lbQVY00inn44AGGqmHqGnc+/ZRJf0itWmVtdZ3V5VV65y1MVePW9ZdoNOs8enyPUb+PogiEIhEyplRwMo/LMESamXLyQphydnqGY9tZXKEWZmIe00ZXzRmqqyAlDIcjPC8rCJ+PgRfHnJipYl9swX7dvRKZIfXFH8uymE6nz3/2oojiT97z2fOYpsna2tpzft0/rKjLTNxHns9Bu82z/Wdohkaxkmdtc5X+aMio32V5wSKVCnPzizQac6Qp2JYNcYwgRlONTO6KyNBnmWZt2Nl1IZQSQsyShbIx4+Ty5PMFdMPAD0IkgvWNDXJ2jq4/wlJ1FEVheX6RtfllrJnaeKU5h2LZdHs9FEWhO+xTNEyqdmbfY1fKDOOQtN/l5Ru3MMtFPJEpiA/bLbwomPknZLPGMk3yhXzGsxuMSJMUJEwmY3JFB8s2EGRelEGUMh5OkKlAU3TcaUAUp+RzRW5cu4WByZ27d+n3h+iaiaUa5EyLfD7H7uNdXM/LCnpNJXJ9kjiilq/g5CxUqZCGCXKWqtMatui2B/Q7Pcq5EnEQIgA/8DItsgRNEwiZoKlKtmYkKULEyDRBNfWZdZmClCqen3LtxhXcQGE4HrO0vMD3//y7vHXzZSqqwSiIaeQLLL3aIEAyONznypUtXltYpKoo5MgEkr6iUFMMVDckHE2Q9SLVSoluPsfBs31kIllbWWVjbY293WdZjnyaMpqM8EKfOw/vYTk2V3Yuo2sKKpncy1RVikqORCjEwCSnE1/dwR1PuXvUouA4aKbJB7fvESswl1tjuVlDRTCa+vzmtx9QaszhRwm5YhGZhvR752iawnTcJ9INhBTkcwa6rtAfDHjw5BAJPJxloMeejTsOM+NnRQOhEUQ+JAm+7xHHWVcnCSPIaSRxiJxFhQkys2/kzJ8yTYniMOOGJzHByEXof4RH+zXXNy7s7t+/jW1bDIZTBsMB41GLOHSRsY9tCBxbxbQVDNNC1woo0mT30TFnR8csL64y31xgrPucWj0CmSBRURWNREakMURe1q6IkgDUiDSVjCcuqqVSKOchFRimjaGZRGFKtzdmrhkT+hFPnzyg1++iCIGua9iGgePkUFWFKPU5aR0TpylBEmEXCjj5PJZlImWC53mMRz2EyNpnURiSaXwlSRqSyDhrq8iMLKwbGqauIOIQicRQAEVi6iokMZ47RlU1FuYrHB4c4vkx//f//v/BO99+h1/+6tdce+klCqUy7qCHZRpUCgU0kfEHSRNEmhDHIRNvhOuNSZIQ09R56eYNti5f4uHjR4xcj+HuHksbq7z19rd4drSPTCMEKX4Y4qURmqqTz+f5s7ffRmoaB60W/+E//i3379/n0sYG02DKJJhwdHbIeDRk5A4oVYskicyMk3MC2zII/ID5xhx/9u0/Y2WhgSEEcZwSyRTV1HHyeUzLRBZSPN+jkC9QqdY4a7XQdZtcroiVyyOFwu3PblMoFfmrH/4liqpzdHjEg4cPiOKIREmYJhPmzhZISXnl5VuEvkswdbm6czlDLOeaHB8f48/8E+M0odNtE0VRluen5SBN8KcekR9mmaZLK+hqtmm0e10G4wmuH+KYJsViIVvUvrppzZCBer1OWaZZ06wuZp5b2c9SKRn0enR7XQ6PDik4eVRN+1IN9xw5udjP5ZeFC7FMOemeEciYfLlElMSEQUAYhuiGiYxj9nd3OT05xco5qLqOPxoxP9dkealJq3PEydEepZzJzuYm6iwPNvPRmLX55Iv15AsayRnBTs5EIIkEIVSiVHLWblMuFDFNk821NQSCiRcQA7qm4UYeXhghdZ1KpcF4OKLX61MqFllaWWVv74RKpY6uGzQaDmEUoesGQRwiVKg1q0wnE+4/vpvFbAVTwjDk408/xCnUKThFXrqyw9riIjJJUBSBaeiZmhoo2zbfefN1Pn/wiL3HT9mYmyevGxwdHTHs9zg7OeVo/4A0irOYpzDCHY3YubRJzrKZDvrshyGGbrC5tkrouzx5+IDHjx+wurpKpVKm3Tmn3+1hmxa2aRPY4XMXgIviXiIZu1Pik5j+uIOqqhiagWFkVHKBQhwlDAZDwsBDVWc8rpmg4kL1mdHOv5JBIUR2ehAXhigzaxxFQVNVioUCisiEZ3+8mJNfEk+8iMopioJpmjQaja9H6+RXH+mLb6Qy5fj0lLtPH3F4ds7AdfFliOM4BFGeYXeEpQuSVGUwnDKceKR43L53H9dLyReqGKhsNBbZWVrLWlJIIiSRzDwqNSXjhvpxhKFkn1GSgp0rsL62iW7kmE48PN/DsXKoCAp2jnKhyMH5MREBjUqdeqVCpVhAyhRVETi6Tk5VaMUe42BMGHv48RTT0jGEhi40NE1HNy2cchkMneNJD02BqZZQbtYI0/j5OhFGAUHkE4uESEZZylEqCVyPcX8EcR6ZgmXkMK0sBtOxbcIwj5XTGEz6KKrAMS0O945IohjbMDIqkDcmN7eCppi0Wh0m08lzq5ucbbGyeoPllQVOTo6QcYzvBRwdHBIEu/hBiGlaSCkIpi5RHDJxpxyfnxAkAarQQYNUJCRaQqLEpCKjgIBCHCTky3liYtRIo90aUizVuXHLoVAqcu36FbY3VlEMgyCV6KrCcqmAaWjEEv7p66+imwZNBSwkalbv4noeBU2lZOjoxQK6KgiDgKWFeWzDpNvpUKqUWF5dQVV0hsMRzcUF7jx8wK9/+1s21tb53re/Qy3nEJPZrBszOSZkqGskwUuhddLGsvNEseR4/xQvCGh1OwRJyN/1TvnHf/59KnkboUAY+Tx9/JBqqYRfa5A3BZNxn6k7xMnbaKpCmkjG7gA3mBClIf/5Zz+mUqmytbnB0kKdx/clx96YOAhQdZHZlySZfUoSpwRRjExTVEUnjuVzeXwildk0FShCR5EpMsn+jaJloJbruy9YVv391zcu7NxpnyTWQaSE/hh32sN3A3RFQ9M0wmCCqhlopoaiCkQicKwchjJm2B1BrCOkjmlb2Qk3BUXRUFKVNE6IwoBYRsQyQdElqmmSRIIoFHhegpPLkc/ncScBpydtjg46fPLhfdpn58gkRogUyzZQtZR6s0ax6OC6LoPJFH8GJ6eaICRFi2PKdpUo9PHcLpPxBNPMsjsH/QGTySTjrKg6gjTzNUuy06Ou63ijIapioGnZxCGVlIoOmgZJGtCcq2HqBsfHTwjCgN3dh+QLNuPRgE8+eJ8gDChWy9j5PKuLi3TaLeIwQFeBKCGQPtNwjB9NidOQy9ubXLt+lbXNdcr1Kk6hxI9/8mNyRQcTyaXFBe4+vEO9UWX90iXOpiOqhXLmE5UktLodppMxKytL7B88Y3VzlfFwgOtOuHrjShYDFUd4U5doHBKFCVM5wXenkCZoisqgP2JtvoEqIJQpsUxRDJ1UAT8MiJF0ez2klNy5c4/RZIJhmrzy8mu88/a3+ezObW5c2+Hq5R2KxTwfffQ5njthdXWJN998lcP2GQdnJyQyQSFBRiG3P/qYJ3fvUKtW+eS3vycOI8IgZNAbgATLtBmPx+zsXEYTKbtPnhJ4PqV8AVWCO3YzG52KQ5SmHB2d0OkOcF0vi1JrNDNj1xfgk6+iJhpfFH4X+ZqpzPy3+oM+3UGfVqeDncthOblMVPHCNihnZr+zeTs762fPN/WntPsdllaWSGXKYDSglCtQKOUQCCqFHE+fPCZNEhwnTxjHhKHP4kKTRtmhUb7EfKWEZRgUTAtNCIQUF1ZoX0YQZy/ouQmszFIthMxah6nM0ibm5hfojUa0Om3WV9eeFxPFvM1g4tHu9DBtEy/wGUUjSoUcm5vb7O/vM556dHt9jo5OqdSqCKHgej6KrnHeaxGnCZ7vslSbx8lZ9Po9Hjy8z3g6wvd8cjmbm2urXNm+wmK9Njvw+Bk394V70qiVKFQKqKbOT3/6c372k19QcQr0+idM3D5REJLGEQoQhSHDTpcb129AGDLqZ7GDo76PZdmINAViEDA312RtbRXLMjk7O2HQ61MqlLl14xaHB0e40yznNbM4yXh2YRzi9qcUI+t5UaZpGqqmZQVTmiXmWKYGUkMmCXEUfwXZFc9bgRfCG6lcIFgSRYA649U5joOqqnS7XQzDyEQ3F2Pza1qwL37nq2M7n88/b8N+U7ROAmEUcefhAz648zlBmqDZFtPApVov4wdTTk/aKEJSbxRwvZjuYIxhVxh7YxynymA85vTsBEOoXF5aBSSJgGHgEaUpOS1T1sappDceUSpXsDQVw7Zpzi1g54pEseTo8AikxLFsFKBaKLK6uMSTg2eEcUwaRjx9/Iib165RL1dJ0gRNAY0Ey1T55OEnaKbGfLnOHHNI0qwDoWgQS+7ev0+5WWPij8g7Nitb6+hRSCRjEpkgRWYu7kc+iZISyYgoipGpglAEwTTAUHRUXQGhoOkmjmNRKBZQ1CaFokWrfcRkOuTp48cEbkgaZ8hvGocIKchZDvlccTZGZqc0IalWKqwur2CZJrpioNkWumawu/sM3w/YWN9EKCq9bg/fyxTZ3X6X4XhITEoq48yaTJEkRkqKIE4kqmJQr1axczmuv/QSH3/yGfuHLYRUePDwMT/6m39GrligXi/THvR53O2wtbzIUrmKkabYZGeSwHGYej42AkFCqihMfY92v40gZX1lmVbnjGG3i2XbrK+usraywuNHT+j3M164NwkYjSa4aUq+XOaf/ZN/Qr1QZq5cwZgtcKrIPB+fr2/ZbGbqRkwHPqOez8svX2V1eY7b957w5MkjhtMR1ZxNEkXUqmW2Lm2wsjbPL37+dyypCn/21reYjgfcuXubbr+NRGbt9zRh/3gXL4yo1RYoVUrcvnObjY1Vbly/ijceMBl0kQaU8zZR7HN0MiDwQiRJ5kkpFDShoSoaCDXTTGclBEkqIRakkQBNRVN1dN1gMMi6kRec029yfePCzg8H9EcuxWIRFEmchliWSRyBoqooijYTdgtkmqlPJAJV12m32+zuHmDoDpZRzJB31CwGhy9UiHGSoupZPp4iUpJUMp14xGmMbeYIg4RWq02300VTbXJGHgHohkGaZtWwpqrYdpbfGCcRchzPlGjZnXfdMVHgZwT70YjhcJhNpDQlCEI8zyeaRXcoIpNPS8lzleTi4iKdVpfJxAUlmZ2YQdNVoihlubZIs1mhddrKkjcUNUMu6jUsw+RXv/41pmWRkBIlMXEU0jo7JYlCojAi8LI+eywjFF0hJeZbb73JP/3RD/GjECHgtNtGaHDn3ucoZortmDx6/IBGs8rh8iqmavP6rVcZjyd8+umnjF2X03YLqaisLq9CKonDCBJJpVbDMnUeP35IGIbINKHf76LrJkGQJQBsrG9SqZSeb66aphHHAUEU0KzXkWmm4J2Mx5wcn3B8fMpgOObg6BApEuq1EhvrS1y/ugOKyvl5m8l4zFtvvE69USFJYu4+vJe5f3e7OKaFqemoAqqVCm+8/hr/6T/8LZsbG+zvHSJlgqmZjEdDDD3LZZ14LiN3wsryCrpqgKrQH48oFYrZZI8Tur0+o/GY6WRKybap12t/2mZu9jUF0jTJMj9VjVRm4gShZxu4aWb07yiJn2/QGT0+JQrDDOGZITBCCKIkQ4r9OCCfyzMejWidnLO1uZWlRMzW7yiKGQ6GWdyXk8frddFUlWqljCoULN0gv7CIKpTn/2YGKn7ljXyBzoGcvT4lQ4hENk9Hkwm7Jyd4IiGVEjOXQzctwjTBFAqJTJEKmDmTTqfDeDKmUipmjy8EhmbQ7fQ42j9kcXGROMlQDV3TqNXqWIaJpmksNedJZYxAMBgMWVtdY+/ZHhvrG/yLf/4vyeUKGJpJjEQTAtO2cP0QGaX0RxPGrs9Jp8veySHd4ZDAc8HK0T4/pXV+iKplimBT0bAMEyVKsecWUCWcd8/RVJVyucJwOMabBasHoQdk0U/7+weUy6WZqMoi59iYpkW1UkcRGa+t1WrR7XYZjUZZTnASI9Jw1l6OMwQ0TjB0HaEo2VehzFosEtIUmczUrLNTe5qmmVNAxv/IxpiUMwskEIpCFMfPUY9Op0O9Xp/5Oc7u7x8p7r4YBl8oawHm5uZoNptfEif8sUsgMhNhmVnbXLp0idZkwjQKeXZ8iB/FFMpVpt6YqTtEUSzCQBDFAVJqRFFCr9+nUKqSM7Lsy4WlJeKsfsVLIu48fsh4MmG+1uDqxgZe4NPqd7ELBXRNR6hZwVStVXFOciRJzEV+rJi9r0ajTrFY5OT0FJmm3Lx2A8ew0MhU7OFMpDQeDbLsThFz3D6jYBRoluuct1tsLK2yefkyT09PaJ2cYTsmubLJ0f4eo9Y5qh9S+84PsOwSqqZi2jalShnjrMV0OEFTzayVFoaZ56RmoAgVQ9MhVZlMx0Sxx3CQ0umek6Yhvu+SJgl+GOD5PosLSzTLc1RKZTRNnRn1azNfPHU2bBT6/QFxnLVT6/XG8zFWKpUZDkdEUUylUiWXs5m4Lq7nXdzQbG2TGXChoiDSLAK0fd4h+5RU2udt0ihBEyqe6xEnKfsHB7z30XvEScCty5cpGhYmAlNRM6N4CZV8HlM3vuhwkI1lz/MJgpBcPs+isYT7ZIw3cem1uiAUTN3CnwbcPvoMw8xRn5vn8OQEqavcefSIv/rzHyBNnYSseFEvjs2zsZuSZTN77hRSiambmKrgrDchDiNyhsXG+gqr83WOD/d59717fPLpBzQaDa5du4xA59HDe7TOzjg82kcSYFoqQkKapKiKxvzcHOVKnf5wRKlU4s6du7jD/w9t/9Vk2Zmn92K/911+bb937p0+K8sXUPBo9Mx0T/fMcGiGHJpzqHPICylCoRuFvoSkT6ErRehKCsUJKeKIR+QMOeyx7eGBAspXpbfb7+W9Lt5d2eghOcRQ5IooJIDqzspMrPWuv3me3zMnjiJFTigKpacrVbxpUajaQQBFsdRzioqqyClL1cAkaa50yrk6m23b4UqiFsWkaUZZJv/J5/OvX9+6sEuLAKGXFCQkaUZZ5exev4XvpQRBgO1aaIamRtGVIE9zxpOZOuiEwLEd6rUmUVgSBDG6biKEhqFrqhvVDQpUF2zYAvSSvEpJYpVdmacFk2DK8GJEEqdUhnbFhhJo6LqGYaquNo4jNA1My6QoMq4QyWWljBBJxPFxwmI2R0oNx3FJ05Q8i9A0A8MwFHJFSjSpUxYlZVmQpxnz2YI0SZXmplRtQ1mVJElJhWB//ykPHnxKkZQYmqkcaFJwuP+SmlvHNjSyJCaYeziOw3h4yfHhAVEUE4URVVESRjHIEs2AeqNGVZXUay4to0EUJyQi5x/+43/A02ePGU+HvHP9LQ5ODJ7vP+frJ4/4h//on7IoYk5G5zjNOs1ul0a7Ra3R5NbN27x49oyN1XVWel2uXdvi8eOH9Lo93rx/n2A85/mz5xR5RhB6hJHPdDbi4PCA1V4HzdJJsoS8KtT6JEvUeFkI9l/sMZ/MaNYavPv2m/zxv/v3PH36iOuba7z12j1m3gKp6Tz44gs6nR6rgxW6rTqz+Yxr6+vkuiQLAygK0jCk1WjQajQ4PT6m0ajxD//e32c4HPGv//W/ZngxosgrppMxn3z6Md1+j0+//AI0jZ2taxyentJutWm221eTEH15wFbVMu5pOVX5m65KKHH42cU5J2enrG9sYFommm6g2xaaoWM5NpquE4QhRXd5yFRQVCWaZV1NXiqhirXHz56wv79/pb/ptTvcu3mHZr2JXI7UyrLi/GKEF4Q0Wh3SLFvyFgvqrosoK6zl41uVSwbfN8Z0/4HrdfnXAtQqSUgkqggSQJSkHBwdsYgj5pFPu9shTBN0XWe+WOAFIegag8EATRMURUaRZ/gLj8V8hq4b5GlOq9nm7bfeWZokEtrtDq/fVtgaIQTS0IjTktlM5UWnScagv8rtW3eouTU+/uxjtre2ub6xS26a5EXB/tE546nHo6fPCJIUPw7ZO9rDNDR67Raz0SXeZMp4eI4QChC9vrpKFPvMxhMkgq31dWzHgqokzWMQBVvbG6yurjGbzvF8hdB5hSWJooA4ibjdvkWSJMviXWDZNvVGgyRNeefddxWJXlTkUcjF+Tmnp6fkhSrI4yQhzzLmnkcQhaRJSp5l1Bx3mSsrlEj8aqVaLAuCTE3EpVRaYCo0XSMvlMkLAW6tRrHMh/3PFXTfvL7pNN3d3aVWq/2nGXi/dv+80hGo7OGtrW02Z1MePX/OZLagv75GGGdU0mQ88TDMBu1Wj6PjA8pS52I4JklzTFtHagazkzmn40uu9TeoqJinMXunx6RpyuraOkkFlWEw2NhYoorU6iqIQnodh2azQafTZjKdLiHzitBg25aaZBbKlLc6GNBwXURRoS2bmBW3RtM0qaRDrdNkMplxObpArySmYZKkBbqmsbO+wd0bN/Bjn6fPH/Hi2SPixZR07vG9N96h6zTIlw16vd6g1W6z8KOr57dCIVqKokRqGpo0qYqKPAHDsDENgb+IFFPOkCRZwsJfsLW9zdbWFuv9DUglaZFQlMWV1lDTNKIo5uT4lCAMuHv3FsPLc4bDIbbtokkDXdeZTGbs7OzwzjvvUlWSLCso8hJdVzr1olA5pRRqiFHlFUVZokmJ5Vjs7x8gNQNd6hiawXg45n/5X/41l7Mxds3iD//wD/iNd95lxTDQQWX+UlGVUsGEDVOB9r8hJi3KiuOzMy5HY2quTX9lFc/zePjwCbpmoGkq+SOJc4LE49qde9zf2SZIY6x6g8PRkFtb26QInOU5+0rS8KqpNjVJv9Nka23Ap18+xLIsfvTnPyHJYhbehA/euc+9O9cx9QLfH9PvrzCZTHn54jGG4XBydE4Wp4gyp16v0WgqrT6VJI5TXn/tPlkBf/KjP+Pd995jZ3OL0fkZAjVACMOQaRzgupYq1kqBQMk3yrygKDLKKAWhgZDkBeRFRZ6XVKXAtkwsSxkrVFGXk+d/k+TiP7y+dWFnuxaGoVOWJWEcggTd1HFcFW3UatcJo4gsTTFtB9uwyYtDhNRxHIe11R6DwSZff/WMNF0AEikrDEPDNC0Vb5MnCCFVHp4pyQqDOA+hkAgM4ihAQ0MiyZOM8XCkHI6GeyV21w0Dz/Pw/QVu3aEoC0oqpG4gKNQUbckkM0yTsiip1epUlU8YRFiWdqVjkK92WqV6eWZFzuH+0bILkZTGMnhb0zAFSF0SRz5lkUKJCocvC5Ig5cWTJ0pMXeTIqqLIEqIg4NOPP+J8NERKgakbGJqGJnKkViIMSc2q0R/0llNMmC/m1Bo12r0WK6sdDo732b62w8XklCD16NgOzV6bMI/pr/a5trnDfD5nOp+RxCF3ru/yxu1bSzFvTLvbouYYbG4MmM9mXB4eUa+76LrJy5cvMQyNWs1ha2uNvMjJC8VqEoaGruk4to0uBaZtY+g6WZKxsb7Cta1N0iDgH/293+fdN17HNAwK28YwTb73Gx9wcn5JniXoos6g3ab1zrvsnZ3y+Ycf0W002d3eZjy8IA4DsiSk3WzQ6bawDJ0f/uD7fPzhJ3z99UPyNOHho4fspjfQTIMkSxnPJoRByB3b5nI8IYvrOLaDrlnqwK0q6vU6vW6HXy9/fp3xBWoKN5pPGc0mDCdj6u0WOysrZHnGIlCIHN0wKKqKs4tz2p0OdaeOkCxfRiVxEhMnCafnZ4wnE2bzOWmW0lsbsLE6QK8kjXpzORFVZp2irHi+t08QRritDnmR4/kLbl3f4YP338E2tatpj1q1qvWwqui+gdHgV5PHYlnYVUIQRjFlWVFzXaQae3P7zmvsHR7gxQnraxsINB4/fUpZFFzfvYFuW2RlTparldF4vqC+vcXt6zdoOA5ffvIpG5sbDIdDkiimSDOubV/DMUzmvgLJtjptDN1A1wxOjk8Yj8dqqq0bPH/+nOlkwlv33kCTkvF4xocffcmjxy9IcxUPlguJbmro0qTKMyypEXoe48sLqjyn1WphWxYUFWEQUeQlmmFwfnaB5aiJx2g04vDwmDCKsGybbDldrEpI4vQKHVLkFXt7B1iWieM6y0YOLMtaNn+Fciu7jnqx32mxubnF5tYW3U5HgdyDgJOLMyazGWVe8Od/9mf84mc/I0szNRF0HHSpYM7lsiDXDZ28Kpc4DwMhdcTyTArC4OrlXpTFr62ov3ldFWtV+WtF3ytEiuM43Lp169fWO//ZJkf9rwC1weh2e6TZEyy7xu7uLQ6ODzg7HTEczjk5GeHNY6azqcKbtOu4tRqGaRAnCXPf4+effIieV/S7fQpdUukSWRkUUnDpzZlMxmyvbRAnyoiWxjHPXzwj2Yqp1Wv0B33CKCDLVMFcljm+72HqBpapCgtNvJrmqR+uTkXTMnj75m2enuwxmXm8eeMOTbuFLgxEAZeTMc2ag1kWRFlCHC6o0piWa3N+MGRycs6zl0/ZXd/GS0JGsymNdotup8vZ2SWgQanMZUmSUokKt2YjMajKHMtwEDJnPLykzAVFViIEaLqBpusMVgeMJiMoBeu9dfzQI4yUrERoLCMZI/I85/7919nc2ObR118zHF7i2A625XJ4eExVVrz+2hvcuH5TmXhmaoJnGNaVFjDPStW9VkIp1aoCTTfp9vqcXV5gWg47OztopsPQW/Dilx+CKWl32xwfnaD9FugIdJRkAJTElwo0ydJ8oYq7uedzdnHBydk508Uc27fotppsX7tJicFkMiWOY7Y6K1y7fofRfM7R2Tm1KKTTXyFIU4KiYJjEOJqOphvk/ErPvBx2L13rGjsbPT7+vOTf/egvmc49aq6FY1rsPX/BbHTMcHhKt9PkNz94j9lsznA44cmjFzimTst1mUyGhN6cIg3RdZ1er0+r1uJw/4C1rR0azQZRFNHv9ynThOP9F2iaRpymlGWJZbswm5EmBaahziRKqe7VokRIFaGX58tMcgSa1LAsG11X50uSpMRx/I3m8ttd394ViwShEcUxYRhRb9QZj0c0Gj12b1zDWyxIM5+8FBhGDR0T163hJb6ivztq265eOSqDUwhtKeTVVO6lkBQF+F5EKQuKMqeSJfWGQ5ULKCSmaVPmgjJXHQeV+lxSCgzDVBq4yAcKsiJD0xQkMq8qqrIgiZUDtsgKGjXlZqrVauR5TmKnOI6LlJI8L6FUwsWyKCjzSpkoihKBREqhgp+rCimV08u0DKIkoioL8qygyFNVpZcsu/FKOWCEGrVe29oiLlJkqdhWmtDQhcA2NfI0BipMXcWoIJQotNFu8tHPf8xoNqLerPH+d95BCo1bd+/iRT6jyYSHD79mvb9Gw65hSRPHcuj2Onzx5ZecHR7x937n91hfHVBRkRYxO1sbrPRanJwe06m5fPLRp2iaga5LhDAIQo/5Yo6/mLO5ukq9WVPRV6ZJFAUkcUTdbqJLnTROeeuNu5weHTPodvgHv/871C1F5tcch1IIVjotHj5+QlWkbKx0kYAsSkSec3t3l1u3blGQ06jZnJ6d8tOf/oQ3X3+Nuuvw1YMvyNKYtbU+8+kqcadDEoWEYcCN69dpNpp8/dXXtJstXvKCer1B4ifcuX2XPCtI01zFX6UplmUtJeu/emG9Yo4ZhnHFE6vXG9xpNNna2sY0TSzdRCIwDYP19XVu3bqFZVk02y32DvfZXN8iy1MePnxIGKsVXV6Uyo0rJWvbW0ymU0pNcjGZsNruLSfKIBUCDS9OmMzmlJVqdMqiJEtibly/xs7WOlL+KpHgm+L3siyR4hu/x/LcrtR3Op5PKIBGvcVwNKWSGoZpYToOfdPk9OKSXrvH1vom4/GY0eWYG9d3aTcbhHkKVKRRRBQGzGZT3rh7l06zhTebYxkW25s7uLbDYjanKkvWBgMk4JoWWZSoYieNefrkKeen56RpSs2tcbB3QFVWNJpNPvv0c8bDKYd7xxwdX1IKjVJoxFmBH8cMBis0aw5pXDI+u8SQYGgGICiyHM1x8Tyf0XBEhYI+T2dTrt/YJMsS9g+PAcl4MuOzLx7QqDfIsxLHqtFuNwnDCNM0iKIY3/dYWVkhShJWVwdUFQRxhGboJFnKy/09RAXrgxVu3riJUxfMFgtmiwW93gr91TVKTbKzu8vm5ibvvf8e/+f/4/+Jr758QFEURHGMuaTQK6mFoELl7haFWosbpiTLM2XOKdWIQis1akZNQdmrX0kkXl1XEWP8ChXyam1rLO/ba9eufatp3avrm4beqqrIkpw8Leg0O6RxhqU7UGgUmcBbRBRpxfnlBC+Y0O51ODu7pNY4xrQsdE1na3ObUsLzoz3CLMV0bO69cYem2+Qnv/gZ/myG84FFv9FCq9REqCrUurvZaap7MU24vLygLBXVYH1tldfu3GU8HC1/lq8yjtRLP69KhpNLJv6cg/0XrG1ss9rsIiqdNM4JgjnT+QhBiSEElSE5Pd6nKmJqtkEWBSzmU548f8IPf+OH6JZFp9ejt7LOJ59/tVyHGpxfqHtPveeWk9KyJApCyirl6HiPIJzQaLmMFj5uw2ZzdwO7blNWJfVGje1rW5RRyfMXT5hOJ0t2n4ama1fNx+npOfv7B7x48ZJarUYQROR5QZbluE6dTz/9jDwr+O/+2RqTyYSyLKnVani+fwUwtyyHLM1Ak0ipUSBor/QJ8pwky9Ftm9PzC8Z+QC4Eb715nyiJuDw5o8pyNMNE+9WdsZQ9vUK1A0JSFAVxoqaxbrvN42fPGA6HHJ1eUAkddJPuYI3LiyHdwQb1eo0t3eAXH39Ilqp1clmCYdtMo5DYdjB1g4UXEGcq17xmmXRcm2XWDhlw+95dXpxckhQFRlFQpCmj4QXBolTav5rL8cEB8/mCshA06zXu3b7HYKXPX/zFn7HwZti2ycXFJePLp2iawcHxGTs3L3n/vfe5tqueIV3Tlf51fY08mZOn8VIiBqZuoaGTlyWaMClFAaIkzyuV9Z6lFCXouoFumqoIrCRxlBJHCVRC1T3Ff4OJne8luK5kOlGZh81mh93dXVrNHr4XsvA8/DCkwuByOGEx9jm/GEJekWc5UpNXN+OrOI1fdYivtCTLlhVJnuVqulBWUGmkaaEKuXJZHlaVwqgsLcBFUV51skqHYFCJCk0zQVMv8iwtiaKUIi+gAK2hUavVyLL8yhjxaj1XlhVUy4ldtYz2WQJHpdTQNEM5WIRyuA36q3zng/d5+Pghn376KWWqdAlCKM1DWVTLAYuGaZo4ls3x4SHtfpdWs0FeKAhuEoXkaUYeRQpUrGtMZ2MePXuK7roswoDeYBU/iTm/vOR//lf/mkbLRdNhsLZCnqo1ZhZFPHz6giRMyPKcZrujslT9GdPJBRurKwrlQkIUL3jy7BFHh4cEs4Ag8Gi3e5iWThSpqJPpdEwcRvjenM5Km+OTY85OTzl4cYhlGhiapCwK+itdbEvj80++4Iff/y0G3fZSd1FiCMiXQtGqSHGtzpJnVVFJgchzfvibv4Vbq3E2Oqdx5w7z2QQp4PLinOOjQ/q9Ln/1F3/B5vomb731Bnma8+z5S+IwIlh4PHrwEMuwMITGO2+8zWg0YXg54trOTfK8WtrLSyxrubL5a27AVxiIV5cmJY6p/tkyTEBQUmLqBuuDVc7Oz7EdB9M0KAWMZ1O1ej885PDomGvXbvAbH3yXKE04v7jg9p3baJrO85fP2Vhb5+XL58R5TpoXmJpGXii+7fHFBUenZ+pBt2w830MI6H0jJSAD5fYTyrGr8nCX38dfe34roWDIhmmSJjEIQbvTUWsuIZC6ZDSaquelVI6sZq3B22+8RadVV0aGQLG09vcOmI0ndDodFp7HSqcLFZimxXvvvMvG+ho//smPSdOUTqOJQGAbJrg1dMdm7/CATz/5VD23ecHDhw+JogjTMKkKQeiFREGMrlkYhk1aSqI8o9lq07AsXKlTRgmpH5ElEf1eh2ajjTefMZ8tSNIcP/AZjyf0B306/T5FkZGkOVUJ3e5gObkwSZOc1CwwdAWTLpffe5hHaqLmdhUrbzZBahqe5ym9raGykHUh8DyP0XTKrqgIkhjf8/GDgJeHB1zfvU5Zldi2xXQ6pT8Y8E//u3/GaDQi9H1M04K8IM9zHE1SLM8hoWtkmUIuiaWRAqDeqNNoqEmBpinjGagp4qsi7Yr9RoVcTuTSNP01dt0Pf/hDer3etz3+rzR2FVwBtY8PjlhM5uhOjY9/8Qlzb0GzWaPT6NFt91n4M6Smoxsml5cTwjjm6PgMISRC03Bsm36/TxyniLLg1s3bbA428AOfldUBd2/c5Ob2Lt5kgqwq6o7NO2+8Tr3Z4fnLPYLAV3pb20LTJQY6r927R47kk48/JokT8qJQz8nymfDyiF8+/ZLxYkIYR7h5j1E4R5c2w8sRHz/4mOl8Qr3XIM4ypC7oD1pYss3Ll8+WZ2bOo0dfMw8XGJZNd6XH46dPmUwmDAZrCKEzniyolpshKRTvskozsjwFcnRdo7+ygtAK6rUahqXTW1lhq7ZJvVHj5PhYQf2lziJYEMUxUZTgOBZRFKls5SQhTTNu3brB2toGQeCr86nIuXH9Fvfu3eNHP/oRSZxQLHPQq0oQBhFpmlNVKsFGajpu3SZLsquzot7pcqvbYW//gMPzM4pSMFhfp72ywltvvM1Kr02nVccxTGVeWJo61MZhuUmoxNUKP88URN2t1RC2heW4+FFMVVacDkf4XkCr1ebFwRGj6VzdN7rByfEZmmmQV4/YvHGNrblHY0W56ifzkJ//8kPiLGNna5t713dVEogQZFXFy6NjzkdTfv8P/h6ffvYVF6fHVHqBRoKoBDW3RugHPH/yHMt20KRB6AXsBS84fLnHeDRiZaXD3bt3uOhfcnh4wmQ84+LsnMOzM1bXN/nOB9+hSHOKJGa110aWObbrMAk8wiCkqCoMw6IqBUWmDH+DlRWk1Dk7PycIYmpOjSyvyJayNVCNep7nS+xbevXP3/b61oWdYdSo0JjOfJI0o9VWo1DLVlbsJE0VwM/SCCJ1sOV5QTAPMKVBRUWz1aDdaTOfh+R5eWVMyIuSslreeMsCTQiJKCvKskCTGlWuRJ7VUhdQ5AWWaWOYCmQoZKUmMNWvugUpddK0IM0T0lIVdVlaKCyEgCCM0OSMLFeKxlcdb1moPytNM6gEuqZTaUuKRCWXgFB9qe3TqShxbBchNMajCb7nI0twTAdDl1frkKoEpNJdpElCmaZIU8NtqP9vHPhoUtLpthnlBVEYARULb87hyTGn4xEPnz3lt3/ww6W+RtLudPCDBQtvSrPd4vXX7rO5skrTrbPdX6dRq/Nib4/9w0PKqsL3Znz54BNMC5IsVnmCtkEcBziuRbfRptfpsr93qLQAS+1PGPh4C480CXmx/wy37mBZJlVVoGsqK9i2NW5cv8Znn3zN63dv8+7b9xAV5Fmm4rVEiUBj5i3Y39+nUatxFbNERb/bo+HWQAjqjsPnX32B73lsbW5iaoLzizM67Y5a041G9Lo9giBAanDr5g0uzi+Ig4jf/u3fZjqe0mm3mc/mNFtN9VJeFkdZll1NL66Kur8mUbrCQrxaPb3SaVZK5zQcj/A8n/l8zpOnT7EdG8OyQEp++dFHFGVJVhSUgOU4SNOgk2dXXKZev08mSuIiIylU4oNOxWwRcDYe8ad/9Vc8e/4C03Ipl+Ydx3G4dfMGUgqCNCUtc+q2S4kyHs0mYwa9lSvN3Sv/bQWKwbicFE8DnzTP0XSTNC0Yj8fEUcTJyRmD/oA8SUn8gN2dLSiqpb6kwp/Pefb8KUEYYpg6g36fy8tLmrU6Dx89wnFrOLZDWVUEYcTuzjaGriubhhDUay5hXjAeTRWYVQgm40umkxllURISYWARLEI0qZHnGZQabqOBa9RwnJoyOfk+eRJTlQWmbhBHqZpgCkG71yMMQxaeT6vTodfv49RcFvM5nhcqVEStQVWBbTsq49ayoFQC8iwrFJOvyFkZ9PC8BWmW4tZd8rLAdh2CKEQAzXaL2XSG7TqsbWww9zws22alVqOd55yfn3MxHmJbFhXqjEuTlPfff5/A8/njP/ojlX26PHtqjoNbr5EVOddv3iAMQ548e4aQGkLTkLrOP/xH/4jf/d3fpaoqwjDk7OSU6XjCYj6/AlmnS9F+lmVXTMYbN25w7949tra2uHXrFs1m8z/rsvvm1PevPyOWZXL39m0++ewBhtTotjp0O12CwGNrbQ1dMxiORszmY/xwzvnwksvhJa/ff4Ner0dvpct6fw3HdLhz5y7CNNEME1FBludsrG9SRrFCWAmJrEACURAwGk/wPA9dlxiGjutYQEUcR+iGoVygWUZRFpwOL2gNVjBsNSWc5AGxK7DtNlv928xmC/7Vn/5bus0+rl1n73iP/qBDlHlcXA55ufeSes3m2uYGf/XjP+Py7Iw0TTg5O2E8GbPa32LmLfjywZcIKUnTlHa7QaPRYDpfgBBouk6W50pzK9VGwDINktTH88dIqRrXyih5/7vvs7G1SRj4VJRICflyxSyEQRjGV4OLZlNJmC4vL8myFNdxl3GTFR988AF7e/tEUUyj2VRSkNMz4jghjlOkppHnBXGcIISGppu88/a7+H7A/tEBzw/2wdBo9lf4l/+bf8La6iqfPXhEu9tT25Fru7x7/xamLllCI67ulOWIhis2gADbMllfX2XiB4zOzmg0m/zm976Hpul88fkDGrrJYG2dWqvN118/4vzskDROcWyHpltnOpkx9X0WUcxrb71Jd7XP0+cv+ejRIwokszSnNxiw0++QAmkJ7ZUezbUNNNNkPN/BNCUdV+Pw+UPy2MO2HILQwzQttre2OT+7JIoS5rMhNdeh02mr5BtDYzabIoXg+9//Pm6rg5+leEHIeDTm+PCQJFCxX65lEPsTvMWCIsuRSKTUydMEb+ER6ZIkiWg2W1imgxQmjuMSpzlBGKPpOrpmqMlpUZJl+XKTmWNZNt/2+taFnRAmVSnJM51ep0e7tYYUFppmcv/+m+j6U2aziDQsqApJkQnirGAeRay0LaIiQtgC6YBek6RBQVkVqMDflJycSqsoKZXDT5kIVUCzqBBZjlaAgU6UVVR5heHoOLZFWmQqr880yMpcvSSlRl6V5GVOlKh8vyyJ1EtmufPXNYOiUA5escyB1KUOmiQpUhKRUgqFqzBMA1mJ5X8ojaoo1Z+pC2q1JovZjI8/+oiyqOh2VsiTBIqKKi+oshINjWppb64qNZlptBvUanU0TRW4cRRgaIJFlpIlMbqhU6u7bG5v0O22+OSLTwnmE/78z/6EeqNOb6XHnbt3KMuSzz77hMdfPGU+mLGvPeedt9+k02oRhR5FGtB0NYo8Z5IueHk4Zzg9I4oj7t69w+71XQxN587NWyRRiG8bPHnkEYcLKDUmF+ecHh3QH/SRJqw2ejiOSRjENNstpGHhhTFhPuf/8n/9v/Gdt1/jf/u//ucY5tIZWmnkUuXxZiV8/NVjDi4nrO9GRBX4SYprWXTaHUohqChZLHyOj05pNzpsvrdJmSe899Z7HB0f8/Y77/DTn/6Ms8sLkJJ6rU6r1WA2m1JrumRFynQx45effKjySFdqaG4JegyEaJoyyoRhQGcJKP4mpwvx63ojJYrN8ZMIy3EZe3P2jw7JkoQqL4m9kDRKuTi9oN1pU6QFnU4b0dExDI2FN6fZbLCzscmr9VHNNDmfjhgvJhiaRphvoTsu8zDkT370Z/zJn/4ZQZzR0DT80ENqgkazhdBN0gK+evSC89mU69d2GHRa1CyTx89fEkUxO5ubVFIjLdTUexZ4xFmior48lfFaajadloHUKk5Pjjg7OUVDUtd1qigkns/Joi5FWRJEJWmeM5vMsKVFITI0qdHvdtjZ2OTLr75m7/iI6/fuMg4DcF3CLGel20OWCmBVSQUOPTw+46sHj8lSmE4nXJyNVcILSlaRpAlplqDrSkgtjArL0cnygiQOQGrkWUoY+soBr1nkcUZR5LRadYqqwg8jWp0uvV6XCsHZ2aXS/5QVtq1jOw2kVE2RFBLP98jSjKoA2zZpdjqkWUIYxyy8KY5jE6URF6MLXNfFtC2m0zkff/o56+vrrK2tsba5iWUaxEmsSPhBqNyUro0396i5LnleIkVBGMb83t/5u6yvbfBv//iPGZ2fIQW8/93v8v53v8v55SWbOzv85V/9FS+PT6/Azr1ul+9/77fZ2dqGpYTg9bv3ANWMxklMEseEUYTneURRxHg8xrIs7t27R6/X+7Wp3t+kqXvVEIhXH185Z5dPii4EjZrSZ6ZxTL2mGpDZeIQhNeazCWVVsra6QZat8OTJI/xZiDfz+M3v/gY3tra5vnWNJMkoK8np6TlC13Adm/FwjEgKmraNpCIvEhB18qLi5PSSJIuQhiTLIxAFRZmSZWrN32gYXIxOKbUSaRi8PD2Bus3a9iqNRg2sHGTOyckRuiGYTxd8/eBzpDD4/d/7O9Tqkrzw+cXP/pQsy6jV6owuhjz88hM6rRbvvfcWn/7yI7Io4snjB9zaucVvffddLs8v+PiTB3i+z8616zS8gPFsihAalaZRCh0pC6pKyXq88YIoWdBoujg1nclkyHw85fnjhzTqBu22y/jikkFnnXqtiabpJGkGSDTNpNWw0A3JfDHF96astJrYuiQqS+Iw5MNf/JKF79PudEnzkuFooibWlaRCQ6BR5II8U1PqXr9Hu9Vm5nsYTYdMltRWmnzn977POz/8gPloyh/84d+l0ajxpz/9iIPRkI35Bs1ujUxAUiiwtCbABIxX2hJNTXs1BHXDwG63ldRJlGBYaJrBrbv32Fxbx7UcfvbznzEY9ImSmNlwAkhGkyn1ToteZ4Wbu7e4vXsTXQo6d2+zu7lJqpsgJY12HV8o3Mk0SBnNMzpdl+HZDG88wtEEO9vXOHr5kiieMhqNmEyHjCcmcRrQbLTpr7aRmtIerw5WaNZdru1s483mfPHl1+wf7FNrLegNVvngnXfpD1Y4Ojri408+Yji8YDQeUkUJltNAc6AqcnSpEZQlpZB4QbRcsRa0212cutJ9i6LAELrCS4kKjYoojcjTiGbdwbZtdnevfdty7W9T2GlQCaIood+3sSyH8XjKbLbg/ffep9dbIY4eEEcVVaGRJtlyP1xRq9fVamJ/j7m3oKSkEpVyMhXK0KAqOTXdUqtZFaBtaPoVHkATS2dJUSnNUZphOSZ5XpCkCfpsgWnpVFJSCQ2BRGhKmFgUFVVRKduMlEttga30d7nSvxm6gWU6atVQQJgth/ilEmTKSlNuRMNEd/SrkbPnLzg5PcauuWxsbrKyskIchIiyokhyFpO5OtQNY9kd6ViWje04y5VJuUSsVFRlRRQHZFlGvvz7g8N9vnr8kOOjY/wwZKvTZn0w4O233+ate2+wCD1G5xfc3LnBeHTJhz//KcOLM7Y3N/D9BbZlMJ2MEMDW9iZFkfHgwQMqKvorPVqtFieHR7iWTZ7ECt9AhWUaZKmapsmqYjGbYdctNGHz9OnXPHv6gkatSyUq8qqkSBOeP3/G737vPRzHRIgSgUAKjRzIKxguFjT6ff77f/E/Ml/M8fIcz/Np5Tmz2ZTtjQ2F1igr/s4PfgfbNCmLgg8//CUNt8na6jp5UXJxPuLh40c0Gg3SJFFYF8+nLCsuLi/5+uHXbG5u0V8d4NQdag0HIUsQBYahUVYFZ2dnrA/6V/FFyzfX8n5f6tSWotyyLDk+PUGYKtnE8zx8z1PomCxHA5VE0KhjOTYn5+e8/53v8M5b7yz1o+oeKqgQmsDQDFqtJjs7u8gSwizBdVyyquTg6BjLcSg1gyzPCMIA2zSwbQfPCxmO5yqWbnjBk8dPefetN3jt9o2ryQ6aJM0zvnzyiDBJEKZOs9Wi2+3jRSGGaeLFEUGSkAQB+/t7lElOzbIJF3O+++7bNDtNkihi7C0oqdRUSwiElLTbLeUeFWDYFhfDIaeXF7z+1ts8frnHapAw9wIMS1n2FYQTpgufP/+Ln7C/f4wQysQQ+GrKYhqmWjVUmVpFatoyhUAwGg/Z3NwmilOEpuH5MUJXtMA4jaGqcGyLMIwoigLLthFCEgShwsrECYZh0Kg5mKbN2fkFVVXhByFFUSw1MgZJmFKUNTRdw/N9ajUb01K50iUV7XaHoigxHZuzs0tWVvq88cabvHjxgr/487/g+o3rNJt1Do8OybOU73//e8RJTOhH1Gp1LNumUW8oGYBh8vr9+2oinibUay5vvvse9XaLR0+eMvc89g+PeZUgrOs6t2/dZn1tTW00rsovddNquoaruTiOQ6vdZmNj40pz+aqA++bH/yy37pUq5sp1+KrIe1XqcYWBKrKUNK/YPzpgNh0jRaE00rLg/nvvEYY+Dx58QaPe4Hd++APee+cduo0Gs2DK2dkIqdskaYZVs3mxf85Ku8POYJPFZAyA6zhXX0in00O3JM9ePFaGk7JYbn0Kup0ux6fHnJ6dYLs2cVZSVgUzf0p0NGew3mUSXHBy+pA0ifE9i8lkQrOpk6cFSTxlfaPHs+ePOT+/ZG11jTwL2d5ahzJnZ2ubPEkwTJ00iHn+/Bnl72esrvTY3dnm44+/pMhyiqLAtE0MQ+mqQCCkDqJS6Q6VipryZwFJ7NHtN7AMi91r11hbXyENQ3avXePFw+f06n0qUVDmORTKGatVAl1ITE2nynPSNETrtFRiClCv1Tk8PKS70qfTbiCEYDafM5/PKcpqOa2rSLOCChXv2XBrXJxf8NmnnyBdg41b1xis9en2u+yfHSOzik6zSRDH7B/vcXJ8DnnF+u/+JhiS4XyB5To0bIuiUqBjWanPr1JVUNsvUdFtNbnr3ORsPObk+Jxb13ZY6w+4PL/kxdPnzD2fbqeHgUm/3yeX0Oi0uf3aXVZXB2z1WhhSUNGkh+B4HvD0xUs6dYfR3EcgOT4Z8dMff8hKt8sPvv8Bf+cH7/L4yQFZkjCfz7k4OyPPAkxLwwvm2I5FFCUsFgG2XaPTafP8+TNuXd+FJdz6xvXrHJ6cM9zb48XLA+Iw4g//8B9QVQXrG+u0u22GwxZmUTAbj1hd6TG8uGQ2GSudsKYjNEVCSJKMy8uhyiC2HPU8lcoVG4Q+SZYQhQFRrLYjfjDn9Pz425Zr376wq7mNJXdOUhQV3iIgTRPKsuTf/Js/wrIciqIkTwvytCAOIyIvQAKWYTGZzBgNF5iGMlGUhWLAiUogDBMpJLqQFJUq5qQGea5SINK0RIgU01SF0RUrJgjIy2KJ3lCWcse1qLdq6JqJbmgkaQJVpOpFlk7CSiKFrvQtS4ErBSRpqjqZoiBZ6u4oVUEoNBX0LEp4/733sC2Lrx99rZzAYYIfeAhDZWeapgroNk2d8dyjQLlyTdNEM03qrRamXce2bXRDQzMFk8klpmnSbtSZjSdomoZumJimTn+lRz2pMxqNMAwd8gJDSCLP5yc//TFCCKK5h6jV6DRbvP/e+xwd7vHkyRMCb0Gn0yLwFxj6Un+oiyvI6vPnzzEMg9PTU4IgQAfWVtdptzu4To2oSNA1jV63p1x7mlpVzeez5edSkW2aLhGlpFZz2NhcR0rt6jWgAUlRkCBYxDGLKGJ7fY2981P++C//kunwgu+++w7tep2kKJBUbPRXcUxLCZ7TlM2NDaTU6HVXuLy8ZGtri/lizptvvcXHH3/CfD7H9wMcx8W2HXq9FW7evMn6+gaj0SVVVbFYLJSGzrZway4PHz9mpdfj2s72r7sLv2E+eOU8zfOM0+MToiyl3mywt/eSbrtz5TgsyxLN0Nne2aG10uPxs6fUWk2SQiFyWo0mhYAszxgOh8oN6phYrkO/3aOsBHGW8dMPP+RseInUdWSmBO8SsVzxNDk5PSGKQ549f0pr0GM+mzCejvn0c48yS9jcWKNExdOcX1zw6NlTCio2d7Zptlo0W02MrsHL83NOTs4QZcn7772LXkEeJdy+sYtjWZSUlFKwMhjgxRGHp8eESYzh2PR7XV68eE6WK/F/EId0VnrEWcr2zg5JkmFYDpbjEOUleZozWXj85U9/wedfPKDIc6IoIvR8BIIsTtSvLCP0PSzTwnb0K02OgypQsiyj4dYRQtHqm406ge/h2DaWZTGfzbAspZXLshRN01hdVYWQ67o4tsXJyQmb2zsEgXKX5qV6tuMkoQKyouD47JQ4DlnV+iRpStftkJcZnh8wmy3QNJ3haIxl13jy9BmfffYZ3nxKAbz33rt0eitAxWzho+mSWqPB8ekpmq7j+z55ltOsN5Caxnvf+YCjvZdUVcnp2TkDBCsrA07OLjg6OlF4jFwJvW/cvPkN/ed/vDD7m4q2v20W7H+g06xUcVUJgR9F7O0fkOcFAoHjOoS+RxKFnB0dglER5Qn9Xoff+u3f5H//f/jf8fT5E+oNmzQLCVKJ74cIU6PVahIlKbphUI9jaraDrRsUSyyMMgvkUGn0ej32Dp8TxbECQWs6yfJlvbm+SRCEJFFKnqr0orW1FaRZkRceH336JfWOTpScIXSdk9NHXJyOGaxsMLyYcnz8HLemGtLV1R66IagoqNddVtf6bG5t8PjLhzQbLVY7q8r1GsfUazU67Q66phPkEXEUUXdrWLZFMFuQZZnCVyBVY68pV7gQGr7nE8YL0EryOKVm1fCmHn47oEhy9FKSxxlVXiBKJVupipy8KsjSiCyOKcocISVhHBFEIRubO4RhjO/7uG4N27bIsgzfD4iiSLn1BXi+B0Jpzeq1GlmZIUroNtvYSx1rGacc7L/ktd3bVELw1ZPHPH3xjCIvGS8meFmKaTnU2i0u5zMOL87ZHfQxHAdTk8tZ3ZVZ/0qjWTMsOq7L4M5NaraLZRiMspzVbo9Ou4tuO5wXZ2xtbtEZ9Hj09DHxYspcFIjtVRzXwatg/+SCf/+zj+n1ugze6uLPPVV8XU45Pzvh8aMHVEXC3/2979FqOPz4xz/m7OwY29Ro1FtYtpITgURoOpPZjFZTfcXdTof5fM7e3j77+/uYtkID1dwaUjd58eIF//f/x/+TOE1w6g4bG+t0Wh16zSaLhYfTaKPPfWqtkqwoaVSCKkvJggVRGBLHKfWaSsLSdQMtz0kL5YKtoog0TaiqgulsjBAQxsG3fna/dWGnmzZRFFFUktncxw9iHMcFIAojZrMF3sIn8nOSoCD0Y0ShhKORH1FkJY16k5rbZJQpTU2RlejCgEqhQaTQQFbUajVMQyMIfbI0JEsLijxeukNMTNOkKHLSLCVZ5FRSoBvKyWoYFq7bQNcluq5dae2EyBVEeSkgBWXmyPKMMI4QlcK2UEk0KbFtCz8MFYeuUHRrUxrqJRsnhKHKu+x2O8RJTLfbodVZ8sayFEMqllKSpWp1oWmKZVYWS92FynoUegWyJE1THFNlQFZUy6mFyqr9/LNP0AyDKk8xhIbIC148fkowW3D79i3efeddvvve+yRxzHgy4mLUR1IwHg9p1FwW8ylFllPmOb634Pad2/gLj/FkQp5m2KaC4n7y4UfICjY2NrEMB01oSCFJExVXU1YlTsNi4fkEvs/a2oDh5Rw0KCigEtQbLhub6xSlmky9epDTOCE1dLwo4vjinMq1+PDzz7g8OyWLAhqtOv/s7/+BQjxUFbahqcyHssQwTAa9AZPplLX+GpvrW8xnHq1mh9lkzrMnz+h2uzSbbcajCSCUjqe3guu6rK9vIICLiwuiJGa1P2BtY4M4Tzk5P8epOSrM3XERYqnQ/JXllIoK27LZWF3j9PKc8cUlaZISLqdBoPASWZbx/OULtq/v8uZbb+L5Pn4UcHJywmP/Mbdu3WI8HnN8rHhdpYB2t8vquwPqbo3HT17w8WefIzSdMs3RhIa1dHrruuQP//AfsbW5jm5ovDh8wSLw2NraoAL2Dw8wdcnhaYfBmkLTSE1jdW2Nbl/BYC1L2f0XC48nz5+hazqmUNiMs+Mz7l6/gWtZV1ba2WKB4ShN2cXwEiEl0/mMs7Njam6N6XxKlKYYlsm13jV0Q+fo+IgsKZBS5+neEY++/JqL80u8MOTJ8+dIBJauTDlS6kSBr/h8vNKeZty5fUfpbsuKN954k88+/4LRaEKFwHJStU4UgmazieuoqXsY+GiapibjUrKyMsAwFJNyNptRlRAtuZfRMnNTCEG73SaOY7yFh2u7eL5Hnmesrq4TxQGWaVGvNzk9O8FxYqIoRkodx6nh+QEgMQwL23aZThcIoTPor3F8csSf/flfIAS8/eZbDHoDpGZQITk4OCIKQ9584w3spsvByQmO7XCt2cEwbNy6yWLuY2gGCSlFkWMYBq1mWxVmy3vzG7fof/J6Vej9bYu6/+glBUWlmHLTxRw/CGh32lwMR7j1Bo5tcX4yxxQ54SxkHiz4qIhx6pKt3Q3u3LtOnmVMp0OSLCJOMspCZ93eVAHoWUTNdpiOJuhRyc7aqgpbKyuELpnP5wRhQJykGIalOKjLoUFZKNe3rptQSfK0wHQs1tf6jLwz/HhKUXoIYaOJjCSJCRYJo8szXrv9OvduvoZj1zg7P2A/Ug1iq+4CkiQKeOP1e2RpjmVZtBot2rUWgR8yGo1pNQZ0O100KSnznCgM6a0M6LTbzGYeWZJS2AVSE0ihYxgWpuUs30uaakKouDhWG4Tz0Rknh2cYwqBIC4o8ospzTMNa8ipVwxwGPlKAW6+jmTqz8Ry37vLue+/x45/8jCxTSB3LtJYaU08ZboRAaIIbt26BEIzPR3T7fR48+BzXcXBMi7rt0K03efzlA06GY774+UfcvXWH0jBwaw5C6owXEz588BU//I33yaqKH334IZPhkN/54Ds4t25T1zSU3UxcFXdVBYZQ3EwLGDTryyhEuLO7hfOHf8hw4TFd+Ky0u2iaIPTm3Nze5L3793Atk6YmsYCnl0N+8pNfsL93jFapAtgxTLIkYzodM7o8wfcXfPLxT9lYbWLpGo8ffkGRRwxW+5iGRpzGCGnQbLbprvSZzheMLsccHtl0W02yTDXiaogkcR0H222hGRbD0ZA8y3BsG28+Z2yZpGnMzvaOcvhOZpRS5+bd15hPpxzsvaRMIoIiwbLNZc2jK2e7LhGVJEuVhrusSvI8pSxz8mK5zRP/DcwTuzdu8dVXXzH3AqIko9frYTtSEeaFxPMCsjQnCWMCL6VISkxhYOgawSLEtBxa9RatVpfFNEBDHRJUKmpDCgXjFEVFEiXkqaDIS8pCWdShQtczLFsVdmmaIHNBXijhuGk5mKZJo16n1WqSZeoFYC55UFmWI4WglFzxqOJUTQleEeDDOCLPCizTotOpLx9U9fVlSQp5hS51PvzwQzY3N9i9vkMQhmiaQNc1gsC7QkvEeaJI3iiru9CU87DebDKZTlm1XPWQoQSsSRxTd1oKaFmqX6Y06fU6yqAgDCgybNdiY32d0WjCm6/f57d+4zfRdQ2paQQVPL685PBgn+PDI6QmaLeaKq5MCqpKBWjrmsbu7i6alPhBwHQ65fr160RByGwyJfRDvMwnzxS2ReoVzXoLwzI4Hx6TRBE3rl9nPJmokGOtBK0AIVnbWmVlrU+GcgFLofSDtmlSAOfnFwxWV+l2evT6A1ZWemyvrdKt1wjjiHrDxECFO0tYOlZgtd/nxcE+zXqT1ZVVLrqXGJrO9vY2nXZnKYw3WF/f4De/+5v84pe/pNFoKvBz4CFEpfhqaYo0dPqrA3TT5GIy4vTilEa9zg++930c2+HXJOWKcoOh6dy9dZubN26SFBnHp6f89Oc/xfM95a6Vgprr0mw0GV0OsR2bo/0DNgZr9Lpdzs5OOTs9Ve5H26bIc6q8oNtoI0o4Oj7h//3/+Z/xPE/9zIpKudRKCPWA1+/fpd9fYWtjwGwxJ0oCxpMRURTwuz/4Ib1em88//ZSHz55RSUlZ5oRxzPbONps7O9SaDQzDIIwizocX1BybOIqZBwsuDRUVWGs4lChSepjEjKZTkvEQdA3TsoijiCROmE/ntBtNTEOtFfrdHtdv3CRJM/7k3/2JcqaGCT//yc/UM6ObeIFPmhXULAtD01nM5hiGSZGVVKV6RlbXBohK0d2zPGEwWGV1sIrj1NnY6DKeTBiPx2R5hmWaJElCFIZQlRR5unx9qEImjmLOzs6Yz+e4bo1ut0Pge0hNMpkqzaPneezu7qp7TEoMy0QzdBqNOlVVMp3N+c53vkMcx6RZSRilBGGsnO2Gyfb2LsPhiJX+GtbmBnmWM5svKCY5jWaHza1r/Mmf/DvSNOf2jZuYlsXdO3f4+KOPOTk+RtcNfuO7H/D3/sEfEEUJvu/zcv9wuWrVsE2HKE7V82PZtFrNX6VMfMtz+z+2hv2216+vYJd/5rLR6Q8G3EXn2csDpVWazYiDAFMKHMukzBJSXafdcOn1mmRlRBFFJFGCbesIQxAEMVkCSRojgU6zQ6fRJGoF6Lmg6bhIwNT0ZcKMSsvYvXmNL7/6gjRN8X2fbrer4gGlVBKdTJ1zO+trdFot5vEZZRhjGhWXF2dkSU7sxVycjmi4DXa3dlkbbFOvNWk1XV48f4oQEsdxKPKSLEk52NsnS3OKrGB/bx9ZCKqk4uXLPW7s3mWl22VrY4uL8wnewqPb67M6WGU6XQBQFgVIpbGWQsd1ahiGRRip6brIS4Ig4OWLPQpK4ihClIJb23coM6U/tW2LNM2vtgumaaDpFf1BlwKVW7zSG5AVxVVT84rJenJ8irfwfnUPaBpZVbJ7bZfLiyE/+fnPKMuMGzdvEiUhk9GY0XSEF0cUUmILA0dI+js72LaBabvcf+N12it9zvyA0WLOn//ilziWRafTpllzubuxiSbVxO6VoUIoXQtGpdBGpihZ6qOomRo3r62ylq3w9OUJd29ewzA0nr94wWC1x63dbQwh0JZWtiIKcDVBv16jX3MxqopW0+H1O9fRZUm6uE9V5tQcC0vLmY3OScMJlqFT5CHjeUCt0SSOC7rdFaRmsLa+SZ6r7Y6K0xRXDSFJTLvToNNu02x3+c3f+C5CwvOXL/j4s0+IghDHsdEMg7yqOLm4YH0woNXtkmUZl+MxpqjQNDAMVdhVywzdrMxI81SxYvMSIVXAgq7b1Os2jWbtb/HU/y0Ku8XCJ4lTkjhF0wxM08aybOL5nNncI/BDXKeBzAySxZQkVhM7Q9fRhI5p2HS7K+jSXJKU8yXfR6eqFMOlKkviNCFJU1XM6JoqxgqVHZHnFValHLNSSgzTAFlQd2uYpoFhaNSbNbrdNr7vk2UpYZwhJRRFpmqEskRogrJShOiyLNVoulQvtKoUxKkaL1uWRZZkCtNSlmRFBlJN8BaLGfJMaTsqKqqqJEsLTNtC0zSFlCiFirtZvjh0w2B9Y4Pxw0d4C49mp0UQ+8z9qYJwOo4CLEowLYNer8edO7c4ODggCDzKIqfuWDjO8mvLMp48e4rrumxvbeHaLjW3dsWqunvnNromcW/dxLFNbEeJuS3L5OHDh7x29x6maTKZTDg5PIJSFROmNK90jJQVmtCI/ICbN9+kIsULTaazEXmec/P2DU4vxhTk6KbB2J8xjUMuJiP6nQ7dVhMpwNR15kGEJQy6nRV+9uOfEyx8yiJjP4pwb95Uk9sSKAukpvErJVGFYzmYmsnjR495/f59Ou0OR4fHPHr4mPlsQbEEQq+urrGyskKtVieOE2zLpddbwQ89giBQB55l0l9bJU9SoiTGNDSG0zGPnj3htdt3qdmO+pOX6vFXHadjWlSAVVnsXtvl5OyU8WhKkqYUZQFFyRt37mHbNn4YsBhOqNsOizQnDSMWkylSSkajEZrU0KXGWrtH3bT5xeOnnB6f4VoOaa7i3jQESRRTlRm3bt1iOhsT5wNOL0+5GJ6xtr7JdDplPBsz6Pe5fe8ek/GYw9NT6q6j7lspOTk7pTqH3Wu7VEXJZDqhVavTbbYRZcmN7WucHB7xYn+fc8clyVJm3hx0DcOxqDUa1N0aLbfOja0d0jgiiWO6zRbPX7xkNp5ybp0ymc442tujyDNsyyWJE8IgIc+UsF/qEkc3SAr1+2maYugmNbdGvz9YPjchZVkxGKwSxwlff/WIlZU+AJrUlmkM6mMYhgS+T7NRxw8jmo0WrlsnzzMuLoZYlsXW5g7NZpN6vU5ZqWSbBw8eMB5PaTabKiUnL7FMW2VepylplnFyckKaJrzcO6DICyogDGOiKFUgc9um0RgzHI6XsOs+7XaLa9du8OzZE6JlAfjaa/dpNZt0uis8efKMsoTpfMHu9Zukec7TZy947fXXqDcbfPzZ5/ziZ78kiiKGwzFZVmLoNhUleV7w2WdfcvP6dVZWOgo/Ui1hsK8KsP9/J3J/07X81CUQJjF+nPD0+R5n5+cABJ5HHPrcurFLnoYsJik6cPf2DW7c3qbQMkoKXjx5TlnECFwc28QEFpMxju6y2mjhmjbtjgNFiamrdBTHtqGqWOmvIIQkShJ0zUSTxq9+aQZVKUjTnDRJcJ0a7779Lv32Ckm1TqH5SD/m7PKIZqOFrdVpOF0cq854NOTybMjmxjaT+ZA4ThXzMjnD8wKl3cwLyqIiDwuiMKZmOCRxyvBySFWUmIbBSq+HY1tEYch0PKHR7rA6GDBbeMgKykJFa+lCW0bWuSw8ZWTIiwxdF3i+QmTkRUGr1qTRbPPoq4doho5pm6S5cvtaloluughpYTsOJ8MLvCgku7jgj/74j1jMPNZW1+m1O5iaRuD76n0nBegatVqT/cNDHjz6Gl0zlXmt0cJ0LAYbA4azEcPpSJmAXAddSs4ODpgHPjfeepONnR3efus+aZzy448/YTifkWkauqZxPpvzl7/8EPu3vsebm5uvZOpq+lqBLiUN21bGyeW9JZbICEOCrQmurfdoN2tIAavtt9B0iSYFWlWhRD4lb+xsYWg2X7884o3X77LWsKmqEkcruLbd57Vrf5/xcEgUehwfHjA8O6Fd06g5FqYsyQ2Jt1iwurGNrlssgpBGu8WurlMEMWHgIauSNInVNiGMyIoRhlnDcev0ux36gxbNVoMXL18QLDyiMOD4+JhWu0W308Zaai01TdJqtVhpN2i5qj6YTKb4vioa86wgywsKBLplYZoaOzsb9Add3JpFr9de4mS+3fWtC7uvHj7i4uIC3bJxanVKBFlZEaUZk8mELIoxpUMUxiRxssyX09GFhi4NRCWRaCSx6rLLpRD0lYbNNiwoSsKiVGiTJXhTLkWnVfWK8aKsvwC9XhehSWrNBs1Wg1a7Qb3hgihpNB2CsCSfx8RJgGnpgCD3s6vVRJZXFBVURfnKs0FVqGxPt+ZS6DlplJCVGZXgqqDUpIa38JgsJkoQK6XCvcQRG5ubNBp1giCiQhUDlAIhDPKi4Pnz51QoNIvneVyMzkjzGF3TligONYaNopCiyKjVHapSUcpd12U2meDHBUlW8PWjh3z0ycdsbGzwT//JP8E0TE7PThkNhzTrDYaXlxwc7HHv7m3efvM+cRQxjSNs26TVarE6GJBlqnCNIgVlvbazw2w6ZzKaLcPKJXma8+TJM5I0ZTy/oNaw2D88IC1SrFqNoszQDQ1paDRXWuydHDMdjXjn9fsKq7BEM/zo3/4ZlWnzs19+zNODfW7du8P56TEnh/t45xfcv7aDVm9hSnl1ElSvdBlS4roujx494u7du7RbbVzH5eXLl+S56oZbzRadTpeLi0uuXdul111hNptx/doOT58/I44Vv800TRqtFv5sTuj7SE2gG8ZynWlw/+5r6FJ+Qya+1CdV6uWpCYFlmrj1Gl7oE6fKJLSxtk6v0cLQdTp2jfb3fsDQmxH5Puv9Vb76+ivqdSVm3txa4/rOLjuDVYSmcX58iqUbihtQlOhCo6Akz1K2d3Z57503GU6GLAKPz778jLJS8FrTNDk4OiRJlf5sdWMDx3bod9vM5hOyquDi/BI/ChmNRrSbLZI4Zn2wTpEXtFstdfjrOlmacX55QZAl9DfXidIUzdDY2tikKgoc3aRmmEghCKKA2WJBMPd4+vARH//yQzw/oMgzyjzG7ZkYmlRTBVmBqCiLjDxNQOhYpkkcRViWheu45FnG8PIS01RgXj8IVZFWQK8/YDyeMJlMqTSWa1SuaOytVovZdEyaZvj+JZeX6lmxbZtms0mappyfn9Ptd/FnM/wwxDRNmu02k9lMYZJERRAF1Go1Vvp99vb3cdwaUZSQpqkSVycZSu0G12/eZGf7OvVmh8VijqabaLrJw0ePefLkMc1Wg3fffQe3ViMKA27eukW9VmM2nWEYJq+/cR/HtDg4OOCLL79mMp3xo3//I6YT9dzN5ws67Q6WKckrxbP67LPP+f5v/Rb1egPH1v/GFex//UtxEgsqTi/POT47x3Ya9Ho9jo6PaTUb3Lq+zeZqj88++SU1x2bQWmFnewPDlIRRQFHlaFpJkSekcUSz0SUtS2aXQ3ZffxdHNzEQxFGEH0Q4Kx31BIrq6rkrkYRRrNbrS/DyqwZdCDVdicMIw9C4deMmQgo0qWMaFlmaU7Nduu0WYRAzS+dQpbSaBtPxnE8++TFRUpDGhdLAVRpRkDCbzBFCUhYVIq9wLJs4iGk2Wsymc6I4wdBNup0ulqWMILPpTOmqDfXrlS5cIDEMDV2zcJ2aygTNcqIkotZs0usP8IIAXTf5F//8f8Q2TD79+FOkLilFRVYUyixYpOiGwDQleVWApnKVywKyskAzdOXWXlsD1LNSFAVCqPfgMqKBwfo633n/A37x85/R6a/wT//JP2Zzc52Hj7/mz//qz/HDAKOsMAVkQcDeZEyjv8Kbb71Jy3XwkGimxXjmUWt1uHvzJslsyswLGM8XpKvrSE01TxIw9SVAqioVAugbSCleZRFrgnbdxpJKFmMY8opasExORlQVDVlxd6PP7lofTdcQVc6XTx/yYH+fnZ1tFrHP8dEBRZbQrrl0b2zRsQW+v6A/WOfZiwNGowtW1+HDjz6i3m5x/dYNFr5H7oWYS1OnaZo0GhppXhHFOU+ePOH05JwojLh77zYv9/eoypIoipjNpqR5yr17d7FMna2NdW5cv0av3cTQBGdH+7TbLlLrMPcW5GVGlheUZYXUBI5p0261qNVs1jcG1OoWhilBVKTZf4Os2L2Xe8Sx6oTKoiTwA7yFRxwnFHlFHCXMywVRoMLsDcNEK4UqaiqpOqA4JY5TsjhFimVk15K5VRbqo66ZS6eTEuWqnq2iqiSiFOiahq4Z9HoO129cZ+7PMB2TZquO0GA8G5IXGVtbm0BJGPvESciNm7eYTRXUsSx/JTAui4JiCRCmVEHnWqUxn83RhU5Vor5OTTliteXKOM1jNQEUyr2HENiOi2YYSF3n5q3bDC/HxH6IZdsYuk1eVMRZRr+/imlYlEWJrqn0BtMSTMZjLFPHMHSk0EiThOlkjGkaVBTMZhM836PdF7i1BrpW8f577zCajDm/PMM0LYajS2bTKUWRkSYxw/ML6pbFtc1NJqMhSZ7guDZra2tUVcnFxQWXFxfMZzO6nQ5ZnCnDQp5TlDklAt3SMWyDue8x9zxyUoqqoNFucjm6RLckbt2it7bBndfvcjkbE0QRkyhmUJaQF/z8lx9zcXLKB9//PrV2E9t1OR9dstZb4V/+k3/MV59/RhKE6EKwzFf5VRTSsrhz3Rq6YSHQcCyLnc0dPv/kc9X3iRJDGshK8sXnX9Jqt+l2eliWSVlBEseqW9Q0QOD7PgvfpyoKZJ5h6QYVkObZN/hLvyrtruK5lhNfWUGR5QoKKlU28mDQx9S1paMbGrUal4spp2enSCFYHawS+r6aqmY53VYHKth7sc/Z8TGuZROHiXLAVSpBwjAMbt7YZXV1hXmw4OWLFxweHhLGESsrXfKswehyxOnxEY7tkqUZd+/eZWNtjY31Vb5+8hB/MWc8mXBycEi71eS73/mAfq/LgwcPyJKYtZUBpm1huS47rsN0MafWbHB6doptO1QVuJaDoy1d6WXFYu7x+eef8+L5c4oso0hSqjwj9DyoMmbalCguUXQ+SZYkCEqyskIaEu0VQb8sCaMQqUnqjTploTRwnh/QaDRJkpiHjx6i6zqdbotOr8fFxTlRpJh0+tIda9nOMh3FZ2trk1qtxsnJKVWlNLtJmpCcp8tiAPqDwTJXWsUY5nlBWeR0eyucn18o04bjEkUx88UcPdTodDskaYbjuHQ6PRaex7MXL0jjCE3C3v4ek/EYwzSWzneH4XCC7y1IkgzXFUSRipf76KNPsC2TMIzor67xxZdfkuUZhmUSLZMviiJfTjMKNAHNRp1ms0leFCy8DE2T1GrWr9azFQpm/Neu/+L676+tfQVKWrG5tkleCo5ORpRlgePadLsdGs0aWZaxvrrOoN9n99Yut16/BZoKMk+yiFa7jW1bJElM6RZ4i4C17iYr7RX17FcV55dDlRay0EgCn43VPvor57qomE0nXA4vSFNVrOR5RlVVBGHAk6dPqSTouiQvc8IkJCtUM99otJh7I8JwwXg84fTohGtbu7RbFnEo2Hv5iCzTcZwmtVoNw7QokguSMKMoK2pODdMwiIKAGzdukYQqeWQ4HLI62MCxLQxNklYlSRwyGY2QholEUizvu1IIlUQkoN1dISsjjk4WWLaDW2sgpEZZQLvX5c6de+y/2COME/KqVPgiUZJR4Fg2SRpz7dZN1VQ7Do5TJwxj0ihDx0DXDYIwxLLsq8z2CoXG8byAzqDP//Av/wXTyRTDtqg1G7R7XYSmk5eQZClSlMgSdL2kAmq2zvD0iMn5OcW9DEPT+O53P6DQDY7Pz7l//w3Onz9nUHPJ8wLfC7CadQxNXt1DVx/VC/TKWMFSB6oDUheIqlD/TqqtCcuEFVX/SbSqwNUkmibIKZkHU168eEij3mSt22BxsaBbNzk5OeNycYEmBBdnp1imje97tDttWt1VNnducj78JS9f7DGajOl2Wsi0wGoq3WgaJ+hlTlbkmIbBbO5j6CaPnzxiODrn5PQE09Qp84x+r0uZpJzuH2AaBhuDPq9dv8GsNyecz5iNzpkv5jiOqya1mWLV6boy0xR5RZJk5HnGZDoizUN2d7fpDzp/q0buWxd2eVKiCx3TMhUF208IwxDXden31pCdAbLSOfAOloWZRllI0CVVXmFZJq5VYz5eoC+RH69I6FVeUmS5+o9XVohyGTZXLickUlJWquASlcSQButr69RqdcbzEWmYkOQBURqg6QKEpLaoYZg6C3/G+tYqbt1hOBojNF2Jr5fu26rKyKmQsqIsWVqRE/zAxxIuSZgihESXKs64REClgpSRKqC7Kks0XWFLkjynDCNWBlssvIg4Lcilhm6aVFmJRKKbNmlaYhgaxnKqSZGRZymmAaahoQkBZcHnn35MmERKB2hAJSGNZ1AlpE2Te3d3efw8Y//oOSCZe1OazRrefE5RwUqni6xgMZ1T5iWu7ZBmCefnZ1iWwWQy4pNPP6ZeqyFEhSxQKROJj9CgKHJyLUc6Gv2tPn7pMY881nd26A66/PinP6aSOWZNUoqUr58+ZHVti253gN5oEJZqDbdIU/75f/9PWd9aJ6xKtq9d48HXX/ParRtYUnLrD/6A1X5PFVVSqIldVS2LNkGUpJxcXCJ0nfFkwsbqqprsLo0tUujY0mHQ7nN0esR4NMJxLGr1GoKK+XSOIXRWuivoUidJsuV7S+B7PqVtc/fOHW7fvL3En3zjCFrWdwLlkK0qheKRpULBaLoC26JD8Yq+jvrawyIjFxCHoXp2QolrOxRZznwx5+mLl3z+xecsZlPKShL6C6I4WjrMS/KioNlqkhdqint4dEQYxnzw/gdsDAZ8/dVXOIbBe2+9y8bqGh9++BE31jeZj8cMxxdcHB3TazSxpQqRNwyD2WREsb2O3TAIEp/hbIRumFi2Q4mk0WpTIZSgPUxpWDWabaV3youS/aMj/vjf/VtevnzJ8OKCJI6gqhSI2jBAWCy8WEUVZRlhECGlyoQuypI0T3BrNZJcdaB1s06cKZejY9tEcUyn18WtOVyOR5imgnou5rlydMchYeDRarWQUjKbzylR5ghDk5iGjmno6IbECxYIXVBvNCiWTuHOygqtdockSQiCgMlkiqTCrbmMJzMWngcCDMchrSpKXxClCeu1GoZtE4Uxx+dnLOaqOSiLnO7KCrpp0mi1WV1b5cGXX/L46Qua7R6nJ2dcXoyhknz44cd88eALdra3ePudtxl0WgwvR+RlQZzG1Bt1dE1NnoplYLgoCjQpiUOf/f2XuHWHJEvRpERoEEcxzWYDEGjiv9JK9tWztwRWsNTLaoApdcYXIx4/fsjCmxGECzq9JlmWYGKy0umTVAln0yGTjz1uvHaDyKvQbZfZfMLJyQWdRh9DNhiOphSpThJ+zI2da3TbbTJy+r0ez1++YDqZYDZqdOoNLCGQQBL5RJFPkiRkeUwQ+hRFpgqJ0EcYgkzkzKMZkxdDpJUR5j5xGmI6BtPpjOl0hGUIHFNw8PI5RS4JPJ/+ygb1Wl0B8IWFJWu0HAiCkLXeFrquU3Qz/lf/w7/k3/yr/y97Lw45Oj1mpb+KEDmazKFUyQZpAnpVIjUTgQShUwFJucSW2CZ+7JPmGXXHQgoVa6ZVGttrm7TrbRbTBd4ihEpXa+Y8p6hysjJjfXudd77zPl9/9TWOZaJ3HURPcHxwhO6aSE2nVmtQFiVlliOWxWVRQM2u8c67v8Hd197m5bOn3L3zGoicv/jJzzg/HXJ4uIcfXCBJkUInRxWjG+vrNLtNrCqnrmn4VMhKULMstAL6zSZ0+8yOj3htsErNNtBFtdRaK23LVVEHv65n/kYLIlVHc7WxKaoSXfzKhKHuTJOZN2eW+2RagR95mHWTrbUehAsSb4ZjlMgqoBIFYZAqE19UkpRz1rd3kZqFQJ3PNcuCNGNrdZ0sSqiqirv33qDdbGObJuPxjKdPnzGdzUGW5FlMq1nHXziUeUGr2SCOY2VyQuBoBqkXMbwcMp1OVVrGcIw3G2IaJlGU4po1ijSEQlPu/Cgi8CfYro7USgxbYjoummFfbSq/zfWtC7vA89WPXiph8qvDwzJMdKmx0u1SpAV75f7V72mGrsC+uk6z0VAJBmF4lRAhhOpOqqpUubBVuQwMXnY3ZUW1XL+qRlSSJhlZViixvKYRxxlxFiC1ksGGsqgHUcR8PkFooMmKne0t4jilyFM0+erOEHS6HeIkY3R5ecWzytKMbrfL9WvXmY985tMZUkoKkWNqqosWQkfTJVLXEJpEFjnkudICliWIiul0Qpbn6IZOWVTohiJJSymwbQvdMcjylHAYqJWULXCcJqapI6ucqlTxLlGiJoOahLxUOpWsjCGtODk95I/+3b/GdmrKqRpGNNw6c89DAL2VFRo1lzyNmUwmmIZBIQpe7L9U32uuBOFSGghpsLm5owLb5x5FKcjHU6IkpahKVtdX+cEPf8jsj2ZkowTLtvE8j3qtzngyo+bW2Ll2jecvT7h953XeffddHNdhPF/w+Ouveeedt1jv9UjimK+evgTL5u37r7O52uPLzx6Qter4YcCg3Wal1UIXQrEDhep2h5MJX375Jaurqzx6/JgkSak3GirJIyuJ4uAKNvvs2XPu3L3NdDIlzzOCMMQPApyag1uvs7m1RatWR8tLyjwnmM+QVcVgpU/Ndf+jmIerA0UIVeCh1kCWaRKnCUIIgjBgEXpMp1PyIsdyHJ48fszR8RGv3X0N3/MwbYs3332b4eUQLwrRLYP1jU2OT844P79g4S/UfZ6l5KkqxHRD5+jklPlszuXlJTXX5Xu/+Vs8evwIXddpNpr4Uag+n2my8H2kKGjUG7z/3vs833sBVcU7771HVVU8efqYk5NjFos5J8fnnF8MeevNd9jZbGBKwTyK1P0KxHGsJkSBz9H+IS9evODLL79ksVAA3LyoKMuKsiio1+vcuHmbvBAcHZ8wmUy5vBzSbrfZ2tomS3MW8zmgJsKvnMSmpaLdXuFMet0upm1xenqK7TpYtq3itaRgPB5dxf9ZloXruvi+j6HrBPMFtm7ieR5hGNJpt/Gj6OpzO7U6q6urOLbNcDikKAra7Q5np2qy1+/3mc3nWJaJkJKV/gqLvT2kpimWlO+pdXAliOOEqoJWq43ruoRhwsXlmPX1NSyrRl7AfBGyurZFu9Pj8ePHPH36hE8+/RQhKt57/302NzeU267IsBwDKKnVHExDoyoz4rigqtSEp6LC933G0wllWTAY9MmynMVizunJCRsbG/T7K9/64P8219KKcsXrzMuSy+mU0XzOV08ec3xygmaoYnywskKRJvjzBZZmoNk6B/vHzMMFhRSMFmPQKpI0wF8saNgzxF0b13TJophxMuTe9RvoQJWrdaMmNdodVYBntqNIAZVKpnDdGnleKAH6crJ4eXmB73mEUUB7pY3tmER5zMKf4ScTJvMhulXRajUQRU4WxkoP680pS7UpKbISfx4isYm9KbLU6bX7tBslpmbQaDX5wW//NuvrG2R5ThzHHBwc8MF738W2LaQUFGUORQ4lVEJTjadmAiVSKDe21JTEJYxiZdhpNrFth7Ko2NrcxjZtHMdB03SKoqQoKygqirRQq1atZHPzGlUpqUqJFEJtYcqSyXDM6mCdRr2BbduEvpKLvHKlVlJgWBbnF5d88slnHB+dcHY5oeYavHx5RBKkpElKGiYYWkVeZkreZaiGNy8KXNcFoQD9eZCiIdno9Xj02QPsPOXm2iq3Ntao2yavZKBXDccrI89/7Ib7xlW+2uahljjlUu8slwLoqqo4OtnnqxdfY7Vt7r12j9vXd/Bnc776eo/joz3qdRPdAD8OSdICt15jc2OX8SJU3MPjC54/P6TmuGxtb4OoeP32PcIg4HD/gCSMqa+5XL92Dcs45asvvyLwfC4uLtna2GRzdQ2R58ymEwxTZzocsbW2QRInvHj6jNl4wsHeS1ZXV8nTnCiM8Bb+ckqpYZoO6xtbKqUrVIOgIq2o0EBKTNOkXm9hOy7T+fRbP7vffmIXZ1cZhHmsig7Hdek0O8RhxMnRKWmsXkZCKMOAYRhYjo1hOWia5OLinLIq1GqxqtA0nSxV++VsuT/+VcSTKu7U6kRBbkGSxBlBECH0GZquL4WzJkE0Yzwe49YMiqrA89Xast9foSwyFvMZeZaqla5ALXkFOJaFZZqkaQpCYukGq4MBVVniB56C7xYZhqajGRq6lComSZdIXTnEZCXQNIEhNaqqZDGbMRnOyLMC23ap1Zo4jkUcxxiGhpQgpcAxLFzXwg9UILDruui60haWRUGz3aRndPEDj8lsQlbESF2jFDZJUaKlOfv7z+n2+lSlcoRpmkSXmlod1GrLdV1KWaj19jzwuH3nHt/97gesrq7x85//nCdPn9NsNmm1uxhScOP2bYTQ+OnPfsHMC6gkjKYjfvHxL/jy6we023Vm8xmT2RhdN+mvrJJlBXGcsrm9xfe/9z26nT6yEuRUNGsO64MVpqMJWVkSBT6urrPSaeKYBu+9+xZSVDx6/pyffvQxrXqNbqtNo15jZaVHs9Gg0+3y2z/4AY1GnUcPH3F0cozlOhRVRVGquKYwDBWBP1Kg2matzs3rNwDYPzpkPJkgNI3tjS3efeNNyjSnKnJGszGWZTJY6S9xmv/hVVbVlRbkFZojiqJfw2eMx2O+fvyIyWTCG/fvL1ejSpoQBAGj8YiyrJiHPvfu3+f5yxd8+tmnBEGIU3NY6ffodLt4ns/l5ZB63eTmzVucnZ9ycnJMnqs0B9MwiMOY+XxOvdkgzwuGoxHjyZTTk1Nef+M+OxtrlJUa8X/16GsajQZhENBoNinLUsGBQ8WijMIIQzeIkgSBUFrEXMf3Q6Iw4qNPPmN0OeRwf1/lbyYZQRAutaoCQ7cphKLa773c52I4YTKd4boub7/9Dp1Ol2dPny1fwuoZT1OlZa2qSrlOU7Um3d7YZDabcfDsAMuy0C0DR5O4rkOcqC3B6uoqcRwjpSRJVOJAWZbYlk2v3SEvcjzfZ7HwqKRYwshNwjDEdhzm8zmWabK1ucXhgWKxuY6NbdtIz1u68QsODw7J85ydnR0Wixnj8YTFYoGUukJJWC7j8Rjf97m8GKJJjVarx8nJBTs7N8iykvPzITs7u/izEd/5znsYho7nL1hfX6PfX8G2LMbjIZdDDd0QSFnheXPyIgXUM6sOLEFRlorZ5nk0Wi0MU6fVbtFuNSnLijTNsCzjv8rE7tVs5BUmJS9LpoHPh19+wadfP2A6X+BNPQxDZ3UwoN/pMbw8JwgCCt2CVGPQ26S3uslsErF97SZJHjOdjmnYXeX4b/V59413saSJKQz6rTZFUVBlOWWWcefmTQ6Pj7AtaxlNJyjKAik0bt++y8uXL5hMphiGQV7kfPHlF0ymI+Ik4NaddzEtaA/6vPzoa85GB3jRhLyM6Q9a2JZB7PkIUVGr14ijgrW1VcrYwp9l9PttmvUeW6sGg/4qUmo8ff4Mq26yvr7B6dmpwldRcni4T1Hm1Osupqncu1VZqKzzPFPYKE2tFF/FKlWAZdl0Oj3cmkm70yCOPKSQ1JsNjk/OWHg+jutSlBV5Wi6xIBINHVO3WBus8/Txc85OL9A17arZ0qRGFIbUHBfbcTg9PiZNM7XmrSranS73779BkOb8T//T/4t33vsu3/vB75EnHs8fPaFMS14+e0oRl+iGQGg6RS5way5+ELNIhnz65QPuvPY2mTD59PNH3Lqxy+79OxAndAyDrm3iSK6Kuv/SqwKSNCFIlDlKfoOFBzmGDhQpeVjw7OEDpuMJeawmc1kWcXB4imXp6IZGVeoUpcb2tWuk+yd8/tkDBoMNsjjHMRwWkwWD1QHT0RRvNmU6nJDFGY8ePOL973yH4XDMixcvmIzG+EHAWq/PZDji2eMnnJ2eYFuqjui0Omi6ThzHTMZjgsBjsViwsbHK2to6SRQQ+AGV0Hjz7Xd4++138YKIf/+jPyVDYpdqN5ikIQs/4fxyRCV6TCezb/1z+9aFXZmqKUVBAbkquLbXtrixfZ1PPv6Ek+MzqkIlJ2hSadOElAhNYpg6YeiTpjmapmPb1rLbUh17WVUUaXaVwvDK/aGmdlCJ8qpYLItSheNGsTJg6DqyVLmTWZaS5aAZ4AcLRqMRO9tbTCcTzs/OUWKtXw1y57O5MmdUoGs6tm0iEZyfnFIUJaIyMExD6bOWy7UKQV6VVFlGlZVLYb86SJX+T+InidI6pIpPV6/XsB2L9CKh2WxhWhq2YWFaBmHUYjg+I4pCkiQhDDOkzJEiIx6m9PpdlZ5BRiULhAZZEWEaDoZp0mzVqNccFouAw4NDvNmcnbUtyrLA9zzSJMK2TKRUxgxpmLz9zvtYdp29/SPSrKDZ6irsg9RJsoSiUpgUq+YgdPV0Pn3+lM++/JwkS2i0awxW15jPZ0RxgoZOKSRPHz/lO7/xfaSQHOztUbNdEs9jpdWEPMMwJP3OCna9gRdGfP7p59y5dYPtzTWiNOPmjetcu3aNZ8+eMU8iwjzlbDKCqmI+X7C2usrUm4MmqYTAdm0sxyZJPKSus/AWZGmKZZpoCKq8YHh+AVQEvs9sOmWl10OUJZ1GA9ewEFRsbW0s9UOvxrniG7fKK2jYr3R3AuWGDsOQMFS5lM1Wi7IqOTw6pNvrstJfRdd1fv93/g4XwwuKqlIRZt0uvZUVkiIjiALm3lSBVDcGmLa+dFabrK4PKIsK27F5463XuXFjF9e2+MnPf0aeZTx79gzLMMnJCJOYqTelXm9g2jZC10iylChSFPYwCLh//z7jyQTLtlXeapqQJKqZarVbuLUacRzz8uU+58MRJZLPPv+cyWhMFAZQVDi2jRSCLM5IYuVa16RJp9NieHnBfOarZ7SsWFtb486du8ymcx49fARI1tfXSOKQIs/Ic8UEM00TTdMwDIMgCLi4OMPQdba2N1WqQVUSRorAXlXQ6XRwHIfJZHKVdRoEAXEc0+906fV6vNzboygKdNPAXH6/URSjGQqVJIQgCALOzs+uWHZlkV1FcBmmQVGW1BoNWq2WwuQk0bIZ1a6K0q2tTbIsZ29vn/nc552336XV7jIej7FtG9ty1LrFUril4+MT7t+/D0v0zt7eHoNBnziJkKIizzOGw0tGw+GS32igzvjl1IIK07ZwazWFmZKCJE7QhXLfJ0mGYWi/VtiJV1KC/5JryTipBPhRRJxlNHsdRt6c6WJOHsY0anWabp3Q85RGVupIKfDCmIvpjFyUhEVMnJW4DZt2a0Cv20GrNO7cfJ31zhq2kLzywGtS4/5tBcMNopg8zZhOJhRJymqvh65JJRmYTMizEtM0WVtbBUqOjw+ULqkISBKf49OXbFvrCC1nY2PAZCH55cc/4+Jc4Jgm7VqDxvUmlBp5lrGxsck7r/82X332lOOjC9ZubXH92h3u33uNi9EYzbCZB2Ns2+Hw8IiqrGg2G8wXM4IwoNNpU6+7GIZGXlbLDVSBoFKhSrJChSxWS+SWSmVKZyGeHxBFPv3+gIvLEdPxlLPzcxaej+8H5JnSY+vSRDM10jjl5z/9ObvXd1nprfDFF5/jOA62ZWEZFprUee3112g0GkwmU+IkVXeDlGxtbfOD3/kdLsYzzkcTKqlTa7R484O3uXf9JqPTMUfPX6Jjsb21RhyEREnE/fvvYNQdHr98yXgy49HzZ2xt32a112On3yadetxa7VITKlaMIqeS2n9xo/FKEyikpBSQVsWVDAYKZJVjGoKdrTXSMubh44dYhompGURZTKvZYDweUlUVbbdJWUl6rT6fP/iKs4s5WQbz+R5bm9e4ffsOH370CQ8fPOao7vLanZtsrW/y5JlqSM/Pzjk4Oma+8Gm12oRByGI252BvnySKVJ78qw1OpPJ8W40WtZqrkqmOj1gdrHDn9h2CZW51mqRcDMdMFj7tbo+t6zfobybEUYym6Tx98ogk9rk4nyhWZxR/65/dty7syCqF7agqDAwQoJUao9MR/szHMVwKkRNnIESFZuhIXQMJeZGrg1XTabdbJEnKZDzFsqxlAkKyBG/KV83pUtckKIpcvXALMIRyFBmmhpAV48kIzZLYdRPLNCiKmKIQ1JtNsjSl2+0ipU7gR5QFFHmJFAaUKjA8zwpYCjR1IZfCcJWIUZaKN1MWhZralRlFZarDLs3Iy2z5oIJhmliWgkdWS/aNbii2nOvaDAYrNJs1ijJVX78hKcqU0XBCEPhUlVrRFkWxnESUOI6GZVlIKWk2miRFzHQ+oShz0tyjEiXtTpNWu0YYepyfn5PEIbLVwbEsAj9gPp0q5xEluqEr0Kll8+TJc9544w3WBpscHp6wtr7J2tomvV6PPIv46uFjLidjPN+ns9JDahI/Unoiy7G5GF5imPqyg3mJodt0O336nRWyKOEv/v2P+OC9D2g5Niv9Piv1GhoVmmVSIZBlQTCfoVcFpqZ0O3EcM1v4bGyss7a5ges6PH70kCePH1MWJednZ9y5c4f6EufSabbo9ro0221mcw9NkwxHI0ajEa1Gk7XBgCSKOZpO6XY6pGGMpRnkSUqw8Dk7Oefa5ga2ZSCR3xCmfuMQevW31atJ8q8fUEmSYJkm/z/i/vPXsixN78R+29vjz7nnXH/DR3pTWVXZVV3VboYkhhywhzPSQJ8ESP+XIAEjQfygAaQhpZlm26rqsmkjMjLDm+uPd9u7pQ/rxK3qJqlJTg+pDSQCBUScW3efvdd61/s+z++xbYuja9ewLIsiTfDqdUbzMZZp41s+R/uHZKUcZ/S2eviuz/0H92k369y8fcQXX3zB5ShhOp1zeTEkTTMOD49otbrU6h7vvvMWW70eT5895frREZ1mE8e2ieIQ1fEwtJDbN2/TarX4q7/+CU8eP8W8e5MkDrl3/x6qrskiNI6ZPHnCbDYnjNeousrR0RHd7jaOaRJHcqz06PFT8hxWqxWr6ZIsyyiLgsyWBP0qK3kt9zB0B001WS4Ckjii0+3SdD2arRa6ZiAEdLtbKIpKq9UmiS1evXyOaZqSM2lKAPPW1pYsmBSI44hVsKbVajGbz6mqkqIoODw8wvPrBEEgNaeAYRhXhaFjO/IzNhIQ07YwLYtww6HKihJ941D0fR/f8xiPxsRRTN33iKIIz/MQCMwNwDgMQ8IwxLJ0giCV72O9QbstAdjL5RLHcZhMFownE+7cvUtRltz/6ivabVmEji8VltNL4jjk44+/j+1YTCYjZrMZruugqhrL5UqmJqQpVVEiyhIhaUNomjRjbG0PJPQ6DAnjmO2dAZ7nogixAdAGkqOl/V3l0v/iS/ktYcGyLIwNhujuG3f56sE3LIMc3/fJk5SLxXLDEoQ0z4nCiChIUC2dyWTOZL7EdEz2dndot9tUhUAtDbZqHZx6nY1XU2qpNoQA1bW5e+sWeVEQrlcURYFumDSbLf72F7+k19siikP29vbk+rFckBcpRZlQioR6w2axGmKagqSU3Uzf85nPJxRpgW96zGcrwiAlyxRajR6dbo9Ge8LjJ8coqsbBwSGKbmCYNo7rUZCxXK755ptvWK2WdDodFssF6/UKZ4OSqqoSNokLcn+QqUVpVVKJSmaOKwJNBdersVzNqKqcu2+8RVkUnJ9dyNi/dUgQxZQV5FlOZRVoqpQgd7ttbMvcdHzlQUPZdHWFELTabd57731Ojk9ZrlYIRUHVdTRFo9Fs0ut1qDSDH/z+j3j+4piqzNju1qirgotnp3TbWxIp8s5HWKbGL371Cy6GE5zYZzKec3DtNs+ePEdTHN668wZKkqEmCZ4Clhzgb2LUgH9IB1kIdF3KuebhCkXTGA4vcSyLQafB5WhIEAeMZxdcnJ5SldLRnGc5VQXj0ZT+9g6mWSMIE/JSQbdcbr+xx6effcViPqc/OGJv/xoXl1MW8zVhkBCGMdvb27ieT5QkvDw94/T8nEa3y8HuLs+ePObk1THfPPyGO3duc/3GdS7Oz0iShDiKsG2by8sLfM+jKDOKouDli5f0t3qs1gFpWqCbFmcXI9zHT6jVx7z5zrvU6jVOTs64c/s2//3/Q/D1V18QpyWz+RLft/7n79fm+taFXR5LkO/rEGlFUbg4uaBer2PpNoODHc7OzghXMaCiqtoG+Cnb5FVVYts2zWaDxWJJJUoazTqGqZOkF5vRq9hEUb3+TgWCcuNiBUUxsRyDojLJq4xG08et28xWExkUXukURUEUxaRpwZtv3sU2HEpDQREBohSSuo26Ge3KkdpVU6Ys5e+GPOlWotw4JKWQWNXUq01GIljkCFDkglrdJ0kSyqLAMnWEApVQME2dosw5Pn4pT2+KtO+nacxofEmaJQhRUqvXNi+nimWZCCqyTLJtGlaDw6Mjmusmq/WCJEvRVMnwi5MQz2twsLdLs9agVWuzt7PL2dkZhqZjWAaaqeP6kmtmuTXOzi5pNjsc/eAGb7zxNpfDMUmSg6JiuzJI/fz0nHUYomgqRVUSJjFZXtCxTVbrNQ8fPdwIPSM0CgzFJctVLH2Iq1nUbYejrT6upqKLCk0Rm66nSqvmY1kW24M+vu9uOHUWZkdqVBarNWGa0Gi1KYVgOplcndw0Q2c8GmMYBoPdHZqdFqen5yilDJV/+PAhW1s9JqMxs+mUbqeFVgqC2Zya7WJqBo5t8+TJEzRV5WB3B9PQN+aIzfVaDfA7//3u0iSEIMsy8iJnMBigaip37txhsVpSFAWXw0tenh7j2A5v3HiD7a0BNcfjjes3eZ3fefvgGranc3z+hDQPiJIli+Uc21Nxax5ZEeA39ult9ZguZrw8fklZljT8GlvdHkcHB4wuLzg7P+f9N99md3eP2XxOsFoxGY14UKYgCk5OTuhtbbFYLK5GlnEcyfxZx6ZWq2MYBve++orPP/+Ci4shpu6gFgIlBwpBsAjodDo0m02CIKQqIY4z8ly6wJaLgLKEg4PrmJYBKmxtDdBUTXaoTZsgCJlOpiwXc9brNfV6/UonVxQFq9WKdrvFcj7j/PyM/vYAx7GxY4s4S1ks5piWRa0WsVzKTX65XGKaJnkuZSLL5ZIyy2nU60ymUwzLRNd1oijaPDsmSZLg12o4lsVkPOH58+dsDwa4nkcYBiiqSpbl6IZOuFqib4rOvb0dHj16yGw2p1ar0Wo1efToEXme02y1qNVbmKZJUeacnZ8xnU3kpCIOWYmC5mZRTpKER48fMhxe4vseJyenlGVBHGdUpQLidUGgkKY5umGS5hm3jg754z/+YwTw+MljJrMZh0eH7HR79NptajUf3/cxDENOGxQV9d/hkP0PvjYfYek6lm6QRTHbW32GlyPUuMLUdc5PT1HKCte2sGyLosgp8oLrR9d558P3+OXnn/D85BWGZVDmMLqYYroeuukShjGpaWHaJkLAfDGn5texTeNKUpKmyVW3FKDeaNLvD5hOJ+RZwc7ODnEUEUUBZZFh2hr7BwNMS+Hxi6dcTE5pdJp4nsPt23e5f+8+agWz6ZqxO0dXbWbzgCxR+fKrr1AME9NzsH2XII+pIp3jizP++qd/Q6Phc3Cwx82bt9nu9RldXnIZDlkspty98xZbWz2ev3hJlGSUlUBTLMky3UDchBAUlcDUdVBUDMtCKKqEtrc7/OY3v2G1WHLj+k3CjTtc0TSqsqCqUhAKlq1hWyaGoXFxfkoUhlfYn36/z5/84Z/QbrXJspQvv/xSOmNtmzjLMQyLm7duo+kaum5w48YNWSQaFZam0Oq3+OM//JjVKsZv1ehtt3n16jl33n6P+19/SU1VKYuKn//kb/H8Bo/uP2L2/e/zvbff4O7u9iZpAhTxD+gU/53nT8qdlus1J6NzbM9jOBriey5ZETILVoTBgvlsgWM71PwaW1t9Pv3kM+Io5WD/Gu3ugNF4znwZsgol5qy7rbNOUsKs4Hw04f/y3/3f2dvZ5TsffY/PPvk1j58+Y75a8eF3P+Lpixecnl/gNZvYjovbqLOzv4/n+0ynE4aTEUmaUIgK23UIVyEnxyey8aSpWJaBbdvcXy15oKo06g3u3n2TtCwxHI+8FHj1Btv7BzSbNbJKISuhXu+wvXPI5eVLxuMpQtS/9W371oWda0mcQFGJzZhKQn0NVSeNEy7iS6JQIiWyLEfXdCzbxLJNskTCgV3XQde1TSqEghAVlmVSq3lSs4O6EUzKnyk2w/SykgJr3QDHNWl1thlNR3i+RaPlI/SUssoI04w4TlgFAaZh4zg+dbdGEKREUYqqmFL7pxvousyIK8tSivTZtAqFbJNXVUV5FeAsx3SmZZDGCVmeSq6QqFAAXddpNpuEQcB6uZIdBMsmLyvKsmK1WiCESrvTQtVguZwTrELCcC3ZNY4UylaVPJ3ohkmeJ1JbcB4ynAxptHxcz8ZxXFRDWvepVEaTITU3w7fr1HyPQb9Pv9/n7OyMZrPJex+8x3w1p7PV5eDwgE57gIrJo6eP+Pmvfsm7777L9vYeWZaxWK4BmSSS5QX9wYB6s86jJ48RSoVuaGR5jrbhCylCpdloIwoFy7Ro+DXefeMt/tF/9p/LBAcBBpuzq5Aj9qoq0FQNzzKxLZMSIXl4hk6WlWRJCiiUZcW1g0MG3S5/+Rd/wRf37mE7DqgKcZowW8xRVBXP91F1TZ6CURgNhxR5RhqFOJbFm3duc3l6TjBf4tsODb+GaRhcv3GDrS3JP4K/twb9vUru769PlRAcHx/LUUytznItu1rjyZggjrl59zbNWhvTMKmQ3CsVUBSVPEuxDZOtepN5OqEoI7yaSZ4VbO92pWFIwNZWn9t3bpImBT//xd8iSsHuzg7T0ZjtrQHW9Rts97Y43N2T90VRcEwLe2NmciybxXKKYRjSffv4MZphoGk6juNiOiaKCnEc8+jRM06OT7m8HJFEKTW3gYXNajqnykpa9SaDXp+iLAiW6w0536cwpW5HU1SuX7vJzvaAxWrBxeiCy4tLdN0gCEJUVacsZMJKsJb8sdeHxNesuSRJuLwccnr8gkajTqNRJ0li1sFKmq/qtQ2yRpUHK7jqsNm2vckKDkjCCNO2ZILLpgOu6zp5UeDZNsBV8sdkOqHVatHvD2SxG4aYliXjBMMQVVOvxki6rlOr1VkuZfbnYrHk8vISRVFYBwGd7hZlpXJ+cUZepNx94w6DwYDFYsH5q2fsDTqsViu++uorsjzF81w838PzPFbLNUksN90wjLEMU75fioZhGjTbTX7/Rz/CcSS8OslSHNfhk08+od9u89aduziOg+M41Os16g2ZCsJrF/ffe36/zX77u8if1+YJ17K4ff0mf/XLvyVeBezv7XH28hhN1ai5PqoiJMtrvSJJSxR9wauXL4nCUHYhTZmRansOuuMx2NnDdt3fHqRESRiFuI4HlYGigK6piEqmMpTtNqqioGsqvi+TQ3zfp9VscXzygigKyfOMo1s7NBoeUbwkSQPyIkHTIIpDkiTFsX2KJGM1mzF1l6jELOYhjt3i4ePHtNsDGp0mR7euU6vXOT0751/9T/+a+WpBFAd8/c1Dar5Hp9ngyaPHqIrCq+NX3Ln9Fo7rYFomUZIBgqIsyPIUU1GuOliSoqCjqRUoOvVGA9f1mMymrNZrKTtSVZlIlGYYhkGqy+Sgoii4tXudg6MDHnz9FZejS/K8wHZ8bt26hWWafPjhB7iOx6uXr1guFtJ8UQhUVSfJMj797HOiQrBzeMj+tUNu3dhGr1IM7XVcmWD/cJ+3P7jLg6cvcJstGkVOq7vFzu4A32/w5Mkzdra2KcuCdt3l2k6fmmWgInmf4u/IAf7hVylKecCLI3JREWYpZqJw8/YdZvMhpm3gurcxdAPDNHny5Dm2VbJaRxwfX1BUKgcHN4nSjE6twWixJC0rDMdlGYa89/b73Ll1m9FwSLWRiK2CNc9fvWJn/wDVdtBMOXESZSHXGAUOr1/Dtgy++OxzsizBsR1806FerxNFgTwsKoJ6vS67tLpBmsnO82g+Jp4tMFyPt9//gMGgR5KllELw4uUpXq3J7/3gx/z8Zxnn54+5vBx+6/v1rQu7Wr1JFCekaXplosjyjNlihqqqWKZJf6vDcFSSZwmaKqi7Ds1Gg8vhGNM08H0JD07zGMPSidIQ0zCpNRwZcCuQuBNkRqpQ5ENWiRLT1sAouHZrm0rJOZs8ZZVUuJXO2++8wavjF6xPZ+iaimfbkjSfJ2hqA5GXlFmFosnxqoaCrkhhvxCV7M69fiArgVBVFFSUqpTjCFVqWNyaBwoEcQAIVFXB0HU6nZZEhWycq6quUm/UMCyLJE2p15tkWY4QCroJq+WKtEjRzE3HUIUsz+VmomqIOAcUKqHJArgSjIdz/JqN41oItcTb8slzyPKAbn+LKoP5ZMHF6BwVjThLUA0dv1Gj0gVJGnM5vMSwpJnD810++ew35FXBKlwTRhG1Zo0kjjk8usb+0SHPX7ygEgVbW1s0Wg1WywWrxZKyVNBVE6XS0BUTx/dpt3sIVOIg4pc//Tl/9KPfx9PV30ZAb+Cii2iN0HQs2yXfdEijJCMTKf/63/w5cSzb2IahkYQr3rnzBndv3+b5sxecn5zR7nRYLSQyh0pqMBUhMDVFOpJFgYbgxrUjtno9rl074tNPPqWqhNSfmCZ5muF5LpZjSzSSKK8cr/KS9/z1//5dApMQgqIouLi8kG5YTeppojjBdjyEoiFKQavR4pNPP2XSntHr9XEdB4WKNE9JKbEMg0Uaoeg69abHdDLE0BRct0YU5cRJhK7BR9//HheHI+7fe8Ann35Ku93irXff5PnJM/a3dvBc56qr+HoMdHZ5jmmpKIrgxo2bZEXB+fkFlgOdboPnz58RJSGqpvHomxfkmTTviEIljUvIAo6HJyiKQqPRJM1Snj9+ynK1wjRNdrZ30XUD32+j67pMf2g0mC2XLFcriiJjuVpg2zavBfiOZxFES1zPIUtUan4d13URFSyXa7IsIwwidNOi1x9gOR5nF5fYrs/OzjbL5YrT07MNdmOT52xZqBsorapIt3mwXLO7v0e/3+dieEmcphwcHGwSR2yCMAQhKIuCmuth6AamriMMA7VWQ9Olhs73XBRVpdlq4vs+z54+5+T0hK2t/pWExHakUL6sCgxL5fLynCyT0WZpnFLmBc16nbzXQ7fkIfdyPMR1LAbbWziO7OLVfZ92s0m4WqO1VNI0wTItbt++zc1bN4nSiMvhkK8fPmS5WuJ6HgcH+2x1e1i6vtn8TR58/Q1ZntMf9Dk8OmJnu0+eyDGQoipYpoGh/90l//+X/ukqX/aKNabQa7X4Rz/6Q0hLTk/PWS3mbLU71Ot10jhmOp4QzJeUQkNhxWg4JM1SBjsDfv9Hv8/uYEC33WERx1zb36Xte9iKlLxUlbhKKFE2zsciL0iihDzNJEMUhSgNaTR8NG0X33dxXY+nzx4zmw+xbMGH37lFvSaIixW9rk2zu0+S50TLmPn4koO9AbPxjHC+QFNNDNWm7pv4dh271kLTTdbBhE8+/RWtZpdPfvM59+/fZ3vQp153EVVBvbbFyxfPiZNUwqO//JIf//hPMC0LRdVkEo5iQKVSZiBUDd2S0X15VaKrGrZtEcUZtm0zmUwJwjm6rlHmxaZAkgxQXdEwVA2qAl0VbHXbnB6/IFjNcTb8NKh48vQhuzu7PHn2iOHlhDfuvs327gEvTy7Is4KqqvD8OkeHR1y7dsCb79wkyit0DVzHYxrmKELw5HzMVy+eMy9z/LrLYG+bX/z6l+xu7/InP/4R49GIPEpIk4iPv/8dPnz7Fk3Xxla139Gwbxjz/wurOvE7f6ooDFo9ppMZqVKRlBlFmVOqFaWmMFksma5CTKeGbfpMpnOyTGWxCBiN55RCoxIaO/sWrUYT3bS4uHjG7u4hv/fxD5mOZhwdXkdUgifPnhHEGX69RnOwR1Qo3PvqG9I85TsffUBvq4NSVDy49xXD4QXz+YjDg0MsxyZOU2qNFraqkcUJ3XYb1zTQddnISLOEu3duMZ7OWKwWlFSYroPl+3zz/CVWq8PF5SUaGp3GFmWhMxmeMx4vyJISz/uPMIqNo4Q8r65e9tcWcwXQVYW6b7Ozt7NZ7CqSIMK1THqtFudn55iGQb3uy3EmFaoOaZ5QVBmGoaKZKllcoGsmCBnfgiqku6jMEFqJogncusZgZ8DZ+BmO79DqeqRZSK3mcHiwL9v2gGHo6JpOVUg+niIUqmIzWC0rVFNsskjFlQ1cQZUJE5oBqsAQsqtYIjVztm3SbNYRSkUch2iqzLjUNIXVakEURVIIrSkoqgClwnVtTEsnjAMJyo1TgmhFmZdSRiuhPpRVSZqBrsswa8PQJadKKUCUKGjkqaAqE2zbRCl1fM+j3iyYzGbEQUoWZ8xnCyajOa7n4tV8cpGjGQqnFxccn71kvpyhagaTyZSiTLh3/3PKUvD+Bx+AAk8eP6HX61Lza+iqytnFiOVyib6JdEEUOLYLQmcVRJS5QHUMDM1kvlrz7MkLVqMZf/Txx799uBQFsYmRmMwWhGWO7jjkQlB3fVzTxlCh1qzj+y7dZhPfsnBUFUdVuX14xNNr1/ny3n2iVQCVIItTNEVFlBWWYWCUMq2kKgtURdDptPngg/dJkoQv7n9BlEZkeUaZZ0RhwPNnTwHBVq+Lrr/2bm2U4r+zGL12cf7u/qeoCq7nScH/eo1l2di2w3y14s033sT1Pb7+5hvu37uPohoohsaPfvj7oAj+8q//nNu3btHtdQjCFaqmoyowujxhq9fFMmvkOfL5Icf3bK5fO2JnsIumqyyWM56fPOXuzVvUGw3Ea/+iEIRhSJanzFYr0iSk3WrwxhtvstvpkWUliqrhe3VGoxnHpy/xPB/HdhGlSp4WRGEGpUoYxiRJiud6jEcjJhPp5n3jzTd49513ieOIB1894M6tG8zmc1brJVmZU5QlcRJjWibtdgtd16/QIx2/SRiaJJE0EG1t9SmKgiAIyLIM13Vptdpops4yiAiiE7K8Ymeri237HL86k+iPUmpiDV3mYBa51OD2uj0oS+pejXqtjm3bTKdT4jSl3+9L6HEYye+0ku++Y0ljhbLBHzUbDRYL+R77tRqirJiOp9I1bFj0ugOqSjCdTNnqb9FuS9TJbD5je2eXxUyac3TVJFivmY4mtJoN7ty+w8XlCeEiRtcUlusVj588xnUs2u0WnulT8zyODg+Yz+ecnJwQRiGz+QzLsfFbdT799NPfwpbTlC8+/4KPvvMd4rzg7Owcz/MIYxl1lmY5qzCknUttTpYmtFvy+9D5bTfudVTev++6AtoiqDaQH01RaPs+b9+5y71PvyCJIhLHI9vgR3TVoEwL8lIeZG+//QZW06dQK/b3dvnuO++gqypBnlPmOXme4xqG7GYXqexkbWC2lZCdOl3Xqdcbm663zKIdbHd59TKh2+0hhOD07IQ0C7lz44D33r2O7pTEuYnXaLAKQ+brEsfW2R70GPQH5EmM4zpyE9RN+r0GWZKyu99CN22+/PxLNDR+cf4LlosAy9Ql5JcKfXOIPDu9wLY9ilzw9PkLzi4u8GsNdMNCCEVmn2sWKgYqupxIFfkmnqxE16UTezrLWayWKJTYjk2QLVCV15FqAlGUKEJQ5jmGbXJ2esxyvaTmecwXcwljLjLW6wWffnbGw0df86Mf/hEffPg9LMdF0U2ZW16ITfRaxU6/S8PRWYcz7j0doigaJyfnWKaJ43p89KPv0u+1CeOcR48fcP3aEf/0j37Mzf0dqErevH6NT768T6/XQCkyNGRDZhPRxFVx8A+4Np+ICniGxbWdfZ6dndDsbDFejHl58orZbMp8OkMVCq7bot3aZjR8wcXFnGatzbvv3GCxDrAdn7PTS7KiQtMNbNNnvY7x/Qam7pJkGS9fvMKtN3h3MODJ88cYbp39vX1+/rOfYhkK4WpBHi/RhMrZ8UtUZHKPqgm2+j22+gMMw2JyPmR4doFt6Dimg2nqGKqOZjv0ul2COELoBh9/5zuMF2sKVadUVH79+T12t7e5dnSDLC4RSsTp6SWLxZo4DMnzv997//df3z4rdjYnC2XgvaLKboCqKWgC2f0q8k20jka73SJQNRRVIU4i2HTGTFPfxGcUUv+x2TBtx8R2TLI0lm4vAZquSdAxFYamYhgKaRby8NEDlkGX/qDD/uE+ZSVzZzXdwXZ0hFBI04zVcgXoxGGGZXo0G13iaOMMUlRUVZcdOZAaCNTNYUPbmCg20OTfKWJrtRrNZhNd1xmOLlCEdOhKA4j88/Xf11QVIQSTyZhyNN4YLBzWwZowjGXUmiYXsarcuH83nwcySk3fjAkRCqpiUZYylqYSGatVyPX+LkLRCYMEvW6xdW2LJIjxLDniCaI1rmexuBhhuzo2KmeXzzf3Z0291qIsUopCEIcySSRcB2y1O2RhBGVFsFgRBwGhoWO7Nrph4HoeeSq5WqJU8dyCVbAmz3IW8zlaWTKfzamODtA05cqerghBtFrz/PKM0jD44IMP+c3nn+HbLt99/wP+4IOP0FWFVq2GjoKuIOGXtTo/+Oi7LKZTTk5OuX7tGn6thg50Gg1sw0ChQAHyqqLajG5eHR/z4sULxqOhzCBFoFBy68Yh52fHrNdz/ugP/xh0V3Zur8js5RUrTfl7UhEhBGmWsVzJ7tRqtaTZarGzvc3lSLbK79y8y2Q+oyhLZtM5P//5z9nb3eVgf49gveb+vXvcuHEd01G5uDhlZ3vA6LxLr9shLVKiJKTRdJhMR4znQ4pC0Gp0ufvGLR4++prR8JLt7hbxVoJnOZSiYjFf8vTpU0zdoFlvsFrMaTXqXJxfMBpN+OabhwRRRJYXrNZSqpDGKXGQoKAhCoUir1ivVuiKSaPWpNFocHZ6SlXCwf4Bb959i0F/m+NXL2g2GqyWS+JIFktxFKFsNKj2JoDcNE25dqxWXF5eomkarVYL23QBrgxHcRxLELmm4WwSJF7HRamKyvZgm+ViSRhGJIlkaJqmiW3bWJbMZjYNgzyRRdxoPOL45JjFfE6z3cYwDJ6/eIGiariui2mY1Gs1bFP+fCqBiCtmszlFVdJotjajWI3xeEQFOK6/6dbmlJV09CZJTJqmMtt1Mubo6IgiryhziWXJUpV63SdNU87Ozrlx4zq6pnB6PENVFMoi5/JyyF5/jyIvcByHxWIh2X6mSavVwtB05tMpu9vbgIJpGniex+npGf2tLV49f8mLp89k5jWC/cNDiY8pZS5uu93m7OyUs7Mz3n77DUyzAQKKIsc0DP7ndt/Xer/X1qHlOsD3fcqqIoxCQAK6fc9lmWeYG/kNuRydffPwG0pTA1Pjz/7s37DV6rDV7TIL15iahmkI3E2CiKJqDPoDGXKvyCKuXvepK1IyUL0uSFX5/SdJzP7+AVEUMp1OsGyT6zcOcWsWw8kr0jLC8HRKkVGJgqLMqTdqV47wVrtFp91FKXR0RSVYr3jx4im67kg8VlYSrCIUFGqeR7QOKJp1Blvb3Lh+k+V8TZpk3PviHsPLIedn5zSbbWzbRtPk+q+IiqoqyfIUBemQFWXFarHEtjV0QwK1Xdui3miR5ymOqdNsNWRWuqoA1UZvLk0Ux8fHuL4LCAzTJE9K8ixH0ZSrA57n+1fu96qsQJF4rr2DfY6uHWFbGlVZ4ZomjqHw+MkTDNPCc1z6/TYVCmeXQyzTYHu7x/fff4vDThNblc7ld25cp+3XuJxcSFf2Rsb0by2Y/5Drt4ACVKDVaPKG55IpglUUcLh/E9uq49ktdEXDNEw+/+wBr16cYuoell2j2epx++67/OazL0jTkhevTnjnvffZ29vn60eP+Pqbx/zwh79PsF6BrlOisHdwhNfwuXZ4tDFm6Zimxmwyo6pSguUSRRTsbPdoNOu4nodtWWi6LekgQqXTHUBREIcB8TLCci0cz2Y6WxIEIYphsV4HUrbmOjQ7Pc6GYxqNOrW6x4vhMc+ePeb8/JSyzMnzjDQNvvWt+9aFXZnmqJWQXZtKOnqUCpSyQmy0LNPZhLwUmKbFVn+LqqqYzWY0m3UWqzVpGpPmOYpSYVoO7U6Hra0e3a0WX3x+n9XyGYoqc+EUwDINfM9l72AL1ShYBCNsW6MUKYNBH0FOmq1RFIUgCgiDBE21qNeaXEYjFErCVU5ZKiho6PprJ56Bpmoomkkpyt86HoW6EbdLgKoidAxDdgZevzBxHBPHMhRacvGqK0G8oih4nicJ+o5LWZXMpzMcV5oFAMqi3MT0yaJWVSVcsqwqCTgWmz8rNh0KqemqhDw767pGHKcsliFlpRDFGZbtYKgGnU4He2Cxms8JohWT+Zjx9BzTUakbDo1mjTC0+fSzTylFRRBVVJWKY9dIopC653OwvUOZZqzmAcOzC9bzJZ1eh7fffItVtGY8m0gwZ1oQhCFFVuG6dRxHCrcNTefNN9+g2Wz+W2MeVSjcvXmLWrfDJA7JqpKzywts08ZSdX744QfomgR6KlWFrkitQ5EXDLo9/pt//l+xXC4YDccsV0ssRaVmOdRsF4yCIi8oi5L1es3nn3/OL3/9K4IgIApWCFGg6TqIiigKWaxXrNZLzs5P2e7vUve9TedWbNhYutRFqOpmXPvbTWU0HvPpp5+yikIsy+b2jdsYukkUxjz4+htu3L7Dm2+9w+5vPmE0vcfx6Qlff/M1Nw6P6LQ6ZElMHIScnw2ZDkc4+ha2beP5HrOzc2bTMV69xnQ5Zrae8PL5K1rNDu++9T5BOOdXv/4Vz549pe7UadWbdNsdbMfm+rVrPH/1Cq9WI44iPnz/Pf78z/+C88tLVus1k8kMgcyU9L26dE4vF6iKDkKhyisoK/rbWxiqSRAEpGnG0eERR0dHrJYrvprfJ47WGLrK+dmp5FTqGmVZYhoGaSJX4zAMWa/XLJdLoihC13Usy6LfG2DqNqvV6qowm81mJEmCpsm8YVXVqKoKx3EIgpBnz54TRTGGYRIEM1zXpV6v0263aTabOI6Dqqp8fe8+JycnxElMJYSM7VEUZjOJC7BdB9/35XunQFEVFHmOZVqomoate/S2esyXCyazOc1WE8N2SNMU3/cpioLpNKJWl93aosikSck0yIsS1/EpCzkZaDWbmIbM310sVvR6AyzToea7vMifoWk6pmkwmy44Oz2jXpfCaFVVr9aQdrsNCgwvh7RaLVzXlffNsvnoO9/h+PiYVy9fkm3kMUJRaDQa6LrOyckJaZrywYffoVavU6v5RHFMGIZs9bpMxmN6HcnRe30Y/feNZYWQiTqqrktXbhRxcX6OV/PI8wxBRRiH0g2qQL3Z4HI4QdVV5vMZqVJi+T5VWfFnf/4XDLb6vP3+2+zv7aEXJUmaYemOLNB1qS98jZfSNIVCFERpgOtYgCz2Z9MplahotRp88/A+aRqytzfg+o1D8iKiECmOb1IqJYvVnOevzqiEge+38D2LRqtBahXU6z66YpFGBYomePr4EXFSkMQZwXKNppr0+7toqkGVF1SFoObVubZ/jd3eDvcfPOCTX32CKAVnp2cc/OAa9Y0Rriikua4oC8pKkCcRtmOjKBCEa5RRTrtbR9k4wbu9OrdvXaPVqKNUAr/momqSiSeNelJ0kaY5qDG2bTHYGTCdz8lXAUVR0Gg0sG2H7e1tPM/7O1pxgWC2XPD11w8YTS758MN3uHXziI/euMV7t68jFJVKCBRVIy1LLqZz+u0GpqljKWAoUjOtbwrsvU4TW6tkhJ6yCfbmt2PUf8glB1niqkaskIW+aZggBNuDPTzXp92acX52xmq+4uWzFyznAUkksO0Gi0XMav0cv9ZDVSyGwynrdczLl8cY9RYHR9dptLsMdtokqc+TV6ecPX5Oa2tGr9Ni/2CPzz/9gma9RbSec++Le8xnQ+quLbNfLZ3pOOLVqxjHrZPmFVQ6jlsnrmKyPELVHEzbwDB1sgJevDxF0QRFFvP0yTP6+9fQNYOyFHzwwYfcvL7LVssnWrX5+XxKksSSIFDzEeLbu92/dWGnAIZuoCjyJC3pwbJQ0Q3Jn2u1WjRaLZkOkWSUSY7nuNSaDUaTCf1Bj8vRCNPSOTjYY/9gXwJK0xjLNtEMhTIrYQMVrfke7ZbH3t4Aw4ZmZBFnK27duk4hCsbTEZouKMuK+WzKeh1hGj7LRcB8vkRXbdaLjCCI0VQLx/Ekrd5zMHSNQmQo+qY7V24e/kqKVEVVous6W1tbiI1YfjKZ0O12f2stL2VHT9f1qw6PYRg4jkPN9wEkDsM00RRJ39FUmZH72m2rbEbBqirF9WLTuauqCiHkSEJG0Wqyi6fJTl9ZKUwmC+Ikx3ZqZFnOy+Njtjodzk5fMl/McH2b5XqCYaoIpcRy69RaHVrHLpbhcXYyoip0kgImwwvKvCINYsajESdnJ4wmI+lWKyuC9ZqXxy/IKbEsm/lyRZIm6KoluzCOzTqI6LTa/LN/+k+5tr/779gohLynvR5K5LDcYCiu373OJ7/4NXXb5Z0371IWBdPpjP3tPvNgDUDNq7HVbrHd61BmGV9+9inNWo1eu41r22RxjGbKwjxOEs7OzzEsE8d1mExiajUX07KxHIeT8zNsxyEvCr64d4/hYMEH772L59qgKtRqdXRd3TjAxWZMj+zkIhhNxqCpWK6DqRoc7h3g1X0ajQaPnj7lX/1//jV+vS4xG2XBeh1y//59fvjxx9y4do1H33xNEoQsp3OWsyXJekVR5CRhShjIcWoUB5iWy/nomOOLF6yjBTduHBKEC/JM5pcapomqaaiqimM7nJycMby4pFcJ/ugPfrxh9y2IgpAojInDZOOwE+Sp1PmIAsI4wNRNyqLEsSyopNSgWa9jX78uAcjD4RWepCgSVqslRVkw2NnB0DSSOEZRFfIsw7DUDauykGwnyyLLpNYrDENSNbvi0Ml3r+T69euEUcQ6WBNviry9vT3m8zlffPGlXKx0DV3Xr1h0SZJwcXFBFEXUfF+y6zYFZJbnGLrG7t4enU6Hd999l1JUPH/+nMV8QaYliKrC93xs26KsSgY7u0RxTFGWdHs9NMPg4OCQ8XTC/v4+y+WS4XBIlmWcnJyg6/L3dFyXVRCQxBm6ZuNYEgzbbbc4fvWS+TxDVQW2ZUvGompiGg6WaTIYbNOq1eVBcWMKcV2XNE15/vw5jUaDk+Njnj97xtHRkcR6zOfcuH6ddqvFu+++y2g4ZDqdUiI264ag027LLF1Dx/U90jzl4TdfMxmN+cf/6D+X0xJtsw5doRr/3VuyQLp586pitVrxy1//iul0huPahKHBYrXEti2yOJVTOFUBVaCbKiigbtIT1ssVFxeXgMqbhcTVlEIwnkyoygatWu2qMwhSr7xYz1F0lSyPqURBhSQOrNYrOp02pmnw7PkTqqrAcjQ0XZCVCZZj0Oo2WEVL0iyhKAsMy0XVNCzbptvrcn58yWI1Qyl1qgJ0U0HTwLVM8iTFMAxEBTXX5vHjZ+zt7OHalgTtlxVplvHVvXucnZ6ioDAaDTFMjZ3dbZ48eYaUEAuEkPrBqipIogrP97BNgyLLCFcrOs0mqrKD51vs7A6IghVhEBBFa1brBUWZo6gqlPLQVFXIzPU8R1xcYjkOzWaLOI3wfR/Hdq72qTiK0TQJBkfAZDYhf5RyszxiPd/FrA5k0ommkxUlo8WcpChRDJODfgdN01AQWELI4g6Bhsze1A0Dp9eXWjr+barJP7xxtynvy4p1EHP/4UNa/R6NrR6GZiJQadbbBKuUMlWxTJ88W1KrdWnUm0xnc6IoYjyZs394jVdnFwhV5x//k3/CGx98wHi+5Ouvv+F//LOfEkQJDx8/lqkcjsPu3i62bVGvNVCEgu/V2Nvepe6YrGZj4nBNVVkkWUqj3UE1DBzXod/fI4917n1+n/lsRd1z2Op1KaqC+WpBPF9jGAq6bRPnS9xmRIZBs7fD9mAX3/dQNalLd1wXTdWwTAdNcSRu7lte376w24xKlA22AippIoXN6FGTc+bBNudn55R5TqUIHMdivV6yvdPHMDSiUNLKPc8iLzLmixmL9RzTttjb2+HVy1MQKmWVEyYByjLj2bOMa7f2ZGs5jQnDgDANKYqcWr2BEHB5MWE2WxKFY4pcYBgOVRGhKw5VVZGl8Qb4aSCEDNfWVNCExAKoqkpZluRlQVmVlFVJnCToU51Op4MQgvVaFhmSmC87dLZtXzG5hBA4juwK5FnOcDgkXAcYuoWqysJM3Xw5VVWQb9ApiqJuuokK5SZWraxytE2R9/o0/fozFE2nKBROTi/Y2d9D0w2yOMMyDLI8I0oCBjs9tne2WAVTSpGhWyrpixWKInA9Byqo1VxErhMHBevllMlozmQ4Yz6fUVTFZhQpUwKGoxGL5RKvVUMIORIuRYUoC+rNOnkmWCwWHO7sMZ3Nub6/y9+3lwohUHWN05NX/A9/+ed8/4c/xHM9Hj18yHgy4f/2L/8l//W/+FPevHuXeqtBAfitBgoKugBDk+PydquBYeicHL9kvV5jmTp6JSOHFusVYRSSFgWGY9Hpdjk+eUWlGqAbWH6NStWpVJ2Xp8fEWUVRmRwcXcNybDQFLNvkKjYMNiPcjeZIQK1WZ//ggEfPnoKi0Gq1MW2Tg/0j9o+u8d//q/8XQRyhaJqktQcrXrx4wcNvvuH7H37EqfUCTVUYX46ZjaZsD3pUucJyHhJH0pCh6SrD6QXdRQfTgWUw4evH9zg+OSbNEpIkIYgjGrW61KhZDtPJhOlkguu6fP3V1/ziF78gjCLSJCOLM0zDpKgE62WA5/m49SZlViLyinLDc6QSpFGIphp8+P67jMZjzk5PiWLJSnOsNlvbA4L1cgMrTojTZFMgVLiuTJlpt9tXnTpd1zexWxGr5RpDs3AcZ9PVKK4KiixNSdOcNM3Y398nyyS6yPfrCFGRJPFmDZJr0Wg0kqT3VmsjD5EsSFXTMADTsrAsi/l8zsXFBVmREscJg35f8t8qQZZmlGWBaVk8fvwUgaBWb6BqJWmeEycxWZZjmCa+L52HeZHy/Pkz1usVvu+zvbNNlmZ4bg3X8VgtAl69fEm1Cacvi5KsKkiSjCKvaNSbJElOlmQ0GjUaDemoX60kxuW1Y1imXKjcOLrGeDJmdHHJtWvXeOvtt8iShIO9PXYH2/wyCORYW1OJ45jlcsmN27cYbG9jmianpyf87d/+DN/3EKWcUDx+9pT1asWdm7dQN/fuSjf9Ozu0QKApKq7r8ezlC+7du8fDh49wPQ/NUEnzhLzMqES5OSAYFGWGokG1geApAlQh0AyTSkjtVJqmlGWFqWskecZqvaZV/21hlxUZ63jFcHpOmqUYukGr0cTUrM06a7O11UeIkiBYo2qCSmToOhImrUojxnK5IooTWu0utXqP2WxFEAT4Xg3Xd8nTkjSN0VWTZruBoVrEQUkSRuiaQRSlJGHA7qCPQsXB/g66Bo8eP+Av//Iv+Zu/+humkyn1eoPZbIIQghs3rvHpp18wmSwQQrnCzihInZwoJOs0jmN0raTd8tA1jTSNefXqBZPRkE6rRVkVBMGSJAnRlA3pTyiSLrCR5UwnC2w3ptMf4LqyQ60bBjXfp9pA1F9LTAQC1/d47/33ePet27z7xh1cXcXayOIKXUd4Hs/Pzqi3u4TLFWGcoOQZ17cHaJt1cWOHA4RkgL5e5eX/tY0R7R9a2G0aH0JsmkoarWaTVrOFouosgzWeamAZBs16hyKpWK/kxK7ZbKAqGoOBy9fffMPf/ORnNNttxpMJWZGTZimea1NWAt91+eQ3v+Gt99/j6OiAvCzpbXVptpqcX4yYTmeICizd4ObRdfKsz8XpC6bjSxbzJZ2tHn/44z/iyfMTDq/dZHfvkH/1//xLbMdFN022+ttUIieKQjTdwLQNNFWQVRWrIOTG9ets7R3y8Nkrfvazn/Od79zl7o0DZrMVSZyiqiagoSom1X+MrFhV1+X4XN1walTpCq0qQVGVKKXg7PSU5XrNZDxFR0Ut4TQ8RagC0zE5OTnm5PQMr1anLEvGoyGL1ZyszLh+fQfDsHj58lj+PE0+GUmScHa2pCDB8VXUjavUcAx2dvZwXW/jOD2h3epR8yuKXNDr9tE1mzjIefXqlCAIMUwZ21OUKeQ5mqECsmOQpjLSKM+rTfu6oCzlWE8IgWnKSKJ2u43neYxGl4jKkMVgnl+dlE3TxHEc4jBkfClP98vFgkZTunHYPKgyjkY+uLZtSq1dtTn1KAIoKSv5gAtRyVGZnJRRZoJlFFEp0Or2qCrJgbtx4yZKVXB+/gyhlITxinW4oCTDKBUuhkuKouKjD79PuIp55+0jfLvJl58+YDlbY5s6RVUQJQmGZWC7Lpqh4ngupm2BoqBqGmVV4bguva0t8kzQ6XY5PT7n7bff5n/73/xvsC1LAmT/HihVaKqM2dFUxuMJv/jFL7h76w6Ugu/cfoPx+ZCf/MVfUXdc3rhzG01RqIQiC0rbRrWl2LnRalFvNZiMx5KqniTopUKxGXG7rkcZhRxdu0ZW5OQCpquALdslzArS2RLTTigVjVLViJKcs/MhvW4bRdfQZBUnXWkb9IqCTBZBgYOjQ9ZZzJMXz9E1Hcey0U0DXde5dfcOP//0N3z6xRf4dZ9arU6wWlHkOV/dv8/NwyOqsmR4dsmLp8+JojVpmNBuN/A8gyQuMFwLAYymQ7L7Ka16k7rrc3F5wnIp9VmqpvLk6RMMTcMamDimLZ/PZovVcsX/9D/9mdSmqDppnEq3dwVxGFEJMFRzE01k0tpqoWsaRZahaQr1WoMiL/nqqy9ZrVZouoailPQHXYq8YLlcyNQJTd0UWyo72zvkZUGaJeRVISPLwpCDgwOyLKNWqxGGIVVZYTmmRK5sovxemygcx2G2XNJoNMnzgsnk5KrYEEJGMOm61GNVVcV6vUbTNHq9HpeXl+RxwtHREXEiO5qlqGTWbVHIn1MVsstjmayWK1zbZr6YU/drrMKINM/odnvyuS4Knjx7ynwps6KXiwXr9ZqdnR1m8wmu612N3GzHZrlaoygwn8+5PB+hKgr1mo+mySK0KEqWyzWOZdMf7DAaXbJaL3Fdl/lsThSFV/FoZVnS7/elm1fX0TSNa0fXMAxDMgBr9U2oeEitVuPo6Ig7d+6Q5Blff/01n3/xBYqm4bguZ+fn6KbOdD5nf2+X4eUlf/WTv8bUDQxNoyyvXxVyVVX9O+HGQgFdVRmPRjx9+pQiz0GBNJffXZZnLJdLKlFRiXLD9Cooi0risVQd0/PxvRphEHN8ckoav4embITxvke+yTJ9XSSUVUWcROR5ilAKVM2gorxygLuuh+dK9mAQrIiTkO1ODd1QieIY3ZHYrSTLKSsIowTXV0jSjNPTUxq1Bu1Gh36vyfHLU2zDQtMVXMdmeHJMs14DoVFkBSoVN27e5JtvHtLpNNjZ3uLzz3/NV/c/BwrefedNjo+PWSymzGZT9vf3aDTqnJ+PpJFOkWkcqqZQlDlpFmMYOpWoSJKI1WqBpip4dR9BRZYntFoNHMeiLDPKajMZUjRJFxDVlQaxqiqyrGCxWODVXDRNo16vs9XvE4URaZZRVRVFWVEqYJgG9UYdXdOouw6OpmFturZCUTA8j3Krz6uLMceXl2xt9enUPOJ1SNe2ficiTEL+FfE7sWHiahq7+Rv/0Eseq9VNpODd27coFIgqQaPWpELh+PQSITR8v8F0OuPNO2/QbXf58z//c+IswfNd2kWLvCywHYsnDx7z//4f/zXPT15xdO0GSZqxnE/59De/5nsff4yq61wOzxmenkiovS7lRaPLcxytz60b18iCFUmwJgxDuu0eVQk//MGP8estihKiOMHxPT7+wQ8Qecbjxw9RNY233rpLu93Ed20uxhOeH5/S63bZ6nX59MtvePbqmOvXtgm3U7KspNXska5TpllCmAZomv2t79y379gZmtxQVPlAGaaGpstMujAOyIKIII7ZGgyIwhhLM6iykjRKaG+1qaqSyXSMZZvU6j6VyDEtA0VVSKKYr79+QBJLWz6V1DIVVUElSnQVppM5t3oHuHWDJE0QmkIcJZSlShQlaKrJYNBlPlsyHk8pCpkjZ5ganW6TRqOGaUl9Q5pFxGmGXpkIRaES4uoEWZYloErn3GsjhKYjqpSqlPqO1zmhkjW1QZbABlzaQtcNVvMVQkihaZqmUtxcyGJRYWPYUBQM3aTRaFDkBVEYo6nyxVXUaqOK4Ko1K0RFWSo4vodvmUzmc56/eIGuafR7Pfq9Hof7OyiaIAiWOL5GlidkZUSUFKzWC+Ko4Ozskul4xoPgEYe71xmPRswnSzTFJM1S0BQqRSBUBdt18et1ev0ejW6LpEw5P7ugu9XFsmJWi5DxeEwYRvzexze5cf0al6fnEkqta6hXZzv50pdAvz/g6NoR0/mcu7du4Zk2HddDfbOkYTtsNZoYyEWjKArW8wXNvb2rTzJN80pj9ejhI0bnl1RpiWf67O3tsY5CrNUSz3V59fgRWVFR9xt8/MMfUyG4cesm2zvbhGHEixevWE0XfPHlfYSoeP+dN9EtfXMqlVFOYRKTZzmNWg2hKJxfXHD//lckScLh4T6eY4OuM9ga4Dset2/e5leffML5+QU136derxEHAZ9/8TkN16PhekynU/KsQEEnClJ2d2r0uts8efkMQzfxPJ+yKpmMh6hUKFRkScZsumJ/5wY/+PgH5AXcvH4LS9XJs4xgvcYyTcI4xjQMsjQjikOyLJejoyRhPp/T7fSo+zUm4zFFWbG7s4soS87PzpjPZtiWjeu4RNGaZqvGcrnEMHQZUaaoxHGKpsmRdRjHVKLCcSxWwwVxmtAbbBGEIQoKRVGQ57L7a5kWpmViGCbKhhX12gyh6/omfq9GVQriKEHXjA1wd5MGI0o0TbIey7Kk1+sRhiGdToc4jpnncmSlqPLzNGC9WmFaFr7vo+owmYxJkxjXkeJzVVVYLhcYlsv+/iFBFJIVBfV6nbKs0DWDdqdFnCSEYbjR0foSnWNL+KyqanieLzvyqthgXGTc1Xg8oub5V7FnWZJgGdck+82vs7u7y+jilPl8znq9YrlYAArD4VAiWy4vWMxmjMfjDUuvxvn5Ofv7+/z617/m6OiIg4N9Go0G4eUld+/eRTcMVF2uO4vlEiEq9nb3sB2X733/+ywWc0aXQ3a2d8mLktV6jTRmmJuYN+NqU1Y27yGKwvb2Ds1mk4vhEFEJwnhjSFJV0jxFQ6USEt1UCkEpSoq8lKL+oiKJE6aLNY7ncnJySqfVZH+7T7fd5vTVK9I0QzWkS9w0DLIsI05j/JpNmkl9YM1uoSiw1e1iGSaX6yVhuKIsM5qtBooGtu0g9JI0L8iykkqoFGVJGCRkacE6CGWkpaJTFQLHMdEVBSEKZrMFWZ5Qq7VI4pzDwwNcp8bw8pKjw0Pefedt7t3/kl/84qdsb2/xv/tv/1tWqxU/+clPUVWDYL1if/+QRqMOCJlcpMl9Qt3sK3mW4dgWpmFQkVKUJYKc9TqhLEx0XdtMhCBOEopCpqlI6Y7cH18Xd1VVIYqSLMuwCgvI0TXpMo4iqQevKon00nWdwc4OO3u7vPXWG5iGIbOxy00XTgVTgX6jgWFYVGWFrhvs9vvUDBVFbJJQNiEFFYocwX7rcuM/7HqNIpML/2sNqEy1mC+WrOKEv/zLv+Ho4IiD3X3efONNJsMxk9GENMsIw5DDa0eMpxPanRb9vW0s10QzdExdI1wtGI7H1GsOi2DJdDKSrLpK8M7dt1hMZ8yGYyzLRhEwGo4w1BIFlZvXb9Hq9Ng+2Oftt9/l5GLKo6f3WIcpO3t7aCj84Hvf48H9Lzk9f4WiK+we7NHf6nGwu8Px2TmLMOZXv/6c7skYULEsG9910YF2q83777/PeGvAL366Ik0XFEX+re/dty7sdEUWIq9xl5qiolSCNE6I4oRcKel4dQzdQVQ5cV5RFRVCMcgKmC/WKKpOp93E9V36O12u37jGn/3Zv6GqBGEYUOYy9qTcJETI/Fabum+hGwqd3g6aVTGanJMWCasgYr0xMqRJwWIVslpEuLaLZTnEYUxRVbi+LbVFVUVe5AgZI0FFiVopGKrs0qRZhhDyQRKVQBegFBVZkKBVKpZiEC7WpLqCvtn081wWa5qmsV4HKMp4091LabS6+PU2y9UCTZP5k1W1AfUic3N1Q6XXaTMdz0gqga5qVCqUZS7NHKrskgmgKHNM3cLzXRzfZ7maSwdekNLrtRhNh4ymZ4RFSLfbxK3bZMIimQVMxvOrMO04z0jzgpPTc85PpqiljlLpVEWMX/eoNWsMx2OSJMLxbObLBaZtYrpyrCWlZwWtlkeepLx48Yx+b5d6rcEySBhOZgxHl2y1W9y8dkirUQdFIQcyUSFUld52n/lqTllktNsdTEVFU1S+/90PJbi4zBGqyuV8SqJWVx3jUigUQpBkBdcGuyy6C54rj1F0gakqZEFIEgSoQpL7s6xEV1Tevn2Hjz78kMvphO29fTRN5cbNPQQ6l9YlaRxyfnnOO2/foUSnFHLhyoEnwxFVJbjp1zg7PeWXP/8VD795jGlY9NodbMOgFNDxfAzgvTfe5H+o1RgOhyRByGDQQ9MgSUPuffUFuxu5QrhJHVFQUHWLslQQlYqhWVi6hVHJzM0qr1hMV2hVhMg0vv/+93j7xhs8fvkMqpIKla8fPeTJ82dEYUwQBGi6Sl6mlFWBKAs8x0cRKkt1zaA7oNFsMFvMuHn9iP52n7OTU2kuqPmkcUKwHgGy+5kkGZomu161eh3N1FiHAcJQGXQGTKeb0b1lYNgm/W6fMj3H63nois57H73Par3myy/uU5UC03RBU+W7oCq4vs98Nkcg6HS2NoWG3LRkN1yO/fM8RykqbFWjKqTRwHE98rJCKCqqrsv0j7JA0VQ8173qApVVKfW7VYkoC9rNJnGcoOsmoGJ7PkJVWIchqCqz+RxVVdnf25PcuiRiMBhsilwDIaSb3fd8irLE91oM+tusV2vKvCKJY3y/xng0ZjweEYQBnutjGQaT8QxVE5iGwmq1MTpNLwlXa4qsQAhI4ohXxy9588230HWDRqPJzs4Ouq5x79591utApnoYJlUhqKqSn//8F7iuy5037mKaBmEcE0UJrVYLy7R4+M3X6OjMZzOiVcLT58dEeUkQBLRaLVqtltT5bQo7XWz8sJvZ2/ZgG8/zsUxZ0BaVzOMtypJSkaYXoWokpQA01ErFUC3AoEggDtcEcUzmxfzqk8/RTQvPstnttjFUQzJMN5u3hqBVq5FXDZI8RJQ5iByFClVoOLqNAoSLBWWeglqAUSCMEtN2qdSKdTAnKTUst8Hq4hhdj8jyHN2ysRyXIApJ4phWvSnH0aJknaxob3fwnAanJ2O8ehPfrZHlFdt72/ztz/+GX/3yl8RJyPsf/jFvvHWTn/38Z2xtNzF0C8MoMLSKVr2Gpr6ewkgttVbpaEKDQjqnTV0F1UIUFWmRUsRrTK2NKOH41RlH+9dJsxTFgELkqCqYmkpVFiiiQqjSdKdqKgIVXbU4OrzOndvvkqcwm61Jk2LjaS4xHZfB/i4xFZEQBFWJoeoYqooiJY+ogKXAlmfTuHuD6WxKjRJX1WSzQ930VBX4Xym47t9/KRq/7eFCiWTPpqIirrKNfKTk2aPHXLw4IYszhsMhpmXy6vgYoej89Ke/pNNr4/sNdFPnn/0X/4ztnW0cz+f84oKqLAhXK2qmSdN1ORtNefHymJZX47233iGYzBFVgQDiLGG8mDHobVHz61jdAbrvEWkW2zeuIwyb8XDKalUyHA55+PwZqzTEbXo4tkRAVaKk2alzOrwgTiKivKS11SNPQzQq6p5Jt2ETbdX5evgCw9IxXQ3NVVD/A+74t8+K5bW4VmwcnZLxpmkyEzXPUtnuLWWmbFEVlGWFqqiyYFpVGJZJHEfEaciNm4eMxhesgwWKCp1OhyzdxGjl0gWk6jLtwbItFE1g2Q6lklJVkGYJuqGxWkkSvhCQhPL0MxgMUNCI4xWmbWEYOgJBXhRyo1PkiUdUFXlRYNsuqiqpToryGnsCryNgsiRFUTQ0VScKQlzPpr81oNZssA4Cjo+PN/9AOlbDMKYqBL5fR3d10jz9nU1KUqGEEJvOnMy7tC2LYJOKkRXZlY6tKioc3cG0LcK4BErSLMKuTFR1M5oQGdPpkJ3dnkyz0KX25cHXDxj0e+RZSRrn2IZHreZBpWDoFvV6kzJVqDIFU3eoCiCNqTUbxKnUTrU7HdIsZTgcI9SKnBwqgW3aCCrqDZ9Oy0FUKkEY8PDxQ2zT5OXzYx58fZ/u1p9Sa9ZACBZBxOPjl3jtBooKP/i936Pme5xfntPxG7T8mnTvhRGnF+e4zTpFWfL46VPqbo1Ws8litSZJEsbzKa5t0+m0JehzkTAejyVZ3q9h1XzCTT6qZdm8/fZbXL9+Dd1zGE2nPHr8mP/qn/8pu4d7tLo9kjhArXJU0yDf+LIFsIgiHjx/zv7eHmGRczq8ZDKf02q3oazY6m3Jb16B+XRGpUDdr/GdDz7k7OKCYLWS4ybXYRquGU/H1H1/M/qXi1eRF2iqTlUqaIqF69RQ0aSgPi3QVZ0olPKBltfDc31MQ2e9XDOdzVCEwoOvvyYIQ+JIxoblSUa73eLs7EKODLp9srSQbMdKuqvffPPNq3FOmqZYlk0chsRJjKhKSfsvczzPoyxLms2G1LgZGnGekhc5KAqGaRCEAWw6LVRgWzZhHDEejdk/OCTNMizLRtsc1l7rUsMwBKBWr1EUBXESo6rKFd/udYdMqyopWdBlWoW9gZCbpnk1wmy0Wuzu7BDHMcPhkFII0iSRBhNNoShkR9Dza5iOzSoMycuCSsiM0qqQGJNgo1mr1WqoqsrJ6QmeIxEWZ2dn9Pt9gI1LNwRFQdMtFAXaHdlRujg/5/j4ldTS5jmtVos4imk0Gmi6Rr3usl7NSNKEet2n2WygA/PpggrZ9YvCkLKsaDab9Pt9/uAP/oAwDPk3/+bfMBwOuXnzJoqq8urkmNlyjm3bPH/xnGarRavTYjqbS3nAzRu8ePGKml8nWIcEq5DT03PyqqTWbtBsNdnf3wM2xXRZyrW7KFEqgeVI6K6mquR5RqvdRDNec90UPNejKgW2aaEqKnlRoggVRahQqaCqiEI6/DWkCeD5s2ekcUS6WPKn/+Qf0Wo0MTbaZVWVuq16rUalt7kYRSgK5Fl2pXVFURFFyfDikiSWSK2szLicDGGRo1oak2COouvEWYKi/c4ESFPZ2dkhWK4QeSnj6nQT13LpD3rcuvk2jt0gST+l2Wly49oNnj15xt/+7U+IkzXvvfced+/eoShKPr33K5bBjN3DPmfHF0TRmixLqNU8VEWhKEuqqrxCdpi6QZwERGElo8FsC11XUbQCwzap1xqM4xFxnBCGEcVGE1mWJYppU6Hg+VICMJpNEKgomo5r2bi2yx/+6A95/73vUBYKF6fnJGEsUz/UCk1TWQVrHjz8hvOzY77/7lt8cPM6rilNY5u5EIrYcB51jb2tnuzq8Ttj1t/Vz/2vbpjYbL2bUa/YdOxKIEcwC0NiAE1FiApdUxlPLjgPYkQhaLZa7B8eECcpL16eUoqK2XTKdDrmrXfe4uWLFxR5Tlbk/OKXv6DVamDqUuI0m45pNpocHO4x2Otz48YW0+GAr7/4BjRpHK00k7PhGG00w2nWqKkqP/nZT3nzzl0+fO9t1LLiL/7mARcXQ4ajMVWR4DgOnVaTYBWQ5QmL1ZKL4aXUgpdyrZgtAzTDZLFYkeUFzYZDp1enKn0+/vHHKMoHnF+8/Nb37z+osPtdUKsUPVcbQK8UdiZxSmRFG72ZHGtqhkpVSTabpuqkeQKq4PnzpwhFFoqu67DV6xFHBYu51O+UVYmuqximjqIpqBqMJiPidM06WgEFtu+gqTIbEaEihLLBVcxI05wyL2k0a7LaThLCYE1eFBTVhlOmqpu0AnkS0Tbi/ArpREVIsWqeF1dysTCUJydVUVHRUCoFU5O8LkMzEKUgS3LKsiJOpti2RZpmG1aefEkVVQEhRzgIOco1TZM8y9BVCSbOCyTTT5EFdVWVGLpGmqWyiNvr4roG09mESpTEyYJVMKXZqJFPFcq8pMxLFKGRRDlUGmlS4fk280nAZDSTiy6aHAWUmRxNoDCdzoiTFNt1MU0by3bRTQNFFaRlwmI+Q9c1ijyTnazbN4ijnF/8+uf8+rNf84OPP8bxbDRTwfQcLudjTN3k/oNv+OrxQ5pbXQpR8f6b71CWFa9Ojlm5Nbw7dzF1A9dzaLVaRFl6FRP201/9koPDQ2bzGXW/RpylzFdLuvUmfq3GYjqlqEqSLCVZlPR99yolxbQtOv0tmu0GbqvOZ18/5OjmTXTbIA4ylmnEZDLixuEu8zRiuZzTbDQxDYuH58ckaskyDRmt5yzClYxoqzeosoLBYCDfh7Li5OSEs/GQWbgmCsOrjfDk9JTbt65Rr9dZL5eEYSiLos3z5dg+O4N9TEvHtjxs0yNLSjR0VKFhmS5pUhGFKYqvohkGQRTz4sVLHj1+QlmUnJ2co2sGjWaTPMuYTicUeUmaSH1bv99nMplxcLhHu9Wi3e4wnU2Jw5DZeML5+TmiKLFME8M0KAuB73vUaj7Xrl3j8vJycziRJgFFsCnwLT788R8wm8/56v59wiAkcNfSfBTHqKrKxcUF6cbkoGm6dFXP5wgho3a2t7cZDoekaUp/sMV6vWYdSIxRrV7Dcz2CIJBRhJrUMqZpujExCU5OTuj3+zTqMs1ivZbF/+ui0bIsDFWnKOXvV5blJm+2xHE8+a5GMYPdLrVajcvLS+kudCTqZDFfoNLEMDRZmGny529tbTEajeRUwJWHXYTAsgwODw+YjMYcHBzw1Vf32N3dZrVabxAeGpZlojYa2LaFbbqU/Zw8TjZHCmUTL6hvjFQV4/GYxWLBzs4OZSmL7u2dHXzPZTgc0u602T88IIgC7n91j85Wj7t377I1GPDl/XtEQcj1G9e4feM6L1+84le/+jWWY7NervBdj9FIfr5lWfR6XakLzEpevXhBd6vH9u6A+VLqDDVdQzcMms2m5ME1W5y+OsX3fKhAlBWG4VBWIEopsGeT5qOp8sBSRhHdVpNb169T5DmmButwRavZoEJqWrMyp6wqLMtBEYZc00sJ2lZUhSzNGE9GV2uqqmqkeUYuQmp+Dbdus45jxvMRuqVjuybrYIWmKNimSaxoXIwvoYRuu0tZVvT6AxqtOvPpmu3dLe7evkMcRDx/+Q1Jsebtd+/wp//in2JZFs+ePdto20xc1+XFs4TVesE6WFKr+1iWId/zqkJUBVWlAJJrJ3OAbVRVlfunZpMXax49fkIURmwPBpTVb9maqqZTlALbMSkryJIUy3LJKbFdj1qtxsHePj/6we9hWz5Pnr5iNplS5LmUFFXScKIi0HWNLE/59PPPqBkanVt3/k5ChKIoaLy2vilXDL3/f1wV0ryWoxDlGY9ePKcz2KbX6aLUC550Ozz75huC5RLf8Wk2XNrNGv/1v/jnnJ+P+NnP/5ZPP/uEior7X3xBKUo8z2UVrcnzlPWyie04pGWFpgo+eP9tVMvi7q1DcqHIjnYSo+qm7CCqNrv7e3TbTSarGbqh4XsWg3YNTwND0/AcE8s00LUSy/ExVEEUxTx+9BhVU5kuZlwMx7RabZqdLWrNDmeXI8azGb/81RekWcGg36Pecai5Ltdv9nEsg8+/fPCt79u3L+xkQOhVBlwFqLqGhoGaa5sCS2z+k9BgVVVwXBtdl7FgmqLKEVtVoagC33dJ0ggBrIMFi3ko0RxCATTZejY12WVTYTQeESVrFK3CdAzyvKQoBaJUNgiSAgUpJFU3wEKE2LDQcvIsI8lSqkqgGdomvrQiz1KqokBTFVnQbX7DK8wFyqZwlG3voqiYz5cslsEma1ZWfYZuEscxaZICKst1QKvTRFPla/L636uaZNepiuyeLBYLilQCjjVV4hxEJYsz27Go+zVM22CxnIEo8FybNF7heTqO28NyLLq9LlESEYUVnuOSJCG6pvPi2Usuz0eYhgNFyTCdkeYFcZTgOT6qolKU0kFmmhaVEIRxTFlVrNcBKEPa3S6WY2/QA1KAWxQSJG1ZJu12k+b1DkGacnl5yU9+8TfoqoptGVxOLqjXfLIkZTadYTo2QoM7b76BEBXT+QLdtDAdG0WVHCUViXzwaj5//fO/Zblcohg609WMKI0oqpxCFCi6Ql7lKIZKkmfkWU6JhIIKoCpKqYVyXNANZqs1Ts3n8OiAI03n1fmQ09NTVmFAWaQ0Wh6apXE5GXI8GbLV3+bFxQlOw5cLqOeSFjITVuQ5d67fYG9/l830nv6gz3A6wTQM3rhzly+/us/F+TlFnpKlKZZtMZvkkmtVlkjsl4pXq9FsdFgspyhCZbu/y2h+ia5YaIpJkQkUoZHnJagqqq6xigLa3S5fbOCoRVbQqNVxXY+8KFBVKQ0ANhmnC7a3BziOy2q55vmzxyRJSqPRYDS8JE9jFBQsywMEqqkBGq12ncvhOfPFHIB6vY6h6+R5fuV4vby4kJ1DZA6r57ly/BisNqaJNWkuu9BZllJVJZZlbBI7LC4uzphMJjQaDfI8ZbVeomkKum7KVBBFusRR5KhuuVySJMnVv9F16Vyv13zmizmrYI1QIC8LVFUlzTMMy6BWr+M4DlEYc3E5xHFcbt68SZYVjCczFosFSZIQxzGDwUCaoOKY9XpNr9tB101s22UymRDHKaen5yRJQqfboRIVz5495cMPP0TTVJ48fkKn1aLX66LrspDb2tpiNpnSrEuWnue7cqRZ5CwWC4IggA3jNctS5osF6yCgUavT6/c5u7igvz3YPAM6uqHTG2yRFgndXhvTsuhutcmqlDgJmM4nPHz8mPl8zh/9wR+wWq2YzRecX1ywXC456jRpt5tkWcwXXzxhPB7TH/QxDJW9nV0MR8N2LSazMb1Bj/OLc+I0IisKqjSW36Mh0SBpkjKbTOlv9XEdlyIX2IaDbeqkeUkhBFVZIERJp9WmN2jx+9//Ph+99xamppIlEUGwpBQbziCCtExJ8xyBimFYFLFcnF+bPabTCS+PTyhEhWlZ1JsNbNemJCRMV+iuRZWU6KaGrtmggu/6uIZDuAxoN9qUScl6FbJexZSuSr9nMRqPaDW77O7ucXkx4t6XX5BkK37vhx/y49//fZpNj9PzU4SSYTkqllMjyzIabQ+hFCxWU0xbx6u5ZFlAVQqqXDoaszyTEI+qoihKylKgaQLNMCgqg9VKQq/TtCAvZeKHIoGnVIBmmdL4YhgEccQ8WKFuug4ytzgiz+U0LU0lsqVIK7I8Z2dnwO99/yPW8Zqzk2MmlxcbsDVUm8JOuargXmfa/F2X9H/KS2zwUnGekgmFStNodjvUaj6rYM3jB9/w9PFD4mCJY6vsbLe4eeMAw9SJwyVlEeFYKtv9LkmaMJmOsW2LZRqRlxmarjG5PKeoKrxWk7OzV4jf6Lzx7nuMVx3WizWj2RihKVy7doPz83PiLOO/+Gf/BN81uf/wIaqpcfNon7ptY2ugCfBdh263zXRySZIUrIOA2XhCVZR89NF3qTTBq9NLaq7UUqdpxnq5ZKc/QDMdfvKzTzg87POHP/4+W1t1irKCUmV//863vnffurCrEFeOTkPXN65Via+wbQfTcSVjTddQMGTUj6rg+x6aXpFlMRUVWS51W4ahYTsmuqFSlBWqKhAipz/oMp3ON7o1hYqSoixRAdsxaLSaJGmEaRqoqoJpOERZTFnILpmqSS1AJUryLOP45Qtp2dclCkSUMsrL0S1cy6JIUtIsQxEyGk0gW+Z5iQQ2VnLzFUJBN0wQclOOgghF1dE0HVWRnKAsKQhWIWEYgyILQFGBZsqupaZraNrGDKFqGz2fQhiEhGsp6JUj2ApDM6i0Etuy6XV79Le7LFZzvnpwD8c1KMqUg/0B9WYDTVeZzCbMZyNqtTrf+873efLkkdSZhTmtRo96rYOmmIRRQkmOoapkiUDXwDBsbEv+Lkmaous5toNEZWQFiqoRJxllKSjyjLLI0R0N0zKhVBlPLjk7P2c0OiMtUtSsJBEVpbB5dXbM9qDPbDZDKQWuUvLy5TO+993vksUxP/nJT2jWG5jXbpJkGa5tAwqr1YooTbg4P+fawSGFClVRsLu7I80ooiIvC7KqICsLSkVQbDJfFVWRMNc4QtuEaX/62ReMVyt+7w9+RKWqqJUcLa5WAUJRCNYlw9GQMFqTJBGGbVOIisHONpPFHMM0+Ouf/jXnz1+RFhlxEuHVXFRNZRVETCdTTNPCNC2CICEMAzxbBrNnWUQQBPR7XcnG22g4RQWiqgjWAfe+vEd3q02j1iAMQqpCyAU5KcizAsOwSLMZqqaRZhm1mkar25P6rlqdYB1QVvJkqGkSjhqsA0Sl0Gw25WFGU9A0hfOLM+qNBoauMZtMEGWBgqDm+3Q6bebzKSgC1/XQNNllME1duo91lSxNiYMQHZXj5y9QNY1arYZjWpiWxWI5JytSdEPDtGUYfbmx6pdlRZZlqKrK8fErbMfGcRwazQZVVTGdTbE2ruooCjFNk+3tbYQQ7O3tMZ1OOT4+ptVqIYSgVqtx7do10jTl6bNnPH/+7LesO1WOsIQQFGWJKQyyrGC1WhPFCYPBDrbtUZUxQRAynk6v0jDSNCUMQ54+fUqtVqNWk6Hzq9WK+XxOFMUAXLt2jRu3bvLJp5+yWi45Pz/j2tERi8WcXld2RXVdY7laYJk2tm3S6bTRdQiCOWGYo4iK6XRKEISoqiKlKKqg5fm4rkucJtiuQ1GVlELQbLeYTqd8/uWXhNEKr+ag6Qq2Y2A5BvWmz2effcbDJ9/w/e/+kGtHh9i2TZLEfP755yzmczrdNh9+8D6dbofhaMSjIOD0+JgsiWn4PoPeFoatsb2zw70H91kGa2zXwXYdzl++IE2z344IUSiLgmUgO2WaokontdCohOxiagromspWr8//4f/4v+f2rSPqNVcis0Qpc3M1j7zMJHlBqSiVinUUkqUplCom9uaQLEfGr46PGU8nFFWB0CRqyvNdND1jnaxYrRYoig5C4BgWSimh+oZiYqgWCjqdVp8yn/Li2Ss0LcR12jiWi+c2uHf/Hp9/9hm+5/Kd77/HwcEeWRExmV8SRAvyMiYvE0zDRNUFzU4NxRDkIiPLM/pbXVazQJrlNpKHqipgc8AHZdMU2CQfKQZ+rYUQS1brkDwvJY/RdtB1C0VIXaOpGrR7HfJphVll1Ft1mo0Whmny7PlztnrbGKZJkqZSfrTR4zXqNeqew6sXj+nVatQqwVaz/Tv4EvlnkWdomo4mMZ6bSd1vcTj/qS4hKuI05bOvvkR3fQ5u3qK/1aesKv7yr/6Krz75jCKOcB2NrXaLdtsjXE8pS7Bsj0a9iWdp/Ok/+yd88/ARP/3Zz6iKDFEgOaVChimYjkVjq4+uacxnUx48eMCTFy+5e/MGb711hw/eeYuGX+frr1x+/etPmC9X9NoD7t69RVLkeJ6PsdEcKoDpmpiO/NxH3zxBpaRWr6NUCnklJKkhLxmOJpxdjAmiBN0waTRb/P6PP+bk/JyTk0eoKJRFyfOXF4jSJI4KOPC/1b379oVdJbsgmio7dZ7noukqURRhuz6tbhfPdciylNl0KoO5VYWyyvFsh7KSLtKiyEgTQVFmQIVl6lRZTr3psQ4CTEsHShRFbtJpJv+eWqnUmx7NdoPlegmqwDINLAvSeCgF46qKaZgSAox8XbK4Iopj4ljqLAzTRFd0bMuk2WiQGjFWlhMGEcWmrFNV2boupIJ+83urmIZFuQEYl6VMoK8qqVsCSLKEPCspCmnSUHWNLM1ANdB0BV1TUTVZeIiNSNjQdWzTJg7lCVg+0bKYNHWT9WrN1w++Yjrrcu36Ie1mE8fR6W91ODjYQ9EUgjCgKnJsy8R1LBzbpubVadVatGpdvvn6GVUuOzBKZWNoFprtbEbCyGJYCBkJJTYxNWVJWQnKSmxGSIAmKIqYqswpi1SOEU2X4+OX5Ll0cTVr3lWQelXl5GXO2eUFCgLHkDnCcRjx2Sef8L3vfUwSJ1i9ASWC2WKO0myRhBFlURCuA7b7fX70ox9TKRWTxQzH83j+8gWWbZLkCduDLXYOZE7ndDJB1UoODg45ODhgsliAqvHk+Uum4wmNdptguWK2Dji/GPLD3/serWaT3e0+pyevmE4uOJseo5kapuuQPH1CVpXs7u1xfnzCp7/+DXkUEQRr0mDNycUZz169JIlS2g2pU+pt96kUCPOUrUGf9f/5/8Tx8XOyXCr3TNNE2xyAZuECUQha9X10TWO7v0UpEk5PjrE8kzTOqQqFVqPDaDKhqsB1fRRNZzyZcHx8QhglKEBRlmiugWVYICCKEsqiwjQtXNdlNJpQq9VIkoQ0jWg29knihLgsqNdqhKpKv7+FIgRZmnB0/QAUmE6moECt5mHbBrZtcnExRkXBNAwWiwWdTgdd1TajQ0iSGHUT+9VsNjAtCy3QNlDh7CoNwvOdDQS6IooC4jjGNO3Ne1CRZSn1uk9VFXieQ1lK5l2z2fw7Yv/Ly0viOOb5y+dSn+bYJEmycXjK0WsQReRlDijUmk1anR61RotVIIu31Wq9wZK8LkBLxuMxALdu3SJNEs7Ozq8c8bZt02y2cByXi/ML9vZ2EDsDolimeWj9VMcWAAEAAElEQVSagmForNdLCQl2HMqy5PDoAFVTGE+G2JaOqqlE65B8gxBRUKmqjLIspXGsXkfTpUt7sVzw5ZdfkqQJyUZX6NU8wmjJcHKJOlepN3xKCg6O9lkuV3Q6LbrdHp9/8Sm2ZWHqBpPJiP/sT/6E3d0dEIIoWFMVObdv3iAIAi7Ozghv38a2TFAEaZZQljmOY9Pb6jJbzmTBXEK46TIuI9mVPj89I0kSHMujzDNKIQ8GAM2Gx0cfvceg32YxHWMpXertFqauUYmcxXLO5fiCWtNHVQS9bpc4TVGEQpEVmOZv022FEMSpRMNUiiAvcqIkZsftgBYzX083RaCCb3voSAhxuAjptLZQKo3FNJCmu1lMkkCSRMRxia4J/uKv/obxcMTe7i5vvnmbskx5dfyCkT3EMIyr2LflckkcxaBAHGb0ew66qRInIZ12i8fiObpuoKnKhleqymJXed0JU6VJTtEQ6Ni2x3g0AaS8oxLg+TLOTkGl5rl4rotmKpTk6KaC4zs0u22+94OPefu9d6gqjSwtUU0doSqga7hujSLPubW3S9e1CRZzbu0f0ms22RDy5D4vBIvVilarJR2wym8l+/+pO3cqCo5pcfvmbbBswizF0uS7UGY5eZIgioxGu4aqFjx6eI88KzEMj4+++zHvvfN7XJ6fMh1dIIoMy9BoNlvkRSkPWoZNVmToqsFsPKW5bWF4Gqcnp3zw0fe5ef0arq7y5Wf3CBczPNfgv/wv/zGj8RjLhP5uHw2TEkiqCk3Z1B2mRmurg+UbjKYX7Gz30dARJbzxztuMRiNeHJ9SJgnhaklZlFy/foN2q0nd98jiiNlozuef3ie6fZPJZIlpuDiW+63v3X8Qxw4EuqHheh6DnQGqqvDs2TOKPGe1WmPoOqYp0QJJEpHGIVG4xjSlWFsoCt1uh1IUjMdDgmhJlmWUQiWJZf7parkmzyt0Q1q+UYTMBrQM6awsCtIspagKklRDVPJFcRyPMpejF01VMQwDz3bQGg3G4zG1Rp0kTRGK3ASrsmS9WqIrKo5tEYex5PFs9G+VqkqBZlmBIjet14DIsiw3aRAKui6hwqqqIspNSgRy1KobxqYrJzEpmqaiaJJfV5YliqJtDBvK1UsjMzIlbywrUiqRo2gVTx7NWAUL6g1/kxfpUhYlru2y1euR5zlRFHF6copnPqDZbKIqGp29PhdnC6ajNY2aT913UTWdKA4JwwDLMkGF2XxGHEcIITAMU3LcVJW8yAnCEK/moyoKlmURBimXlxMQFe1mhzzLMQybVrPD/sEB9Xp98z1lIGA6m5FlKbqhSnp8VfDVg3vEsex6vP67o8mE1XLJ428e4pg23/v+97BcCbI1VIXMtJlMpmRxsmHKKVQKNDttHN+jGA7RDfkMnpyeMtjdpUQB1aBVbxKuA9bLNUeH+3zx+T1+/ZvPuXHtGnu9Fi3XZtJuMJ2NuffgHq++eoBTr1OKitMXL4nWAXmSkMbSWBDnOfe+us+bd96i7tbZ3d7GdW3iRUopZMrA7s4ut27e5PLiVIJCUXAddwOEVRGV1Fb+wR/+iDs3b/H85RNkbJyKritURUmRVWRpAajkecFitWI6mzIezXn0+BntTofJeMLr0HHTsrm8HKLpBkmUUBSlxAFpKoah8fz5U9rtFo1GnSrP6XY7m/dFo8hSRqMRu3vbCKToOM1Sdnf3qKqS2SxGUSHLMprNJpZlsbW1xd7e3tUYM4xCkjwhiCLanTY13wNNZXm2oNvtYtsWcZxgmiae53F8fEyz2bxi0lm2jJZSN+kz29vbjEbDDQxcGhrmG8fqdDrl/PycTqcjN9ss5+DogKIoiKIITdPwfZ/5fE5RFHie1CK9RqpMp1MuL4d4ns/+/j6arjMcDhkOh5ycnMgRbK+HbduMhiOSOMHzZXSe63o0G00ajaYUwFsS/RQEAUkieXqvi8Q4jsiLjG6nR7/f59XzZ6xWK2o7PdqdNq82ekJD16FSNvBfiR56+uwptUaDopBZsnGaopsmO3u7pGnKcDTEcXTOzs9YrVe0Ox0M0+A73/mQ8/ML1usV165dJ45jkjhmf2eX3d1dtnd2NlB2mSnbaDTY2dmh0Wjw1VdfMR6PabZavDp+hWGaGKZJOJty49ZN1lHI6ekZ+aYj9Bq3kSQJRVbQ7fQQpcIyXJEVlTzEqpDnJWUR8+z5Y3743e/RaTQwNRUoiZOI2XzKcDLEbTosVwvmqylZnnPj6CYXJ5ebVJ7fpvUYhkGW56QiJVNl2szhnQGz2Yw8Lag1GkRxhq07ZFGJo/u0ax00YXB2MtzgTwS64WCZNVaLlM8/u4+qQr3W5O133uPu7ZsUZcrpyYhms04cx1cGm9ed3aIssG2bbq+O3/AxLZ0oDhiOLq66c7omg7hKYVwB8F+b9RRFduyytCBOcmr1JroOum6gGwY7OzvYrsVysaAsCpI0ZLGeY9gmNw+vSRzV1hZvvfMOumUymixQ0RGaCoZGVVRohg6KBP3e3NtD3erjGdJ5/ztwOoSi4NVqPH31kmLjHt/t9v+jIU3+fZeCZJJqQKfZJkGaGxRV5/T8gtl4QhxGqCJDVTxUKlRyPFceuC7OjvmX/9f/jq8ePCCMYsIoxrVNPNtmazDgxctX3L51i998+gnhKsRuNBj0/r/E/eeTZUl63gn+3I+++t7QkRmpRVV1iZYAugECICjGCK5hdmZ3bG1n/7613SXHxoZ6sCCJJkTr6q4ukVqGvnG1OPq4+37wE1EFELQtzJDD86EyIzOiIvLcc91ff9/n+T27FNLj7ZMXbG1dI/jdH9BtBvzW9z7El47lf3oevjC8enuIGDn0NwaWn6rBc+2vizTFiTwc7ZEbxebuDsk6JUtKwmaLTr9i/9oBN27e5MmTpzx69Iid7W2u7e3z9tVLxufnoAQnhxNCt8P21ia+5xIE/wVcsbqOgDHSRnysV2s67TbddpfZfM58MmExneB7HkJaNIfjeTieV4/zDF7o0un2EI5BOBrpChbLOXmhcd2Ira0tDC4XFxMQgmajZVumrsD1XLSpWK6WpGlKVem6KyRptVp02m2m4zFKVZbjJCVJmlCkGcKRNqKs0ybNUsrSLkhFGhN4AX7tlnVdr47xkhhcjJZIrQHHjlaVhQZXSoDRuFLj1BF5l1mvRW3acGqnUhD4KJVT5grhGKRrHbll3ZUrsoJknVKkdnRlgcklyNqXKQxKKxzPJc0zdppbrNcxb1+/5Twa0mg2iCLratrd3iXyIm5cP8AYwbOnLzgszoiCJvHqnPH5HM9vsrG5zcGN67TbbebzGUKA67hIJGVVEecxZVVZjlxRUjkuplQYR+B6Do6w4nqBIMtLXLdESAdtKhbLKYvl3ObbKkV/MCAKA7qdJt12i3UdQF8WmjhO6Hb6fPPDD7m2vYMnBFVZcnR0hCcdoijiVqeNLx2E0bTDBsvVCqkNWZxy/f41dnZ2iVcxhS5Jy5xBs4ESGsezusbAd3n3nfs4QUB70Gdva0DTc5Cqoul5bLQiVKnI04xOu0MUhiyWS54+e0GpDHt7e6SzFavlgtVkTrxe4oH9t/X6GODjX33M9Wv79E2PosgZTid0B31evX6BwLo5kzRHo/ACy3ALo5Co2aDb7vKNb7zHnZu3+OTTn3NyfIQMIcKONWWc1CNbUJUmDCIm4wlHb48RBlRZkiUpGwM7QirLktOTE3zHYzqZsL21jSpLRufnHB++pRFFvP/uO/XrZ8iyjCSOaYQhaZ7RaTfpddu8PXlDkiR4nk8Y+gwvxjRbLYqipNGI6Ha75FnGrdu36Ha7jMcjytpV2ht0bQfR8zDGML64YDqZcH1/HwKJVpo0sY7ZwA9xHbeOArPpK77v1hpdePHiGTs7O9YxmyZ1OoMNxQa4f/8exsDjx4/Y3tmm2+0yHA7Z2NhAKcVyubQdpCiqR2Ga2XSGEILh8AI/CLl16+YVDF0pi1dZrZYM+gPKsuTp06dURcWtW3eu6P5lWaJ0natZlGRFitEaow3z+eKqMPUCa6wyxhA1IhbzGbP5DMeRbG1tEzWCL+dgtYK92+ngeD7Ndptms0HUiAD7PaUjiaIGnU6bRqPBOllw485Dtra2mE6nnJ6fcnBwnf6gTxSFLOcJ7VYDYxTj8ZR37j/AdVyqsiRNUibTCUJI7t27x4sXL3Fdj7t377G7u4sUgslozGg6JggCbt25w+bWJr1uj7/4i79geHJOr91hd3uHF89f8urlK9I0w3PtoVirwuZpCwmugLzks08/YTw5Z39jg+1vf9tKT+pYudu3blOYgrOzU8Ca3La2tmg32pyY0xr2a7f98/Nz/sMP/4w8y1CuRgsoK01VQRS2yUvFYhqzu7dPEY/JyoRSK7Y390jWBZ1Gie+UzOcxrXafqnRwnQTPFQw2ety6dYtWo8FweMFweEoUuQghKaqM8WTMcDhkd3eXsBEx6A/Y3t5GlYbh2ZQ8S9je2uBn819byRACWevkXK1xhGP5f0J+SZjAyhT29vZpNhuMR2coA64XsLG1je9L6rMsYehfvb8anQ6uH3Lv3XdQjuAvf/5ToqDJxsY2WZ6ilI3WM6pit98nQBBJiRcEODXtWdRMoEsZkisl49GIdRqjqoprmztfu6D4z3VdJVvUiDUXiY/kpz/+MS9ev2G9WmKMotNq4Dg2AcdzXBphyHh4xmqVUpWa2WxGEDboNBuUlcaVhkbgcWt/n3i2QGUF0vfpNNpsb2yzd+cez14fotC8eP2G3/zgPhvdNp4QKG2bLp4juHfnFl+8ekFhFPvbO1SOoADyoiQpMtwoQq0tpuvw6IQobLA52GI0mSGF4fqNA+7cvUtZVZycnGCMIYnXGOmwvb1Fuk5YzVccvX5LKBy++dE7TKfzr33/vnZh52BHKVJLVKFZz9dILWgEDdZyTaEyOz5LUwu3FYZOt03QaJClKUka4/qSnd0+YcPD9UGbgnW2wqiA3b1NBoMNjk6GVLrCcX2E51uxPQUGCyitqqLe6CTrZYEBsqxkMrJalmYjIghCjFas05S8tO45hXW/WvqxxnEMUrp4vkcYNVAGqkqjlHUCSRxQEke4aF3DarWgqBRl5eBJae9JrTqVUtoZelbWnTwHXzgIpS3YUSuqHHzhWeu2rmoWoGXWGWyhi6hAGBzPID0PZRwqXWIcQ2kM81WC6ypmsxXuKiXLzmi1mnzjG+/T7/cYtLdx8fn44084OT7n3t2H+H6TMIjwvQZSeqzWc549W9WdEWnp8XmJxKERWgOI0gqpQOcKL3RsskNRUZUSlRmk8jEYqhJKZeg2mmzubdEf9Inj5Mq4sF6vKbOceKUQlWEdJ2xsbnH9+k0Gg00mwwlNP6QVNpAClkqjXYdmt4fjOYSue7XwBEHA7uYWL5+/QChDt9khS7IawSEoqSiEYmt/m163z8sXLwn8gCCQ/IP/5u+ys7dHJQVPnj5jsxPye9/7iDDweHM+YrZY4LouP//Fz3n67BlSBgQy4PTNCY6BjY0BS39ChdVzCg3xIubly5eMp2N7mNGKZbxisZrjNDw2Nvvcu3ODt0fPef5yTKFypA8Viqhpwc83b99lsLUBjmFja5PZespsOcORPsYBXMt2TJIcVRo2BpsIA0WSIrUkTzJC1wVlY4rmyyUCyLPUOrTCkHi1ZL1YEIY+m90OgeMwHI04Pz6m0YjwJBhdkhcJ/X6Xs7NDJJooDChKxZs3RyyWK1rtLtpYnIvSFXGyJs9TtGnx3jfe5fWbN8znc3zXQ1cKXVZ40kGXFXdv3aYRRvXJOeI8uaDKDYOBhZc3ggbdbgvh2iVJS0ng+wSBT7fbYTqdcXExtNo2o9HKalZ9z2V4McTzHB7cv0+WZ0RhaMXl6zVpYnWWlwBkx3VJ05UFmKZrbt2+SbvT4Pz8DEc6VGWG5wi2Njc4uH7Aq1evKfMcVWn2dneumJKr9YrReMzTZ09pt9ts9HsMh+eAoSgKms2Ifn+fvMi4/+Ah+7s75HlGlsQEgUdRZEjpMJ3MybMcY+ooUAzNbhvX9bg0XIWNBpubm5T1oSfNc4IopOP73Ll+n9liweOnT9na2mRvb4+j40PeHr5mtVpTpCVFnnJ6ckSyTtBasbm5QZ7n/PqTX7OKrQHs5s2bnJ2d02pZxEuv16ffkwx6Pb744nN+/cmv+caHH/CP/tEf0m93+N0f/DbxfM3J8TE/+/nPKLKUXqeFIwztVkS8igl8gev7aKAyCi0qJpMRZZnxy1/9nG9+8A2iIEIpzfD8gv0b+9y5dYcXh8/I8gRPQrPdQkirDS1r8LEDrJcxs+kM3/dJVYZRktHFnPkso7+3hed3mE4nOCZEGh8hS5AucZJhKkmr0UaQ8GZ2zPnZGCkjbh7c4R/943/Arz/9mE67TVFk/OLjn7Ocz9jZ3cZoiNMl63hNt9MBR9BoNdnetQeP+WzFYjqn395h0O/hu+C4BvmVqDZXWKe7Y+pccmVAKIRnJzzb2zucn5/ieD6OF+AGEXFWsFjEFHmMpqIjWzieR5oXFCtAxDx9/ZKw3SVoRvheQJGnSKOJPLvGSwF/51vfYiMI8PirhAsuC7z6Y086fOeDDymqyuJWvnY58Z/30sIi+iUS10CyXPLTH/0YGfhkeUarHdFuRVRFQb6OWU6nlK2cdruLLyTz2ZLrO1tUSpMXJa5rcHXJ8Og1nWaHyHFoBz5poRifXvDxT37B7/W3uXnjJqPllJ98/DPuHuzQ3t7AIPBqJIzGmj83+n2+ePEc1/eJWm2eHp0yncyJWl32r+1x4Tlcv3bA2fEZjbCk2+oRxytmyynvvfcuSM3d+7d49eY13/nud5hOZ/zFj39qKRpCs17MGB4/o+ND9M2HqHX6te/d1wcU18H3YLsHZVmRpjlB4FmzgtIopdFolAUWWT2EEcRJTFXlIAXalLTabV6/fUGj6dNsBejSJcnmFBcpzXaDZnub5TJGuhVGlFRFgTYVSpV1yoOoUyIsCsAYa19vt1q40qHIFGlq4ZOYCkdK1qu1xaioCqOM1eE5DmGjwfd+4zc5Pzvn00+/QBtTo0nAcS/drJfIEV2PAHwi38PDtouLorCO1jrH0lzGhillR9jCttzDMCSIfEyRkivLALNgJksmt3wmGxclHEkQBBhh7P2jwmhDnhUEnQZSgud6iNCj1erhew3SRPGLn/+CZtQkXqd4bohScDYeYoyg1+3TG2wwnc1s8kFZXmXcOlLS6navKP+XxHLf82g1m5iaCWZH4BlFUeIHPlHYYG9nj71re/QHG/yDf/gPePLFF5yenLJYLFgtl5a2H0RsbG5SVEPOLoZ863u/yf7udcajGYskZg9DvI759Wefsb29zcM79wj8AFGfKE2NkgjDiG63x97uDh+8/z4X4xFpmrC3f42joxN6vR6FUrw9OuLw6Jjf/I3f4OG9+9y4dg0tJI4UXN/fJwobSM+1nCSt+OKzz2wGcZzQ73RqSUGTF8+fc3j4ltcvXiAwqKqkLHNcIZlOJ3z2+WdUSvH25Ih1EvPy9UuUNGQ6J8kzombID377+yzXUxvS3WiBsFKDVrvJxuaALE85WS34e3/w91j9yyXD8ZA4Ta3AunZ1Vkbj+h6tdouqqiwC43xEs2FzJifjCarUtsvmeqxWMa1GE8eRtqDIEm7dOKDf7zObTi3nTVX4voeu0SRlWTCbzQBlMSNhxJu3R5ydXdDt9hmNRlw/uIEQMJ/Nas2c1fgppVjM56RJwnmecXp6aru1UUieZbSaTdarFdJxrcnKkTT7A7a3dri4OMd1HHzfp9FskOU5k8kIpRT9bpcoCJiMhiwWS/b29lmbNW/fvKIoiium3c7WFqHv4QjBSBvKvCCLE4S2OKUqLwiiEM91WSwWKKW4cXCAUhWnpycUeU6/0yVdr2lEIY3ARwKrxYI8TQHB2ekJ3V6XNLe8zGYjIk4SptMJoW9zlUcj67zb3t4kjALiZEV/0ENIged54Di4UrC3t8PGYJMsy5DSrQ+Vhs3NDa5fv0mSJMznc2azOYOdXRsLVVUUeU6r3WZzY4PVakW/3+bN60M83+Hp02cYY7i2f8Cr1y85Pjrh7etDyw4MIjrdHovlknfeec/y5pQdoRZFwV/+5V/SaDR49913McbmPmMMd+7epTKayXTKrz79Nb/85cd8+NFHhEFA784D7t+7R1EUfPbZZwz6/ZqHGNgIx8BHGoURELoBYTPE8Vy6vS737twh8Lya7eayv7dH4Ho4rqHX6bJOBaWyndkkj/E8j8iLuEQVtLttNrc3EbJHVq2YpENyvUZKB0e4FLpAK1ivEnRlJykVkJQVW91dDg+fW45YnhMELaKoQ7PWB1/igc7OTsjqRKLzs3OM0VQmo8gLNja2ODsd0myuWcyXdDpdTGEnH77rsbW5TdSImE1SXNfKdC4vIQRS2BQKy2q8xBoFJHHM6ekp7Xbzqsu8WCzQRUJVZriBxHU9iqoiz0vCRhulbWPhwb0H/PTHP8EVLrrQ1skurD7e9z0Gve5VAfdXirW/VrlJIQiDkDCyJIj/WpeAOlvYuoMrpWi327hhRBwnlEXOeLImcgSurnBdh/VqZbWvjZD5ZEqRlSClNT2WFYt5ag1caUqn0ycIfLRQeO0WeZbx5Isv2Lu2x3vXd3jx5AvOz8+5ttEjcN0a/2JQGhZJyjrLaLa7fPr0OdeuXafZbNIIQ3obGwjpcG1/F09+j0+cT3j8xSPm0ymOI9jc2cQLPDa2emRZxv61awSNkMmrKUHgsbnRx5GKVVNwdrxmnUz4+S9/QrfVAe5+rXv3tQs73w+g1obZYGtI0+zLMGc/wHVdsjxDCZttap18BXme4DiGSmk832Ew6DFdNGm1QwaDNr7X4Hw4QpuMDz78EK3hzdtDxuMpcRJjlLjKe7T6NomVrwg8J6TVbNFsNGrDBKRJzHy2rtEgNVtO5eTGoHXNQhLYVnazRVDnoGZ5BsZB1I5VKQQagzGqZhDZzptSJaYsiFwXz/Pq0bDV8FwKusuyJM9zhCNwfInr12DWwKM0Fb62TDujjP0eAoSQtvgV1mChsBwj13dRpa6xLZqysIyxRtQky1KyRPH40UsbNCwD+r1ttJqRJnOSdU671eP4aAjGZXN7l1aryXB4Xheitti97DJeFrD2dVV4nndVrHqeh9SSxWLBbDJjsDlga3OLfn/AZDyhUor/8O9/SJqkLBcL8iyzDVIkjahJu9tF+gFvT0759NEjxrMlizTmZDTkwe07HJ+d8emnn/IHf/f3aUYRl6uQbWTUr4fWdDotdnd26HbaJHnMaAytThvHdcirkuOTYxbzFaskpjKGmwc3CaRDbiArrYup0+2hjGGyWPHpp5/y6LNPuX79Or/z/d9id3ub4XDIOw/uM5vP+eSzz/nn/+x/4ezsFNdxbEENSOkwmc+IooiXb15xePSGZqtJ1GwgPdB5yWI5Y29vm9/8/vf4sz//M/q9DlKD0jYo/fT8mCfPntBpNhhPhhZqi9UQub6HkZAWVrfnBj7CcRjPprYTmhd47a4NNW808V2XKi9RZUkjimi1GlRlbp3MSnF2dspibqG1lTKEgc90MiHLbCyYPThVdDptWm0rb1BVxdbmJlLazrUqK7KiJAwC6qMbRZ5zdHTEbDol8H3myzlVWTAY9Fgu5gS+R5FnxGnCtWsHzGZLfM/j+rU9wrDBahVQFJoit5m5WRazmk9pdzo0GwHCVGRJTJmnhJ4HjYjJ6IJ4tST0PYo0YTmbIQ4O7CGwLAnDkCLPbdFZVXiuy+7ODudnZ2RJzIMHdnw5HA5phCHL6ZS1EBR5ZjXDrsegNyBZrxHGsL21TZ4kzJWirCocz6XT7VIUOY4QHB2+pdVsEYYBURiwu7vNgwf3aTVtESSF7YwfHx7y6uVLbvY38PyQ/b0DAsfhxsFNXNeaLKIo4vTs1GIQ1jGL+ZwoDO3fNxp02m3KsuTw7Vv6/Q4nJ0Peffcht2/dpaxKzs8v8N2Q/+H/+n/j7GzIeDTi7dtDsiTHIDgfDgm8gCdPnlJVJQ8ePODW7dt88qtPePHiJWEYkmYpeZGzvbXFw3fexXVcHM9jMpmyWCyYTqbI64JBv89/+0d/xLVr1/jJT35i94AsswdoNNIR7F3fp9vvELUigijg4OCAe/du1QQt22nuNJvkKmM8GzKdjNBSEUQBcbziyWTGoDlgY2PTxjtedjabDa7f2CNoSn7+6Y9YlZJuq0dZZJgKHFxW89hu7kqwsbnF7tYBL5685sXLl9aUADieQxD6bG5t1Jnd2masKs3tW7eJV2vyPEMKSbJesl6vef7sFZubm2xv7wGQ5wUeVgKUZindXof79+5xcf4ri/n6Sn0kpETUsP+iKHA9gaMURmkODw9ZLZe0mhESYaHMonbRA61WhyAIWYxHZEVFUY1RSnD/nQ94+eol48kYUxnyVcZ6tbI4LiTNZpNWu2VrnK905/5Tl6xjw8x/pX7dJWbs8teiKtnb2+e//aM/Yhkn/H/+6f/EarWiFbp4QYMytfijIAgYXVxQ5AVFbcYqa6335kafsqpYLJZkWUKS5uR5iZQBjhR8+5sf0d3Z5drtW3z07Xf5fLNPt9VAOJIKayxR9c8WtdpsuR6drR3youQvfvRTNgeb3LlxneUy5vRswnw64+TomGdPHjGfzrh94yY3b92g1BWu57JYrSiLisnMAvO10eztbrNaLZhOhswmpyi9YpVe8PGvzxh0+/z3v/e9r3X/vnZhZ76CnVZKAzY5wbIbBGHYADRFWSG0oqxKCwOuShrNkIfv3AWp6PWbNezXIhR2d7eJwhbXb+wxmy0YjY9pNFo0Ww5JJvELB0nIcrkmTXPKwnLpVGU1bZ7v4UiPPC2QWPdeu9VBlYqyzPBcAIPnuSitqCqBFIpK2ZGpBl6+fMlisUJpjSMlou5iXXbTDPY0rTX1ONimahjpWE5QVV11vtw6tFsIgTZVbRG33UEp6xglqCnrtlA0tf4CRA1Hto7gIi+vAMUWkuwx6G/W2X8BRa4ZjxYopblz5w46kBwfHbNeZFd6wePjM7K0wPct9f/09BjpCDzPZblcXrk0jbF4CbDGEynlFfnfGHNFzleqYjqZ1doMQbfdRQrJxfmI4fCCVy9eEgYhe7u7RGHE/u4emxubFEVJUZTcun2Hdn+Df/8f/ozz0ZRuu8uzV6/54OF7TOdz7ty+zfbmJhad/OWiopRCuHVGoVa02g2EMHiexEhNXqU4vkNlSuJM40cubdEkKxKCyLNcO6NYrFY4QYAnBcenp7x68RKlKv7xH/6h7UjmOV989jnD83N2BgN6vR6/+/3f4vDVK+Jar2UhqXb0WhnDcrTkh3/2Qw6u7/P93/4tOv0Wk8WU2XIMUnExPgOp6fZbCNdgKoUyEEQ+k5kFTDdaDV6/emG7QVV11eV169GklILNjc0vc4qltAHV0kFogysk44sR44sLPM+n025RFjlO4NtNRGuSeM1sPOLmrZsEvs9sumK+mFsyvrCHNseVpGmCKx3KvECVFb1uj/F4QhTaZIoyL2i3WvWmIXj98hXz+ZxGaDsMVVEQ+B5GKc5H52xtbzGaz+kN+gS+x2q1pNmI7Ih1MqXVbKAiz2Z+lgXT0QXCaDqtJvFyyXR0gVYl7VaD+WwMCFqNkGYU1KahjI1Bn9VywcVwhCsFGMVqMaPb6bLR7xJFEcvphPHwnO3tbdqNCFMWbPS6eJ5HlsQIrVBFQW9jk/3dHRxhmVSYBmWe2Q68H+A5truSJQmukCRFiue65FlCEPo0GxHf/fa32dvbxQ9c2s2ILM25GE3p9focXD9gMp5ydnJOlqa4UhP4Hs1mk2W55ujIOkuzrKCqFG9ev+Htm7cEgU+j0bSmqUaDTrvDmzdHHB2eoJVhe3uXh/ff49Xrlzx99pT9/ZzBYIM0zfit3/oBjx89sbFr86XlqGnNfLXm1Zu3aCEsssoP2NreIUkTHj95zH/48z/nnXfe4bd+8ze5tneN3Z1d9nf2yGKb9DIYDKz+7tYtfvazn9U/d0ZVlUhX1EaBgiRb0eiFNNsh3UEThEKbHCkutyBNVq5ZrqZUKkPpimS+Io4TPOmz29+l2WggEGg0y/WS4WhIoxWw6XfRStNutEALhHGoKisTwTj4fsRvfPcjOu0N3r4+4vHjx4SNiN6gh59mFnljKqRrGI0vODs7JU0Sut0OP/j+7xDXKSjr9ZpVPGc8HmOMZmNjwPb2LrPpFGMgajZY5wnzxRwhBB988AFPnxwxvVjW0WLyyignoN6PDFXpID3LFpmOJ6iqohFFSCHIs5yyzGlGHr1uD9e1oGYhJGWpyPKEOMl4/fIV2Trn9o1bzMdzTi4ObWKSBqRgZ2eHMAiuUpUup0rmP1G3ifpz5H8lhh0Apr5PRnNyPmSwtcXNm7d4+fotaMN6nbLZ3WFzc5vRaYHn+ShliOMMoxRSgtY2X9V1Xbrdjo29MwbpBnheRJqVnA0nnJ2ckFSaD777PTa3tvCN4M716yhdUlaK0tgc+7xUzKZzNnpd/LCBV0/Oev1NPvn1F/y7P/kz2t0ezWYTYTR5krBarfjBD77P7vY2vudycn5KkWUs0oxHjx7z8sUzWs0mN27c4MWzp0zGp5TFGijpdEOkWxKvV+Tj5de+dV+7sCvykkulpU2VqHAcu/F4nmudlMYga/dIVSkc6eA1PLq9Prs7O6RFjDF1rFYdmdXr9cjSgu3tbfzA5/NHj5jNJ3i+z87uJlHQJY1ByjFpUpFnKVVZWUacMahKsVosiQJ7UtZKo6qSbqdDkfvk+Yr1emW1dGGA67gI16OKKwuK1Yqz83PyosTzPYRwEfaJAKOvOlha266dwFgRrxFoY+oEjjp6rCgstoBLx+yXOhlVWWizqBlmEqsfEFIiPafWOVgEihE2QNsPfJqNJmEQcnx0TBhGXNu/ztu3bwl8G8v04P4A3/fJsozXp2/QGpI4RakY3w9RSnHt+jXu3rnLdDrji8eP8HyPTqfDer2CmkS+WllHbBBEBEFg8zHr5IYgsMgMVSpyZUjjFNfx0FqzWq1ssLvjkeYpruPSiBp866NvUVUVv/Wd3yAvCv6Xf/bP6A826Xf7TOdrGo0Wjx4/odfp89vf/22WacqtO3do+j7dZtOGSwtRj2HrI6YR9f00+L4LQhM1fK4f7PLk1RMKYxfBKIhwvQCpDatszun4jLjMOB1dMFrOMa5Lo9nk8PCYjz74gF6jzfbGJvF6zds3b3l4/x6+6/D40SN8P+Dhw3fQZUWr0aTTbpOXGUmaWEG/KlmtV+RvU7p920EUK8NwcsZiPafMc9I8RqHY2BpYM48UONIhSxOkY9AokjRGGY0fBlRr2/RXlc1ydBBEns/WxgaukDSjiCyM6Gw27WuwXHKRjBgOh2gN+3v7hH7AcjbBqBBH2hF06Htk8RqBJs8ysjRFlQVBHWuUJDFalQgszuH1q1c2ESaO0UrjSMc6mLOMwLN8ujRJGI9G9pCiFEWRo6qKjc0BRisWsyntVpN4veT9999DVSVGV7SaA+L1islkTKMR0h/0qMqM5WLGajFHYGhGIUaVtssoLNX9+OgQR0p6vR6u55IlMfPpmL2dLU6Pj1itYqIoohENrnSeG/0+RVkyn06Rxlh9bG3UabfbvHnzhixJGJ2fk8Qx9+7cJfA8JqMLZH1YM8D44oLNjQ2EEJYpV7/3BdDttlkul8znMz784BsYo/h3//ZPeHv4Fq1tssVqtcYoTZ7Y4sdobGEnFJ5nHW+XyJXLAkAZRalKXM8liiLr9m82OT464t79+7TbHcpSs15nfPzxr3nz5oj79+/hSJ/Hj5+hTMXZ6Rl379xDSId3330PNJyenPK7v/t7jCZjHj9+zOHRMd/73vfI85zxbMpqtabZ7rC7v898uSRJLa/t7eEh927fZWdrm9dvXqOVYrFcIqXkD//wDzk9PeUnP/kJZ2enGDRB5OOHHl7o4vpQ6ozR5AxfKKJQEgUhzahB4PtIV4FbYkSBH3isZysWixmbgx3AIGoQPAKmyxmreM2z50+ZrfosZnOcCCYXE3ZubtJr+kReg/F4wsbGNs2wza9+8QlPnj5nnazY3tmiP+jhrlb0NzYZj+c8efYZo4sTZvMZ6/Wa/f3foNvtM+hvWjdqlrFOYsriC7a3txDSkMQ5h4fH9Ppduo0ejpQUecFqueLa/nV2d3cpEs1ymdWHelmbJfSVtElpRVVauLo0ELge3XYHXVX4jkuVZzWNQdP0rRO9qCrmS2us2hhs8I/+/j/kW9/4JlobPvnFJ1y8OaFMMxp+RKfdZrFYsFgu6beiK7POV9l1l7+7ojTwJanhv8pl4LIKNQiKquL18Qmv3xzy5PFT8iSnKgyT8RKV5CSLKUbbpopWNgTNSENlAAQVEDYaFEWJG/hsbGyxs73P8ck5pYJWH07HM67t7LC/vUOZZAw6LX75+SPOpxNG8xlCOJSVZqPXZ7aK2d3ZZpXmvHx1yHS6oNXqMR7OmIynpGnKtd09dm9tk8Qrrl+/xs7WJs+ePOb1ixcMT0/J0pzpdMZ6HfPq2VPydcyrV88II4eNQZc0MQQ+9Hoder1OHXzw9a6vXdhlWc7VIyBUnTcpr9ANjnRxHHkF67VNAoOodWE/+tFPaLZDbt85IEmy2tVaMZstefP6kM2tGefn5xweH+G4Dnfv3aOqShb5HF36V1FE61WGUvYh11rhCiuo3eh36XW7BL5LmsTE6zX9Xo/z8zVVqWodoKLb7dhxQZphHNtezcsCpbU1USiDNqZOE7BdSa2tpgkjEVLgChsHJitT2+9tV+sSb+C6bn1fqDsv4ooOfqlhM0qhDVc9cdsel9aQoCuSPGZ79zbXrx3gSheMwHVc4tWa6XTGcHjBwcEB165dYzgcIqWk0+mwWCwo8xzXte7kra0Ner0OT589YTQaUZal1TE2bccE7GayWCoqVeEbY918nnfVfVRKkWUZRVngSGuf9xzvSrsikezv7bOKbZSTVobJeMKHH3xonZSzGf/NP/iH7Ozs8/TFS4bn5+hK0+/2uXnzNlubW2xvbNDwPHwszPNK11vTMx1HXi1AZVUQRaGFXuuSL774lLPhITt7A/K8IPB8JA6Oa1iuZ/yLP/mXdPp9vvUb32M2nPPzX/2S+/ceIJD8+otP+MF3v4/re/T7fZRS7G9vc+vWDUylWK9ifvazj3n+7DlaKQ5uXCeMQj759BPmiwUi9NDCkJc5q2TFxWRIT/SYLiZWu5VllKpAegLHNShTAjZNJU1j9vauMxoPGY+G+J535YBECPI0w5USlEYagS8dVF5ApXEQOALiOKZIM4zSlHlBvz+g3Wrhew5hEOBIaYHSjmMzk43mzevXRFELISTNZoNWq0lZFqyW8yt9aVWUCAP37tzl0ZNntBpNtDFkSUpZlGilCIKA+WxOWdjDTJqUeJ5Du92k0+4wqx3Xuh7p93pdRqMxjpT0e12m0wUSw2q5JAw9At8liVf2QFWVSKNxpUCi8VwHz5VUeYrfaNJq2gNIqx7LGlWxTjKKvKTTbGKqCgdQRY6pKpphiOs43Lt7x2JCzs7sQU9K0AqJ4fDNG8s+05r1csmrFy9otdo4Utju2KtXDM9O+cb77zOdzcjSxBoyHAejNZ73pX5uMZ8zHo9YLubkeYFSViOnK01Z2tQRowxC2Ncky+yh0MaSfXUUplFUFEVOso6v1pC3r98wm864ffcBjuMDDudnFzx98ozPP3tku6KtBj/4nd8i6WfcuHmTeCNmPJlwbe8a7773LlI6xFnGu+99o546OCxXa05OThiPRzSaER999BH9Xq+eYghmkzlFVrDR79NsRDb2rWVByltbW+zu7mKMIYwCTs+OmczHuJ5kc6tPt99GegLhKibLIRe/OKYVNXlw/z77e7tUssR4JSUZkReA0LRaDTzX/croUKCNYjqbWA3eKqHTb9SIporVfIXbcOr3mY13vDgf8Xp9zMsX1hX+7e99xMaGDWRfPZ2zTqak+YpCKdaxS6vVoswr1us15+dDXr14xfXrB9y8cZPHT54zGS/w/Yh+v0Oj0bIyJSOuRuXzWcJisWB/e4NG1KDZapHEJUWprv4dAouTujyoaq3RRte60YBWo4nRhsAPUFV5xabsDro0Gy2azRY7O1ZSEYVNvvXO+1zb2LaNhnffY/TmlMPoJUJLhLYrp6xz0MWleA2+lLpcrrdaXz17/9WvuriTjqAoK/7VH/8x48mMfrvHnVt3eP3iNRfDGWtP0vQdpJa2Ayz9elqmrDdAOmhjOD47J80yjBFs7bj0+j18P6IoNb3NXZzXb8nThGbU4NHjN7T7Ld4eHnP97i2+863v8PL1Eb/81efcv/eAjc0eh8fn/Oinv+DXv/6czcEm92/fZ3tnn5cvXvD4yRNOto/5ze99h+VyyZ//+Z/x4fvfAGNYzWb0mm3CVos8ThifnfP5rz7h9O0Rlc5ptUPSxEdVKY6jGQw2CYMAVf0XME98CZ22Vb2Udtzo1KgCUQN3DaCVpioVVaXo9rok6YyqsieuLC+Yr9eALQYnkxknx+e8fXuC67mUpWJnZ5+7d++TFznHR0MW8zW6sqNLg+WLaG0LR0vJth2JIPDoNBtsbw4Yj0fcuXObeD1HVWWNdUjptDu4jksYBmiXetyobIPOiK+0qrXlzIkvzzUGU9e24urjr9K4L0/ZtqirbexXIEpb+FWmpKzKq8LOBpRbRp4xmkopCm1hmxfjEet1wo1rN3jw4CHD0zPmswXz2RxVh7SvVitWyxWDjQEbgw2Wi8VVdJONMBO8fPmUPC9spFJj0wJPpSCMLHNwvV7TbrcAuzhdYiEuI6PyPGexWCCFgypLq7tzbPh34PsYbUX7GwPrtquqii++eGQFwxoaYcTF+QXJKiPyQx7efUAYtbgYT7hxcAvf8/n1F19w5/o+B5vbOEJYtNKlDV8IqDUmSleWtXawx/DiHDdwWK7mlsDfCWmLBlJIC+ctXVzpkJHhmJRKVihX0R60+PDD9zHG8L/+m/8VNDg/cK2Ds6qsE8uROK5LUxuODt9SFSX37t5je3eLD7/1EZPZmPOLIWHkEjYidFUwno54+vwp3+p9kyxPuZgM8YREo8nXqUVb2NkIZZUjpCGKAl68ekErbPDhBx+wXK+RjuWL5UlKFISovEQXZV3ggS8cTFkxGS1YLBY4CCqrE6Df6SK0YTa1ofBKKZZxbEW/qkIK65h1pEej2bTv5Vpb5jqO3Uj9gKLOQs2Lgqqs7HvOCJI4xq9H9QB5bl3JVlOa0elu0mqGJEnMbDplczDAGEW73aLIc6QUdNpNMJo0iWm1msxmU2aTCUWRkGdrTFVgVEmexWRpTLJe4XuezSs2GmFsznPgOrR6XaLQpypyyqLC9wL63S55nhP6FvmS7u/bvExVcef2bT777FNePH/Ozs4OzUaDxXzOxXBIUB9kxhcX1nDge/Q7HTzPx3U9bly7brVnaYoUWGmCVhbFpCqbjLK/T7fdZTg8Qys7UrPGMotnUepyCgBa2fSRSlkKutGiVleKq4ffYEDoK/G9Fd4L8iyjyDJUpWg1O3iuTxyvWS1jFoslaZrQ7/f40Y9+woOH9/nVJ7/mnQcPMEbwxeMntqsbhjx4cB/Hcfji0WOW69iOerUGYRXLn3/xiN/73d+l22kzPB3aAtatI6yktNoyz6PIUzzfJ89zDg4O+P4Pfov5asb/6//9/+To7C1x2sDLJJEbUBQKv+HjNSTtfoSSOcPFGZXIEZ5mc6ePK0L0sCIIA3zfI2o0vlLcGZIssfpGtEVLNVqUJubiYoT2DY4n8H2PxWJFGhesVgnf/tZ3eH34mm6vhXRtEovrY9MjQklZFUhHMNjY4NreNYyC1WrNe+99g3cevEuSpMxmq1oSVNbpLgmdTg8pbfNDagt5X6/XsGMlFMvlkqIoqOr0FynqXHJpMEJdFXaX0OLLSdhlpnCWS7SqUFXJxsYmvV6P6XzGxuY2D999n199/CtGwyF7g23KNOfi+AwqRSBrcH6ScuPeLfwgQGCf2y8lOPbwXFUVXi0X+nLTv9zYvm6V8Le7/moCrfiPv4348s/9wMfzA27euMlsNOXw5Ihed4PM8SiTFes8oxkE4PuoSqCFIMtzoshBYGzC0NKmO/UHA7Ii583b17gy4PTklOPzMX6zzenxCT/803/PYp3jN0PO5xekRnDr3n1u37yBMQGNRhPfc/CCkGvXDzgfjvn2R9+lFTa4vn+d58+es7W5TZok/PCHP0SXGQ8f3KPZbPDq2TNUXvC9b32L9TomXqxohhF5PCVdrxCuYDnPmU4yyipjZ2cLrRwgIE3XX/ve/i1csV++4Jf6MwskjnBdH200cbymVBV71/Yoq4L+oMuNm9c4Ozc0VZMkW/H8xSuilofrG9K8JC8W1rljKiqt8UOHOw9v0e632Qy2WcY5q2SE8D1IKpzAxyk0nhZo7YA2V3gSYQylKtjf2CJqOBRVTGVymymqFEVpqJSDwcN1Gzi+g/QcsjylKKx+DOnYUzRgkXYG6QqEMhhl33iXXDFMHbNivpR6utJBIixEU1hmnTbiKsXC8T2ka8OqqyzHEx5CSoqyIs9KaxDRCqMFopK0gzae8KAyvH19BBo8GeAJKJMKlWl0YRidXVCWJavFmma7xWI+g4YhTVd0uk163QMcx8H3A2bzOUUW0+t0eOe9d3n+/Dl+4FMUJXlekmYJeZmBYxAuaKEoVYHruIxnE5RUeIGH40u2djZ49713+eEPf8g3rr1DWZZMZzNmkymvXj2nLFIkgvU6pr+xw+/8nd+l41jEzEZvkzwrWYxnvHryiKOXbf77f/SPiZrtOozYPm9agBIViopc50yTKf7SY3m6otFq4kahfY2kwfUdyrIiqayTsb+9i1KayeSMf/Gv/mc2trbZ3R2QlFavoGTBFy8+Y7IYcbB/jZv7B0QNH12UDDo9sjRlODml2QlodgK29zd5//13ma//gEU653xygSk0ShjSdMlsekEWL4lnE3yBDf9WFVIbTKlxhX1Osyqn1WlRFBknp0fs7ewynl4wX4xxUKg8oywKAi+wOZOVRqUlHhLH9yiLnPV6wXq9JAojlCoIA4dWK2C5nLFer9jd3aHIC1RV4EhBEifE67jW7WnSLLGbjCtr51yI70c4rovSBuk4vH79kjhZIaVLs9VGuhAELo4LeZHUTnGLbDBY9lxeFkxmE3AEnX6XJEkwRjOZjMnr7t6bNy/xvABVZbjSkKwW5HlKka+pygLPcaiyjCxLyeMEEYZEvgdakSU2aaXIIBWSwPXJ04xGo43j+vVIdF47TiWu46Aqi/0Ynh1zMTxDq5zNjS6LxYjZ9JwsWdFp2lHNeHiKMZL9/X2MKkAawmbA7k6f8dSQJgu6/T5Z3mA+n9WHqYowDDi4fg2lKparFXlZIetczzzP7eFEVRhdIYWNPURX1rxUr6tKWS2PuWSfCWO7K5dOSmPXHs8NcaTPZDhCuh6qKCxEeJ1QqcqyNY2Nu3v09DmhF3Bw4xaOdFhnKeeTETcODggin7JSCNel22pxfn5GoRTbe7sEns/FxYhfffIpvW6fOMs5uRgxi1N6nofStth//eaQLx59wfsfvM/Tp48tDLnKmM4n3Hv4kEavRVElRI0IzxMUZczW1g6dTgPPdahkzHo1Ji3SmjnqIsjQpkCXCoImq9WMC+2yPdhlubZZp3GyxvdcirKiETbwwibXb+7x/rc/5PDkDZ9+8WvOzs84Oxuyv3+dO3duczGbkJaa4+EhO7t9Gq2A6XSBKx3u371HVboYCas4ZXdzF78boMqKT3/9KVI69Do9BLC/d0BeZIxGszo1xFAkYwLpU5U22s/z7AF6tVyhjYNGIYREodHisklQRwsqBcLgSwdPuniOD1qilcRUEoNDnhdUpWS5LkGGBFEbzw1oNzssFkvKoqAdRVzf3eGXWWYPcK5Lpivefe8hG702QmvW8YrQRNahr0EZxXB4zubGJlEdqfd/xFWr1xFIJPbZvjrXAFoYkjInU5rru7v8w7/7B7w5PObZk6eMp7YT3Om2GGcJRoYoL0BGTe7cvsnh0RtGyxluw8V1PHJtdeppllFo2+VMopIkLUjKknazQ1FWDC9GrNKM7vYOt+/cxh+1WI5X/MWf/oQgCHjv/XcYdCJ8Af1Wk4P9GyznKZ50uXdzn07DZz75Ac9fPqfZCHj7+jmrxYxnTx/RaYSsl0uyLObo6A17e/t88I13WS/m9DttfM9HuA5JnlFWOWEU0O62ORsucd2YdRx/7Xv79Tl2jvcfvSyO4+O5oe3YZAnL9RIjDPvX9pnOxhihCSOfgxvXefbiGb1Bj4Ob7yBdTdBwmC/GZGnK5uaAdbIExxZmabFilS7obPRodpuYMwsylUFB0GzgiACVFhRJThQErFcLyqIiy3LyPGbRa7C3v0Oex2zv9Tl8k2CExiAxRlKUAkTA5mALZazDL8lc1smKvCiREqTjWYKOB452kJX1wwhjT2SXrT0h5JXOplYmWP2cuPQ22sJOKYXQgmbYwg89C1ottTVaSIeyzIjjGKUUrnTwcAidgMANmF7MWIwWqNxCcYVxWS5XqEIzHk7o9bogDKPRBdtb27S7XbQu2d/fpttrc3D9OpPxtE4wgGYUMp5OyYsp4/EIasCydCSuLzF5Rdj0UZXN+5WOQXoGxxXgGoKGj+s6IDWL1Zzjk0MWyykvXj4jDKOa7t+gqipG4wvazRZ+6LNKVvzkZz/h1q07vH59xPX9G7R7TZQyGJXx/Nkjnt+/z8aH36phrRafow2siwVHF8ccHh3x9uwt4+WE7d0dZOkRp1a8HjUbaCArCkpVkmY5zayN5zpMJkOEY3V5Rig+++JXaARhO6BISz5/+imvXz/jm+9/yGo5QxcVg26PMiuYLsaUpsCLHFxfcD4+IWz63L57g3k8oagUEl1jYDIm4xFpHCNURVGWVyN6HGN1YaUVl7tNh9FoSFFmlLrgzdFrsjRDCo3RJcKRFGVhN3ilMHX812q+shiZ0moK7aFLsbO7iXQMq/WcqihpRCHr1RJZv76qqjBKI1yrD0VV4DgorfH8gDAMEUKQJAkaSNKUvCiJmk3W6xinsNT8VrsJaKrKjiGzLGUwGFCWOXmeoXJFURY0GhGhb58jrRWj4ZCsKAjCiNlsYlNihLBdyTKn3WwyS1cIrWm32xRpSpnn6KoE5VGVZc3wNWitKApDnlvH22q5Zmtzj6womI4n5HlOFIRsbGywWixpNhv4rsvTJ484OX5Lv9+l123y7OkTosAlDFyEklRlgcAjCFy0KmhEfct1rALSZEW8XuB5go2tHjeu76JUTlUVFEWGkLCxscHh4aHNt3YcVFHY7q8UFPpyFFfzNI3C6ApMLf8wdgpw+W+0+/6Xgqh6xcGRDkaDrqAqKhwDq6Kg0+1w/fp1nj1/jut7vD08YmtnE9cNWC/nfPrZF3zwwQckWc72TgPheDx++gopJRcXI4qyYjKd02y2LBLpYoTnecznC8Kwgeu6rNOMf/Fv/pid7W3euX+f09MzfvrTHyMdwYOHD7l3/wH/9t/+CacXZ9y4fZO9/et4oc9iNaHRdsEpECIgDDzSdM28SDBCUZY5wrVyG60sP80PHIq04u2bV7x+9pY7tx7i+h4vn7/i5YtXqEojPAdVGQK/yQfvv8ODh3c5HZ/zycefcXRyhPQkd2/fYXtvH9fzuH37Dp2tPm8Pn1GpNUor0jRh0N2i3WoRpxWz6ZLxxYzlbE231UUaePXiBRv9Pr/ze7+PH/gcHh4ymRSgBUWucF2HrLA6uaKwY9wg8Nne3qLZapEmJUYbkAYjNNpc6tnsa2yxTgbfdS3dQUhUqakKjcDFIMmyFbNFzM71EIRPt7PB5uY2P/h+n2+/+yHdZtPGg9WcR+kIKqOQnmRzo4cvBY6BXr+HcSTK2EPD69dvGE9H7Gxt/9Ut/v+A+u7ymcZcfXC5a1JiWBYpJ2fnHBzc5uHdO2wM+pwcHfNJ/iuiwCWNE3bcPR7ef0gSx5yfn7Cxu8MsWZIfHZIUGh9Nu7dZH2gNVaVYxymL+RplBLnS7LS7VAZevHqL9DzuhS6j8YhW2OTZk+c8/uIR/+f/yx+x1YuIHFAa5uM5L56+JlnF3NzdYm8j4ujNCbrKiFczpAm5d+eA1y8zVLomXs0t5qnVABRlkdLttgh9lyRJabca/O7v/wGO57FOY05OTvj80efkVcGde3co/xbkmb9FYfcfx1lYt2YJwuqzlKqQnuDo6JC8yNi/tocx9mtH4wu6/VtsbA549fopu41NptMxYAgij7C1gXQNcbZmsZriT30KVRDXTkzHtRmuWivCKCDJKlqtNo0gYD6b0my2kEISRhFaw9HhEZXK2N/bIV6nlIVh0GvR626SZ4VFkhQV08WEqOFzcHCD9XrF2XBIWdUaGGP5co7r4nmgBKiyfvTE5djky4fxq2JUIb40R2itbBBzbjc+pNWm2TGuNQWEQYRp2na+MAZHSGbTGVmaI43NEww832rfHI8yLyjzEhNokjihLAuqUtFqNlgsJ3ie4PrBHmkW43oS15Mslms8L0JpTVWVxGnGX/zlnxOEEZ7vW7AzFa4nuDbYQSnFxcUFQmp836Hf71GWVu9Tc0yYTEasVgvKMufx0yd0u122NzexKBoHJSWVroiXCVmhSLOU9957D993OD55i5Quuzv7XD+4RhhKTi/OWRcJkWeTLLIqZ7ae8/roFaPJhNFoRJolzBcLXN+OBJVWZFnOeh3be+/YVp9SFYdv39BstcCBrMwYTS4YL6YWKtls0e50cVxDo+ExG4949uIxgSvZ2dxhvppy/OaILE+QrkOSxqzWC54+f0Je5ty7e5vxZMiTp0uUUrZbOZ1ycnKCUiVZVpDW40erCYVeL0AISVUpi46YLfE8O8JSVYU0thOujY3hU5XViSilCOrc1+HpOUVZkCYps6/w5NrtNmmaslqtaITR1dfZEbayXSNqjpWosQuX3EUhLOYiTevECev2DsLIjhO1IUlipIBGo8FysbgS+ud5zubm5pUbsqgKMAbfs6kLqsb/uI6LVqo2ElndX5ZbXAiA71mmnuu4hH7AfLH4K/nJeZrhuO6V+3yxWKKUvgLqhlFQx9hpWs0Gq9WKx48+BwQ3b95gNLlgnaytg7LV5uz07Mr8VBYlfp3v6rqOlWroCs9zmc8zlgvNYjknS2NMr8PpyTHSkUzGF5SVotXpcePgem1CWaMwlGVeF7/SPh9FgdbmymyljeVw2j/TtdtdfLkuXG57X9lgL7spUlxq8Axpmli24EJTaUUY+uzs7lKWJWme0+/0OTs75/mT5/Z9mGf02n2KtOT5s2eUZcloNOLb3/o2RVJw7/59fvSjH/HF55/zm7/xG3z00Yf8hz/7M9IkYblacXZ6wvrWbTzp8vHHv+Dx40fcunWTTz/9jIuLIY8ePeKjb3/EvTv3+eLRF+RFSlbESDei3QvQ2rBYzBFS4/kg643SoDDGdhultEVPUdh83/U65u3bQzwZ8fjzJyTrDFcGGO2gKgHG4fxszI9+/COevnlGViTce3iX/YNdbty6yXS+5PTsHMf3yPOU+WyG1imBH5GuE9yBHdn5vofvO+RpydPnj2lFLfa2d+j0W9x95x7aVPh+A2O0jaE7dbgYXlhGqeuR5HXesbEH+8Ggz/b2JmenY1SpqLS+ggOLOlXBjmJtQe/7PtJxLJ2hlvO4rmuTiGqtthFWn3f/wX2M1lzb2WO708M1liRgKoV0LCYrz3OE8FBFeaVZc1yHEshUxXQ44fxiyO1bN/7GPf6/5CWMwMG9QpqAnXIpFJlOmWcpxnXYO9gHz+A6sLXR4+/9wd+h1fTZ3Ozy05/+gulozrvvv8tkPMGgkK6D4/q4XoTrBrQ7Xe7fv89v/+AHvHr9hkePvmB4ckRexORFRaPVJWo2WKxisixFpSnj0YjV+mN6/U1WizlB6NNttwBbhGdZyZOnz5lNFrz77nts9ZsEjkCVGTubff7v/8N/x8npEYvZiGy1wY3dbT768Jv89Mc/JfRcIt/n7ctXPH78hPlkTpykFEmGQLO1NaC6UERRaOkUWcajzz5HOF+/0v7ahZ3SXwXx2IeyKkvWSUwQODRalgNkMGSFdQxejEbkRU6aLSmKjDheMRoNKcuM2XzK3v4u29ubJHGCH7o4HizjORezMXG24vjsmFZjg8HACq09zwrAq6wiThIC6VHlBeu1zeEMghAhNK7jcjI8Y2OzTeC7YBRRGNFq9qlKhVIGgct8vqYoFb1BA98LaLcl49mcssxsgQW1aSPAkz5JnKMrdXUPLoX9CGoukcWVWM+E/UuLPbFN57JUrNcxWW4NBq7jWb5VWSEQeI5HKUqMjbqwxZ+ydn/XcdHSxShTi9UlQRCytbXDcjmnLCuiMCLPcoRQdLpN1usFnX6bpy+fsFis2NneZTqeYZRgc3uLnlIcn5za7FyjbFtcQqkKkiyuN4YEZITOFG3dodNvs1wvSDO7mcRZjEYjXQfXGIqyYDQZ02m1efjBhxaGe3FOrzfADzwcRzKZXlCUCd1+n7KoWMUTopbD9u4WlCXns3N813B6dsR0MWGVrlkuFgjpIB1wPcnp2Yijk2OyNAMJqsps67rTptvv0Ql8dne2cTyPoipI0oTxdMo6SUEZylxR6IosT5FKU2Q5ni/Ji4Tjs0PKIsX3fFbZEtd38AMf4dgCt9nw8QoIoy7f+uhDjo4OScYrjDGkWcbxySmdbgchXZRKKAr1Ffe01YaWRUWcZwjpEDWa5EVJVZuSQs8nRNbGG4OUwuIjHIGqSlarJa4rqZSFJVtUSWkDxrWhqkqiaHCVxasqRVlW9SIvrtA78iuaGqXs977kVMoa++PUmq6N/oAsPaPVaaO1tuy8VouiKIiiiE6nQxAElGVB4PvWKKDUVfpDURsDhBSkaUxVFrgisNm7jQa9boeiyNFKEwY+SZKwWi4tv82z3MA0Teszld0Yy7KkqqwxIwxD2/motYTdTpv1amk1gb7PxXBIqUvQGldKijTj9PiYqGG/DmP/7db4ZN+7ZVmSpkld3FmHc1kWVEVOmiranQ6qqhgOhxjh8PDhAxbLBY4jybKUoihq2UrNwLzUUhmuNvNLQsDlr5ff29Rzqf/UVMxxnFrbDFmWUpa2sxqnMVJIxsML+v0+zaDJYrLElz5xHPPZrz4jCAMW4zmNKGKxXLBerSnLksnFhFarxXe/82267S5GG8bjEe+9+5CT42P+2T//50RRxN07d/jud7/Dcr5iPJ6gteb8fEiWZTbW7PSU3mBAGH7My1cv0CjyMubhu7e5des6i9UIjAW6J8maokoQjs0ztdMSTRA0KIqcLMvY3Nxhsxfw+sUxcZySxAW6BF0Kkizn8edPEbKi2fQZz4YoV/FH/93/ifc+eIfbt2+RVCn/7s9+SHJ6wubuNkcv3zJfjpnPRph6PBr6AZ4jKVVFGLk0wgFRGJLFGXG2Zm93mzRfM5mOiJM163hBmtnneH9vz0bknY84OTrD9318J8QYODi4zvvvf4Mk/iXxhdV4SeH+FS3bFQC/PrBYXaF/pdc2QBRGbG5usru/h3ClBZgLwXK+4L1b93AAx3BVnHqeC/W43xUe0/HUdnddiTagMCyTNe1BlwfBQzb6Xav70+Z/1yj2q5rzv+n66/9v25z+sqirqMhMyunslFfDI4TncPvGLSIZ4JoMYQRpfkav7+BHhs3tNnGyYpUsOD59S9gMKaqSt0eHaAwVht/5vd9lZ2eHG7dvE7aavD16S16VKKEJWxHCk7z/4Xto4/Dy9WtKpQg9j8j3ePvqOcenJzRaLf7nf/pPuHv/Lt/93ncJwhZv3x7Rand45/4NNtseroA7N/Yxjsu1g12akcOnn4yp8pTN7R22Bj0cNNPRmH/9L/8lvU6Pu7du8ca8oUhTpuMR//Sf/k94UcTe/h7SkWwM+nQ7TVbrleXtfs3r6xd2VHUNYxd6IR1bWZeWvtxohAjHhsbnpWB//xqe7xEnMWVR0m53iOOEs9NzGi2PIi/o9zsI4TCajIkaPkaUNDoRnU6L0XSC60sevHOX0dmaw6Mzet1rZLEmTjM812N4doE0grKoKCuFMVAWFVGjxcbGBt1uk0bDYz5bkMaaKp+zWmY0Gi3a7S5KF/heiOv4VJUhz8v69yllqWw6hfyrpxjHcdGqvCrstND1hgK2mte2w8aXG5BT/z+0tKfyotSo0gbFGw1pnF4t8iBwHQ80BGGA53hURYVWmjzLSZMUowztZptG2CTPcrQyBJ5vKfJ5wcGda5QqZ7aYkamUx48fIaRDoSpG5xOu799ASGxBHfg0PA8jBK12i+VqxnQxIUgDirK0OiDH4Ic+63RNM2oTNgO0qCjKAqUrSp1jhMb1fLsxOhI38KhUCRKiZoQXuGzv7FBVms8+/xWdTo+NjRuMxxOWyzFKW02KIwxhqLl98xqZjlFOTrMXUCmfqtK0/IiLyQXNZoTrefT7PaubyBKUrmhETRwpWcxmVLqi0WywvbvNnbu3WCcxqyRhOl8wXSwYjSesVgtkqfAdl8ALcH3BfDnFkRbYnKxjwoZHEPhsbPS4frDPOl7aWKosZmtzwK2bBxaqGy9BSFbrNUEU0m63yfOC2XpGkiaUhaLT7tZMSIE2EAQhlVLEqxWu6xGFIco1ZHlOpUokECcrtK4oioysNiu0Wi0whmazeeW0llLiOIIwDOh0O1fFZKWqKzSHlNKO8gxXxcTlYpvnOVpbrIntntlnu8hyPNen027TaDQt769GcsgaPWITKyy0udEIr6DdaVLr8Or/l+t4FEVB4HkYrWyWqNZEQUAarwk8z56IkwRdVmhPIz1rphFAXuT4vo9Suu4wCLqdXh1Cb3Og7fFKIyU2q1MK8jyz+dWuY7uCdedPK2tCUlVV57ra7qqopwNVVSKlNZfkWUboB2AMeZoShD5hEKCrkkYU0Ot1mc4ndHs9VskKKxS1BaLW2nZuK5v9rC+dinXH+fK6nBJ8NfLJGrm+/HuwnR3f960JqhEic0ESL6nKijAKKfOUi/OcbneTs+MzfM+j0+2CMnjCJVnZHO04WXN0dESn02Hpubbj9sUjmlFIEq95/Ohzvvjic7773W/xp3/67/F9j8lkxHh0wWeffs6nn37C1tY2RVEyn6+IY6t1PD0ecnR4QqfXxfUkw/EpYeTy/gfvsL25R5ZOSbMlk8mUrFjT7jZo+y2kY80DjuOwWq148/aYakuy2b/Ghx98kzu3HvD0s1cY7dgRpdY4jnXwG61pNbvceecWv/d3fh/jlDx79ZS3J2+RLmRFRlGmPHznPoeHLmWWkGcJ/W6/ziJekxYFrVaPeJ2TpAvb0RcOUTvgbHTMaHTBztYOnueQxgnJak0URszjKaPhmOVyheu6jEYjqqqk3W5x4+YBx8dnHJ4do7XBcb90w152z7XWSCyRYHNjk27X5i1fpRhpbXNFz07x2hHdfo+9vT0mSPqt9iU8y+47NaqkrEqUUUR19nK9KSGEodIKIyWV1rQ7bTzXu/zr/yLXV+kRXy3utL48vBhKU7FM51ysL1gWC3q7IZXISTilrASlDCjSAiUnNDophaqIOoKgBWmxYPfaNut1zJ/+2b9nMptRKU21KomzNa3OHX788x8Tx2umyymT1YQ4XrO5uc3D23cZbPXx/Yibtw8YjcfcOrhOGAb0e0263YA3b99SpEtCV/LpJ5/R6W/iCJhPRszGY9r+FsPpjOHZKZ8/fsKbww0akUujGXHv3h2yJKXIU5JkjRCGdqtZBwJobt44IF6uuX//AUQhH3/6KY1WxJ07txF0KKuSne2NKwbu17m+/ijWvRwxWvmTdMBD0nYitnc20ConL3LW8Zo0y+h0OvQHA87PzwkCh8oUCEeRZSWreEFlMtIs5vr162gt6PYGPHn+Od7KYffaDt1eh82tbQya6WyE4wja7QbpSrGaxDVWQ1tFm5D4rk+71SFOLbA3ipq40qPf28B1fJaLMavllCytuHvnPlKCEC7S9yiLiuFwjDEKx/GR0qMs1hjXCpZdx77hZA00FkIgnDpuRWt0PTIx9WItpEIYUZsoJK7nWihyzfCrygpdGCI/Qpqa1absGMZ1PFzpEPqBFbcaWC5Wtb7I6i4E1o2cppZFFkYBxhiSJOHO/i2u7V8jydcoM+BiMrSxVEoxmc9RRttRmbRYlUpV4NhC/TI39+bN2wRBwNHREUVh4caX1PeLi5EF6jZDAmVRDdQ5t37o259RV8TxiovxhU1A6DQxRvPy5YtaBC7Y399luZqgdEqnG1IUCUHQ5tr+DloXzJMplSjxIxeDIQhdylWMNi7aVFy/vo+QHtPpgjy/IF6nrNdLOxosUxAGL3A5O8t5/vwxnV7XRlw1W1w/OOD2zZvMlguePn3O+GzIMklwak5W4AbWiyUEZZbjSYeg4dFsRyxXU44O31wtuI7nc+/ubRbLGesXK5sYog15qYi0qQ8GAlVp4jghSTJ838cYgeN6+EHIdDJhtVjR7/WIQoHGPhNVVeJgMzK10VSqoqqde51OG8cV9AddFosFlSooyoytrS08z6EoCjzXu4qiulwULhdVO+ayAOrLTtXlx1EY4mDRPgJBVZRXTjrf85jO1gghrlJJHMfh9PT0CvljtNWgutJiQHSlLLJG2og+C5Z2KLIcKUCrEqUKHEfQqBMjtNI2LqxGtghEzckrvtKtElRlxaom7KPtBujVI1+rXTI4suZPConv+Rit8D3PvlaqYrVY4vkejWZEmiQYbLqM7/sYNJ7nIh2r+fRcj/F4SKUUrmuL/yAIeP/9b1j3Zs2a+yq0vKr+pgX5K0z/SwTGX/+Dr352LbS/LKYdx6FSFVoItNEEYYBSFUWWYypljWRFztrMkEaRJTmuBE9YPUlVVcynK77/g99EV7mNRUzX5FnGxz/7Ce+88w6725uMpxP+yT/5p/w//sf/kYOD68RxzPHREb/61a/QymocV6s1GxsbGANpmtNqtvDcAF+G6AoKrSgzxcvnb/h3f/KnPHh4G0yG8Cqk9Gm1ujQiH88L8DwfKW3XNEkSptMp+xu30MqwtblDFDbRytjDh+vjux7S0Tiuxg8k33nnm/htjy8+/5TN3T6rZIFwDMv5jPFsTm+jw/DslPFohMDBER7Nlk/guyzmU9KqpCgLxqMFSZxTVgWbm1sI1xA0PExh0KaiETVwkCTLmHSVMLkYM5/OoSZGZJlNrlitExqNkN3dbbqdDrPFqn42LkkStrC5PEw4jsPu3h7tdpvTszMcx7EyDV1hjGY8mTBY77C1tQlC0Gm2CD0fZc+KGKVYZzGL9RIv8Ok1QnKjKYVNTbCxnoZ1vAYj8Vx7iPvq2vC/57os3v6mP//rV1EVnI7P6HY7GAHH50e8OHrO2/PXbB1ssn93C78lWBVz0vUSRxuW8zV5XLGcJeS5y2g2Y7IYcuv2DZJVxk9/8ROkb+hvtDCO/Vl++elPWGVTtre3ER5MV+d4LYkoNb2tNr/7936bO3dusVrG/M7v/gZ//L/+f1nOLgi2NvjWh/fpdj0uLt5SZEtGwyPWqSJ9/AQErNYxj7844GD7d/iLH/+E5WJJq9NmMpsxmZUMuk2W8RpVVByenrBYrazX4PoBF+cX/PinP2E2neE5LoUq2b5+QFFkvHn7mmYjZGtjgCuh19/Adb52ufb1CzvpweViZB9Agxf47O7u8u7DB3z6ya+I05iqqliv1vzi579ksLlBp9Oh0fRxpEuax8TJCmTBOl3SarWI4wylBRcXEw6PTtje2+BW1KC/uUGSZTx99gQhAnr9Dq7r4PuehaTmBY7jIo2whcBiweaW5Zg9e/qMPI/Z2dkg9DzyuKTKKwLXY2Nvi41BjyLPkJ6DKiHNYkplXSjbu3tUJYxHMzua0tpuVLUmScp6TKWldTZdYk3MpQvW1CMtWxi40o5ytdTkFeRlbjNajWvp9VmOFBZXLKXEcz08x7Ew2KK84u8JbQunPMtIkpIoarBerul127SiFovFjEF3QLJOmU8X+A0beZSrkm5/g/OLMes0Q5SaPLegzTRNkK6gKAsqrelUXQabmyyXKxbzOcLxcD1BpWEdp4RRSJIlxMmaZquBF3pUldUKenVnRCmN0Yo0yxhPxuzv7SGA09NTgsAK9NudLmHk0h90qEY5q9WC0fCM6NYBw7OUdRIznrUIAp+yjsMyVYUfuLiuLQpVJRheTDBG4/s+WxubfOc730GbiuHolLxImS+mFFmKUiUXyZrz02PCqMnzZ0/o9gZcv3mDbzx8wGJ7j+fPnzM8u2CkJoR+RFEqpHTwHQfjSIQLhooiT61QHkOyjmm2u9x/8ICL0QXHx8dkVWENHHlJWdmS/1J/d/lrENQtdQOj8Zj1ak1VlSRpSqPRsCxB38NoXeNyLEQ6ikKkI/E8l2QV1xrX4ipBJMtsxF9ZldiOlbzqSl2elKWwBZvdgGxn+RLPc3k1Gg10WdkElLqLXOS57ezWz7fv+5Q1+ibP86sxr1KKLLUQcb9jNXbaqYtKoCoKwNQaInAdB60UVVHa6KX6Z5FCEESR5SDm+dU4N/B9Go2GHRsZczVCBlF3MyV+4NXaPAP1vQNBGEVW5J5lGAONMCSObZHa7/WQ0mUySciyjGazQafTRimbQRkFAUWS4nouSWZQdQekSDNuXL/Grdu3QBquXdtjuVrZbqvzJS7sP+d1+VpVZYVRCmWqWjYiERJ0VeCIGvMwn6C1IYoiyiyh2WwS+R7D2YT1asH44ox3H97j1etXjMcTJpMJTx5LZtMRSbxmtVgQr1b88he/oMwyyjxnMZvx6PPPeP+DbxKG9lCZphlVqZHCxhQKLGpIa02zEeFIj+l4xM9+9jHDizP63QYP37uLF9qD9Xg8o122GfS37IGqVLRaLVrNFtJx6o6VwvNsdKWqStsdbjbQuiAv1gwGGzx4eJ9KpMxWY87PE5qDBp4nePX6OaXRDC+6jIZTVsslnVaL1XJF4A3Y2tjC9RziPEG6fo3WmrOxMcDzJKPpkCiM8MMATYUQsLuzxUZ3wGQ44de/+jVFXqCFpNtt2DVf2Y5vq9Xk4TsPODkf8otffoIRou5UiXr/sB0tow1BFLK7s1ODdpWVGNS6S1F3dxfLJe99412qoiJLU4w2zLIlDnakvMoSsiLj2vVrSM/l1fExuaqIi5wwcCmVPdg0gyY+DuKyKDTmbzhS/O2urxZ1l7//TxWMwoWVXjC5GFm25PgCAnAaDtPVhI2yQ4DNY54vxmTxGgeP2XzN8GxCmpRkaYUTGI7PXrKcpyTFkpvXb9LsNMnLlMVijuNVxNmY6aLi4OA6YUvQKFy8sAduheNrCrVmvhxTVjFpMufpkyFv3/o8ff4rcCDPZvR6DZJ4ymi45Ph4hNIWKD+dTHj0/DWvj05wfZ9ZvMaRhjdvXthUGc/hxrXrJFlO1G7jYokbN+7c5GIyZrlakmYZhydHvB6eUyrFntxjsDFgc2sDYWA2GVPk/wUAxULaUactcOyCKR1BWWWs4iXSETVzx8MP7KgkzzPCcIt2u01ZFQwvhsTZknY35Patu0RNn5cv3uBFHghl0w8cGJycc/f+PU5Ph1SV5s7BAbNZTpFCVZY2D7J+Y0hsJ20+nVPkhdX0S4cgaLBepbx5dYxWkkajje83CPyQMPRJsxhVaiSOHeWVmRXh1/m3oh43y3pxshyqS0ad1cwZNELa1rexB3prqhAWcyKMBTj7voXYGmF1PNJIjLIGiSiIqAqFlpbzJ4VACgenPtEZYfU0eVGgtNXlWCegoNEI6Xb7pGmGEA4727sMx+dcXEzY3BkwXw1RVPS7G7h+g9U6JlsnrOI1cU2xdlyPxWqNUoqNwYB4LVgtl2RZjufasVlVVcwXS6rJhPlihtYVzVajHoVpXM/m4KrKnmYNEq00WZ6TZlYXNZtPuXf3DteuXavjeRbESYMw8sgLlyh0GA6PaHc6+GGA79tuoMQWC0WRgZD4QUir1eBiOCMIfP7+3/+7zKZz/u0f/zGB7/H6zRHD0Tlb2xvsbG+zsdEniddkWUKW5wxHF+RVhXSPefb0KfvXrvPhh9/hwb2HOHgMzy9IqoyysKPNMPBoBh6DyrLRAtej1+2SxjHD5ZLdveuoSnPj4IDhxYgvnjxGGahKdTmJI8/zGmOja/jzpTurosgL26l1PQu9lk6N0cGOYoSNQlPGnthd16UsC6vlciXxYo1SFVHUQQhI4hhZd9Nq8c6Vzst1HVzhXAGowygkTRKbTFF3pKtKIQOBEQJVVmggiCyIlpqPKDB0Ox2bmepIptMpRVHQblsHsiMsxDtwPcqqpBFYt62qFEma1J1d6+68jNlTxorKtda4noevNX4QMOj3WSyXrC4uMEIQNZpsbm6S58UVMzEIIAytm1LXm1ZV2jG2KwR+Hd8XhUGtIdS4jiQMI6qyYnt7h26ny3gyJQobrJZrpJBEYWTzo7FZ2a5nX6MwsPop2wk1fPe736Pb7V6xH5VS9cbGlZ7OCtcv56l/0wJrP99usl/9BPPXP+0rmjz7gBmtGQ6H9DodHKDMC4zrIAyUeWbva+WgJWQptBoh8XqJVgU//ss/59133+X63i7JaslCGIo05vDNK9ZJQpKmtNttPv74Y9IkodFo4DoOeZYxHl/YhCFHsVyt2RhsEoYNbP70l3nTYehT5CVlrnA9uDgbE3q7JOuc0FieW6kMjUYLkOR5TpblNBottrd2rC66AolDnhacnZxgTFW/P32UhqqSVFWB60hanQ5OqJmtx1Qqo7/R4ebt68wWS/I8JfAdVBQShhFFbicSzWYTjCItbRc8SVLSLMf1wIgKZWCxSml7Xaq8oExzZAVGCU5PTlhM5/iuR6PTtbGIWztU2nL4dGXY2t7ixq2bPH76nPUqqbXXGmEMGm1lRLpiu9PBcR1OTmysXBgENJsNyjJHo2twuGFna5uz0xM2G22Urnhx/IZ2s8XN/RtsX99Dei4OMF8smM5mxHnKMktotyKMEDTDJk49Lfpq3fUV2sj/38v8tQ8uv+7yOS7LkiRLaLfbdfNC1AZY+5WVUaRmzcVsRJbluL7Dm6PXDGfnVLLCa7jc0HtoA3mqmM5jUMJCieOURuhBUdJoBRyfv+Lo9RkYh2U6oT3wuXV9l5cvY5uwJHo0Ww55saLXi5gvFXu727x9c8Sf/Lt/xZ1b9zk9GZLGKUW5JksSklgRNfZwpUtVxKyWE/7O7/w2oXvGyZsTVFlSCotfOjw6YhnHzE7PKYuYJF7hu+B7gtk0pd/boKoMg81N9na28V3PyiaaEX4jJK+s1E2bWudeZrx9+4oocOh3u4AmXq++5ivztyjsynohscWUvtKNTZdj0icrHCEJGz6N5jaOY7tYvu8TeA6m0kzHU6bjCZ4vcXC5dXCX5WpGvH5L25MsVnOMdlGlQ5poitwghIcxglevTxHGp0wgW8UkqxipwRgLanWUoEor8rgEYVlX0vHYHGwyHg3Jcg3SJS8LpOuSlyWOa9EN1Jo4T3q4wme1WFEVJYHvgeNhcKk0lKUCJTFaoLF4CUdaZAF1sLKpTz0KS0dHOBgHhHBslY7t1GihqSQ0ghaO67JaresiTlEZkEZSGY0jXLu5Oy5KVpQCkALhuhgpKbVhNJlduRhPziwnrDBz0qyyWY2mIi8zbt68gXPN4fHzF6ziBKR1XiEkjrAjs8V0jhBzxpMxWZHT7fUIfQ8jBVpXzOdzbIypQ1kapCxxPUG73cRxJPPpAs/xyCuFMRYQOZ5OULrEDx0GGy1292wQ8+HhIav1jG63y9bWFpJNJuMh89WSsAxtwkctZB+NLnA8aDZbNGSL1XpJUWWEUcTWxoDZbMbJ+RmHJ0ck8Yo4WZElMR99+D7f+uZHCNcwX845Oj7kk1//kuHogqIqyNY5z774nNH5Be+99yG3Dm7Qa/U5OjxmtVpZbVUqSDxrcBgMtvC9iMhvcXEyIY8VjbDNkycvcFyXzf42jnlJmefIABwtkY4FPld1NNQyXtDuNolCjyzOcDQoI5DCRWiBrhTGt91CpUqE51AJRSUr2oM2CDvaVHlJlqcs18urkSUaVvMVDo51wbkeDgbXkbZwclwbDeZKPMe6lnUNm/WEsF1RxyH0fSbL1RWyQwpBUeU4pbRGG6WIAh+0TXcosoSqLHE6LRvsbsB1BFEUUCwzgkZoD0+qQGuFUzs6fd9njTVmlfXoVNUudF1ZdISSoCWIOrbQCBejBFmSI3HwPd928aKQVbzADR3CVsBsGuP6Dna6rnAcSeQ6pFWJayRCCVw8GlGHfm+L9TrGdQKuXdtgMpnheUGdKmi7gkgHP2xYdzsS6XrkpWJnb5f7D9+xTk7EV9JYXMwVeNiuG6I+0BmtQVVoVVrHvDCYSy29qDdI8ZWN8uq/CmHAQdIMA6TRNV9TI5UmXVmumyoLXDyLUtIVjusi0Ug06XpJ3ghx0BRVSZGlHL19w51bN/AciedIdGlhyhJFma2RrYg0XjK6sNipra1t1nHC5598Tl6W9r5oRYVGurIuQOrIRlVS5DmqKJHaoRsM6IQN8nXJZDjn2o0tvChCepK8VEyWc+J1gildTCnZ6u+RLivi2Qqd5hSrNYvREM9U+Hg4RuMISeE4FGlmNZZa4DUd2n6IckoqndLtNKl0xXq9wvd9POXT7Q0I/CaO0MTrBKVTSkqyIqao1rS7LsvlhT2Ya4PruCQliFKi8xSygpM357x+eUSVF/QGm3zjgw9pd9q0Ox3LI5SGSlYIT9NoRTTbDeYLC5o1tdNOGgnCoapsQslqueLwzRt83yP0XRqBR1pmSGWo1jmb+Ly7c8BPf/4TvA3Fi5O3VGg6gz6VEIgwIMVwOrwgjVOUhjCMWFcVC6XQVUnP8SxE5RJG/b+xVXdJg7gCytf5rkYYjCMgcohViovlTQoECtvJXpYrSh2zudNkOEpZxku0U7KOl0znM4oiQZiSLE24GJ2zXC7RWtHpdNnd3+XazoAszZhPV0hZcnKyIk+sxj8tJMJpsLvX5s2bKYiEvJjx4uWnrFZrNrdb7O4OOD56ybNnv6YZScoiZzYZocoZRiukdK1duzQ0vAbJfMW//df/GiFDqjy2spWgwdvXLym1Al2iyoQ0njHotWttpLpiAy7XK+LVmnajxfvvv8/h20NKrYnaTQpVghDs7O6yuTlACoMya4pqjhE+jbZHqaOv/bp8/azYmpxuNXY2JUGgaTbbNKMIXRRIaTCmoigTu/lXhXWyCcliubAORlxm0xkf//KXuK4NNl+mC9I0Y2tzm83tDdI457NPv0DpiiBo4wmH6WTOZLjA5B6+56OdwhoXtHUxOMLBEQ65qkjTFNd3UMownc7J8vJqTDToRziOFXyPJyMc6eK6kmbQIWhEOJ5NWyjKiiS7rKJriKQ2V7OVSw+slLa7Yjmi9gSEsM2aSiuKsrSdDSS6so7ddrODkB697oCsyKxOsHbDVWWJg8vmRpfJZGr1JI5NN2h3bGpGK7IIgLIoiOPY/nxlyXg8xvMdCiNYxykaVY+DFI5jnYNSuISNJkapmodlO1M2hsq3WrHVkqjZZH93l/lyQVGW5GVx1YFQSrFcLGg0AzY2ezSbDZSyCAspHHzXoyg1ZWnzcdvtJoODPXr9No4L48mE2WyE47hEDdut7fbazOeTKyPAdDq9Gi9eXAxrA8OKw8NTBC6D/jbL5ZLJbGK1dapiuZxjY2Qc0jTh/OwM8c2PCHx76n344D7bOxs8evKYR48ek2YlSZoTr5d88dmn3Lp5j3cevs9Gb4NPPvmE1XoB2I3q5PQMVWruHNxgb2ubo6MjHt5/QLPZ4ZNf/Rsqpbh2cEDg+VSVsnFRCKLIRl+JlUBpTZwkVKUtcFRZO6BrGPOlDs5xBK4nsfI8g9JVfVCohfh1waAqi9BwQ9fqLzQWQCwdHCEp87LWnlld5uXo1XEcG7smpXVb1+aGsiwZDAa1Rs6+z1VVUdXj8CxLr8a5GCtRcB1hi6rKMuZcxyGLs1rLZke2y8WCoqoIwpAoiq5GuZcOXF2PVJVSVoMpbTfDDwLSLCPNMqKGBaEXlR0xp2li75PrEQQeURQwW5S0Oi3CMMTmCXv4vmfH9V5gu+FG4DoSv9Yfeq5LFDYYj6c0Gs169EVdWKurca/jSIIwwADreI02GonkwYMHuK6DFqIehzr14dd2KIWw9/gST/JXmEhf2RrNV5z1X15ftkFqffmVRN7GNwq78BhqI5aiLAt0VaBdidQgJYSBjWtzXcl6ZUXcge+yXpd4rksSxzx/9pz1aoUjJVlmDTqhb2UhRlVEvo/EUOQZqqxQRWn5gnUX1wsClqsFnrROf68+OJelNbV4jsO6KDEaWs0Og80WnVaHqpQ02g0u5kt7IJQuWV5gigzfCciKjCTJMblEyorRxTF57cj3PQfXFShjkNIWlcJRaAy+L3CikNl6xYvnL/ni8VPSoqJSmls3b7M52KXdblJkOVVVonRBq9VAF2tG43Om8zFFUbBcLImiBkIIikIROl32NvbJswxZCLIsReuKVqfJjZsH3Llzi/lijlKWKBCEbfIq5zKe8tLtfPlsXJonrH5d1ixTXXejbXQfGJy68HOloN/qkMcpZ8enNjLQGDZ3N0myBM8JSNKM5XrN0ckJKPDCAOm6BFGDN2enSKNoHtzEo8bKXD5h/xuLO/EffVDzGB2oTMnJ6RGekBzsXbOdKhQFmnk64vD4FZ1em+ncYle8IMTzbNd7Op5Q5CWTyZzRcE6WZyRpTK+7xcHBTQ72NhmPx2RpQbfX5OE7t7l54xatZutLQw0ZzZaLHxjW8ZTx5AzpOHSaHYwoCCNJM2zS7TTJk5T1esFyPiPwGmBgtZwRNVo0owDXkeiqYHhxQVlA2Ghx68YNm6SjK955cJ/ZYo6gZNDvsZjNOTs7YzlfMJsuLFLJdXn+/AWdTpdOt8vv//7vc3R0yMXFBVmWsruzTavVIIp8GpFnNXauR+A56Kr42q/J1y7stLZRS0Ia0HbW7xEgJBRVynIxotloU1WaJI/J0wqwoyXX9ahURZIkSCeiNDnVecGNG/tsb+/A1NBshviRz2oVs05XVLrC9RyCQDHohTiOh6oMRZpdueG0zRVDCEleFGitaTVbKFWR5gmvXr1hvYrxPCtqzrKMqBEShD6bmwOm8xF+4CLdiI2NTbwwoNKKqoyIk5w4WdiCS1gROcaOWM2lC1FYcfwle0rWIzRRb04GTZ6VLPWKwPdrwa9nxdxS1hoZcIREer4VPxcJMhA0m00Wi6XVbJUlrmsjYDzfw8GlyC34VLo25qtSlR0DGUBZ235ZWZ1PpUvSt6eEoQ+Bix+GCCNsN6IyqFLhBA0ajSar9YIwjDi4ds1y08LIYiWKsu7w2XHi/u4ud+/dIs9jjFHkSUIzilB1Ry/wbXdoe3Obj775Pp4n6HZ9jFHMFxM2tweEQUAYuVQqZW9nD4FmtVqzXq+vnIRpmlIpjVKwWK6ZjKdEYRshAmZT24WbTie4vkun16XIUqoqpyoyZvMZcZrQ6jaQmS20m42Ib33zQwaDPl98/oST03MqJUjjmJfPn9NudDi4cZO9/V2KQ2sIEtpqiM6H50htWM7m+GHE/sEBw4sLhhcX+L5Pr9tjsDEgOzslyxLW6yW93g7tdpvpYobJNWmaUF66VasKW8tZjpkxGqWqmmFn3cVCgNK16aYqr5IebEReTJFbPdX/j7Y/a7JsSc8zscfd17z2vGPMOc9cE1AACLaaVJMta5mkNl3qXibTb+tfIJmkC5m6m+w2gQRIoFCFQlWdKaeYY897r9kHXfiKOKeKBPugJW2zPJkZJzIzYq+13D//vvd9XiUVuuto6obpZALWUtcNtkfpKIHXPAlB2HdrnfNaN9nPYlSf0tDUFWHPlHNae71b5NNlVBCw3+1YLSEIFGEwYDgYoNuOQCrybEBXtbRtn32K4P3797x6/Zq2bckyD66Wwo97lZSEfURZVZaESvYmIh+npNsWZ/oRdNuA8KPopqlRUhH3ujnZj46xDtWbT3CWOPSA5ED2xSiWPM84OzujbGr/NSjvgI1UyH6/wxg/9m5ar9kDn2sNkCbJY6Tax599yp/8/Od0WtO2rV+Ak4g4jLzLsTeWSNdnvz6Mxf9jm2P/YdmPscQf1ngPr4fP6zO6Ed4xm2UpdVXS1I03rFjbFzwC2esRge++t75wUGFA13W8e/fOaxEfGHvW9giozCNYnEEI0R/ODdb2cWlNje5qskFG0zZ0pqFrWsbjCXESYbSja2qU6t9n7Tt4UXiK0SGHnWa7X3BoS5JhiIoKOlOShJJBPgBxYLtfMk5mhBF8ePeeMAmIgph8kPlCrmswosE4TRgJRpOMfb2i6Q5U1ZbF4pLpPCMoGtbrLUWxYT6ZUtdbHC0qtOTDhCQLuNluubm94fbmjq7zJoc0S0nShOurD+SxIyIilgkqDtgedrS249nLl/zzf/HPUDKgbitkoBjkGcgAZysiFZBlKaenJ9zdLv2z5fyBi540YXvZzXw+Z7Was16vMNbvNR5875+Vly9e9s7xmuX9kv1ux+npMdvllrbUfHh3weXlFW3boWRAEsakwxGL/ZZ//T/+a07mM6bDEc+nc9T3Dw7/M16C751R+pvY0KHp0LSs6zs2zT26adDRgflsilCCbbFBxZKzp0csViuyYcy4G7JarRHSEoce6bJZ7wmDhGfPXvL27TuMrjgcGspDh9aSOM5Is5yz85DZdMzPfvZTnLWsVks+fLggjB2f/+gF261H83z+xUvywZA0H9F1lq6r6FTQS7w66qIG57C6QYXOA8g71bvYvZs9jnrKhWnY79YEccR2v+Pm5pqz8yd88unHnJ2ccH11zcsnL/ntb/7eI4V0y9HxEV99+Tv++t/9NZ9++jE//tGPqIoDgRSs1kuUcP0E5MAwOyZJAqz2aTRZ+ochEf/w64cXdsI8nhb9D4GVjkbXlGUJTuPo2Gy3GNP1i4kkimI+//xzzs5O+L/+3/4vGNsitEGK8BFR8OL5c/JBxsXVBdvdjjTOvbHBGowVvtptfNfMZ8wqLxQWfdoOkqqsaZqOOEsIgxBtItabZR+4LTg7e8Ji4TdgrT2fKs9Tnj57Qll7UGXTtTRN1yMhQvJsRBBE1GWFQ3uzhO2ZZNYgAvogZ/F7KmnRu2d98enjZZqqIc8yr3vpNE3TUTctk8mYMIh8VwaLbg07vefy8oruoaODeFyMu67Ddl5r8eh4dJZAKe/0BXCSQMW0rabRLUIJnPYalniQYpxlv98/Oha9hqBjtVghUbx++ZrZfM7N7S3aGr84t16oHYchWZYSCMFhu8E6TdtV1HXFyfFTNpsDWEkQxt7lmSbstjvWm3uGk5CTkznr3ZrReETTVRyqHZv9kt1uTRwmZPmAsi4xrc9hXG+2Xk/VtdR1w3Z3YLdvOBQ+zPkv/s1f0LYeSZGkMWEoiKIRo2FOFEjuF7fEiWS7WzKdTdju9kRxzOtXL9Fas1qtWK8OGA2mtfzut7+laTsmkwmb7ZrlyovPtbHUtmW12aJEwJ//2T9hNJ3x5ddviYLE8wnrlqP5nPcf3tHpjq5rkVJ6p2SPE3hAkAghPPbiQYOFx1p0usVob0RREiyuhwz7UaXWzWN3tCgKdNfRCa9razvdw381VVX3QFyL+p6bW+ELOWP6ItGZx05eEocY01GWhe+K41ASlBREcUjbeU2dwKB1TZIMvcbJJKyc47DfM8hyjPbFpO00VdMQBkFfeHmXb5z6w4IzhlAp8iQlDkN2WhP2LuI48kyztmt84omw1FVJmg+xXYtwFtMZTCCRJOCMz28t9ugsJRDQtR1ZHOHCACW9ditQkqPjIxwWaw1h7Dt0zvqO5N3dLdZa0izp4cIhTdNRHEqiICLPUsLA0+P/z/+n/yNOCN68eYszluV6wUeffEqe5dRV5Y0Eznf6H3hkD5vh41rRF6EOX9Q9NE4Efrzl3EP/o9fyPvy6N209CKTiOKRtfUUoH1JbHIRRgFLC3z9C0XaN/96d9okl1hAFEoXDdC1RGNK2BuEsCkEaxmitKfd7pDWEUtBVNUFPRphNRtwsFigJOIPuNE1d0aURYejAdVRV2yd6gNEdoQpYL/ccLm+xwkBoaSmRsWFfFsyOBphIMh5IXrz8iP2q5eXTj5jO5tzc/lukEgzGGWfnJyAM96tbKmvRtIjQMZ6MMNsCoWuO4ikfvX7Oar+j/nBFloYIZwgCgI5Xr878WtSWdLomTkJGowFFse/3DkdRHHy2aqBYbzbcXt7xZz/9U+5XC1a7NVZA6zrqruL5s+d89qNPWK43XueK744/XPM8zzxLrm77dV08du50/+yCYzweU5YemGuNwRlLKAOEAdMa6rLij37yU15/9Jq//sUv2G12fs1arvnm62/YbXb9HSSJkpjRdMpf/NVfcX13RxgGfHv5gWk+YBQlPq3ihxYCv3fv/r7hwuHobM3ycE9p9tjQ0MqG4XHM1fUd39zd0URnJGnI/eaWpmuxDoLYYYzl9GyOkI7BMOfly+eEcUqej2ialtVqhXOKpjJ8eHfD228v+ZOff8HTZ2c4JxlNxhR7x5t3X3HYbynLA/d3d8znR3z80acEoeNQrEnzkMkkJ0kzNpsDYeBRRpvN2htpopQ8G6DbmiyLfQ6181Gf1uGlUg/Z9ElMW5e05YEkyymKPavba4rjY5pszM9/8jOSOObq/SXruzXXFzes75cY3XI8m/HV737LenlHEEgGg4w4FEi0111qTRor0lixqwuyJMfqHw6Q/kcAil1vGgCsHzVp43lYQRiSpBG73cHT4o3CGj9uCeOI2WzG8fEJcRxRVo3PX5Wexn53e8t44t1P08mMwXBEZzXr3YbFckmnNcJ16NYRRjG21ljpLeVxnNDUFfSnYy9oNrSdpus0OB9SHccxP/rix7x9m5DlCYvFHUWxYzId8+z5UzabLdbC/uaG+/sFSZJhtOPk6Iznz17wt7/4W2xXIJ03QPg2i3cphXGEdXhHlPvuhgfxKAa32uKEo25aulb7UV0/1jXaIoXECunjyoyHrPqxl3zs0z+4/6zt9Sv9ImCtP7ELGSADxXdRyn5MZbVBKQESJuMpjemQShEGEU1ZYXQ/knWCpmqoqgN1WbNarpGBIh/4oHirfci8UhAqSVtX3F6XDAYpbVf6a3s4MM5HDAZjLj5ccl1ccXQ0Z7tZESWC0fSYzXYPQnByevIYQdV1LRdXH7CdIwgimqZjNBwDgrbVaON1S1Xd0HSmX0JKrIX1V78DJGmaESnf5crzjPMn58RxwOx4Tt2UYA2T8YB3775it3c8ffqcl8+f0fxJw29//TVXV/cA7Hdbfvebv+f1Jx8zP5pT1SVV2fpMT2spioo0Llms1+ivvububvEY6P7hw3tevHxOFEdUdcn9/S3Pn5+RpqlH3vT8OCkEQRyhlKB12ueyCkAE2D52ynfI/XJpTIdzoELpx0ZG0/UbpQS/cfTohEApurrByo6m8cy3B0G/0dp3u53zWr4+9DiQyv9bzqF7c0SgfAcwiWOiMCBLU4wtCJQkDCRxKMnTmLo6+NGrCvy9zAOaRGM6Tde2pLGPHVTSmzbiyGe74iCOepyJg0BKjNakcYQSAmEtGEMgvQnJWdMDk/3YUmtNVZTMp1N01xEpRVOUlOmBNIrQdUMShWRZznazoalLJtMZYajoOp+OcTjsaJqaIFQcioLtdkuaJiRJzH5fY4z2buOqJMy809Ray3Q85qPXr/iLv/g3LO/vQQa8f/+er7/+BuMcTdWQ5rlfA/qRrjc74A1WfXEvhEBYEP3Vlr1JRfCdqP2heec3UeHd0s7HqgnpfOSfVCRxRF37UTy9ID/sw979YcAXDQ+GFdf/CFXo1xDrO7iql60EUmCkPxSYriWQEivoR7BeiqOklw4IDEpA5wxCOIyucVaC03TaHziUcDRNQ5LEfPLJpxR1zZfffsV0OsYGHR0H8sxHDB4ONeWw42Q25Nmzlzx/9pIwjNnsdoSJIsoEo3lCPkjYtXdw0AglvYkvTDg9OefLbxcs90vyPGexXpHEEZKA+fQIKaDtKjpdc3b+Md+8+ZqyrlChYjIZIaVgtyvY70qWyw2b9TvGoznlriAMI9bbDcW2xAhDOswIUsXff/l3XFy958c//glJktDqiiyKGOUZXeef4yiOHvl1Dwc6jzLycqG6rimKkvF4TFEcKIr948QokIFP1dGW3WrD7dUNP/nJT/jf/+/+ayyG/aHg3f17Lj9ceplEECBQCCHZ7/es1xu0dZRNQ2cMV/d3JOdPH/Xy/7Nej51lh6NjX6+5317SBTVat9SmYl/uuV5dUNUF6cQxEgM6CozQyCgiiRSHfUuSeGnPxYcrrIUwSpFSsdlsWS3XFIeS/b5kt6vQXcevf/0Vo9GExeKau7sLoGM4TL1BxLa0Xcn+sMa4lulsRJZ9hpQhZVmz3+1ZLzbgvIHRat8saZv2kURhjaFpahz+GfYgf3DGmxud7cjjgLOjKZ2xmE6yWy64+XBBgOTi7Ts/PdEG07TU+wJTS5JMkaUhzlYs7q84Pp7x4sVrit8sOTs94vz8lOXiljyPEE4TCEtbHzjstj/4svzwjp3rx5H0YEUlMQaaVpOnCUJAcVghRARYpAoYDAZMpjPWmzVv374hTVOiWFHU235xcSzuFySpxyJc3Vwznk3JhgOMXmM0aA1RoDg/OyEUCXcXS7arQ69NeLCMe+fqfn8gTEKapkUKPwYWIqBtO3a7HUr5WKzr6w8IGTEYZJTlge1uQ9tqlqs12+0eY0Bry/HRGaGKKIuKQIY9rNdiggBtOx/JpCTCfm9+0p+iH7RL1hqfwyoD2qbrF2xBJCOOpkc46RBInPUn8iz2rqWHohDnu35B4AthrT2rymj96L4LwxCL9WMZ6UGd2mgQ1rtLsb79vd/SGc3x2SlpnPDu8N5nTvZ6Id35mLXlckWcxDx59vSxoAuUQgUhaRSRhBFhJFCB4Pz0hDSLWC6X7PctT06npOmALF1TVTXloaCsCqbzIavVlqvrS46OZ4/jeRUoEAECwa7aU5QVu+2BsqyZTGY4JE3T0RmD19f7+6brzGMck7UeISIDr+tq2prlasF4NCB79YIsVsynL5gfTbi7v+I3v/0NqzShqjpGwwE/+uwzdGtZLHcIHE1T8+7dW87OzwiCEFwvJpfenXxzd0fz1/+e87Nzbi/vORxKjLXs9nuOjo4YjbxesCgb6qZhPB4zGAxYbdb4OKyQKFAoFQDd4wr54HL0UVPGj7+kQGvtY7aS5JFn5R4Ksn4zbqrajyyl8okJXef1d0oRRzFlWfrPjTxLzva4DiVFz3rzHSXTaYSzOOvD6rNkiBOCKAwIe7CqFF4/E0WKu7t7wsBHnT24SW2PdQnDEL3bEkeeCyiFIAz8te760WUURv3H+pgsp/sxVa/dCgOiyI82wzAgz1L2hwN5lrHZbHp3raDtOl944Cj3ewZ57jXAaeoTBRpfpMVJBDj2+x1N1yGDEK39mLHrOpSSDEd5j0hxaN2iddt/T43P4MQxnYypq4pvv/maX//mtzStz5K+X66w1jGezgikRAUBVa/Te5jCPmTCfv/1uHTw3Y9/yKIo+jF607aAJgj9JyZpTNYldD3OxbMGfceu6wxt2/iYOtP1Bwfrk1zUQ8KJ/7VW/pBsug4lBApw1hJIAUGI1R2dNqAUoQtIogCwCOFIkxBhNPRd3Yf7xQlLGkfEccxmvebN269J8gFHRzMMlvl8zunzj1jvrymqNUEo0aZhPBlQ70vyYYyVLUJpBuOI1uwIE0cykIhAY4WP+uqMQ7iIQAiKfYtwIcMs5+m5QBvFarEljVJC5U103779ls50WOHI8wy0T1XR2nI0P+b50yG/+tVvWC5WQEAQeM3m3f09pvPF8/RkznA2ZLvfYp1mtblns9pyMjtj/HxGEqVsNxVt0zzieDzJwHdWjXGPhT5AWRaMxyPyPPcjwF7bLKxgNBrxyUcfs9ltuL+951//t/+Kjz/9hD/++c8IxxHv7Hv22z1GW1QQgvDrx8W7D/zRz3/Gh6sLjG45OT3DGj+J4P+bws6fOEBYHIYokQxmMQdb0dkGW5Xc3r1Du5bp8YiODpTfq6JYISIP7S7bPQ5Lqw1CWha397zIh7RtxfX1JYd9weFQPI6X67qlaQ33izW7XUlZddT1Dinhiy8+ZzRIsOZT7u8X3FzfsFhsiKOMwWDM+7cXBGqIs4pIZayXe44njtFgws3F4nEsW5U1Qdgb2axD9yECxvqM56auePem5PLigjBKePrsOYM446/+zV/wP/6rf41zlj/7+Z/w6tUrnG5JQsl8PqGoNmSJYrctUcIwyCVVucSZA7gxw0FCVQSUhw0BmvFozHq5xnT1D74s/wiNXX+CFD3uw0qs9R0VhaLqNGVtsU71rlGBwVOtnXO8ffuW5y+fEieKzX6BU4YoClmv73n39i0fPnzg6uaGsydP+Mkf/xFpnIPbYjqNxjGbHzNMxtR7TblrCEONbrTPznNeA3PYH5jOp+hOM5qMEEKwXW9ou4b/4X/4H3j69AyHH0GdnZ3yyScfUVYVzjnu7u4w2jCbTh9b8K9evOKrr77GakuYJzgpqY0mDDygygnXxwb1oua+awj9iPaRuN+PU6z7PcyD7jTD0ZCd3Pnxcq836owBIXFO4/Akdtlv2G3b0vauNaF8J8MKrx8TRqIcPnbM6v40LxB9DFdTVyAli9uFD3x3Hpzreg2WbjVd48X5cZQQBhGHfUHdNkRBzDDPSaKQNI38++g0+92WIBiDs8xnc0Byf3fvkSzAfn8A4djvCwpzoO06qqbj5m5BEAiE9IVOGCmM1Y+jokNx4P37C549e0kUJ6ANTijqpsPoFt3U/kQqJV2rabqGsPObMc7Q6Y7VesWvfvVLXr845/xkynK5II5CkiRit91QN4Y8HXJ+esribk1VtLTGr1ZlWXB7d8tkMiVNMv++98gZrTuqpuXm7p6u0/z0pz8jyxOKuuD8/JzxeOzzdCWeMZcmhKGPU/PGAS9KF+LBU+a8M43+evW7unWOUEmcM8RxxtnZCeXaZyf7DbNnKFpLVZZIVI8V8RiVrvWnzygMKaz1KJAgBOfhx3HfPQh7w5BPkwCjv4MXO2cJw5g4jsm0pqzL3nzgtXhR2Bs3nOr1rebRpa2kJIk9e0/0hQbOIcGnWeSB7+CFPkLMZ8b6jlxZeaPGcDj0I+q26U0hgraqmAyHZEnMcDhEt60HC9u+QGsbyDLSOEYJie58Lu1gmBME8jvuXhD4iEKtcc6PuwfDnMlkjLV+3O3oi+jAO8f3+z1NXROGIZv1hpubG7COoii4vLzCWG92CqOY45MTn97Sa3IfOnYP0XIPmbEPHTr4Tmv3/T3zD/0UXq+HT51xNaKxxHHEcDggTRN00zwyAoPgITbOF8pxHNG2HhMjcARCESpfbFsEoQow0jv0dNd6OHOPwREOpHAeII1DiBitO8LAcziF6LEznUb5hag3eEDXG1CUUuy2WyZHU7LBjLv7JVY6hsNTTk7myLhkaARKWYRriTODDCrygaDTG45OU8bTM37xt/+ebBiQDUKixKcgiSBAG9iuSwg6nA1JkyGDPGO1OWA6CIPER6HdLzHOstnv2O53fPLpp8SJY7nacH+/RMqQKHQ4J5EiJIpylos1unFEKkSFAdY0Hq2VxTiFpxrY1ktMij2L5R0fv/jC39PSd7Gl9OYdr6+T3j1qTa9jlH2DYk+eZ99lPTuvG/UHH8DAZr31ZsG64c0339A0FT/+yU/AOs/F7DSIAKQkUBGmM7x6/oKf/vhHLJb3TMcTmu0ObQyEId9Tyv0DL/EHn/G9332vsGttQ2NKCr3DhR1xIhmNM7aFpbUtZVMjN1DuC6azMY1pkEoxGKUkUUp1u2IwSNnEAWEomc0mvoEjYTDMqaqGumoJw5C26zgUFXGckmdDrNG8evUpzgWcnD7l5dkpy+2aX/zNr1jc75EiotjXvH93RV1JZuNTkmjIyUfPOJ6f0pQNs+m0x9nU6M7gnCQI/GxEuJ5Xa43nZDrvgu/6iWEoYDIccDQdc3l1xX63Z5AlnB3P+VunaeoS3IAwgNXSY1GapsDoKbvtPUpp6urA9dUHqmJH19UctmuUcBSHHeVh9z9xjb57/eDCzln/gHq+m8RZiem8M68pDnRVS9e5XmToHjk2QaBoak0UxyxXS6SyjKcDnDA++N6G7HcVDqjKjuurO54+23JyftoLoB2TyQicI05izs7PWS/27LeVb39ifc6pcGjn/CnFSeIw9foVIQnDGOegrGq625Yo8pyl/b5gPB1zdnbG3d2SIJCEUcp6vWOYJZwenfDXf/XXjAcjRqMhRXHwp9hEInRHazwhX0ov3n7U0Dwet78r+MA7kNRDPqcSFE3JJJpg8dqqMAhI05QE6LSmk7I/eXutRNu2mO+lCHx/zGZ7V6OR/cgW00NgJQKYzSZMJuOeTeV1WsPRELu2tK3n4hkDnbZYA0pFdE3H4VD4IiDx46kolNSNNygoBeOxR508efKEqhJ8+PCew76gafSj81EpSdsYTNvRtBWxKnn17BX5IKGq9l7rFIRk+QCHIgxTZE+Fj8KQ0XAECKTy3deyKmnqln1RUBxKdGcpmw6Uz02NQ0VZNySx4uLqCq0rlII48SPS8XjGdrujKgukk6TDjMlkRD5I0Ycarf1J/LAvCIOY0WCEkI5ON+jOOwHLukRIePH8FZ9+9hnPnz/lzbtvmZ8ecfrkDH7hD0DL9YrhdNwX9ZKuaYijBOEcQqr+mQLXQ+/85tdH0uGvvZSSNMsJg5C6XhOEyqe/SEmkQoRxmLpFqMAXUH08kXDukaX30BEIQ+9W93FHGW3XMBplWOdoW4fR4pGMb63xfEenCQovwpfCFzkSQVs3xFEEDqqqJE0yuq7COUMYpbRdQ5rGCCHpuhZlLQH4EV/XorvQq8ZcTNvWvsjqWqQA3XrOohh6M5SzhtEgI44DlIRAQRxKhNOUh5IwCDC2Je5p8wpDlkRUZd0XNDEiCuh0hxOGMArIB0O0c3Tar1lKCLIkJk1iuraha5v+unh3qTYth4NHeNzcXvPv/uov2Ww2bLcb2kYTxRFl6bWfVjuSMKUutujO9PILv57Z3qn8MAp9WC4ED+uG+06VLnzh91DfWSxIhxUWZ1us7TBNixCOKJzQhSEOhwoCjznx7TaEcFirSZKIrq3Bad+N60evzrnH30she1evJon8BMaP5wXOQGc0QRjgjKatfeecNsG1HSpMkA4CJEF/WLHOPSbxhH3QfJL6ovD4ZE7VVWy3K+5uDelIMh1PKeoVcRixPlxi1B7NlrbuSEeCbtOSDgKiBKyrgRaJQWHRXcft/R3GtdSV4Wx+TBwGzCcdd7cbYmU8Dsh2oBxhJDl/+pTpeMzX33zLZrdktV6QZQMG+YTb21s2mw1t463r+XBAgPQoG+nHnHHmJT7FYUNjau6Xdx6XYx5aHJ6l+JDU8pCa4np5k5dQhBgn6NqO/c6n0Hw3lXE45bFAla4pu5qiKv2+0XXU65ogDPjRFz9C4FmvD9pNgWA6njCfzJBCMRoMmA2HKKMZDPAHzH6f+oc3/+9+aR8WJoenLgBIjaFh36747ftfse3uiCcBeRJTlDugIwwFm92WzWLJ8fyEyXBEU7e8ufgWKRVpMsDlkvVqgzOS0XiMlJKubfnn//k/Y7M9UBY1b96+55e/+hX7Pgd6tVzy2WevybKQ1fqesqw5OzunKFrefLjAGEdda54+fcXz5x/xy1/+GuMCqrLjrlpyeXHJaDDm9fNXVGXFfrPz+7OUXn5mLbY3IT0M5aTwGBvhnF8TpcDqhndvvuabr76irA2dtqRpwiBPaOuCutzTNgW6q/mn//RP6bqaps1pWv+xYt8SR5Ki2FAetqRp3PNvBcWhYL/fs1wt/yeqtO9ePxxQrP1GIqV/6HGCh4hDqy1NY7DG3yA+okhhjWO727PbHlBhxHq7oCg3/Hj0OUEYMZ88YTeu+XDxLdkg4+mTEZP5hOFwzH63fzxJR6HC2o4wVOR5jhD+TbcICPzX4hDUbUNVNSgZUhV+YQ4Cf0LFSQ77kiAUzOdT2tbw4cMVb99fUFU1UZhydHRCcahY6Q3TownNvqLeFgzSAdIJj0bpF04kBPhOh7X2cdRrHx5W9/0Ab/fdo+P8qM1KzfawRl92HA4+QB7hyFRGFEVQWazxpzSvR3Q0RvvxnOB77tvvCPzetOHQ+O6AkhKhBFHkeXBpGnN0PGe727NarYmi9NF0YpG0BprWG1akCHx4fa/nc6ZkFyriJKDtGqSCcTbk9ccfEYSSi8sLbq42rJZ70jRjOMw9+895I4rVXtuThzHTwTG0Abp0CKN8fBWCKEioXEvbNSxXS3bbPVcfLvqNz0dwzY+OOT17wuvnr3FOcX+/4Ndf/o7SGlrnWWwWR9i0dFay226pGu9E/eij14ShIkqGNLcrhnlOHIZoq/mzP/8TZBjw17/4O8q6ARniNGy3O8D5zlIaoq1nTdVdSR6kLPYL7v/qnh8VXyCkYFNsOXtyTpQm1E1FpVta0/lujfGC/zzNPaamzw+1PerEOvs4j/PCfW8kkDJkOJiwXm/ZbndY26F16x3VTkCnQXWoSHrNlG/rIMIQYR1t7Qv3wSAnzRO6XUWSBGRphDEVUSRo244o9MkPh4MmzTO6riWOQ8JQIYXXXAnXoXDeBNQ2BNKPh5LIE+y10QSRxHkKnY87Mr6DGjhHJKDa70gCRRYHftTc1ggMUkicaX0qSygJwwiFNzIks0nfXddEAYTSIumQFkI0WRhgSi91aDuD7WKs85olIRVRHKOlRVvjdaJRgKM3jghL21RIa8gHOVGgwBoCJdF9Jq1zmqauH2Of7m6v+cu/+rdMxhMvyZABR03L3e2C7bYgTTJClSBciW78dacfq7kHuLDrn+fvgYud12T4dfXBVfF7+6uv1LVtPeqiZ8UJfFfYOZ8DGgVhz/CzdLpDSJ9jrYRHKgW9iC8Q6tEhHwQ+yUc60Te+vfFGGEfs3QZo14JpidIIh0O3LbrTyCRHHyqMSvxaKfy996AvVEIRSEkc+j8npGKz3TEcD5AS4kgyGmYY9hSHgtqVNO0BrUtCQmRgWB3uKLs9MtD89CefMMhD9vstui05mo5wVnB3dYFME4y1rFYLdoeCjz99xXZRkgYZV/fX5PmA07NjynpHUweEwvHhzbd0VU2W5FzV1xTFgihK6FqDdYYkTRkOMrCx7/h2FVoIkiggSSOSJCTO+2guBeN8RBLGfm0T9JOODCEkeZ6j1BpDr48WvgEhhecIjkcToiim7M1ROIdRBqME2tX86qtfUxQFKg5Jhzk4x3A49PIWrfv4SXoDjOLZkyd89NFrfvv1V1zeXfGTVy95PpoQDwbYB4YV/0AOqetzbGWIBRqtqbuGfb2jqPZkWUgcg5YVl/ffcrH5muPzKSdHU1paSumnRbFVzAZDdOIYZhmz2ZzNZsPqakMQRVSRoUo1aTyk6yy7as/bb9+BveDZs1dMpkfkyRiEYrla8PZtRVfXDPOE2XTEdtfSdhX/9q/+EiEVo+GPKQ8ldd3y/uKW8eSE9d/9jourJdPZOdXuDmEUcZh6xqRUNHX7OIFTcYzDIYMQpOwlUz3GCId86GA6iw8WoM+TbtHam0aTMACjubp8j7Mtn3/2GhUIJuMhaXpEWW4JQsH1zQdvUAsVu2LP4VAgZnPSJGFxv2K92pHnA2ZHxz+0XPvHdOwkPQ2r/9l/Yz6VwaE7148hH2KMfG7gu7cf0J0v9OIoYZCfIVAkUUp5KEiTlNlszuxoSt01/PQnP2M0HXC3uGU0HLLdHRB4R916uSJWA38670PPnQSUQzlFURTc3dwwHAypihJre3eesVjhsFogghCjDVb7qCHdGrrGEYYR5aGmqTuiMOH05Am3N7formM0yNDaa6GUCjDW+DgzYRmNhlhre+eUh4K27QPzz6dOmLZ7PI075/MmgyCg05rtZuNvJOW7a0oqwiCkkX3Hon/ordWPbfnvPt7/rT2eQErpT2A9/6zfRdC6Ybtbk2YRaZ4BjtPTE5QKubq67otDSxz5sPuHgrTtyfFee+Sjg7Tx3/tw6JMnbm7v2GzWHA476toCfqNxQUQUxY9wVmshS1OmsxGvXjwlH0QU5RrdGQbDhKb1Ls7tZsN+t2e1WPXoBs98stZvqLvNjg/vLhiOJpyfP+fp8xf82Z/+Gd9efWBxf0fXtP1G2mJ1R10XtHlCHIYMhyOkcFRVSV0VnJ+d4oyhrApevnpJoy3Xd0tac03dWKzzWaSHw4HpdEKWeZ7Vdrt9fM+ruvR/b+DHXvvDgWyYEcUxRVX07livZzPGd2fatu0PSeoxOsj07fwo8Jtz07T+0NBrWqWQrNcburbtCz+NlPjRLX5k6qzXeUnhu2tpGCKVF/4K4R15Qnrd2DjP6XRLmiaoQCI1nJ2dUxY1u+2OUPlCOwwV4/HId2icI0tDttstk/GU7W7P6ckpX3zxBZeX13z4cEHblSRxRBRHBFLgnNcKWqv9aFCJHgMzJM0yOq2pe8OGtR2qH1WFYcjZ2Smb9QatO8bjE9q2Ybf3z5mUfmSb5ylJFPUOZO+c75qa/c4XxNY6xsMRQinWh00//vSnbKO9s91r9BrCKCRNEw/57R27UuC7nM5ijUY45RMr2pab62t++pOfcNS0GCtYrNaMhmPevPlAHMe4Xitp7IN5gsfR6x++/lOuRPm9X/sCQOCM7R3Vttcf+nGaMfq7qDil0Fr7yYlSPMbEP5gz5Hd/88MB8QEzRH9wtMZv+A9FI/0EIo4jjJCUZdUvM/4+9brOAGcMnbGPsHqpvKM3CBVhHHnd7dERURJRtgXb/Y7rG4OMGuIBhHnMenVPrSrOjk4gtKx39zjRIpT1hasA5wRh4AHduoUkzhFBzL44cNiVpFmK7eDm4p6iLjjsKo6OTjg6OmGx9N2Yuu5YrzaEcYqQiiwdsFqt2G52KBmRp0N050elXdP0a7Yff48nYzrdUTU1cZZyNJtxPDomE0PyPPfvufPXYjAcekNh1Gdq9wxHr7X1rfs0T5jO5tAf0pvWxz1q3fVM0BG/+OUvmUwmPH/+nPOnTzk/O2M2HjMejx4nN1EUIVWACkKs03z15e8woaBpStK25cWf/hlCKtSjrvMf1tlJofoOHWyLHTerGxbbW+5WN0QJhIkjG0UMxiEfffaKLItY71asNiu2uy2LxRLdWZ4+e0Ece+j/4dCwWu4pyg5bdAzygOHwhDjKaOo9wsUUhz3OwH/33/5rEAFRHDMcDTDa+Azy+ZynT5/6ojZ0/OiLH7FcrkiSxE9drCSQMWky5Nuv31IVLbtdyXx6zGQ4pTo05OmQIAjYrrcs7hfgnL8+vYTBH+r8vuecz4wPZegn4sbiTN9gERKUIwojgtAX8VJJlssFZVlgjPFxfmnI5eUFWreMRj55KUkSpALnvGSjqqpe+tNxe3uLlAGffz7h+PjkP7FK/P7rh3fsCPu+iu+vAF64bhxG+wfM2ociAwzWQxqrlqPZ3GtxAsnxyZQkjZhMxuz3eyajMdY1rBYr1tsV2nQ8f/mUfbkDKXhyfs58NqeuNKvFgrN56tEezvPfnATTi7+tfoiBij0jrueheBJ+SJokJGmEbn3Bl8QZSTIgSzS73YH9riQKE5yR5NmIy/eXJH2c0gNc8sGZqpTECby7DnonnT81PxRgdV37kbSzvQuxL+zCgDhOkaKlwz+EURQxGAx8jqh4yPUUPOSB+vGg//fp7fHWGtr2ISvTF4cqkARKcnx6QhQpqurAodhhrWY+nwKW7W5NHCXUdUvXtYSRIlCKyWzMcJhRlj7yxpPQ/d//EG5unR+xKuVzYve7kvnsxFO62dO2HZ3pCK3xYuww9HFAUhHKnCenr5hP57T64Mez1rtyZe92PJ7PUAjm4ylxFOO0pSwrttuDB08HEVXTsVosuLtdcnl5zcuPXnE0mWLbluWioyoPtFXVu0kdyjUckoqLixuwnQcmz+Y45yiritFwRlEeGI4GnJ2fsS8bFqsdbWe9Xq31qJUgCAmCsC+0DZvNFhVGTGYzlqslWZ6yO+yI44jRZMRyfU/XeezJw/vX9vFiDwsGjzorbx6IogjhvI7pgX320Jmdz+eUKma/3Dxu1mEYELjeKSr8uB8pcZo+Ek/4BIBex+NjnjwjsmlK5vMpg2EGwpGkEevNmihWxOF30WeBEpiuYTweIlVKlke8/uhj3rx5w+vXL3n50XMury59B0xBkgZ+nKprUKo/XLWEcQoYcB1RmCEwHA4bmrZlOptR1ZVPnOg68iThxZMnrBcLmq6hKUtcz44cjwZeHhD5lBkv1PdjctU7aw/tjjBKQATUdUUUxY9CYWe99qttWyIZY43GWctgMiKKfdclUJI4DDEG7xqXEiV8EZhGEZ2ytFXJ1eUlT58/Z7M9EPZd0iRNUIHiUHl9qu5HG47vxqr/qNcf/AEpPG/QO2olYZwQSMVus0V3HjosnE/CMA9jFSH6DjB95nWv1xL+65K9q9rDeg3GeA3ewyTgP9gPhHzUDMv+PY/C0DM5pfR5xcIQJynWao+lUjA7mfLi5QvW1YGPPnnJYrVidbhnt1myKTo+/8kLprNjgsRDjlf312R5RtvV3Cyv6GxHKCRff/uGxXJBEKS0rWMwmLK433F7u6aoa+ZHM6aTOdPRjOuLG7J4SBzmTCdzJkcj1qs9y8WOtmsYjoZ89PozqrZhvdswzMfgJOPRmCBIePrkFZcXd2zXBekwYLNe09Q108mI4dibJqI2QoWSumnYHw601nIYe3i8dQbv3E8eoeBSBl5D7UCGD8ARX/xXdU2uMlTgk4eMtXSdodOG8ydPefXyJe/ev8c5yPIBJ2dnJIEHO98tfGoQPswCFYg+Yxt+9OMvmI6HnA+GRFL2Mgj3IJ7/T99/0u9d692K2hSoDIrFlsV+R2QcTyenjLMJFsPV/RXbzYbDoeRusWS73SNlyHELIlI4J9nuKrb7irYTNI1mcX/NYW+JwtRjSFSE1f69OuwaLq/eMJ6MOT07QSjL61ev+NlPf8LR0RTrOhyaH//4pygV9NIH2K4OfP3Vt1RFA0ZR7lvKXUO5u8ZW4LRAt5o0SomjxMslnE/BEYHyk7PefNJ13rWsAp/93nWdj1+U6rvJXD9mR3hjYBLGNE3NdrthNBqS5Snr9YL3FyuiSPGjH38OhOT5gKoq2O8P1E3L4VBgzC1VWQP+YHRxccnt7T38H37YkvGDC7ugzy71s3vfqhfOJz/I3tXZNC1KyUddgDF+U0qTlI8/+oi3b9/4iyVjlosNz549oaoLvvzq195RJCxNXVPsD6jQLw7Pnzzls0+/4P3bS37zd1/jJpZhNiBPM2rb0poG0W+ODzqONE4I8oDVeuV1DPgbJE0yP5YUjjzNicME46ATjuFgzOnJgK41LO7XSCTr1Zokjv3D+ZA40Ttd5UPmZz8uGQ4HBGGAUoo0STHWsF6taZqqd9H0BVgPgRV4E4UIxSOZPgp95ErdNH0B5zWMbds9Fs1AL1QWvYieR5L/QxcjiAKePztnfjRlvVmy3a7ZbFc8f/GUxXIFWKLYj5SDgN78YbAWr0Xqu1JNU/eCej/GC0JFUbV9pqMv5u/vV7St5uTkhNnsmDfv3lMUFSJQiECBkHS2w3SG0SBCyQhroGs0m/UWIVuEsJyezpmOhgQqoDiUYBx5NkAg2O/37LYFHz5ccnV1Q7k/YB1IEVLu9vzyb37B5GTOZDzm9OiY9UaxXq9oG+3zQzsNdk1TtSRpiDGW2XTCxx9/zOXFBaenz1it19wvN6jQQ6CjOAJpaXCYTnuHVBARhSFxlPiTtO5A+vzTuimZTMfkg5SjoxnjyaiPVDKYfpyXZim7zYbNZsPR0ZHX0Dygb/oiLwpDmqZ4NMhIJQmCgCSJGY2GtPuarutomhrZF/HK+WO313B6mKELA+jHQP7w4TcYz4VToBwylKTDlCSPqbvah3IXOzrTEsWSPE+93d91aN3QtIooCsmHMfOTIZv9EEPDertgtbvHSUOchNR1SVkcSOKYIMr8wuc6lErRXeVtVaZFC0NTF2x2W549P/didCXBGFSgaKoKRX8KFgKsIQwUw2HOZr1iNMyxpmO33aC7zkd0adHryXwqhxCGzXJJmMSISBFEPhHBJyEc+lFwi7N+qqCUH2cLIQg9SBBhDQrnO3iyz541LXXXsbq74+OPXlOXJeA7XmGgEFKw3qxp2va7hf9hner3ykejFeL3umHiD7Jiv7/lCjxIWvUbShCGxGGAsI7yUODwzmivr/zObencd5gT1XfqrLWowEtHVB8t578Oj99wxmD7YuTh/8ne4GWt9agkIRFSPZrEVF80ds7naYehQvdasyCU5KOUpy/PWf7u7/lw9Z7VZk0QB4RxQN3umR/NCcOQ9WaNEIaiKCmbksvFBY2uiNKYalPQdDXvLy+wJiAOx5yeHXF3X2GcN/WtVxuOTifsD1sury54/vwF5ycnLNb3VFVHlqXoLmCQDzg+OiZJE9a7NRdXV+AgDmOccYwmIwbZgPLwFmsMs8nEB0rqru/Iem3lYDQgTkKKqmS/OJDJAS9OXwNQtw1hoB6TUIbDIUmSUFWNv6JO+mLbe/Jomo4nTyc0XY3su3md9q7q4+MT/ot/8S9599/8N6zWG/b7A9Y6Nrs9QsDd/V0/egcZCMJEcXwy48mLc2azIZ88f8lY+vsFwD2QsB+7yOK7zu7DndoX/7fLG7559xXxNKRyOwq9JRoK0klEMg7ZVhu2myX7zY62amkaTXFoGQ7naG25uLglSwceJ2YsdW1JhzNk0CBER1U7ri5uSKIclcWYrqXYVkxHR2zXBVb7znCSxp4MYQyz2Yx8kLDeLNhttxwOJZvNjtl0iiOibWE4mPP0bIpyF+zWb+hqQ2BC0CCMpKs1NnUMR970VlYFwvaj1p5yMRyNGAwGvcws6DPULUoopFA4Q19r+DhRrQ1pGvfGNIXDsl4vORz2GNshhOwj0jSJDtnttiyXS6IwAgRlURKFMScnpxwOJU3dcTiUP7Rc+8cUdt+1ah/DfK3XYWRZSprFrDcP7UpJEMj+G9RsN1sW90v2uwKcpK4aEIYXzxV3NzeURckwy0kGKcenR7z+6DXpIOZv/vYXlEXBuzdvubq4pTwUmE4zHgyZjSdszA6rNUoqH1huDU3doJRiNByyWa9x2oGxKCfJ4pQgkH16hqOtG0bTGUoGFIeKrm3ZbQ8469jv9l402btuHzAlCG9aGI5ynLTUdUXbtX2UUNDHIRm/6QtH3dSPHQF/+u1HuRaUCLBOkyV5T9bvvxftwcMPRZ3XGoqeWSdAeZHzQ/EXBQFB2LOrsIShpO0qFsuO7W6Nc4bJZMRicce79+9J0oQ8T8iylOura4rCnwwC66n5TdOw2+38mMf5Mc9g4E8cwd5jN3zx1yIIuLleEIUZ+ShnNJpSlh1RHIOTNK1PbzCd49OPX/O//q/+V1TVlg8X3/D+vQ/HfvLkmMlkwGG3YjgYMkwzr8kTvgDu6oajl8cEImA0GFGWDXf3C9abAw6Jbjuu3r3nwlrOzs+ZTCdIJ1itVxRlQdda9rrCGkvWROiuI0sjjo/nDPIBDkdRFewPW8IoZDAasNruQfgRlLDQth2H/YHxeEySpI+xP8IaDsUOh2MyG1GUB8Z6xPHJMWma0rYtZVkwzAeEYT+C7/xYv2tbrPbpI1Ybf/iIE4rD1hcl0o/IgiAgThJWq3WPYtBekN5vyEL7DrJUAhV49mFv1wEkSgnC0IO5ZQ8bNlaTZDFJGnF0MkebFuM0RydzVCCZHU+9fgtFOkwIO0ndlgynxzil2RVLnGhxtGjbYJ2msy2BVJTFnqryYetpEoJzBAryLKYs94ShpOsqQhExmQzYHzbelKFA9B1LJQSrxT3OGJIkYbNaeuhvFKCEQzjD0XxCWRTc3117uYUxWGMxuvNidGMwzlLVDVEXk02GBCrGGEMc+SQL03dE/NblHosYnCFUEtM6jNaep9dXWMKZHv8hqQ4Hit0e3TYk2aBnGhosDU3vmDP2gUHpek0T/UbqN4L/8PX7xd33O2YP90PQm7ACGRD1KCQ/ljV+O34wZcCjBveh4//Q7ZPSS0UeknIe8ExS+t93nUEp9Xi/Phwsw9DzMXGOUAW+U9GvWw9xahKB7r8GqSTadmgMl7cfcH+niQY5QlmCUPDq9QvefKgZxgFOwPXNDUXlxeZd1yCV5G55h0aTxjmd0UxncwKV8eXv3rO4u2O/+yVSxDx79pJdufOda6W5vbuisw37ao/YhGx2e+6XNz3CwjIazbm93aB1Awpm0ymXVxckccTZ2RlxnPH+3Vu+/eZrjudnZGlCEgfs9obtbgPK8fGnn/gaSEr//QfKczebGu0sxlkU7rHojXq2oBCqr6cU1vlnNwgDhuMJ09mc5XpFmuVUdYNUDdZZ8uGIJMuwCE7Ozvj0s8/5+ptvPXJMCu4W974ol47OtIyTISfnR+SjhO1+yd0iYnh0hpAPKQaeXvFdx87+wT1pccLSdA2//urX3G9vyYKYloIgFgzHOdkopDUNi/sbyv3OT84IsM7RGcs0zdjvC25u7sizktFoQttoz7wVgulkzngcUx063n17z850nJ/mjPIRtxdL5vMjZtNTFssbcILDfk/TFvzyb+HkZI5j0u+Zlrdv3/Ptt+94/uwlT07PicKc8tBhu5K2tMQiQyqDba3PXI6HdKajqTviKPHyLNM9PrcBAUEUkg9y8kHOarXGGMN4PGY4HNH2Y/zHSZ6Q35NGCbqupaoKirLwxr44YDQaoHXL5eWFN6IpqOuK3W7LeDRiPpvz8ccfs1ptaBr/94t+mvNDXz+4sPMMq99/SeHdsZPJiCgNGU8GbLYbtts1HqETgDPUVcnVxRVlVdPWmtl8wvHJlMX9ktvbO9IkZn40ZzDKSfKUpq5puorF/T22s0RBwma1RxFTHg5EKn3MwxS900pYR9Np6sqTuovDAZzzUUu9SiWOYjyFRLNdb2lMhwo87w5naKqO66sLrHboriHr44pM1z5OQ4QQnJ6ecv70lKLas1otuLy8pG078jzvNWktL168IAgmXF9f+cJQ9nFBeOyAsB4ToZDMJjMADocDSRKjrR8hdrrrR2feeQs96kDiO4X9yDZJE4wxtF2Ldh0itFzfXCGEwznjnahxRFUf+u6j5vLqA+v1jrbVfoTWY2weOpMPBo0H7V4UeZyCxZ+igyD0/CULcZzRtoZuU1BUNVXVEsUNWapoupKmq1EElNWWb7/5LaNxxng0YJBnDPMh08mMyThjt7r3TsswREUBSvhxZRXHNFVNqBSjwZBARVjjCGTM3WJJU9VIHNZYrj5cUFcVs/mcyXgGKMrDARzoznGwFW1X0zYHNuslR0czzp89J4wThJLEacBoPGKwPdCstljz4Ef0aJ+u0yRJglKBd3vqjn2552h+RKc7dvsdT+QTsjwlzVO2a5+DnKfZoxvO6+Ok12tK7f8NB1EQkiYpSggP63Xm8ZoLBLe3N4zTEeA7I7rPxaU/TAnhY74QDz5GCU4QxRGd1TRNzdMX57RdiZSOwSAlyxPSLCZO/Xv6+uPXnD89RynB5cUF+ThjdjQlCBQfPrwnH2fsyxXGNcSpIk4DtvuV7wzEc7brLW1bcXQ05fT42LP1VECSBEwnQy6v9jjbYa0jihTCWcJAEAQCazrSOKKtaqL+uSv2e2aTMWEY4bBUXcPd7bXHbIQBWZZ4/E2nkfgCxxpDGifUVtM1DQ+DJo8AkbQ4wkBRV6UvraTvsHXap24MstSDlqOArvYxXGgPRxZS4IxPZtBt++hwfXp+znq3B7yDrmpbNNBq00OnxWMxZf4jo83/qZf43s+BUl6XIyXSav9+ao3t/y2fX+2fWx9Y8l1h5135HhT9cN/4ws0/96aPJoSe2dWzM71m0K83Sims81GODzq3h7Es1nnnrlfd07YNURqCkhjZp/VEgrMnx3z95g3r7Y4XPGc4ykmGI1SocM6glENKx/n5GWdnp3z99Y4gTgjjhPF0xk4fuPxwS9Navvjip/z6178jilL+7je/IhuHBCrievmeMFcMj2aEYcChOWDxkHElQ5wQfPXlW8rqQFnt+Pk/+WPm8zlNW3B6csJ0OuPm+p6y3NE0JVo33N/dIoDRaIjB0rQNq/WKznTESUwSJszyGUpEfoIpJXEc9vF3voPz8OyLfv8SqH799fKJ7W5PmuV+KhRFGGtxPerEOf85s/kR/8V/8S8oypIkTXn9+hVffvnld9nh2H4dMKx3S9qgZTKZUNYHvm/m00DVVlxeX9DUDUfHR0zHE0IV4ncrS+dqbrd32NAwPZmwr9e40DKfzTCuoT5UKBdTHUq6tmOQDxEo1ptbnID7xYK7uwX7XcH19R3j8YRXL19jgH1ZsD9UpNGA7aqkKmvqQlMXhuPZEW1t2e8q5pNj1usli7sFItBMZwOOjufQa6aLomC93rDd7lmvttTlN8xGx4yGc9bNlqsPt9xdLTCt47AtCFxI1OcZt12Lc5YojVC9LtGYjgdbSRz5a7nb7zkUB8IgJKoq8nxAkniDm5+Y+GhHgaDtLEHoO3XGauq6BCxJMiZJYnY7P6K1tqdGdG1v8oTTk1OSJCWKSt/hjDNWqzVN8/8Hjp36A22le+gYKGiaklpbkiQhyyKKQtA0NQ/5lp0J0a0lUBEyDNiu9ywWd2hTIqTm2fMTJpMJIvAZkcvFgrIpsNovKpGCw37PMA1Y3i949fwToiDwIerGPoocH3QzAjjsD+z3e8/z6U0fUkpvc8dRlAVlXXEpYTQae4EoPtBcCkXXNo96G2u/07HFkYexdl2LUoLj42N2ux339/esVku22w1pmvHTn/60Z4E5ZCBRQmKV9MVKH+WlQg+OvfjwwXfojPFFGoZG12jtOxCPGqz+tI7ym5TPTJcMBjm7nc+4tEBTO/Z7P0qLooCy0iyWG6Z2zHQ6J8tzNpsNZXHAR9oE/ZjGJxq4Hp71sP/4APiauzvvWAUw2nJ2ckanNR8+fKCMasLEQ3+lDDkciv76N2hTk+Yj9vslv/713yCE5eh4xrMnT0BY7q7vUcx4cnZGURTUVY0SPle36wyBUuy3e5q6QSI5nh8RRylhmOKn25JDtUd2glZ3bDdbkiRjNp8zmx1xdXHJdrNCqhAp+jiuQ4PRLfv9jtVuz9n5EwajqXcIO0uS9ocAY/rumD8cVFVNEIRMJlOsg0O9pa49FmO33+G5Z440z8gGGYfdwes2uo66rh8PCEEQkKYJrvN5rKYf0cd9B0Up9djab5qG1WpFFqaMkqE3DimJdZZIKSShr/UFjx07Z2yPU/BdGWklxnUYrZmMJxhbMxhkfPTJRxwfzwlCxfXVnTdUZAnbw5ZDU/DjP/4RdV0xnU25W9+xPWwxtub65gJnJVmeU5Yd0+mYOE79ODkKMLoliSO6tmU4yMEdk+cZg0FGcShIspQoiri9u+VoPkO3DVI4hoOcTdshhSRNEuJH/enQd1+7hsFgwHpVUBQHJqMhSvki7Xh+wnq1JVCSQZ4zm6d8/dUbHP7k3NQ12mjG4zHWetmHVAqHQAYhVV2jlWQ0yH3BKfsNt79ogfJmFwFe8iC9HGJxd8fzjz4CZwkDL9Eou5pOW5+cY/tRq3g0Pf/wl/uDz7fekRcF3kDijOvByfZRp2mN9lFiUj7mxj4c0ORj7qA/pHr9rvYmL+O1db6L50dL+/3+UWMLPCI7lBDIIKLTnT+YSIdEPuoYBf6QUdcVKEtLQxAJXrx+wfOXz1nvNgyGOXGeUpR7Ts9OmZ3kGFEgBIwGGWKcMhimKOWzwJUKKKsa02jaVtN2lqOjM0aTCZ3WBKHlxcunnL0+YntY8Pe/+Rs+//HHIB3b3YpXrz6nLlq++eYbstGYLB9Q1zUXl5e0XeFj/5zl9asXSCl5//4NtzcLFvc74jhE4vjqy98hlSIb5jTGb8bL1ZLT81NvGBIhDr8PNU3jjXHSj1Oj0OOplPL56c4+KDDE489SSO7v770b3wmm0znr9YayqrDWURS++InCiHfvPvDi+XN+/rOf0Haatutouw79ADEX1vNL24pARzSmxQpL2VUksb/va9fx7eW3/O53f89ut+f169f86Isf4axls9166VIMl/dXFO2BsikIEglKESnFvj6wuLrmxcunHM2O6NqaKE5YLTfc3N5graJpOpqm4/zJOYN8hAoippMplzc3JFlKlgwY5zPa6prJxHK1v6MqG27qOwb5gM16z+dnp0zHM3bFgjjw68F8NkcIwWazYbla9BOvgE8//ZzDvmKx2JAlOVXZstsWFIcGZRV11RE5IADjNNoZtNV0tiMbeH1soHzXmt6IZqylqiuE9Ae05XLJYrEkTwdeFoMikKGXRAWesefNLD6KVfWUEKn8ety2DVVV4Zwlz3OePnlGGIWEPbj+q6++Jopizk4nxHFC23b8Y14/3Dwh1X8gom3bDodgsVwiQ8dsNkJIQxQ7qrqmrjRCKE5nI/JsiFIRbWPY7rYY0/DJZx+T5gojW7TwWhdCyaGoEBKO58d8/NFnXH+4Q9cOo3wKwmw2Q6p3VG3lMwhFX4RIQdN1oALiVGGdxFjhM96s5VAVGNcSxQptW1zYoWKLCD1WRXeGyWRAoFJ0Z/rungXrF0wlBDIUtG3B4W5J1ZaMhkParmI4ymiahrJUfPTRS05P5tzc3DBIU5zxnTCrvd5PETAbe0t717aUReG5dV3HdrtFKEUYea1bHKa9ns6PWgLhHX1OuEcTS3k40FS1LxYduM5BZ+iqGtN6zeF8NqZta4RwlMWesiiw1qA7RxhK34lwIIXB6AoprHcCaUs+zhkNxtzc3LDZbjg9OaHTmv228DT/IPFwY10infN5krqmKhqiMOTkaMbJ/JQocmx3C8AwHEXMj844HHYcDgfa7sDZ2RF1WWG0JQoEXVdT17WPP+scVW0ZDwdMhlNcJ2AsOTo6oqpKvnn7NZeXl1jr81SvP7ynOpT82T/5pzw9e8Lf//3fsdut/SanvcGgbT2WdbPaE4YbGi0wzsOeo1CgRAe2Bqfw6SaOqqqQMuDo6Iym1izXS+I0pC4bdt2OKAypqoo8z5jOZizvVjgh6Iyhbhuc8O4ybQwyDDFCoqXDCAMByFDRGYPW/WZsfJfJ1h1SJtjOowfCOKYsSoI4YpwNKbY74iRhPBvTtDV1ccB03k0rgoTAOUTo3cefPnmJtgem0xGvP3lGlESoGFwAu12JVIJqWyKzgPHZnOLqktJUxLnXp56fPqE47FkuN3zz5iuskbx+/Qmj4YTVakEYS87Oj6mawmvCAsgGMSqEOFGcnr9gt9+x2275/PNXjMcjfvObv+f05ITYSQqliWNBZ1rmJ8fIKGa1LwjzjGFs+fSTT/jV39Xs6wPj+RgRBygTMzs95lA11N2efJjz6sVHfHj3gabpcJ3lsFozmowZ5wOK3R6Bo9Maej2d1R3IyDtEtcUJBUicUDjh+sI57AtuSOMYYQ13t9e8/uxjVCAIZUCap+zrxiej9Fpk6wzG+Y6aFc7/wCcyfH/05QSPhwjwEHSEeTRQWDzPDOHXpM5aOmMRSmF7za22jiSI+lGfjwP0jvuHUbDFYLwZBUEYRb2rFpIo8okZgLGGuvWi8VZrgiD07411/bRBeXyMlEgRoJ0mCEOariWOI5qu81rHriVIJPOjGU+ePKFtG/b1gc9+/Dl1UxGEkjCWPHlywtv3X7O4WzKd53z06Qu0q1kelhTVnlhmdGXH/nDAScFkPuOwM3z5zTeUTYOTEhc4js9y1GZDMui4XXzL/WKJswlZnlMXju12z9OnrwjDxGuEa00QJawXOy4u7/nTP/0ZOMduc2C9XCOc4vTomLYxaBxtXbFvCpI84+zJGWdPzomTiLbWaG0p2xJb+qaGwhL0tkNflEtCFfbTLn+Adsb095gnToRxwr4oKaoGGURoKzBWoq3ECUlnNL/76rc4Yfjss4/ojGO33XJ/e0+xKgm6EOc6wkQxH+W05ZaRCcmTMenA8m71Nc30hLKsubq9Yb/fUdmSdBLTUPGbN39HXTfc3t4ipMAKfPdJWFSAd4UbQ55k4DpWVpCGKW1bs7jbEsVVbyQIGQxn1G3HbrtnNJ3w9NkLBvmI27t77jdLrFR8/rE3sg2HA+ajY7aLA7Z1OG3BeKSOtIJRPqKuCiZ5zicvPiMMQqqyZLW64/7+lsFgjJKKp+cvSZMBXau5eH/J27fv2C73dI1FEfrpl/GgYWM7kNY/m0aTBSmRjAmce0zA0cbnsete96w7DzAui4ouaXBGkEQJo0EOTtIazWw+5ePPPuHu/hbtLEiB6yxlceDyouBw8Ck9aZoRRzFPz5+S5zlF6Q8RcZzSdZrLqwvCwI9g1R921/4Trx+usQuix8LuoUXvnI/eMtpStSVJqjg+GZNmRwyHOWXZkiYj/uv/7f+G6WjG/+P//v/i6uoWrS1pmvPixSuG44RvLr9htd2ilCCIIuq6xTlDkkZ8eHvBdnUgTTJfFPUnnk53Hp5q/Rjx4Rha1w3X13fM53Na/ZDgANpqNrsNTmjG4QAZCtIwYjBOGOQxxaFCBI7RYECx76jqligKcQ8nZiGo25osjzC25VBs0bbjvinZbFY8f/6cPD/DmI4sT7i5uaIqSmbTCV1nEE6xW+9wVuCsN0WM5kPatmG9Xvdiaq/pkzJEWq/ti5LIn1htTac1uun8eEV8h08oDoWPnQo8adx1Ftt2dM4SJyHOiJ6vo9nvdqw3a7R2hEGANR2PR8e+OW9ti+eKwdHRMa9eviZPxwRyQ110LO7WRFHEYVt4knoYgBMI5bBopPCFmbE+Nurl83OePXnOeOAzGIvDHhVIDsUeqQTT+ZQ0jdjuSuqy9HmnrdcCRXGKFApjoawaIlXjBgaBL1BlEBCmEa9evWQ8HfXt8oL7+xXL5ZK/+9Wv+eM//SM++ugjfvObX7PfVX7LNPTDSodUlqruYH8gyjOkEgyHGcM84bDdg5DY3sFmraDrfLJDHKekUYrRLYftwQNPg5CyLMkHKacnJ1x/uPb4gTh67Mo6nN+cpcRJ0Rd7lqDXGHW6x5/0YvRQKEzTQQKmMwRB6CPUlCJOEp+v3HYMR0NOn5zRmYb333wD1pImKWHqcR/xIGJ7WFA2NdkgxGD46tsvEUowmx9xfH6MC1ZcXl5S64qf/vynBFlMPMiYzSdEsWS/XjwaOepGc9iX7KsShCUbJMhAMJwMefryKVcXlwghqNoKZy35MCPah4ynQxarW5q2QipHXe/BdcwnA2xjiWOFEJaqaXj67CWrzYFKdwxGGeMoJB8PQUmcEkyO5ySDnLJtyUZDTs5PWa7WPvnh9JjBYIBuNzyq6IzPhCzL0h+MrEH0DmVjNEZLH/0k/bHJInyBJywy8OuT7vE1KlCEUUDT1mx3W/I841D5zMssyyjbAzh/IHO4R83qg57v9/yx4vE//e+/+7XtHePf6UF4bP355rrAWNsz93x3wTzEHDp6846PPzTO9CiSFmcskYoeUSZKSoIeOI3z+cdCGN81rhqioS8WUb6oNK32h14pem2SlwaUtSFQIZ0WPCSqKKkIQp9ZKwL/zCdpxGJ1zdHRlLb1hhslJEoEVGVLGCaEShFHiqquKOsaW0Ga5JSmQcYKGTtWyyXZOAcEURrRdAfCxPHyozOuri+YHQ2J4xmL1R1Hs2f82T/9c3Aew5RmKZ//6Au+/PK3fP3VtwRx11MeLMfzYxQReT5mty358qs3zI+OQEpWmxXWGT7+5BOqquSv/vIvmU1OOJ2fE0iN6fwaBaAeLpn1uCzVJ308XM8HTZZwEtmzKw+HskfJSIx2aO0lL8+ev/Ah9EpwcnrMofSomLqpOez2tFVL0BeAWI2wBmFbTHOg2N6zyQXb3ZarxTv2mwNN4Vmv+3LHcDhks1+zereiLEuiKMIJQdtphIR8EDOfj9G69e59C+Wh4PTohMlwyldff8X9zZJsmHP+5IzTkzOEikmsl8EgBFVds9uXXFxdIYOANMnZbHZs7j9wND5jkMc4a6jrhiRMMManyhSHA5989AlGN9TlnvvbBZOTVzjrc9XTLCXPc8JgwPHslLrucIEfdBdFSdt2SPz998Ces848uoKFUgjpwd5xEGOdpSzLRy7gg740TVMiEZBO5pSHku1271NVpCAJI4qyZl/sGUUzwjCi6Vo6o9HGmxDrqma/LzHGkGUZR0fHjEYjsixHBT4+MY5jhsMBZVnTth1GeynFYJD/0HLtH1HY9YJb27v3Oh/cibOWKIr5+PVr/vk//yc03Y6vv/kNYSQRfSzMX//Nv6WpDF9/8x5rApQK+Zf/5X/FdJZT1nuSKKeUFV3XUtcdP/7xH3F3e01RHpjN5mzXB5q2IR0NUIEP23442TprMNhH3ApO8O2337JYLB/HAU4oZvMZTmg2uwO5TRkNcuLMA1KRkGYxo1HKKJ/zvrmmWh1Ikrk3QliN1i15npLmMfvDxoewKz8mE0JwdnbGkydPcM7xu9/9jtPjU16/eo1DIpykKmp220PvVIMojv0J8uqSrutIs4wszwijmDhOScKEuqnpuqYfj/pZvTUW3VlPKnjEoXSPWBKc13E1TYeyhjD24v/ddu95XMbnqkaRYLcteiaYQsmHODTbY1N8h2o0mpAmQ7abElzEfHbGofDdDoT0bDbhJbhOamToM0RlINDGMp6kHJ+MyYYBYSjI85zRKCOMAtabFbprOTqekcQxy6Vjt92hO49ASeKYNIs9FwsftyWVxArXO3oDnr16QTrMibOEMA7ZFzvu7u75q3/3C7783RvefHhHY2o++fgjnj17zrff1FTVAekEWjuEsCA1Td2SZHmPMrHEsdd9VmVLVfr/b5w3dOiuYXF/x2g8ZjqdsFjc0VQNw8kQa+H+9g5jZkSRN6LEUUSe516w3V+nBw7j93mESZJ8z2Qj+nGNZ20ZYyiKA7swZZgNfUJJkjziEcIgIklTBqOR70pnGUZZ4sGAKM5I85zjsyOubgVFVTOezVhvt4znc5I0oekMRX1gfnzMt2/fMBgNeP7yBU+ePqMoS7a7PW1TI1SAsQ5jLMPRiC+++DHv3n54LNTzQcb5s3NQAi0sWEskIlrTko5ykk3KYrVgvV6TJh4HYLR/H4z1iCItLFY3GAfpIMNt9yAcJ6enGGreffhAlCa8fPUaJyTawXg2QwYRUZqincEKOJQF2hmcgjRJGY0naOsnBnXb4rCPRgHP8/LdrK5rEGHkWXHYvr/ln4sgDh4/IpRAhYqyrrm7u+PHP/sj9P0aKfbfjSvxE4PeYvcII/692YfgHzRRPDplv/cRFQSPJg8hRK+ts74Dp3wqgtXmcWxL3+Hzjj3tJRud9iPl0EeFOjzJQClF1/pOG/gDn1QCY7zxxmvvHDiLc4ZON8RJRpxEFFXpIwJDX8wFQYAVAosGLE1TcSi2DCc5w2FK05a9nEcSBDFd23F2cs4gzymKDXTCc0aLGtcKvv3qDSezc6aTOUVR9XQCkMpxdn7M7e0d796/xYQJSe71oqPZkKJsufiw4Mc/+Rmff/zH/M2//w031/cIEaDCkMurC1abDUW955NPn9K2Hfv9ljRNOT5JWC13OCc4Pj7B4qMPO6Mxto9fjBOGwzFnZ+c8O3vOdrFm/wCd/97LWte7mfsUAyEedcxCOKT1o3MlJWHguZEPTDPnLJPxkH/yZz+n7Som0xEnJ0e8ev2CMIhY3t9wdX2F6btD9OiNyWzMcJRjXUtZ7ri8qn13Slt0Y0jCmKD/t7766kuU8r/24/kIFSqQcCj2FFWICjRJEhLFiqr263+UxFxcXLFYLImiBFDUdcfz5y9RgSdPnJ6cU7cNTav5+uuvWazXnJ2eM54coRtLonJ+9sXPmWQz/u5vfkNVLOl057tjumG33/HHJz+hrJ7y5de/5uuvfsfZ8xnjcY4UiiyJyJLcZ5nHMdvNnrIsHg1FFkMQhlgcKpKgfZwm0psppXQEUUgQRL+niW3b9tG0KITfv56enLFbbnoSSIfRFXXdeOamsY9/5uLiA0VR+N87SxwEfcxiTt00Pb5JkCUJq8WiN2EK4jik2B0wxtF2mtFwRBB4neYPrtd+6Cc+6gCshw/7g6ckCmOOTub87Kc/paoqLm/e0+ma5y9OMbZhvdrx67+/omsgUIOepxWSpr6j12iDUhFxlCFQnBw/4dmTl1x8uASnKIqauml6LYx3pGprerBqQBSrnqVn/Sbdf62r1cZresIUFQTM5nMfM9MVXt+UZySDEBmARpOkCcfzY4p9Q1HtqbqSiZrRtZqiLKjbmuPTOXEaEedzLq9KDvs9wOMY40HH0nUdu8OezXZHWdX9CcBHYllnCaKA8WTMcr2iaVu++OILyrqm1d13aIvBCFVIttumz3b0D7cPEBePJ3o/pvWLrXN+E2mbPku0kyRpSj4c0rQ19MNbqQRBEFEcvBvWOedZQBZQPvomCk3vEi5p60sfKQc8ffaM6WTCl1/9jvV6iQoETdPSdgKUQ3SGNBtx/vQEpQyjcc50lpFlgXeQVjuUlGTDOU+fP6GqSpIspW1airICoRgOfYTcerXm/n7Jycmp7zRgORQ7mrr0fC4BZ+enjOZTVoet12AZQzYcMDuZk13f0TrH3f2CKAx58eIZp6dnXF9fYnotpocnC7rOZ6L676UlnkTEcchoNECKxrfl+/dV65bdbs10OuF4fsT93S2d9rmsTe2D4q1zPRhYkKQp0+mUJIl7XZN+NFAI+d2G/iBQB4+xkP2RXgofUC+c6O+PlJPjE5rCC5f96bPDCUkYx2w3O4IsJUwVWTZAhTGDSc7JkxO0arm4fEvnIEozzp89o24rLq+vCMOEbDRmPJsyPxpT1gXvPrwnyVKSOKLYOYI8p6lLOgeddcgwZDyfcTgUKNORDlOEUuy3O/LxgNFgwGAw5Pbmhnw8IJ8MefvttzS65enREzrd0rSafJgxGI+4u10g4pAgiuhqzaEqqdqazXbDydkp28OS3331JdPplKAXNWd5zmg0QkUhnXVoBHGa0piOIA4J0wihvFu9063PRf5e3q6k3wv900DXdT4hQXpHpwwUrW480kP0Her+uqkwgAZW6/UjG85q41MbpCIQ0icMSImTEiMFxn7nWe7VrI/P8u+vuQ+6vD8o7PoDwQNexPTd+kAFfkzcs1T8/WcfzRQPnC6/cfF4sHgsQHoci+2zMJ21IK3XiDmHksKvM9Y8unulFMRx0KehNDjnC0QhBQEKI/pIMekwxutt6wZa2aJthbMdbVMxGAw83HgwZDyYEAchy5tbolhgXcckmxOpazabLUmSUNY1RdmwXh2YHh3z8sULOqvZHXYs1yU/f/0FcZIzm0u+/vavKcqW9XbDL//ul1zfLKhrzf39kqZu+6/Pr5Wz6Yw0yTHGF7Wz6YQ0GXPx4YY4NhgnOBQaD4pW/OIXf8vrj17x5PwJ4/G41yl+DyT/eC0fOKN+4hSG0eN7b/uRrDQW3WlM/6PYH1jc31MeCrrau8yVEghtOT095vnLpzhhEMLRtA2b3YZOt8hAYi1EacSz588ZjFL21ZKq1tQanOy7hyLEoogib0La7XY8YLSSJCFJIubzKU4amm5PkoQkaYwQjrv7W7Is4/T8lM60LO9XHPY1dVOC8gicyXiGdYLLyyuWyyXZYIhUis1m7YH+ccjx/Jg0SsEEPH3ylHJT85/9s/+c/fpAXRZYrXn37h2daTEYTp+csthccXW9Y7lc8Oqj58xnR+z3e7abPV0DbQ267dhvdjRVxXQy9lBhA43zwGcnHKY/2Dl8w6rrDFVR03T14+HES2++e07u7u4InGBxfecP+9pitWf3ruoVSkVYCbPZ7HFvVUqhRITszZzGdB40jw8B2G496qQovIbY54oroijh7OyM4/mxl211P1xn94MLO92L90yfb2jNQ86hYLPe8K/++39FGBuOz3J+8tPPCELP5fnrzd8SRF7vZo3DCUHTtfz7v/lrjo6nGNcyPx3j8FmcH3/8Kd9++4bLyyuyLOXq6pquaVAi8pu59LmkWTri22/f0VrjT+XSEAYC0cMgo9CHH1vraHXN+4sLhDL91+J8GkDjGKY5+XDIIMtJBzk3Nwv2xd5bxntHS9PV1G1FUR5IB1Mm4zFVfUD3rlUpJVdXV48OsslkQl13XF5dI4RkNPCpEnGc0oqWtm559+ED1ngennGWzhqss3RGcygOtE3bn4o9U8rT63ucgPBbvv2D7s6D61IARvudqmlaulYThkkP7IU0ShiPJux3JV2r+8ZAHyjv+nxZIbEGtts9B9ECfnzw6aef8ezZSy4uLvxCgF/IVN89ffLklM++eMXxyZDRKMUJn0+ZxDGSiCzLiaOIoqgYZ2MGgyHb7Zb7xYrNZk8Sx4wnM5yxVFXLYrFCBWuCKGA2nxCHIWjL7d0drelYbZYEWchqvURIUFGA7SwnZ6c8We3Q7opqf6AsShaLJednZ+jOsLq/9yHvFrrOUpUti/sVrdOkeYLui69ASS/kdo6d2dN1Fmcsbac57DaMR88JZEhrW0IVctjuCZOeg4eP+gmDgKOjoz5KSFFV5SP+IuhPyAhf2H0HwFZ+lPK4+PfcMKXoupYkSRgNhyRBjEIRj8bkwyHHZ2e8+vwj/vKv/pL9fo9MAqSSNK7mzeUbNpsFZVNxc3/P+dNjtHNYCXVXs6sK8smQZ6+foZTgw+U1xsKTJ0+ZDIcoBXkcUdcF2+0Gg8MKwXg24W65oG59WsbkeIJKAj/q1x6/EeURt+s7bhY31KZlMBuTjDIG+ZzF/b13uI5yiosLgiwiGwyxh4paN4RpiJUOoQRhknD25CnWWu6WK+I4JslyJrM5aZQxbi3z0xNcIImylOFsgnaGsiigKTDGEQifp6mEwOKD7YXz7l2ntdfaCUcc+0QLi6FqnGczKl+o6073JpmU7WHH9c01u/3Bb+xaI6xDGofsO3WyL+yckNi+m4eQIPym8PB66Aw88udw331c+g7go9ZG8EgH8MYJXwyGYYhw9I5dh+wNPUZ3CClI4oi6sb4jjNeC+Q6Rz0g2D2H1oe/kP3QR6KHzQvR/t/PdeYeh64zXdjZlX8j44tGD3H1BMpmOyLIIGcBwnJAPBuz3wrO9eqxNmtS+MDUKV0tm02NW63v2yxJhA65vrj3wV4I1wueUuprNbsHTp2e8e1+x3x54++YDJ+WMQ1mwvNsThjm//tVvOWwajufPmEzmNHXDYDDEmI5Xr156FE8Q0XWG2fSoxxVpvv7qt3zz9VvywYgwTinLiq7T1HWFihRv377j6dOnLBZLXLcg6lMc2rZ9lNg4C2Hox955nvsUGCH6Nd2/n13rdVRN03A4HNjtthz2By/REZb7u2v++//u/8mf/pM/RoWOF8+eQigo24Kyqbi7v6WsSp/5G3ih/tHxnDC17GtHWZYEicd54AQBITIBcrDOMpmM2e13COvRN3d3d6y3awbjhCiKyPIUpXxhojtDWZZsNzsmsynz+TGj8ZSiPHCoPE9xsdjQdJrf/Oa3rFZrjk9PGE/GtF3D4bDl8uI9+/WB8WDC8fwJXaW5uVyShDlHp3MWtx3L+y3PXz3rZUaQDVJevn5J1e78/a4NaZTiEri/X1MWDVZLbq/vuPjwgdlszh//8U9p647lYs1XX35NUZYoQq8d7BNZwB/IPL+1YjDOfq/++X5xt98f+m6ejyr1TS8HzgPBnRAMcp+hLvCGUO0M1jjiNCGQAbY/2JtOs9/uqKuauqoROIIkII5ihqORh3QvV1gLd3d3P7Rc++GFndHu0Tb/8CMIAqT0ANSuqzgaDjk7PSeOU5qm8BWtcaggRhlJo/1J2TmFk47Z0YRv33zFH/3Zj1FK8G/+zf+bf/fv/or1Ztm7UP2iI6RChSFJmhLHMUEUMRgOCMIQorAv5jp8jqrzXUXr6LRBRl6QrALFZDrGCY0MHWVd0RQtx+dnfPTJZ6RJAkYyPS5Rby5xRYM3jfeh286y3e/IRwlJGzIc+SiS+/v7x83YWst8PifLcv7+N79jtdmQRCmj4ZQojMnyjK4zHIqCLE5BCMqq5OzpE4Ik7qn/MabVdJ3vELSPo1jXt+89CR8hsdKhpEULjzgIAx8r5FyPPLD44s30IwspkUGANS1K1igZ45Si0xoV+MLNGsCFtG3Zj7bpXbgCKRVv3rzl5uaGQ7EjTmKCANq24kc//oIkjxiOM548PWY6z4hiSdvWvWs5IgqTnskUUDUt1zd3dJ1mtVoDkGTepXZ5fUMgA6q6BRRdZ0iykE8/+RHb1YYP795R1QXZcMBoPODN22/59v070jxhMBpQ1S3v31+xXi2x2sNIO61Zrzfkac4gH+G01+ZY653HVVVTdQ1RFmNcj7awhjiJaArf5QmUpGs7sAajDevVPVmWEYcRdV0RqpCubT23q/UdoQdKuTGG4+MTPrx7T103j92Zh2Ic5zu/3knnepH6d8Iq5xxt13lsywOTzDjms2OasuFP/uRPaHRNow3lek3rOoIswMiORleoRpIGOZ1rePriCftyy74qscpRViWD6YCyqrhb3WC05urqglZbptMTssHAIy+UREnB9OiE67t7tvsD692OMAyZnxyzWW+odEMaDIgGEc+ePOWrr77CtB1FW3LxmwuqsmYynpAlCVo5kvEAu12y2G1o335DMEiQIqBqGkQgqdqaOE3IBzn7wx4rLJPZ/PFAJaUkyXJkEGKl4vTpU7I3b3BKYgQMJgO2hw1doTmdn7Pviy+lBE47FA/Q9T5mq0eSWNt3xyVoZ5GRQgUKFSuSLKFpfMc3yRNQglD6nE5rjb+G1pJGkR/XuMZv8NYjQKwWfjMQvkco1O937B7ivR47dgqCHnnj4+L6wq7X5D5AxMMoROAwth9t918POL8Z644kTRiPx4idXyf9eiz6h9zSdh3GdkglyHtCQKCC36MQKCkfkRpB6Ds4dVXinI8TDOMIRA9E7jtKx8dz/uiPfoKMHSjH0XyMcZYkDqjKA7vthtPjz2jrliRIaIsW0QWkcsDxUHId31AX3nEfpwnj6Yi6bqjbe8JIIKTlzbtvPfZp13DzYQUmpCgrdKmYnswYRBH3F1/TJBVvF98wzDwPdb/fEqkRH718RpIoNpsdRVEipWQ0nPDmzTsuL6958TJlX9Zs1hvqrqHtWo4Gc548ecJ0OqVrDfWhRokArI/9c9YfSISEMAz6oi5/POQZ4xfZh3vQ3x+aqiioywqMRTjnk5uko2tr2q7CCcOh2SG0pG01u/3O80KtZzeGUpDlGXESE6YOtfNbfRj4fVS3msO2YDKYcn5+xna7YzgaMJ1N0NrQNo3HbXUNSZIwnU2JosAfRoOIOM5ZrRa8/3DNYDhkMMwZDHNOz055ORpQVgXL5ZL15kBVenfwarWiqA4EgeL4ZE4YSlbLW64vLgh+HLBo73n/9hpcQFtpVnf3HLZbmqZlPB6x2y/4Z//sz/nzP/8zslwxm4+w1nE4lHSdJgwiZrMBXWvZbNbUVcXN9RVZnDCdzvwBwnUYNNr6zxeh7PN48XundggJu92eOI4e1+fvj9XbtvXdM/fgavYdV6UUSoW40I+odVWx2W6o65okDgmDgNFoxHiYkqYpWnut9na7palrEII4jjmaHxPHMWma+QL79h5jLJvN7v/3hZ0H79leE+Bw2uCE9HmPlT+pz2Yzdrs9298saNuaDx8uaWpD24CzAVIIglBitKWuC4xtPeesrVBK8uHiPUfHM05Ojri5aUizmPqmJokzjzwYDhlNxshAESUx4kEL1kl0fyoV+JOKlL4Q6rqOzngRf5Qk3N5dPOrAVBKRZENWqz03N18ynx0hVECa5Rz2tY8RwzEcjchHQ0bjnNEkp+sqkiRhPBqT5x41ovoFNo5jBoMB0Tdv2O4OKOnb9k5IwigGeeDTTz/nT372M/7Nv/237IoDSZJwMj0lG+Q8e/qUYn/g7vqWu9sb6rrqLfKyPxl7F58Q0rPbBASB4CF71JjeTOI8g8hqR9N0SOXH2A5BWZY0te1P5TE4R9v4BT4OfGRbHGpK57uBYRjwANNcr1ccDgFZFhMoAXQIabhf3PA0e8L94pab2/f8i3/5nzMcTlivtv7+UZo8h+vrG3a7PVk2IIlTlApoW39qzdKEqtzSlC30G20QxRRVzb7c+86BChAKWtMwUAPOn57x9btvqKuSIJAUuz3WwXa9pqkrr8HsF8y6clxf3TCZTJnPjulaw3a7xhqB7Sxd2yHDAGv6vMVAMRmNCKcRm82Oun6P1p6VppzXXywXK6IoJs8yjzRpahCOsihRgSTLMorDwWvt4ugRlQM9RqdHofi0FqibBq0137l2eNz00yztwaaCJPHvXVEUBDLkxz/5CZe3l9xv7vnqzZecPj8myb1rbH/YeRaThWpVcJzMqbc1A5Xy/uodh3LL6fkx8WBMVdcIF3H4es9itcWJgGwwZLPegNacnRxxcnpCnCZ0xvDt2zfgHM+ePcUKr2W5Xd2TZxmHpiRKI3Ztza7aU7YlIlSk4wGb7ZarxQ3ZZEA4SInRiDhgOBhTFQ220zhgu92SJDkWy/16iRV+PJJlGXVT8/LlS8Ik5urqikDFjAYTnJTEWUqjOz774nP2xZ7NbkOcxRzKAmfpOWyOBxbbwxhWSM9z1Kb1OpweVxAnSZ9IEqONodNeF2ucRVtD29l+7BL4TlYQIMOYQEW0SYwx2jPGtM/DdUr1/77A9VDT/+hL+LVMfq+we5gMqP6kF/fgUo/m8do3+udVADjbJ3MYkihiNhl7nEsUslqvicLIj/tbnwv9AJlXQvlOPv341XptmHUWbVp/CDW+u9m2fgxb1QVREnnJh5Te0BEJjk+POD075pt3X5KPU3Ca0WiAc5b9PiAMA6bTOb/85a/oKs0sG5MlGSEJTVvRHDrSOOfP/xf/GSqxyMDw4cMFQvpDnzYNCD9JEjbk+dknZEnKbnmFsjnL64I0lTw7e8HL58/46stvGCYppu24+nDJs2fn/It/9r/k17/7FXd3N1hrePnyJRcXV2w3O6QIWK02GGe9rsp5vEyWZkynU+DhuhiyJCZMI7QxfuQvoa5bqlb38ZFh372LHsfj9KPxR+1k74yxPRrL2pY4Sjk/O8bohsN+y83dFSoMkTIgSWOCKATRO5YVhFHAoTyQyoA8G9KaDmPh8v0FTdWgG8MwHXM8P+ZDfskvf/lLsiwjSRIAzs7OSPOEQ3ngm2++RSlJkvj9zVoDLvjufbHWH9j/P7T92ZMkV56dCX736q5qu5nvsSKwZ9bCIotNNms4L9NPI/Myf203hTLNniZrimRVZVUmE5kAIhCr77ab7nqXebjqjqQ0H4oiRRdBAikIWHi4md77W875TtUQJglhGDOZzImzAa1SfP/992gUnudxfn7K8dkJgzTjp+9/4sP7T7z96XviaIQyLdv1irKo6arGyRh8gUbjhz7DyYhGNRjh8GoS10TvtweWy7XDeaUZWAcsP+z3HPI9o/EIbTWajngQ0tUtAsWX33xFXdXc3tw+Is26tiMdTPB8n/3eFVMPz+eDpt09mi51yzgamSNneNLl0RtNGEY8e/aMq8tL2rYmjBOsNVRlSeD5/YTO1VZRGDHq0y1830Xz1VXtCBFBiB+HPVP1H/b135EV6w4gtzd2Tqsk8RkOBmzzNUpXXJxd8O7DD3z3u79nt8+JwpAkHuAHA6zwaNveHeIJhAdHJwvuVkP+43/6Dz2vymM2m/D0yTlp6gCa+/2Bqm7xfB9tDffLJcNswng444Hb5A4+zzm4hHARH6aft/VmhbZpOez3eL7PdDqi7Wr8OOHNj+/Y7dZIKVgsdpyfPiWME4T0e8grJGlClMTMZiNkAK0WCGu4u78lPxSs1mvn4AwjHmCdo+EEYyRFXnI4HPC8BrQliRM++/wVw/GYVnV4vs/vf/iBiycXJEny6HQ0xqB64XwYh8xmM25urzHQB7LjaPuP7jpXvLi0gL4L9ISLCrLSrVxthx/4qM7SoEizFK3d2rYsG6TwSMYjAj9mPA457N10Lc0yBIK6bp3Y3BPUTYXna5I05Isvv+H69gptnNtwOhsRxxn/+T/9Ld//8AOz6YzBcEicRAR+QNtp8rslg8GQw6FAa0PgS7IspetBz6ptwTj3aNO2INza9dWLl5xFZ6y2K4IkZL1dE0YhYeDR1CVSuIzFxWzOdr2nNo7n5v6C3W6P7wfMJzOOj0+p64amcDwz3w9QnUv7IE3wPadbqsuaJIk5OTni7m6J1g+fK03T9KDKJGRfHGjq1hk7PJ84dReu7S85i7sUTV8EaKNp6srFdhl3WXeq+znbtcdhuHbFID3JZr9lMV0wnc24/XSHNTDMRiw3a65ub5ifzpnmc7caloLTZ6eEK8d5S5IUvFPevPsRISV54fHpU8VkPqDtao5Pj+mWNYEf8Of/4s/51a/+C5dXl+R5xTAdMM4yrvtg9yAISdKUk9MT1us1eV1S1jVxljiNEJbb5T23t9fstjvKpkZhicKA282Krmt48flzFhcn5G9Lvvyjbzg7OeXq0w1lc8P89Ii6aNnntbuwPMF2t+Xi2VOCMKQoC6TnkY2G7Iucuu3AWhZHMckgo+kU49mMVy9fcHlzzT7PCWNX7Blt/gCw+zOvUfTdt9KarqzwlMJiidMYKyxpmpCNhpRVidAex2fHHPYHPN8jDSIGwwzPaxmPhrStRXWCwAtQOJddGPhsrcHqzpmopFufmn4iYO3Dp4W+MXPPtfQEfs/P8n2PIPBwUzhN029OtNGOWS7cNNDx91yBBq5AlZ5LNoiSiEylfXEakSYpbdPiSUFTuVWqJ13MlTXu91Ha8R393rghRJ9+02sVu65x35vvMsF1j1JRWpP4PpPphGyQkWRu2tbplqp3U48mQ+IwIwxCjhenfPfr78hEgq4Vxaji5mZJmTfEYcLp6Sllt+PT9TvulnfOcINGmY4gCCj2NbH0sK2HkoI6Vzw5eYkxkru7NYPBgEEyZJAMmIwmKK2oy4Ll3R1/+e//PYd6j7KaMPR5+vQZb978nxRlxWevXpEXFVc3N+4O8yWDwYAsGzjKgdFI4RGFIXGcEst+5WYMVgiKqmS9y9HaOAyO0S4Fqf/wWWMxSoEJwDiAtkshUv1KTxIn8eM5oI0CYR3E3AiquqRVLZ3p3Ot6gsFogOdL7pf3aFpao6jLiqbpXOHUKF6/ecvJyd8jhGU8HrLdbjHWBdYbNGVZUVUN+aHE8z20tkjhXPnDwYzReEbVFjRtQ9dpNps9RsBwNOzNjYbFbIb94hWr7YoXL59xdLxAacVvfv1r3nz/I3e398TRgDDIaBuoK41SzqUuhKDTDXnRUZR7bu4ukVKzXN3TVDmT0QRrJZvNltVqQ1HUjlOpDV3TIoVkvV4zHo85Op7xf/vX/wqjNX/3q1+R73MunhwjpUcYSnfXxQnL5Qrp+SyOjvjxxx/7xtttD62Fpm0ZxAlCStq661fttjdVGdI4Ikmc1vjk9IjDfk9VCNI0YTqZ0NYFVdv2Gn3BZDJlOpkClrppqMoKFYQ0TUtZVK7Q8zzHqvzHLuysFD2LR6A7g0ZRtiX7TzsOhy2DUYxpBS+ffMPHt/fU+RKrBaoLAa93rymU6BgMUuJhgA3h9Okpl59yBB3zxYC2ybm5vuL89AxjDO2FotWaqmhRbcd+syX+PHSHmLG9OxTAdeDYfr0inYmiayWhF9CWDVJ2aDqqvMAPA5IgYrfdUBaF61KMII0zQGKQGOkho8hhWGKP5fbeTb4i3602rOL2/obVco0UIVk8wLQeaZIRiJhBBHSSYl9QVSs8zyOKIrbbdW9icNNBozVd0yGFx+sf3iCF4FBV1F2LCAK8OKKToH2PKE0w/ZpFY3oFtkApgzCmRyu4KYPfE5SMFoRBAhbqosZKS4MhNBEgKesa1RmksbRBh0wiJBFSJsRRiufHTnQtnO7QrXsVSRJzdLrgq2+/YjQd8ObdG1S/+vzNb37XZ+6GrNc78rzmAXvg+T6H/Z40y0jihMPBuR6tgCzLmJu5gzh2NV1Xsd2vGQ1TUj8iGHnQ+QyOXMrHb3/4jg/vP3B1ddWzunxevvycJIyIfJ828FCdpVMGIS3KKIriQN1WnJ6do1HUP1U0nStsdQdtqSlEiykt7V4RhYGL+/EkSRJQNy7/0hhBZxpkCHGUsdpsMVrQ1RqTOG5hS4sM3LrNwUOdqN4IB9OoqgPGdAjhCvrtbotGg7T94d1LEqSH8TStbdEeVFqjhedMJb7H//f/95f8/qfv+ed/8c8obEtblUzOJuyKHTerK6oy59Vnn5GOQ/Jqy+npBWHo8S//+T/FCwzadrTNgd1hycnZGdlkxPH5MbtdhbQe5aFhnI4pq4a8LKnqgtF0zNmTC06enFM1NR8uL7FWU3cVt3dLdust6/sVw2zoJqcS/DQmCENenr3gT//0l6RZTOvXHB2fkGQD/E2MTCJaISi15uTZU/cZqXNq3TCdz1iv1yx3KyaDKa1RHOqa0eKYyXjKi5cvePPhHZ0QNNrwv/7b/531akuYTdg1mlpLPPvApcRhg0Q/CUMjkE4GIV1AuB+5hrPrnBFDC0M2HZJNB0SDiMvrD4SxRAgPbVxUYpqGZEnAdr1Habc5UFgGaYLVHaqrkNI1GhaLLx5wKK6wEw+2GevmiJ4U+L6DxIeRTxBoPN9d6GXlmJ+uiTUYrfAATzg9rvUCojgjLws0FnxB0RQcmpyqOhCGHrV2RiQZOMyMm9iFbnJpOwdANpYgCPG8BCk9R0mwAmGky8nUFuFZpABPQFmXIFOEhLprqLuWsqsZzWfEgxgkaKMIYp8oTYnDjDhO+PbLX3A8PuHm0yWHTc56XfDxwz35vqVuFbrWNHXH8nbPftugjM/hUJPFESjDdrni85ev2G5zBiPBbpdTVoosG3G/2tA2BqsuqSvDcDh2SKbAZ5/vePPuJw7lhvFkxDfffIsxEKcZx6cnfP7VK3766R2HYoDSbvL27Nkznjx9ih/4DslRVoRxRBIOiYiYTU7w/RQNtBgO5Q6lBXm5p9UNeBY83UOkFQES03UIo7FKk0YRpuvwpcDIkDTLCMKYpu3QxlJUFWEc02HYVXuU1MhYgg+daIiHgYv78kOW+z3aGqeJnc6wxiMvVoim4fs3P/CLb79lOBkTZQkgOTo+ZrlacchzdNvStsoZ4+IBWkk+3t4SRTFaKmRoyQYpnTYUdYXYO/1Z09Z0bUWcBHzz5XP2h4kzllQVURDxT3/5Jzw9O+bTp098+PCR+7s1VaMpyw7VOSRY17RkWcaXX3/NV794ShhbtNIUxZ53r3/PbHZEGGQEQUocu/skCCK0NnRKMxhmfP3t15xenCKEJS9z9octfiJYZDOMdOZIEQjOTk8YDSfUXUvTlLRdSRh5+L7E9wMOhwPj8cRl0gtJXVesVxt03aH7+DzjadJJBtLp7O5u7lje3HJ6siCOPWbzCUYNePvTW9pWkcYpi8kRnuezXjkzpSvcLboxtHVHrZtHze0/emH3INi11icMA5R2GWhVVaFUR743/OV/+I/8T//Tv+D/9f/8f3O3vOfN27fc3d1xyA9oFG1b4wWSk9MF0/mYbBCTpOcUxS1FnrPbORfMbDLlxYsXXH76xPHiiF/88o/5z//pb3j75gO1qbm+vOZocYYnPDosfughuweokzscHyaL1liC0GkBtXJ5lkqFjCZjfM8nTRLiyAXDD4dD4iShKivnTPEDjLW0XUW1ybm6+kiaRRyfLPA9yWQ8ZjeZsLxb4wUeg8GQLB2S7wuKomA2mzGfzLi9ve3z8wS6U7x7+5b1YONSM3Bu2cAPCL2A9XoFUqCUc2uNxkOOT49pVceTOKRqavZFAdpN5PoxUH8g8ygElb2JwhqLsuoxp3QwGOAFHuv9DhCuY60dzT30QqdbaRRGe9RV7dZgxvRGAsf+8R+zaS15fuD169csFjPatmW73bDfH7i8vCKKXITObpfTddteT+JWwlpr7u+3TCYTsBZlOuqmom2vWCw2LBYzDB2+b9nu10Sx54qAtiHNYsbTIUEQ07Ua24e+D4cpZVn3XKOM4+Ojnn6vyA8VqnPdbNe1LFdLzs7PODpaUNUln64+IXwPjUZ1hqqs0dKtY598/SUGzeXVJyyW8XhMp7a0jaZuarcO9Vx4s1L6cW2ttabTHUHoo7UmDALG4zGb9RqsceLfXmzv+y5I+uH9fNBYWesCmjytMLhM2w+fPmJawWLiIrzmR3N+9/vfs9lt+Jtf/S3GN0wWGc9enBDGPl998wXWKDwpGSQZb978yG5/z2LxnJOzOcvlFfPFiNV+g6Vzcgnd4fmCxdEC20o2yw33y3sWiymz+RTpT6nqCulDEETUXU3X1lRtw2a9Zb1e09QVxho2uy2DLOP84oKziwu0MRwdz7DCcnt3w3gy5u7+jrv7FZ4MiZIUqyVPnh2RH0quL6/IxiMXJxcGGOE++5vdmuFujAGifqp+fXODEQ4yfX13R16VDCcTTtOMN2/eIXqumvTcRAtX7jyuwqy1KOMMWEYrkkFGEIRIX5IMMqq2RmuYz2ZUXUPRuEYpDARv379hOpmzWt3SNYbASwiCkFZbMJY0S+g6N/1VynvUvyHUozvy4QB/MDBJIYj9wInhpcX3JUEQMMpSDvuCtnXZ2P1gDqX141Tf7xN5lNYEvpMwWKPZH3YcDjssijhJ2GyWDJIhURDTaeXc14ErMnTX0dalm9RgEVFE5AcY6dMpVwQapRyYu3VyA4D8sCNKAvxAYD2L51m0avGkpa4KTmfHTKYT9mVBVbfsDnvW97/j/OgpX3z2BU9OnvLdb7+j3FccDjWbTcHt6obV/Z6zZ2fMRivu7jYs729Z3e75xbd/in1ocIUkSROatiYvDlTrNU37ESlCpJAUec5kMnIudOHYYWXVUOQFZVVydHzEyckpt7e3nJ6cuHN9mDKdTVmvt1R1TRgExHFMU9fsNhV+b1hxzviawPe5OLvoA+AdpqluGqq6o+u6frLp9U2yKwoeHMlN0/RyDff/BW5SG0YxVgjyPKesKu7vlhyfnrI/5Hy6vEQppxsLAh9lFHme8/7jR8bHGV4oCYRLu5HCw5NubWot5PmBrmvY77cUVUmcpvh7n/ef3rHb7fGsR1PXWARJkjGdLFxhWZaIUHDY7VggWG82eL67f4fDjNPTEzzpGiisZpDFCGEf142j8ZiXn5/x7S8+Z7vd8e7dR/JDw2x6wmZ1oGu1k4BgefHsGRdnp4Dl/m5FFIdUbcP7jx8ZZFO++Pxb0mxM27SMJxNmRwtu7+84fXLOn/3zP0P3Q5i8PnC3umV+suD50+fOBLKrsJ5gmx/Y7A+uoQaWy3v2+y1xlHJ6esrZ2RlR5Ix1H95/IM0yEJLrqxunnxUW1UfLaaXZbDYs7+4o8px6nBFHGdc3V1hlSNOUX/7yj0giFztZ5hVG2349r6ja2mn52u5R7/uwKv5HLezkg73fkwShT0L8GEFljIfnxRR5w7/9t/+O07NTTs/PefrkBRfnz1mub7lb3nC/cpOBMPBo24KmLlgsxgyHGUdHM9qmIT8cKMqcX/3qb1jdL3ly8ZRRknE0mfGRT3RNy6cPl4yymWO9lQVhFCMljuJuJUY8gD1Mrw8x1HUNqiNMPNIsJU0TFJbxeMR2u2GzWSOEZDpZsN1t8P0EYzRlmbPdrqnqnLw4EETOxWWNptgfKIuS4WCELwOaumGlVljtVhlR4KJF6rJ0kMN+PVGoA/Whdk4fa532rVM0pqLMCyc+9sBly0VMJhM2+y1B6LHdbVGqRenOEd/x3J/X4jp9l0L++L6J3mLdlBUmCBhkKVJ6ThvQF2zWOENGGERIKamqlrJ0EMooDFBaI6RlOh3jeYbhaIAQhqrOsXRstmuariZNMwfVFILNZvczC8nz+iJbuDWmdrrDrjNcX90TxwlFlffaIIFWSzabNdLTnJxOMaajrkt2+x2b3Ypvv/2K6WyEUtYJcY+mYBVFURJFAcZ2KN2SZBFZm1KUSxAKIR/Wmpbdbsu7d+94/vw5Z6dn7A4H6q7pTUIG1TlUhFYdh6IgTkKsNQRhSJoOORQ1RblDa01VlWTZ8Gf3qnbCadH1pH8jOOxzwigiDAICz3fP0yNewvZxRr3kob8g6MX1pg+kLquStsqx1gMlGSYDnr18znAyYl/sMVZzv7rD+obnn/8xx6cLgkBwvJhwdnzE659+pG1aBqOUMIJvf/kKZUp2+ZJkJEmziCj2uLz6gBQuHmyQpeyqgvF4zNufXiNQWPElXujT5i1XN1dIz2O5WvH6zQ/kRcVhXzy6tC2C8WTMxfk5pyenJGlK1VRI32NfHFivV0jP4/27j0gR8OzsBUL6WCtoOs39akPddTw7fcpiPkf6Xl8sBzSqpW4bOq2o8or1as1ivsBgaZuWqr6jazvCMCKMI/dZtyA9D9G7SI19wMj27kUeJmlOmxkEAUHgEDMnF+dUbc1ysyRMY7pWMJyOWUwnhDJgOBjz9MlT5tMZt9crrJZk6ZDtvmSzOxAEPlEUMhhkPcLIgV+N7rC9gP4h//lBbxVIj9jzAI2x3eNELA0jts2mjykC7UJ5QDpYcacdz0zqn+PlPF9SVyXzxZgolGz2K6xwZ+Dx/Jjl3RptFEmUECURVgp8IZDWojr3zKINwmh8IalU696PtkNI8H3Zx5FZ2q5E64ogCUhHCVEkaZoCGbpA+aqukLlH1TaUVUt5aPBtxA8/fk91qPijL/8Jw8GUNJxwcrzhu+9+T9PA3//t78kGI8aDI04WJXe3e/zQo8wbutZlat8u7xnPxswXM9qupapLOmX5kz/+hqpq2G12JFmC9KVzkXoeT58+Z3/YEcQev/j2j3jz+if8MHJNQ+RyeafTCeORg4FHYUTXtFTSmSxOjo7Z7nZs13tknHJ2ekYcx701x/aGE0PXOZ1ZkiRE0c/Ik4cCzxhQyml167p23Eur8aSTcdR1jfANl1fX/Id//5f8P/6X/4X1esPd9V2/9ZEo5c7R4WiE9Dw2uy1llwOC3WbPMBtxcnzKyckJZV7hWUvXuXOhbArqtqS+KxFSUzcFVv1sIBCeTzocMByP2e127LZ7bpY3+H6IReP7sNtvCQKPk5MTBqMBvuciGjul8TzwfMcA/PvffE9RV4ShW+2+evWEIEiRhBSnLWGQIoXHarUkSzJGg6HDRAmYTMZcXFygteD0+AlKCdpac3V1xfzomH2Zk4wSji+OqHXFar2iqHPCNCQbpwRxRGuVa0iNYl8eyMvS3YF/UO8opbGRxQ98wihifziwvLunqipm85l7Jv7AyW6tix1t24bl/ZJ8vyeOIqIg5Gg+d+9tVbOYLwiDiO16S1EUxGHMcDhks91wyHPquqbrusdi7oEt+I9e2NneAiIk+MJDypCqcg+z56VgPSdCt/Dp4zWX13cIKRkNh4wmMZPxiNEoYTwbkA4i6rYA0RLFHtPpiFevXlGVJa9/+JHl6o7Nek1T15wsjvjPf/UfwUouzs7QSlIcnCYpSiK6zZoAHyNdxWwfpnW4eChjjYv0MYbQk6Rp4hxxtg//LQ1hGHBxceEs7E1FGIbUdf2Y51Y3NZ4nubg4J80ikiRitVyi6hZhBZPxBIkPxlHC29bRrZd39+x2O6rC6Vb8MHSupwc3jbH4noeUkrIs6bqOQZaxOFlw3zsuPU9S1yXWaITErYB1i9YtaTrElwFVVWOMRUqQ1kMY2XPUnJZI9yBEay1lXnJ0esxooGmUQhgXcRP4Pmmc9pAjN0E4OloQxTF5dSAMA8bjjLLeY+kYjYeMpylKN64brUtW6xXaOB2OF4RYId0lTW8JR4AwKONWX3WjMMZQ1YdHSCfCIqzCre9rrq6uCCNJ03bcLe8JAkmchn1+bYPvhYRhxHgycDFxGG7urrBWcnx0wstXzxiNh+y3B9brDXleOqdiW3N9c81gMODps2ecn5/zux9+78S6uAg6h5iwrFYrsmHqeHSLOZvNwSWEeB5CG8qyom0a0jShaRq0dg2PF0QIBKrT5Ieco8WcLEspD3uksI7/2nNgQz+iax0I2SiDwKEwEE6kra2lqmraWqM66BrN6/dvePbiOe+v3rMvd+A5EftiseDV55/hBx6dqvndD9/x8TLh7OSE7777jt1hw2AQ44eC+9UNng+rzdIZhCYjlIa3by5BRzSt4PzijJPFCUkUcHN76TRtm4qyLHqBvOb6+prbmzs3rdSCpnNJBacnZ7z6/DOkcDDfVrfIwMMIw8n5BTKQXF/f4EcxYZDgRwnq0AGSzW7PerujzAtmixr/cKBqnaA6HiSMwjFVU7E97ACPsqjdtE0IkII4SsgyQacURsB0OmW/WvfGHFc08zDZfhBHwyPM96Hka9qW8/NjgjjEBhKvCPCikLptGIxGTBdzzo+OCIMIoxXf/PIr0vQTu03OeDSlM/eUdYPvy/4yH9K2kTNoYJ179QEw3q9QHbTXI/J9Mt9Ha0XTWhciL7w+us8VCBrQqnMA7cC5c1tr0AoirwdgC8HR0ZzPv3rJk6dn/PTuNavdHWCYzCZIX9CqGoNiMh0740FVEwUB0Xjs+GraAL2LsOedBVHo+J1CMBqNXAJFH9UkPMcHHY4TEJrDYUM8jBhMUpq2Jb+/I0xip2nUzhwQDyN++7vv0J3Ph58+8Uff/CmeF6OVh2l9vvv1G06Ozzi9OKHcdgQyYTAa8uHDFbo1OBODA7gnWYIXSAyayXTMYJRyKA40qqVoS27u7vA8lxWeJpkDxQ8mBEHEarXh0+UlVdvy/Plzxps1gR9ydnrGyfHJoz7TGIMfuIm80ZrhYMCL8+fs1zvubm745rNfYLGumev/m8D3H1lljxq7x8+gu8O6rqFuykegtO5s/3M1BEKiOkVTNQReyHa157A7oBqXruAaFFiuNxyVM4aLiDTKSNOUyXiCai15URBHBm0V337zC6qq5MQ6eU9e5tRNTZyGjCYZTeliDI02hEkAEo5PjwiigPq6xvM8yrJgOpuQphFZlnJ9c8lyuWQyPkdI61AyodO9103bo4ICZOD4kp5vMbbtjXQhne7YbFYEfkQcJ8zmIwIZUJaatq1ZrVaEUULghfiB25a8vXrD9c0tWU/MGE1HeJHP7eqeqi5ou4YoCXn55ecctgesgSiMuU5und5duClmnpfQm+kQAmU0VVPTdsoVXW1LOsjolMIKFzagPBcn54wrLr2mzHOmkwmTyZD5bMyzZ8+4vb3Bkx673Y5PHy+JwojZeIoUHpv1lru7e+qm/q9YiA8u/f8hHDswvb6KR/F0NkgxVqE6izUOIOxJnA7PWDCOC1M1G07PFpycLfjs1TN2+Rq1P9A2Oda6YPmbmyuEMKRpzN3dPVbDIM0wRnF9+QGlLNPZCUEQsl5veX/5Hhm42KqqFY+mDGnl4+nsoJwdwhd4XsBwlDEeD5CyDwVvGjb7LWfnp7x8+YLtZs94NCQbpLTtngfhcte1tF3F8cmU2WxCEHjcXd+gGoWUPqKHN0vkH0AIjfsQNA1RHDnquO/Tm53cT9Oo/pJzSIVWK44mY+LEdYjj8QgppdNd9QiTOImoVMXs9Ig//qM/ZrfZ8+7tOzarDUppfOHhWQnGPl4WUkqCOHYgZWupy5oojIjTAff3SzDCdeeejxA+1lYEgc9gOMBi8VqJtZrdfo2yNcrWRKkH1oCwBDgUhDKazmiwHn4QOeq40mjronQeitiHdZMXhLRt68KQjYM9ukLBIpVbUynd0HWCZOA7l7PW/OrvfkM2cGuuOEpI4oSuqdzK3esnkdrQqZrDYYsQmsEwJoyOKMuGm+s7lHJr5E+fPpGkGfP5gtPTMz5efnrkb2njcm+FJxmPx8RpyP1ySVFVBGFAmmXk2kGqq6pmOByz3x9QqqVpWhehJN06xZMS1Xb4ol+za4XLYxdopYmj2GV/tuqRUK/5GQTrckcVBmg6hTYWL/LoUFzdXlG3NUiHTHn+4ilJGrPduUxm3w+4ub3ls5cvadqWTiniOEIZzdF0ThDMuFve0XaG4chF4KVpwnZVcXz8lICYsiyIIhcO/+79B3bFweklpWS/37NerWhqRZJEDvMhLNPJjJPTY5ffazqEJ0gHGYvTI2azMdZqlDUIz0d4PmGccL9a09Yaqz3uVxtW6607CPc5nVIEoYt300YRJTFXV7e0nWU0nlK3jYPNPqwzPYlFOFyJ7z9YjNyF3GMm6GNZ/zAB5OGS9TyP4XBIqxUnp6eUbc1quyZJU84uztlvNsRBgPQlg/GA0A+xSjKdTZiMZ3x4d0mWjVBGuu+/6wgCHzAEoYcxoSvYqpbONu5gkBLQPdpIEASC0JcQ+GCdwWgwGGK0R5amGOlTNA0K5aaP2umotDVYIXl2foZSLbtqx6uvvuDzrz9DmZpXX7/ifnuL0i1HJwvub5fUbcV07gqgYTbk7vISOo2QHnXd4AmnEdYG6B2AUkLbNcjAZzjMiJOIuqkZDFPmx1M62+JHAUYYrC/YFQcG8yFlXbPcrMgGGcaC7ixZOCCKA56/fMqhOLA7HDg9veBN9p40GtI07rP89ed/xGQ+5re//T1drclFSRhGKNxGxA/9xyQUhOXiyRlPnz0njgNG4wEGGI6HGGH49PETBsvHj58YZCltY/j9734kCGI8z0cKxX6/Z7lekqVDzo+fMB6NkVLStq0bANSgW2feOFqcYo3h9uaGi8XTnivq8r2FcCtZ6Xku9u0PLm9X0Bk3eTXK/bNWPAClu07RqpbVesXxyYIoiFwTbiRVXtNWbf+ZdgBtLQy7/YFf//a3vPzynD//iz9jvVwjCEiTkA/vP7JZ7+hazdnxBUHgMZkvnMFptyFKIn56+xNNW6MQfPbiGaPRmCwdUNcNymoGk5RhkRGtA5bLJb4vWCyeE4QZnm9RqqNTDcZq9ocVnS6Q0lBVLhvdDyKOTs6dVk8pyqpkvdoiRUQUpijttm1CWDabFVEQg5V4fsBgMGSz3pFEgq5u8WTMZDjm/U/v+fDTBzrd0CnN1fUVeZlzdLxAGk1Z1RRVibQwHk04Oz1nMBhijWG93LI77CjrAs+4Ank4GSOEdNN738cPQ+Ik7qdyLVJ6DIYDly6hHaswCiKsahkNR8xnc6LQbdL2ux1d27JcLrm5vqVrO54+ecpwOGK/3XN/f0/ZM04fpDg/TwL/4Y7Y/67CztHLHVfr4eILAr+/qLvesi0eu80Hd5dw7TCjYcarz14wGqfc3L9jeX/DcBSS5yOEsLx+8wNGG1cs3ihH59chHz6+o607qqphX+ZE0QA/STCiQ4TWCc21i+zwgwApfKT1H236RrmLYDY75sVnFxzKLcKDqq5o2hbPk6zXSwaDlCwbMZvPSNOE3fZAEASkaYKUUNdlvxJTSOPGokYZvEC6Eam2DgwpfOLQR+NT1CXzowVSyt4gIB5/JkK4i0ZhaY3GqI5OdZRNjVdK4iTqsQIdu90BpMUPPCaTEVoofvGLb8gGKfd39wxHAzarlQud9iPA6w8DV+E/kOr9Posu3x9IBgPOT8+5v1s64LE2YB2LxxrrjA1JQl7snX4PTdWUeKGlaVvul45OHkYBygjCMGQ8mbDf54/rhiwbgJU0vas5Cn3CKHzsXIUQdKpzmpEiR3cOjGqMcUkKVgI+Wtse3umyBg+HA5PpiNlsCrZ1mqPJAE/6rtB+4hGFCff3K3a7PUEYoFXH/fIG1VnXmIQBorMcDgc+vP/Is5fPefH8Jde3Nw75IF1WZpglZIOM0XiEQbPd71FakWYZygi6tsVoFy03HkvCMKTr3JqtbVvCJMLiur/vf/978nxHXZWYx1W6i5kSVrjCQBuEwUVPSdeg8LAG6XNlhScRxpKMMm7Xt1zeXmH6hIHheEiSRNzd3bPPt8xmI6bTAUVZI/2Q3cFpmgbDscPRbPYYozgUFTIyRElGXhQkScJWVOT5gQDFbDxlvliw3a24uVuyLwukcNFHdVmR57UzSzUGIQ0ykMRxynAwJEpChpMhCMv9eslmvyFKfTbbDU3t8AijqWQ8mnL94Y71/oBnQ27ulmz3OZPJhKbTZL5P3ZZ0qqFua7wqJ68LmkazzXMwkmE6dLM2Y5C+5wodYwjikKZtHFhdikcNqtt//1zU9dtv50YPHZ6mPexp66aP67L40nN/5sPBOaelc94FfsgwmxCEIVk6IgwzrBHc3KwJgoCu637OVsZgrURrCcqBjJ1MWAEST4IvLZ60CN9N46eDCfPZEZPxjLpS+GHCzXJDs1zRSYUVika5Z+js4pynT54SeR5lccC/jnh7+YHL1SdGk4Svv/2CbJKyWzeMpyO0Uuy2W56cXhB4Lp5pPB3hC5/NeoMfBg7F4Uu3Nu7hruC+tzgOieMI35McHx+xrzYYD5Q2+ElEPBoiQ0ldVtTakKQZzc0N6aA3kIQ+XigJ4wARBlyvN0ymLlt6tVo57Soe89mcP/nFP0H6cHZ6gR/5LPcrbu9uwVjCKODk9AThS1abNa1qSESMFwiUdrDrp7NnnByfUOxd7JfqY5vSJOXHdz9isAwGGYvFCcITbpqdZXhCsFmvUW3HYrFwjbPuNXFty9fffANW8unjR6qyoshzrNFI4Rihge8TBhYVunPD6XF/LvgeDIAPE39jVH/fOld807UUVUmSJPzxH/0Jg8GIyI+dwL50aAwhnezFD3yePH1KOgnwQ0dCODt7xo8//MjdbkNZdejOUFc1211BliaMo4QgiFHacvvxE1c3NxzKnOFoxPx4QpZltK2iag8cDi7ms2pyxyvtLHmx4+7+mpOTOfPFpP9sWJq2om0dBsoPQ4ZDt2WRXohWEuuEeTS1xvMiVGeR0iFbmsoVaKrV+KIC3IZpMppCC5KASAZgJbPRlJdPnjsHqacZ1AM22xWvf3iD6jqePn/CelNTNSV1VVAVBXHgJBTPnz7BdooyP1DnJaa1JGnKcDAgihKEcMkkfhCymC2QQnB9fY3n+xwdH1FVdU+eEMRRhC8CF9fmSXxP4AnJ9eU1m82K3eGAtQ7X0nWOJPEwpRP8QYP5B4gVV4PJf/zCzto/6C4EPTG9z2GzuvctCNA8rkKEFD2cVrBZLXnz5jVJ6rMv1oBG64bDYUMQuI5GK8NgkHJ6dkqZlzRVzW6/xhoI44Q4C4jiiJeffc5oPOev/uo/4wd9USItYRDgyRCMB0YiLGjfp7OuCBmNR5TNnrqtqOqK1hiePH/K2fkJd3d3BH5IWRb4vpsshVGAsY4WvpjPiaKQ/HBASNdYh7FbHx32BVop2k4R+jG+H1C0Lmw+SmKn75Ou2LW4n4vwA1fYdB1tVbiYmTDAehIvCLBNidaKqqr62KWa4WhImmVooTnkB/I85+LijI9aPzrj4JGa6HIHPc8Z/nbS/AABAABJREFUX6yb4MVRAsJDK0PXdMRhjFUWenRapxTGGgdspnfZWoO1CuFbNzb3JFVduoJFuWld23UI2YdYK7duNsYSRTHW+tR1Radq/NZ95DzPcyYM32c4GjIappheA+WwHy1VlVPVLjtW+gJtoO0cG6osa6Q8kCRuamhtzWg0cgW3Fmx3OzbrLcaAH0mmszFKK/a7gr1xP1vPc2vE/f7AbnfgyXjMq1df8P79W8wD9DUImEwmGCz3qxV1UyOkhx8EpFmKMJa6atnvC7TWRFFEWeZ9bq8DewthHW+pUzR140jkqnvE1HnSe0xyMcog+7xajcE8PGfa4AkPY3sjk4B0mLI97FyRE3iPKItWtWx3e4q6IstSjBWcnT0liQaUZYu1HtlgjJAh680tqmsRnsR3u3z2h4r7+3uOj08xrY9QAdkgIwgko/GI3f2Ssupoe9By17RYZRz/MEoZZyNWmxV3N3cILC9ePccaS2ecuaZSJU1XkfaGh8h6aO0xmc5QlUC1Hp8+XuOHIafn54yGQ7q2ZbXeYKyL+/ns1We0XYvwA3b7kvVqRxg4EDXueKKsKgf0FU53FviuONFGIZGPOWJ/OK172NBqpRgMBjx58oTNd7/l9uYGKwVGQtc03F5dU+YHpqMxEp/lakWWDinyls9fdUyDmOx4Qtfo/kVtr9uRj8YjrZ1eSEaxW+G04DCVrjh23GqDEYosy3j67CnT6RxfxpRlSxANWO4KtAHPD5BGI7TixavP+OzVSxCC28tPeL7g2asXrHd3qM5iio6b1S1FXWKFZTQZMZvO2K23bHdboiDisN8TZymRF7DebfClTxBG/WfTZSZr4xqOLMsI04SqrggTx+OKswQZBkyHQ8bzGTIICbOY88WYbDSkq2rCMKQoS6TvETjmOp4vyHcFBk0yGLDZrbi6unSMSeG5Z6CzxEnE8fyI0ydHvPnwhropqKsaT3pMphPC0AehGQxThyI6bKibjqaFr74+Y73dcPX+I6dn58R+SOj7rJcrojDhdnlLkiRMpzOMMI4DGUU0dUunW9brNbPZ7FH/pJR6ZJB9/7sfUYVL0cj3e8c2DSMXo5gkKCMwWva4HddsuwvdNZLOrNeiVNdf5m77JaTg6OSY5y9eIKTg4uIJqnNa4LpqaJvOJXb0UDUpfVbrNdH4iDQd8tPbj/zTP/2nzGbHXH66p20065XTaO53OdYKbu/uuVvekBcHBuOU+XyBxZKkIcLTHIotTd3S6oZOV6zWSzwhOTlZMBwl1HVJnm+JE4n0xkynY8LIp246prMpSeIMJ0VRugJpcUYQOuf0/rDl7u7WSazCmNFwShwlLos2iBBWUh5qbm/uGWYBfhwxGY7ZbwuWt/cIArJsTOSHVFXF6ZMTXs5fUdUV/+Ev/0/KvOZ4cUrXKqdDbGoCz+P+7pbtaoPvh5yeHJNECYNkQJk3PYg5ZrfdY4zh1edfcH19w3q1pq0adrstUZSQpQOiOEaIjkE2IAxDDts1RV4wHY+JwxilXFJH3mfHqk6hOk2wcFD6zWbjzC+B3+NwzOOq/2Fi9z+ksHPZZm6ZIYTAGknXtnjSQ0pFq12naR7WIIieeebcP/vDAfOpYzJPCWLLYjEnTVMH0xUPsFbXPSdxTBiE7MXOremsZTgd4YU+nW3pTMPHq/cYOgeltBasJPADIj+mKmrnxgl98twVCbv9ng8fPqBM7ezmXU2lGqLoOcPBAK00eV5xe3vfZ686NlGnFGHkMxqPmMyH7HZr8sMe4cFiNicQEXVeo9qWrlZ0OI1PrSuEL2m6FmUNBNJd3lqD4bHgstL0xYhGo7m6v2K5EljdEieRs6hbTTbM+Ozzl49FT9vUlEXJsydPSZOEMAxpm84566QTiCOECwz3vEejhOoUbaMoyhKLc2JKPGTofn3XB4nHWUTblShT9/oHi/SlyybkITGhF5c+6vkkUvr4PmgFZVFR5DXW9rwroaipMbrX4fVO6yAICDwHio2jhOF4ihCCvDjgHSKKKseTPtYoVCuwwqcsFFpXdMqld2wDy3yuHgsxzxcgNZ50k6+6qQnjkKjtsPschMHzAzrVUVQFV1eXIC3Hx0esl/fst1uQgkZ1vPt0Sd1UNG2NVi5s0RgYD8cMo4TVasNmvaZtSuIoQAqncXFsxZ9H6INsyG6zIfRiTAsYD4HTTCVpTNPWNE31OOV+NFAYgVFgrMIq8dj9B37Ierlyny8pex1bCDhwqC+cs1kKj8lkQhAkeH6IkAHL9Z7vf3iLVi2qcxNVsW8J44Y0HSJFwqdPt8wnJ1hl+P71D6z7PMNSadCSrtHk+wMCGA+HHM+PWMyO8Kxku96TBKlL4+jp6p+uP+CHkkE8Ybtck56fO3agMrx7/RMffvoINiGOMqTvU1Yb4iBmvz+Q7w94HshAE8UBndJc39xRt25im6QpnvD73E33fFkhaFtFIH1CL3SpE8Y4jbCQjxo62xtW6EPu6T8zURLR6haLZr1eESUx6XCAaTsqk/fduOcKMCupaodysp6PjCJ8P6Eo9zS9w9HiinRPuEbW69MylO36zErdmyfchNy5It1rD8cTzs4vMFagFARxyu27K+6W93TGuaodXH3A0fExT5895fsffk9RVwxHKbPjKZPjBD+0DIYRT55eEPiCtq4Jo5DvfvMdrXZNXTYYcPnhE6GM8ITnclTjlCiMecg6Bg/ROeZfmIQ8uDqyyYRSdUyOjrj47IIwDUlGMQQB+7Jgc73k9OyUKAoZzaa8e/8GsFycXrjNSOPMV1kW42nJx8v3rDb3dKYDXBbzr3/9a/78X/4ZAkGVFywmY/ZHczcR9fzeTKLRVpFlCU+fPnE4ie2Opsv51a9/xWG756vPv+TbX3zD73/7e3zps1gcMbm9Zb3dMZ3M+shHwbdff0NRllx+uiSOAgbZkDiO8aRH4IdEYcTFxQXrlZvmpWmKpz2U6Vy8XOimm0mSUtYK339Yz3p4fgCPzsc+PlBbulY/boi0bpASsjhkv1lzd1Vyf7/is5efozpNV3WYzk2vfs76hf32wPbXa+LkTxjNM7pWoztLVdQM0iGhX7Ev93TKslrtkFIwnR6TlxVxkmGlZe4t+PH171hu7jk9PXbbofWWtumwUrFYHHO0mHF52dIpFzdX1ztWq4IoMiAShC8YjoYEQUgYhBzyljDI0Fqyu98jBDRNTegPyJKg1xp3bDcHyqJiNlvQlC2b5Zbl/YbQCzk5PuFktiAME+qyc/p4UePJACk6DtuCIIxIk5gnZ09otfvvgyDk7PyC0XhIEHjsNlvKuiGNfU6OTnj16gt0p1ne7bi6vOaH719zdXlD13SUB5coY3FDC4NgtV2zL3KyZECWZCxmC5bX96xXazwhaZqQKHQxbG2tCL2ITmgMljiKicPE4c66nl3qefjSJVcIofsZzc8Gin/0wk7wB1ZbY6jLFtUqhtkQpKTs9v2wyK04PNyQSgiFNjCdj10Y/NBjME7wfIEQHk2rub654frqCq0t49GEsqiw2sFp/Sh0QnzfubDm8wn7fM/N9T3Pnj1HdoKP76/RVjjnlqppy5Ioitzv4UskPnGS4vshdZmjdIcQhjAQvH/3mvywJxtMqUqFtQfazr1pzj6vGI4H+KFB6Ro/hHQYMxoPMa1iud6jOreWRbvoHivB90SvpdAgRa9Q7MGoFkxXo4zs4Z+uGDTKIFpB4EHoCbqqdUUZBmkFSRb3IMgGIUYA/PVf/w2+CPC9EGFrB1bumVT0E1Os+1lKKTnkJabn9amm64nhTi9jsHRWucN4EFI3JdrUIIwrVozjq2Gdi1PYno6uHE/PIvFFiJAerejQSveHlXZoCSEeeoN+QtXrx5SmFRJhBUXREuSVw0/4PulgTpSM0d2BtinwZIQ2EqMFVWXodEUS+uzbgqbUHB3NeXrh8h+bOqcsS4cT8JzjU/oeZV2hTIHRuEmgUux2G7RumU1GHM2mFIc9RmvKpuGwbNBdi+mzYyejMSdHC8bDIdv1nqrMCXyBUhVORunE+VI4l6PTdDpXYRym6E7RNSBtSJIM2G73eJ5Amw5tVa+2eXgN2Rd3zuwsevq/tFDlFVVVOzithMiPSNMBgZc4IwyqT7GAtlPcbVaEcUoQJ5Rlw8fLG4SALEmZTlKaquanH38AKQmihNVqT7HXzGZzWqXYFDmr1RqrcYy+qqYtG6Ig4HRxwssXLxFWcn+1JPYS/skf/xkyMOTNns16hS8lSRShm45xOkRoiWc8Li+v+fDTO9rOEKULoiilLmoGwwG6VoS+j+3XTL4XsVqt+T/+j//Ak2cXHB+fsNnuSdIEYR1uBjzCICQKYg7rvROLb0uqfqLjhnJ9Enpf3lmse7+kAM/9rLNRxqE6UCsHPVVasV0tqaqKtm158uScNIwIgxECj6ZTDIOQcDjEBAFW+uR1yyEv+gu667FBEb7ondHCIiLAE2gMVrrizxr1CAMHQeAnRPGAsqq5vr1BKcn7T584VDnGQOCFGCEoy4I3P70hTgPWmyVxGjFbjNkf7gljy/Pn50xnI5IkZjH/E4w2NFXNdDEHKzhsD+6C9iTz2ZzteosfhJydndN2HePRhLwoyA+lMwiFAV4YoISm7BpkFLItDgRZRpgOsIHhUJcU2xpLw3qzYjIe4kcBeVU4kfsgI0sTp2WuOlA+gY7oOg1aUHU50oem7liv1vzv/+7fMZymxGHEv/u3/xvPP7sg8X2iwCPJBrz/+Im6rhiMEqcpbTuIPPK8JM8L3rz/hLCC8XDEZrulqirub+6Jw5iyajAGttsdng9Pnz1hPpljteD06BSB23qI3uWfxkOO5scIC01d89XXXzEbTtmv9hSbyhXo1q3v0yhh79e0sv0Dl04fD2kAK5xuznoo1Ttku4ZON/ieRChFddiTxAmniwWL6RzTuOD6rrZIApAuz1caKHON8Cx//9e/YXY8YjFa8PnnX/Dbv/sO3fWxidY16cvVmotzt9qufnpDnpe0uma+mDEc/RnSM5ycLkBoskHgMmInU46mU4r9Fm0OlOWSIPCZzSKOj8acnc05lDuk58xo0gjq1uD7KW0H+c0KbNTjr3wEPsMspQ0atNZ8/HjF+w8fOVoc41kPYT2sgdVmTV22oD1m4xlB5GNMR6sUURhTlQ3FviLfvsd4FmU1u8OevCz48tsvGU1mhEnC4bAnSkYkqUS3HXXXEbYdqnUFahiEBH7EMBnRyg5ppNNBK9MjZVzqTFcVYCyL8YwsSvlwOCBwG4yyzMnS2IHwqw4pQ+IQ4iBlNpsxyIbkeY6QEr831Lj6zW0epOyHTFr9j8GdCH4eA1qsG0u3ikHmE/UWYaUd/0l6njsfpdMNSCFcNmSnaGrNxZNTtocN7b5gtzvw8eOlI7h7Thu1Wq9pmwYhJLP5jOliQhQmPH/+GZv1nvVqzVfffMmTi2cU25LNau8u9dkxwkherzf4gYcvQ9I0oWp0P33rY9CSBCEjDJo0HdB1it1u7zASeU7TaERvsNhs1yAaxtOEm5srmrbGGEMSpezXBeW2JrCxW/8+XuTSgU+tgykq62jjtk9BwPYYjB618HB3e9J3MWk4YKXutINVCksiIqdDCTxOT085Pj7h5vqWt2/eg3FrpOBBB/P4uvJxYmeV6i3THX4Q4QcerXLiXKRESOGmcp6j5YueDWcxbkf7UJr2gl/ZM7Ieughj3MpR9BekJwRIiekLdBf35j49AvrX/PnrsRsxlqosHWLFkz1P0CfyPdJkhJQBZV04MT7Q1RbdNqBaivwOEETRB3b7DdPpGIHHZrPB6B0ISxgGJEmI6jRKuddvKkVV1ex2W25vbzg7O3OH/f09VdvQ6R4i2v89jmMm4wn54cD9cknbNpydnfbTFeOcgeqhYHdOxsPhQFUUj3/W9XpN6Eduai0lURy7ZsSTKNWvZ4VCioeWyvbmGN9lJ0tJUZR9tqx7zfF4zGDgMozDKEKRUNU5N/dLFtIib2+526zxwgikR1FU+L7HeBQzHE0Rds90tmC5WrO8vsX3QppGsdsdUEqz3+UcDgVxmFCXNU3dkCQppycnDIdjDofchahrlz2cJClaNOhCU5U1QeQh8KjKhlW5JVjt0cqyXK4cusYLmIwnCHzSIKWtWtb7FbrTtKoBGdCW7vkLY4dTKUqXqOH5Ebpzna9SrmBDCMbjMe9fv2O1WlNXleO59QH2/41Dzpl3erPLbDal04Y//dM/JQw9Xr95TVXlXJyf0XYdaTIAK2iqDqPdlNqTAYGM8GUIwv1Zu7Z7nE53XUcYBM7QJT0QlsiP3DOKc0G7WC/HsvN8N/UdjccMhiOKsub9uw9c3y65u9sgff8RdN50zoValiVXN9ccnyzYrpesN/e8e/89X3/zGaPxADCcnZ3i+5K3P72h7RqOjuagNWXu1qBWGM6entEqjfUkp0/O2W53WJwBpukUtmwJowArXcPm+Z4799DUTcfd7TUylsTDCLQhSjy+/vIrwtCnLBzrczGfMxmPQFvKosAqgWdjAt+tL1++eMHFk3PeVu8pDgf22y1FuSNOA7755edgBb/+9W/44qvPGU3HvP7pLZef7vACz2nfdMO7d5/IsiHr1RaN5NmTZ1htGY/GLBZHrG6W3Fze8OP1a6qmIQzDXmzpLvLNZosnXeSZUW4qOJsd9TGMEMcRP/30mk65VdzWCJqmdTpdeHReB76H5/l4Uj9utR5kAEKI/k5wSR9t6yaUnXJpTdL3KcuC9Urw6tUrjo7G+L7/iMXQyt1ZVgqElJycnLLc3uL5HlVZsVp1/P3f/5rnz1+Sphl3t/cYQz/hFnRNx+vXb/jm22/Yrnf89NMPBBF89c0rPvv8GUkakBdbhNTM52Oi0Ofo6JjJcMCSjs8+e84XX3zGyfERk+nEvWbX8f3r7ynLkpMTwdOnc7SyBIEziQkREIUDR56oW8qyeJQTXVxc8PXXvyBJRxhtqA8VRrlGfDZbMB6OmU7nDLMxu+0BKY1LRrKeMzIYgzWa/FCw3q1YHzb4ic/5kydMpjMH1lYQeIFLcGk62nqNVprb21uKbU5Xu5N3OpvgewGB77FaLhHG3YdCOB5mkqXEfoTv+yjVIYUgSRLSOGG322GtSyJqmsb16L1EyjGAXfP9wJjVxrjPDk7na3C1gjYG+988tP7bX/8dGjvxB//s2FtaGzabLa3uHD/Niv5Sl0gg8DyGoxFx7HLRivWWIBbM5hPazsUnuY7K0nUGpZyTp6ord0hgCZOAwThDdYbb5Q1XV7ccLU55+uKC9XLFcDxgcTTn8sMtT58/ocprLj/GhJGPH3pEMgQ/cQ9624AUZNkIITVVXbo3Q2sw7iH1/I6qKpEyoKoL9vsNVrQMhm7MnaYpAE3Vuh+2cBMnt14NEZ7v3gDZN1/WRUfZB8igNW7ohft5iX6FhnDduZP69EJ560K6HSJE0bQNQeCTpAnvP3ygqRuUVqjOEMiAbDDAGEtbd4+uQNmvOB26o9cVAZ3u6LRyuae+76LHnMQKYxRNW7tJmxNu9CsieLAR6t5x+zNzi58LN+GKO21d7JbRrrB2eZf0RoDH/8CxvIy7bMVDAHvfndS6dkVknDCcjMjSMWPd0ZmO3W7jijxl0J12DsNa8enTDdvtmtl0/nhIPgwMQSOlz3gyoK4UOhYYVdK1DpNwfXXFcDBgMV9w2B+omvqxoAMIk5CTkxOOjpzb0+XepozHY2dyAbLMHVYORBoghHTg56qhLiukFRR5iY3B8fzcJEdr/Vgwu5io9lHz1S8M+/ckcBMqLEa5aaiwMBwMSOOEMAgAyWa7w1jFcDpE+AFeFHOoasq8JApCnpw/oW1ajJHsdiX3tyvXwFmfttFUuiAMFHXV0bYdeV7RNhqsolWGMEpYzOecXzwlDiPWqxWDbOAQALEL0F6t7tisd6TDlDiN8AIXU/Xh/SVpMmA2nTOfHjMezBkOxyyOn7HZ7GmqmsP+QL7bUeYls9kEKQV1VyE9wfOXT3oOWc1sNkRIjZaKMIgoq4amblFtwTDOePHiJdPp1HEjlXl0mgnLz5/BBz0LfUyTdM7/9eqez7/4jNX6mrbNOVqM+Yu/+JfcXt/TNC4xRClNVR3QBqIwIY0zfBkitaQqGtpGPaItlHLvtfUDhO8/Ot8e33fPaa76IT5CCMIoIkkzkiSl6Tq8wMGBO6WJgxg/dP8+L0tkIBGeayhm8zFpInn/4bWTVeiGNI1JkpA4CVkt77FGk6UxbdWwOF7geT73N/cESchgMmI8n/D511/y2Wev+Nu//RWHQ06SppBLwjRisThiVxxAC6eNGg+oVUXeHBBC9xnFGt11WESfsT0ks4ZskJBEIYHnkCTWc/dMV3dUqqRrXKLBbDbjMrwiDJ3WczodMxwOadrWuRDDlC+//JqiqigONYKAwIvwZMBsfsR4Mqaua6Kwo+61elVTsV1vufz4iaJ0jMzdbsdkviAdxIShRxCGBGGIED4CyXg0waiOumoZD6aUouaQ5+y3Bwe1DwNWyxVr1kR+QiQTWt0jKiyPiBPbX9SIPyzseGx+HcqpfvxsgCVJE/w+RN65qwO00jRNi6AvCo11P0ejGI6GbPIVSnf4kUfbdmy3O3a7PWEQOVmCNvi+ex3fC/kvv/k7wjDmaH7K4bDj6cU5TaX41d/9ijAUjKcDhsOY4+M5SMN2t0K3Fbe3Vwgh+NM//Scs5gtu724fnzGjBV1r8b0Y1TkUWpaNSOIUA2xWBff392itiOOQwWDAeDwiS6bYqiCNRw4A77u7s1Oao6NjhtmIqmjxqNhu93S9DCmQPT/TWpQWTMZTsuGA/e9zAhm6jZL2aasCoyxVUbHf7SjLgqqsuE8TDvs9WZy572+35rAtHif9ZZmTDQZ41seYljgJSJOYxWTB0WzObrejrh0CZjIakyTufZvPZhx2O2eixD6e+UHgGH5COANiXpbO3W8ewkJdcYd0g59/6Nd/R2H3s+XWWkdIthbatu0rZIuwLj9QPByU2k3rkjjBEhJGEkvD7fUdSZq4Q9V4BF6MVgcsBqU1RyfHjCZDhIQkizFCoVFUjSGIPBpVU7Ulh2rPcJxxdDLn7m7J+4/viIOU+dGM/LBHem7NNPBSprMxrSopqoKyzomTEM/36JSmbTStaplMFjx7+oSf3n6grhvW2y1llZOmPkJYsiwlTmJHqy5WtJ37swd4eLiJnZRudYqwrtv2JbqzbupjnbDVWDf9ctnPFi/wHp1RFpyupecxaaUwRrM/7Pnx9Q94vk/XOZew1X0cUm+4EA+B3dJhD6SUdMYhABx1VeBh6XSHUQbdTxKNsEjru+mQfch+rLHWID13KtnePSiRj5+F/6ug0z4WIPQ0dfdZwE3otDvMpLUIax5vVStcgWN7N6ODx8r+17qXbSvFRueMJwOE9BkPh4yGE356+wZnSg4wWtO2lqoqSJIR1vgs728QwonVH4rmOI5IkwFl1FCVHXVY09ROL1hXBTfX11xcPGE0GLLZbUG5yavnSwbZkCROqZuWj58+9YiDo76YcyuE09OFo46v1xhjadoOlKbtFHXVEHg+nvBQviZJAgaDAUEQONJ4f2i76ZFw5HnRX/ISdKcJM5/xaEjdaNqmcRPxJGE2nWK0RnUdXatY3q0ZTTKOjk6Zzxdsdwf2RYnqFL6fEAQpaTyirmtWqz1VrcEYus4yHs349OmKvSqJ4xSlNE2j6VpD1znh+2g8ZTSeOsBs6aj6WSbxw4C2qZ2RwUqmkxmT2YhnL57y4eMHmrZhlI05HEqmLxZ0nYvyOj4+47/89keePXuON57wu82GNI3RqiPJIjftEiFp6DSlVlh83+fo6Iib23vauiWJU4IwYk9Ovi8wxjBMB8zn8z6v9wHe3V+mD2ajR9SJ7dlkQa9VcrnAvhQ8f/rE5QtXBUVxYDyasV5vKfIKK+Di/AlPzp8QBdGjMUZ3zpVk+0nMwzrlZ7OG+8zrhyliTxxQ6ueuJx0MENKj6VyUVJIO+mYsQPoBnhfg+0G/3RMoo8iGKV9/+xUf371ms014/uICIRVCGIajjKurT2Ats+mUtunIBgqjLfOjBb///Q901hCmMUcXpy4XdD4hSCPq3Ybd6h5lNU8+c+7S1z+9JiQkTmNGkyHbw4ZRmDEeDljuVxjPMD2auLSHwOVFp1mCXwh01xL4DkhddTXCemw3GyKREgUZ+/0O35fMZhM8BFefahaLOS8/+wzpG6qqYT4/Ik1GfLy8xRjJdn1gu/3AyfmCOIrZqC1N1xHIkPnJCdc3t+hGsy43vP7xDV3bMZlOMcYQZZnj33kwHI9B+gShM8k9f/6Kn358TXE48Ou/+y1hELM4mmOEYjFboLTT1FkhUKpG+5J9kTMfnjzeidYairLksN9Dj9SRUmKl9yibcZ8V9ahF7pQgiiKePXvOv/pX/zN//dd/7cx2fkSRO9iz7Rmuxrr7xAsCnr94QdNVbA8r7laXnByfEkcpUeTwW23bcnx0jO85XVtVNey3OUmWYJSkKlpOzudMswHL1TVXl1d4vulJCa4APZnP+fyLzxiPJ0jh8bvf/477+yUXF097PSacnT7n9OQZd3crPry/4fnzBNXWFHlDsVNUpQNvt9Zyvb3n8sMt0+kELw4weAR+SpDGFLvCbQGtpK5bduuCJBxQ5CVN5bYHYRySpo5aIZUgGw4I4hCFwQs8RtkYaSRCSyIZUXQ5qlXkh5z1eo3S7k4fpQO+/fqP8IOID28vubm+pW1q6qokjHw8P8IPBXGY4ksPa93woq5rqrpCIGiGI2RvkBmPx+7MsuZxS/NgjKjr2n2/UtJ2DUq3PMCOjdUYDEK6OMF/6Nc/+Fc+xEHRj4+ldBWrA+3inKkAHgjcaL4sCvJ8x2aQ8PTZOWmSss9ryrwm8GMQgiCIGKQTdv6BvDygtWVxcsL8eOJgyKEkigIHH+0Mu/xA2eQo23Io93S6IxsmDMcDiv0BHWqkb1G6xdg+qit2f9UHS1k5i7ZhwHQyIkszVJdTVwfatGFxtODm9p71Zs16fU8YeQyGKdITaK3J85ztZsft7T1NpZDax1oPz4AS7iCWvkcYuDdU+B7SaGz7M1sL83C9uB+etbJ32jqzg+8LsApVOuAuwtKpFi/wmS8W7HY7p5VTGoGPwU32POmjjItIMrjs1U4pp6UAt7IVbr1qrDOddFo5zlcg8T3fTdiMRmu/Z231xglBv64V/5cL6qHI8+TPQs8/TP2QgC8l8sG063gS2N6IIyxY2Y+ZHWgM+SBo73V5xlgOu5y2aZEepFXMZDZhOpmR5zt0VyOEochb2rYiy4bc3q7ZbnLm8wV1U+F5As/z3ajbVggk1hqSOMTqBE9A12mW9/c9CXzEKB2wOeywwmkoq6LiN7/5L0gpKaqCz1981gc407trdyRJ4kw/4FYO0q2mPenRNC1aagIvcHw3pfsVrOvku65zUUd9RI17L352n0vpcXS8cBfqj+/oGofsieO4j7FZ4Xk+R+KYUIYsb1f89X/8G6ZHUxqlyA8lw8EYP0z5+PHW/T4PLiz3dNMpge9HdK2hLGu0codQU3dY6xJK0nTAbH7EfHHEdrV2UyYDeVFRFnuiMGB32HN+foEyLUV54LDNOewKDvmB4lDz6cMVaTziycVzknTAfpeThgk+Eq00aRwTn52yDH3qyonqT05OaLqGpmloVYuQkuvrK/aHEl/6DjPTuTil3X7P8vqO2Is5mR8hpYf13J9T2p8L/QcU8YORSwg3WUK4wjpJEtLkFKymqho+ffxI12pmzycc9nu0bsl6FlbgewSeh9/nvUrxM8UeeEzk8PwHVJTto8X4g8md35uTRO/MdpBWbZzeRno+YRiTphnSDwmjGKRE258byjiN2R+2bHZ3fPHVS+LkFVW9R5uGT5/eYy2kSUbd1nSdpihq2k5zd7vk8uaO0XCMljA/W7hpT7nDeDCYjpmFIaEfuslYGnD27NydKcIgPAhCj9AL8QOB0R0alxBjtGZ5d0eVp9jQIxsmRIGP7hSm0yilWN3fU+4bBpFlkI7Z7becnZ0ijOST/MjN9RWLxRH/+i/+7/z2+793QNlPOf/xr/4ar8ddGeMQJnVRc3N1Q17lHJ+ccXx0ghQeu/WeKHIInrpsKMuCrmsdnyyJWRwdkWYxnifolGE6HZIkQy5On/PT796yXu5p6o6XLz9jkI7w/CG+77HeLB0hQGmU1SgMZV091OuuGAsCR1Bo28cpTdsGWK161NRDfJQzpjwkkGBht9uxXC4RQjAYDLDGo27cKvahqA+CAOEbmqZhNBtwOj3huJ3TfVfx4sXLRw5s1ym0MgRB5IxZymK1oCpdodxUivX9Hm0UJ09GhEHG4bBjPB4zm84Zj0dYaxgPBgwHGcZYrq4vufx0TVHW3N9tcSugEE9UvP3pktvbe3bbkptwjTWOfSt1iO6gamu2G/f7qa5jvd4yO14wmc1IkzFxEFLtP7I9HKgLZwgbD49IkoyXL1+R7w8u5UhIYEdedPiBYLdbo3eWyHd34/3NHfn+gLEdo9EQTzg+ZFlU7HZOD2itodMdq+2S6fiIOA15+vyC0PepqoL84FJ+sixDeJ6TPllDVZZUdUVdNxitub+/77FfDmZcliVSesRxzGAwoK5r9vs9dV07sL3RtLpzg5+HQZqgZ19C2Mf1/UO+/sGFXRCE/5UeQIiWh0xDr5/aCCEf121SCKIwQhu34hDWTfDK3IFkVauRfoCREkkI1tnp02xIOshIBimb7ZIAD+FbijpHKUuUhgyyCVYavECw3+/JgiF+4FFWBcWhcJdmrxNRuqMrGw7lDoMCAVHs4LYIly1YFAVVXbHZrPnd777rWVMefuDx6tUzxpMh6/UtTdugtaEoSjfGDhzQ17QW3XUIaxzIMAoR9IBZIWh09zhmF73259H52E+5ZI9Q8X2J7WnjrercGldYgjBgcbTgF7/8Bd9//yOrHkgs+84J69Id/MCnbRUWB7W12mCle4+sNXh94WUedH49UuFhVWqteZwOxXGE0qA1vd7OfT0cNg8RWg9YEPr3XUiBlhIr3UWnH0CTOLPFQ1cqHo484SaK9mE0xc8TjYf/9aWHDF2B2rYNxd2eoshJs5ijxRFx6HN/f0vXGrA+Zd6w27qxt1YS1Qpk5KFV78DWjWMpSUkY+VgTYbQG2zrd1/09EskgHbDb75HWCeuL3D28URQSxdF/9Yw8FGb7/d49qEqhtQNT+0FAmmZobWnriiRO3ES1+1l/9YcIGGud2cZR6NtHE4SQHmmS4vsSpTratmUyHSOFoG0aRsMR1loiL+RkdoS6bci3ezzfozEa3RnSZEBTK5Z3K7RyqSSi14FYY6mqEmtMP4GEsmz6HsStCuMkYzyZcnR8yng0pq7cv6+qe3b7A1Y3gOW7777j+x9c2XR0NOfy4yVPnj3hL/7iX/OXf/lX1JWiKlvyQ4knIn7/++/55S/+mOLgLtrRYIA2Gs8XDAYZeV5wf792mIWgT7eIYjabA54f8iAHLYqaPC/QnUEq8GOfDx8+uAPP8xDW5Z56wjosj+3Hwv0Ez/OcPCI/5IRhyHa7ZTyMyA85VVUxGg7ZbLa8//AT1sJ8PkYIH61bwsCDR1erQIg+E7ifbodh8Dil+cNQb/kgTRDuvff9ByyQ08+lgyF+EBJEEVJ6+GFAkmUoLZxWyoLn+xic+eaQ77m+uSRJArquQhmFlBqlWrLBAN/3ifyEYl9z2G/ZbXO6VvPx0w1F2TIaB0yPFiDg0+vXBHFMOEgYRSGLxRFYwfXlDdaD47Mj8iLn+vaaVtUMRwNkoKmrnOEgJRqmqLbhoBp0F7smT4TkB02JJglD2qqhqRuuL6/YrXKG6Zi7mzV1oXjx5BXvmw8ODdW2XF1dYYzG9wPKoiKvcgajDePJDGskgeexmM+4eHJKp1v2ueb4aOGi3GrNYrJwp4qBT58+cTjsybKU0XjEcDzky6++oO2avqhPmYznlGXLh8tL/ud/+a/59us/4e5uydu3b9msd/iBO6MCL6DqSqwQ+EHoUEpFjrPiOQd1FDo+6YOZze/PTtXWWOc2dJd6jxJ7aJzd2eDx9u1bgB6/ot3kj17CgnFnrzVsd1uu7j9x9uSUo+Mps9mcly9f8eHDRy4/XXJxcUHgh2w2W6R031fghdzfrTg9PWc8nLFc33EoDlzevicdBFhq/uiPf8HR0YKmqRiNppws5lT5gevrG96+fc/d7YrhYMx2u6dtNccnT1CdYLct2KwOVKXi9Y/vGI8mxEGC6SrKsnKwfiEehw6xjNjvCpSWPH0yoK5aPM9nNBzR1g2bzZbjuYP7BkGA0f19Yhx/sts1eAFEiU9VOZNZ3bRIAaNBirEBbdWyXW1om9Z5BrqOJIyJotgV9r5j4AoP8t2B2WRCNkiJY5+7+xukB8NRhlZwfvSEat/S1C6lin4SFwQBHz58oO4NV27F7s7oPM8piuLnwk5rjLSuQcKRLRxCKiKKIsbj8T+0XPuHF3bmIQerv4y16jViuLDqwHeIhbC/4LVukb4liiLOzk748ssvefv+NUVR4tXgByHZYETb1I5HJTziOEUIwW6/Q0aG7X5LGHpc3+a929Y5Z5are4pflSTxgLZUrHJnWdZWYU0vVA18wjikM4qyKthu1whfkKQhfuShc6cfK8uS9WpNZ5zr7ur6krOzC4bDjKYeYqwmz3ePRYw7oN3DqDVgXJFjpMD2HCKlOhoUQguiNGE8GpFXJVZrN9k08oE41xc55nFkm6UpeXlwnDNhSdMEPwwIogClO37zm9/0RaWLEnN0cifQT5PMdQt9waiNQXUOhOtSH9yqWDwy9WyfsGBcsoUVSByrzukMDUK6GDmEO2x8LySKIsIowvecWBTh4oSyJCMMQqy17HaOs5fnpUthkBLTaacD+YNQ48d8VQu21wEKKaAvdvraE2M1URghfYFoDZ1yD0LXdnRtS5JknJ8/Zb/fsN6s6DpD0yqiKKRtNdYIrBGUpXMVR1FMGCh8L0D2sXMPhh8rHVahaRomkynrzboP2A4QHuAJwjDi/PwC6XlcX99wOByw1hmINpsNfhA8immdhEEwGDhMwrasaJsOrY1LoTCGtscJRVHkCkTVIgJX52qje52mxPcFxhrW6zVlXhAFAV+++pzjkyOM0U6ncTjQFpXrXpUrNnWrnK7HPJhjfLSGpulcAWIMWle0dUtTV2ilaNoWrOjFvj6+H5CmKVESE4bOtXh1dc1uu+X46JjBcORwLVbSdS33yyWeJxhmKUJ4SKBrFKu7NR4ev/jml30En6apGopDQV1Uj5ONzXaPMoqz81PoczbHoxFRHFK3pcuLbTvHN2wVy/UKY6BtOueoNIIAZzZSvaRB0mtCoXd6g3gswtwlKj3JaDxmsVhQdTVVVeGhmc+OqaqKMHJ4la5tSNOU/T5nOJwyni0YDlO06dx6S2nK8tBzPl1h98DS+0MI6UOz7ImfQ+EfjuYgCIjiuHfMOe1kWdfOCRu45Aptcet+JOPJhHTgYo1ubm44O8mgh94a0/WyD3j37h0+EUkwRGtB4McUxYH9oSRJhwzHM8IkpaxyrCfZ5HuKpmK/3bPebZlOZ8jIx4t8OqNoVIv0BAZDOkgpqy15vmd2esTR2QllUyI8mE5GTj/qWReZpTtGaUZtKqSQvPrsFXfpinev39PWS54/+RzpeRRFQV64i/D777/nr//mb2m6Ek+64u766g7pxex3BZ6QHJ+dEEUBqmoIfI/1eoXvB7x6+RUniwvevXvHerMiPxS0bcdg4Ixvw+GQJ0+f8rvf/Zb1eoWQPpfePdZIPolrvuf3/Pk/++fcXN2yvFtRZjEIRV3nLNe3hEmAjCJGkznDbOKmqH176gYGwaNvTEiXSODkLA/Nd2++6y1TxrjpWxSFjEZjhBCPBYHRkjzP0Vo5pzwSISWDwYDJZIKsBNvNlt1+xVdff04Uxfybf/NvKIuSMIxRyjFCjTb40mc0GIGUVEXNxdlTLi8/IZQB1XB+fsp4lhAGCUk8II5T6qrm/n4N2lDkNVXREfgJ8/kpXSfp2j35oeTlyznHxyc8ufiM+/sV7959pCpr9psVwohHw4gxLjvZ83pzWKu4X+4oDhVxEHB2esJkNGO3WRGF7gy6v1+Rpgm2Z/15nvsZNk2NaRqSJGE8HiI9iKKQNA4xStEpy6GpuL+9R/X0gDiKyNIUhCWOY169esnqfk9ZFXSq4W55x2CQksYBQSARGCaTEcWhwVpLUeS0XUeSpNRVhTaG+nCgbRryPCcOQsrKTQYfcmd3u13/fvdyt358IvtCPkkShsMhYRgSJ//1IOEfqbCre1Gv6d8IEFYjjSYQ9DR1x4YyuAghH0mcZTz97BleElB1DSKQVE2NXxYEccJ2u3fQV88gcTR1z4f9fstgMED4ltY0tK2iU8o5UoyPMdA0LU3XcL9e0TaGTmii/k22wh0ebdfQ6AYlHRcqlAFh5ECuQeqxWy8JU0EsQ5CKTuWsNlccDjnLzT0y0hwfzRDKOUbdpEkgrEQY6SZaOKOEEA8TOYuWbi0SBzFHJ1PE0gEKFRqNAu0sUy4yxb1WFg2YDWes7++pmpLBcMDxyRGfri6ZjCdYI7i7u3M26TRB1TX73QFhJVE0JPChFRphO1d090WTu70MvpQoFMLtyx+LuyBw8WAujzZA4mKuqqolCNxEy/d8hC+J/IQsc7mDcRzjeR5aK+rafXg75XRkZVE6jYAydE2LtG7qhqTXLf0sYtda4z24a7UCTc8LcnpBT3pYIdFWE/gRvg0JdIJWhrzsyMuGwSDh6GiB9CJWmwJLAlaiOknXAMajNYa8dLm7wSyltR0ykf3KPyDUhqbpUF2DJ0OKw475ZMpiMnfoln6q4/s+s8mMYTbi5uaGw/7Abr8nimOslNS6YzoZ4ZUholS97lIQRAlBlNCZDcoKl0prBXXdsN/nRMkGfImRllYrAmldQyMDjOydx35AnGa0VYPwLdmwj/VTDevViroqCYKAMIyIk5imKgFNSMBydY9nfTwZcre8ZXvY9JM41+laY+k6J9w3rURoV6S7/I+A2I8JPbcm3u8PVGVD03SOGxhEiKDCtyHSeAwGAy4uTnn3/ieM0Xy6umE4HDEeS/7d/+evXIEYJW79aSy3N/eApLMu3aNTHZ7v4YcRh7wiG2TM50cud1kbUDA/mlOVFZ4XsFyusY0F4T82dp70EdqyOJqzvLmj1cYZioQEz03rbL/m6gUtaGORQhJHCWen51zdXrPd7NnvBafnz9C4aLz19sCny7d8/fUXeLElmQQU7Z5GNY/6mK7T5FVNp62L+0IiffGIMAKBFa656R1VSM8hg0z/GtLz2e73vPvwka/iDKXg/m7Tr8VdAySkx2a/RwuB9ENmR0dUzY7hdIQfSaSvaeqaJIkQvs82L2i14ObujtOFxyCbIH1L0+ypK40nQ8Yj53IO44Dj41O2ux3S9/CTkKPjI6LQieGNL9hsd9zd32AxpEFMaxvwLPPFjDDwMcoBoYWQhHHmdISyI9+7lZoUIVo5Dt/seMJ0dsoonXH54Ybz8wuGgyFaG8qy7JuMgK4xXF+vGcQLfLtnt67Ikh11XrA4XnD+9IS6KcgmMYuTKUVVoW1Dq5yG9n55xXK5oiwOxKlrPMbjOYv5gs1mzeFwYLVeMRxMIPIR1qdSLednF6w3B4Io5YuvvkFIQVkfaLqS02cXyNBlE0vhU+4rNuslrVW0xoB0Q49OaxQCLWQPcpdIEWBRWOsc2do4eLXveQ6aOxyhsTRlxe3dkqbPhdW6o+vqXrHiJoFZNuboeEH+YUdeVbx8+ZSvP/+GX//9r7m5u8HzfMrLTwReyCAdctjuaYqKQRQ7E1pe8OTpGW8nI8ouZ3J8xr/883/BeJ4iPYW0Puvtlu+//wFfSAI8J83SKVhNmYMkIw49vvriFzw5e8lmvaUsa0Iv48npC9pW8ebHN1RN2UsQHNPPGE3TKFarO5A+p+cXjLIJqmsp84bx6ZQkSZ38y/gugznw3TalyHlyfs5hv6PMczb7FWfnZyRphucFGOs2YFVbOxmVcHnScRoR+JK8itz2xjrw89/+zd9SFBW73Yq2UwR+yGR2RuBBUQVs1ivSJMVqn0+bD1R5h5Buu1ZXFUJIkB6iN2g2XoMIYV3uqe86qq5CS8No4gwxdeUIDMLrk6ICN5WP0thxazf5P35hF8Q+sgPbaowyGN1htGPmCOG4ZtqCthptnfXaYDiUe/7213/DZDbG2A4ZeahG0erGGSwltLoFqxgNHHMpjD2Wmy3ZMKNTNbg1NlprAj90zJ/enGGMpm5LttsDSZQxm8xBexwtTlzsT7VzKQZNSRj7zI8njCZDOtWiVUnVHUB4DJKRy3L1DJ2tMbKj0RXJIEbZFj+SGCvRWuGHHmEU0FmJF0lU2y9eevOCJ0DRx2NZTZJEDAYpVeXs3G6CI/viSyIQqB6kGgWRA18GIVmaMZ3M+O1vv+P5s+fUZfWoyZrP51z7Acv7JRi4vrpkPBo7bUwScdiXj2tPz/cJAh8hHexY2H6d3nPuHtY+ote1OQd0r3FD4EmfKAoI/ZAwiFBKcXN9Td00dF1H07gILRkGfbEm+skc+EKi+xgmaX9esz6sbx/MF9a4AtAZjPsODovRYKR2XEApUZ2H0g9dsOw1ntaFYHfONr6YHWOtpVJu4qQ7i1adC9ZuGwen9EOatkLrgCiK8SKvTweRvTMRqrJkf9gzGk/Iy5yyrpzesF8NX336xHqzxViDF/jESezColWLtgrp9/oYJxLE9z3iJOn5hq6AMEBdt9RNQ1XXjyYVbTS67pC+58TxgUs98PyAOE5oy5a6KlnMFzR1xfu3b3sdj6Rt3DpAa59BGvPsxXMmsxk3t0sGSYbqFKvVkrquHPhZuaLCauuijlqDVB62z0B2E7SILBtirKGsCifS9kKE9TiIAsEdbVcjMfhYJpMFCIeTyQYTPBnQdZqm01xe3eF5Pl3n/twPiR1HRwvefnhL1VRMJhNSL0U1mjAKiZOUQZogreH169fstjWm00R+zP39kvubWwLPxwinQ31IfPGsIIoDtvuNkyZIz+HCrESIn3XD8PP0zFrcRLyuKIqC8/NzxpMxd/crbm5u8H2PojwQxjFlXaCtotEtDgzdZy73ANpHqYFwcX2C3vH+0JsLHKvO/owOcrGM7pm0wG6/ZzAa4rCPEtX9zICMooS6cyt/PB9jBePJjLgNGY8yjo4iJtOY5eqSTpcUVclhX3B8cgraZ7fPyQ+NizbDfb8PsYJvf3rLs5fPyIuCw+HAdr9DBj6T2ZTBYMTl5R1l24An8eIQiyJMI4y0FFXR87taDlc3KCxPnj11HEo0RlVYbfDCkMM+Jy8qtLIURYO0juWVZQMmkylJkvXFt9tqLBZHfPP1L0mzMR/eX/OeT3RtyYe3HwkiwdG3XzCeTJjIIX4kqJuS9Q8/0LQV1zeXvP7pR+q6oagOaKsJowiLYLd1EPvlZkmaJXzxxRfEUUIUDqhrxWQwZ3+z58OHj+RlxWa3c3xC61JysnHEaJoRhxGeAN11lGWFRqOtJRKucHFYsAd+4gP18iGy031c3LHYP5cWdvudk3jU7kyTQmKUfhC4w0MuunH8NN11YCwvnz3nX/yzP+dXf/srbpY3WAH7/AAI0jCjyCsW4wW61tRFzWQ4AmV4en7BYjrjZt1wcXpBFg/YrbaUzYEg8lFKMxkfo2qFaTXbzZ7tJsf3QwKvIwpHkMacnTxB4rPd7KmqFikC0mRAGnscLXLu728fkzuMMez3e8qioG01URxRHgre1+8ZDYf40ufjx0uaqnJr8njI8eLYIZ+MZrfbYrXi7uaWQPpYbWmqhqqsqHveZ1mUtKqjKV0snOo6hoM5Z2cLDAo/8lz6g1ZcXV2hlGIwyDjs9xwOBTd3l3gCotB3wQadRlrPbRtK55iW2gUCBEGEVAYpPbdNVA6ara2gy10oQd/bu2c+8EB6NEphe5lInMQEgU/TuNi9f+jXP/hXJmn86Axs6hbfd8aEm6sb/MDHC3wHCO3zSRHaVcKhxAvBDy1hFNN0Hm3nsziaEcY+cRpyKKFpK4bjBfPFjKLe9RT+Ei0Uk/GEMqi5L9du9Wd99vsdcZhyen6KkB5avQd8lxKRTXj+4in54YAMLKv1PXm9BRSDQch4FLM7OE3DaDLi5PSUzz7/nKKsWG+27Pc5RV0QxE7ft803pElMZzs62xLEPomRpHFE4Mfc3276B8wVKIiHx5ZHTs2D/urh4hBW9LBZt8K1xlIXNftgz2wyp9EpTdPy/t1HAj9ieb+iKAuGo6FztLZOIO5Jh1fxhEfbdCRHCVXeIKXfr16NI36HAdYqlLVo0/WXhwMoC20JI1dQdq2bbFr7sHp3Y2Froe3dlofDgbIse97Wz5eRfvig9uN1gXv9B9Cz7MnqDyLxh0idh38Oowi/15/Ubes0JtYBkJVusebngg+cDtCXEoMruOuqZwwmSW9WkL32rEWphrZrHEfK86mbBqU6Qh25Ys261VY8STk9OcdqwXq9YbVaE6cDTk5Oefv+rVt9acF6tUJp1U88AyLfrbqVdvF1Te9WfVj74SR6hGGAFNB1DmXyYMgMQyeMdZPMByOJcZFU0ieKYidwNjhtyHqDalqs1oR+gOot9kkQUVYFGEPQG3WSMCTwPLqmASRtVdOUlTtYpH24FxwUvHPomAcPjO/7ZFn26Nxtmt6NjVtZer5P27bsdgc8z5LEIUJA23Ys75cMh2OyzOFgttsDm82GOEkoy5LVes3x8fEj6ymKYw7lljgO6VTDft8SxzGff/4nfPe772iKCtFPgJ8+eUoURtRVgzWWOIzJRhOUBY1D7sRxSByELJd3bLebR3yIk49YsG7D4JIeeCxilTXUdc33P/xI0zU8ff7cZQUb51IsihwXzm7//7T9169t23rlh/167yPOPOeKO++TbyApklV0BclQSbYkyH5Qya+GDNgv/rsEv1qlggVbgmRAUlUpVZnkJW88aeew4owjjx788I21zqEfjCuAWsAF9gn37LXXHOHr7Wvt19hst0ymI9IkpaqGntehG/QHA7RcB3Ecw1AlFXyQNLrI/H/Ds/rj8m+AxXLBbDq7f37cPVOMicjTjKYr8S4wHU+YTxdURc1kNqKuGyIzwllLnqXoTpp6gne8e/cGrGE2nZGlY9brPYfihnbguDnnefXqNb13nD94wPPnn/LP/sU/J80zPry/AK7Y7UuyPGU6HTGbTdkftgRgv99zu14znjzGxBHbzRoVSXd0AKqyoq62VFVNcWhwbi2wcB1jTEQW54NFRAZ+QSNpqRTTEU8fP+Xk+IS3bz7w/NknfPvtN9RNSdu1RElO0zT33tm6K5jNFuT5WOwXaXpPJYjjiDTPmS9mzOdLnj57yuJozv6w4bDfc7RacXx0wl//9W+4udpwevSQatOilaEoa/aHA6fnp1KRlfywRu36Dt97Aa933T3I/W5FWNcVzgtDNAyr9xAk7DbMcvKyHyoaTRRRVw1Xl9ecnp6CV8wmMy4uLmmrhr4TJQrk8PhHP/8508WYq6uP/J0//mOcdXz73ffUXUVtG4HXAxZP27V0tocB/HvYHZhMxlKx+Ogpje14+vgTVIj58Paa129fEmcRWZYzGk/oW8s4HVEVjt22Jk0CWjXsQ81kMiUE2G638hwYCWRaKc3hUGCiiNlsQde2pElOUchgeHp6PvwcLfvDgfJQ0VQNk9GY1MS43vHixfeEIN3BR6slzx4/xnaW64srykPByfExYGnbFt8KlcANtpjT5RHJec6hOKCBi4/vOfUrHj99xGI1wwXL5ccPTEYpWo+YjkYcZhN8EJsHwdHVLReHS/qu58mjJ0xHHS++fy1bj65DK/HGKQyqE1U20GNtEAJECPeH/rIs7xm3vZUlvLSALDk7PaMo94xGIx4+Ov99x7X/GYBiDSZWGK8xVg2Fw6K2qUiBksFAO4sPgTiJ+OTzJ0xnOWV34GZ9STAJrSvRSUDFgd63OHpMFMB6tAlsd7fsqw1xoogzw3w6Jckk6JAkQ8OCj2gb8czEccR4nPPs06c8OH2EAFA72q6k7SuyccrUT1iUU0zsWS4nRLEnihyj2YSTsxVJmuK8pbctJlLoGJy3ZKMMdBDeXg/W9uwOO5azFavjBU0hSdQ4kZ+HRuM1aOTDs05CEHdsm9VqRZblvH/7Ae/kLtZS8kpAURQl+/0BE8uqqLcdQXkiEw8w2gatFfvdjpOjY7Ikp+8sWZJyfnLO1dUV65u1+P6GqSHSRloL7ODqU/4eGPzjdWicxAP93N/v+u9WSgSoqkYAooH7CjQ/XJxiehVF9Qc18s4bh7xIfRiwIz+sYu8i3yAvtslkcg+ITfLsnucktXISEPFueAAqUTv18Oto8PapKMJ2PdpoRvloqGtpsb2l7y0+1hRFiQ+W8WSER+GcKHpayVq57wqm0zlPnjyl7V5ydX3NJ599wmg8Zn/Y46wkh9Msw4ZWuj8VUoGmFcYorOsk6ayCDJhA8I5IK4welF2jsUqqx+4q2rzzMmDEIlMLtJT78vlYR9xcXLO93ZDFCSerI7IoZt92dM6xv12jNKyWC7I4Josi1tfXXF5eopwjijTKORmSe0vvGF488jkF5+WzGmbLPM1YzOb3hv94PCYfZeIACmaowvHEOgLlxb/nHd7LGnm/P1CWJdYGjIk4O3vAdLZit9uRZsIom82XFMWBOEkZ6zFd39D3DXXd8Cd/+m+yXM3YbG44WhwxMhmTJ0+5vV1zc31LmuYsFyv63nJ+dopJU8qmlnR4CCQ6wjUtUaRxTtL8ekDuMKz7VQClAg5HZDTBOUmLO2Ft/o//8n/i7PyURw8f4bxnuVqhDXR9QdMeiLsYa4PUuEXxkLYevI3O3qMuJCQjTSfDzYYxGhPp4fAkP/S7wc4PNo35bM52t4U3r8nz6f0/l5V7QqR7ktjxyfNPOHtwTO8rRqOY4+Mz0rSnLDbsiw1ZbsiyRLyxfUMWZzx99owkzkmTLR/eX1HXLWdn58znC65uW7I0k9X74cBkMsHEMbe34mVER5RNyWa/IYnlEOeQpL1JYhxwOBwwUcxkOuH25pb969fUTc3p6YzJeE5VVQN+aEwUJRgT4XtH0RdSK7nfE6vR8L6JiOOcJMnxFg77gu+/fUFZVHiLpDxNIuolisViSdhLLdNms2G93jCfrojiCOcdkYsYjyfC8FQB63revHnNq9cv6LqGm+srPvvsc9qm4dNPP0X5iP1NQdvU7A8FLnjariFKImazMVWz4+ryCkJglI1QVlPV1QDHD2gl3uW6ruhtP2xHwo9CdMNQN1wDJorEb6yg73q6tkN5xSQfkyQpm9sN2+2Wtm1lvW1AIVus/80/+kf82Z/+MUdHK/7z//K/IAw/kyiK+ezLz3n+6SfcXt/y21/9juPzE/qq51AdwELVVPzmN7/h9MEJWhnKQ0097jlsWqqDx+0qoqRHabEBLaaepoG+vcOdJdR1RRI5qqqh6xpubzdMxjN5hns1tIBUHHYHvPeMRlNCUKyWx5ycHAOKjx8vqIoGk0h1W56Nmc/mnKyO0WguLq7Y73b0Tc3RbM5sOqUqCsrDgbqssG0HzhN6i+9EzXS2pzocGI/GVGVB01Q0bUHbFySZRmmZAyKtOD89oaoqroobPrx/g9YRz54+Z7VcUh5KqqKmbz373R4TEvHvKmlwunu3pllKwGFMivMtrnK4oH4ImWp5HkgaWsJxBkjSmPl8xna3ZbO55cGDc54/f/63P9jpKGCtxNkdjqLco7VAVK0TSCc6gBa16vzhCT/9+Zc8++Qhf/mrf8l3b37J9bbDOcdivqT3Dbtij3eBODU8ODpleTxDx9B0JZ9+8QlPnz7FKc92tyNJYs7OTqmqnr5xcjN6T287RuOc58+f8+jhY2zneP/uA5cfr7i+uQE0k+mY45MlWRbhveWwq8SLZDRVWaK1kYQkmsl4LMnXUUUcRzLAOIcmJh6GhvlszsPzx7z+/h1FUQtJ20jlltGgQsDhSTPxqtR1zX5/4KuvfkKW5rx78xGjhBj/w/SucBas9bLPTyPOzx/hgqXuKrJcPFJJHFEcSqH8xwl5mmEw9J2w6bq2I9YpuIBBDwOQkgucIXGjBfXg/V1ticLabhgytShlWjxB1lqKQmq5mqaVFbuT4U9YZrPBc7WXIVCp+6HOOUektAyJw4V+9/VjDl4cx4zGY4KCppPGER88kTZksdwwvf2hTuUeyBkE0BtweCVqy51aJjfW8HsNWBFnJSTStLWknpOYkRe6u/eQJRFuUAh3u0KCFs7ifBgk+QmH4kAIntlkRhIn9EVPHEd0fScPtUgQBM57kigmMgq8pJ3rqpboegAQXl+SJHebOvGvqkG1c3KYIgjQ1xMwWjHOx/RNh+8ciYmI0eRRwtnRMcXhgIsT4tgQoym2WxJjOGzWHOoGQyBLUpTSLKdzgYv3PbbviU0s6hH6XjXM0ozpeEx5OHA4HGQ4iSJG0zFpnsvAqu/i/jBK5e/1tpf1g3P38f6qqsQfeZyQOMVsJmlOrTUPHjzk8vKS1fIIky6o6gNt29I0DUopPn78yM3NDcvZEqUV8+mcJEopDzUXF5ecnz/g8YMnXN3csisLojTl/OED8jzDd5aLqzVV1RCRELQMmLjh+gh+WIf5oTnhLrWuODo+5u37tyilWG82dL3l+uaG1XLJ02ePWExXBDUZDiA9WTpjNBrf6cn3gx0ISsUPYQ1JPQoySKv4/gV/p2T/OGl+dLwkH+W8+foNZVHz1Vc/I8sytDYsFitUlKBUQRxpbm9uqOoddbvn7NGKODqj2BfMFynPnnwKquXm5koODDZQdTWvXr5mMp5jrWI2Gwj7ccL5+Tn7co+18vNp6pbV6pjdfk/fO4JSJLEhiaRdaDwd0zTlAFaF6XyBTmJC15BlGTe3t3y8vBTbh4Jb7ZjNJJ17fvaQ6XTKdrvj9nbNYbvHFj1Kx1xeXtFVijiWqqjJeMbFx2vev/3A+nbD5cUVbS2bhCRKGedjoS+UNSBdwavJhAfnD5lMZzR1R1nUJDoFI0nSQ7GTlew6pe9qpuMRx88e03Yt15eXTCcLxqOcv/qLX1IXPZFJmC3GHB2fcHH5gdEko/MNHo9zgpcqyxLlNLbvpT8daLv2njwA8gwOQeoAlRarhTQMeLQxqIEU4BE1WQ/2ndlszmwype862roVa5Txw0NX8fK7b/l//T/+M/7Df/yPOT8+JY9TEpMIz0311HXN5cVHptM5/9a//Y94/ugpX//qa6JE07QNZdnz3fff8ejpQ+qy5re//C3vXr8XXl4f4x20nb9XOo2O6boDkcmJTc5ifsxk3A8rTc/FxRVvXr+TgTtoxuMpShlJNBeVUA6KigcPH/L48VNevXrF7e3tsD3SGGXQQdNULRf1FWkS4/2AweottZVtzThLmU4mbG5uqMoS5eVQXR4K2l7aHKxz8s+0xg89vKNRKria22v6vmY0ypiMxvRNS1vVtFVFYiKqqmZzc8PZ8SmjfMTJ8Sl5OkUHw+31jjvMm2QQxBNqdDxYWTS+6/7Gu88YA35Q5+EeieMHseXdu/fs9hsJzHlHb1v4v/4tD3bogNJBODO+G0zwEgV2wVE3HRiFx+J1x/6w5sWrrzk6zzl/fMSjy1MOhz1FUaATj1M96ShGBYMmMJmP5AZxJda1NG1J09cEPF3XSGm9E3K796KwRDqh6RqaUk5FVS2gwvXNmouLC7IsY7k65vT4CG0sCk/Xyh7cWzhsS+q6YrlccrI8GXwkB67eXxHFEXE2xnU9tunY1g1pnDIfz8jjjEfnD6iLmkePMn7762/o2lZWWMEPK0KFiQzGaMqqoWkaqrLGO1lVRpGUSN+pV3cRd42sVvtOfj2ZTlnFSyazEUpBWR1o6oaqqAlO+kG7tmO72eKdJJX7ziLeuBhx83ju+HJKK6JID80hQ7XN4F/0yqMx9/2UklQKQ8+pPFzuqmtQiifPnjKbzXj//j2eMAB15eRxV1Vzh8RhWMNyt2Uc1ImuExijV9C0rZxykkTWl8OqaZyPKOqKtu8GVZChc1fWn2FQumzXE9wAe4X7U1EURbStSPvaS+URSk74oOitkxdvCLRth+09yvd3fnqCgs1uy3w+wznLzc0Vru9prfgom6YiKDCRVMn1vSRd03hAlzhpa6irgjROmE0nFIfiPhEXDUnJH5c8+xDAieqljcEojQpg0ATr6ZuWyShle7umKyum0wmxMhgdyOKUcr+nsy3OdjRtTdk0qCSlbxq0D8QmZpxPMKGhsjW+d2ij8VZWAlESsVwu0VpTHOSaS9KEruspqwodSUBjOpkzXyywfcthtyeKNHGkBizQgTwXpEIIUrfVtJ6q6WRVFic8ePhA1EojHsfxOCfL0qF5RYStw75kuTzi7Zt3fPrwGbvdgUePHtM2/aAk10DNzc2abVGyOjlGqYhRPqOjwXtN38uBJkRIWEkF4TqGwQM5hJ7uIK8npyeMxkLHP3twTtcJRDTPc/JRLiqk14zHCaenp4zHJ1RFR5aM4e7kPqjRJvoh9cbw8L5ro/HeYzD/Pygpdc81tNZhe0tVVsymC9IkYbFYsF5vGY/HHKqW4HuyNKYq9yidcnK24g9//lPG45hXL9c09QGt5Rl42Nc0VY/tARdYNxua2hJHI0mIa0OaZKK0K02eiw9rvy8YTcaEIKzR/WGP1wdWR0t+/vOfkKQxNzeX1HVJ2xsCnihJePDwsTAHe8fZ2RmTyYT1+pa6OdC1ljwbU1UVr1+/vlfx7w46SZwMdUsx1kqyXeuIl9+/4j/+j/9veB948+odbd9jnSdJEsYjGRqapuXVq9d8//JbfvYHP5G11vlDweBYy+16gzJmQFC0+Kpk1jUYFZiMciajESrImjRNEsr9nqapMJHB+obF6pTV8Yyy3RCnBh2BiVP6TmG7jt1uh/GR+GaD+KXKoiDPs0HF/YGjKA9m+ZVnaJvQiul4RtvX7A5y/+AFPL9arGQ131mc8xilsaHHB0HAnByv2Nzc8k//k/87/9H/8T/i3/u3/h1+/dvf8f2b77HG8u71G64uPnJycsqzJ8/YzSYcny95+PScN9+/RgeN9z0ES5ZG0sBTtYSgSLMMEM9YVzvW/Y7eis6YJDlJktE2nVzzAS4uLnn9+jVaxaRJTFFUbLc7smwkYoQLZOmI9XpN37/FWc+rV69o245RPmaUj2UI0jEqaHbbLe0AABYveiz80a6j7zpMFNNUNV1X0/W1JNqjSBqYjCYoRdtKZ3E+Svnqy8/xwXJ58xFvO9q2Iok0lUMEmd2e66sb6rrh5PgMhebr334jH1kwHC/GzMYLbq/2qKCIowSn3NAs4YmjhPFkRBzHNO2ANXJy33ulhm2Buh/u4jhmvlrRtoJfq2sRmN6+fcuHj+9+73Ht91/FKhkKeiu8lzvSdZrGhBARBtjr3d+v25Lvvv8anXaMFjF/9+/9CRD4F//iv6MsanrfMh5lZIkMT3VTUlURlpqzB6d8+ZMvabuOKIoHiOOGxXSFpqdwNdPZhCwZcXtzQ101JGlKb1uuby457PekqeHBwzOeffIJm/UGraFrHbaz+F5jWw2+lRJkYsbJiIoGejhsD2RZztn5OdvdhqZoOey2RMbw85/9EcvZivfvPqCN0KCnszHjfEJd1RwOeyKt6azFecfV9SW2l7ThZrPB2lvatmOxOCFLM3lBBIsfYvGSpIHOtTIAmhhwxFHMYrEQw3ndctgfhr49MWs768Rn17RoYoyOiNIM5wLWdtwT942s+aTCSlY90nt3h0CRf0mpu5WpDHRRJDwtpUVlS9OU2WxGUZZy8xiDHbhL3PuGjPRhon/oyYX7F54fiPt932PLAhVHRFoetlmc4LTgKeI4JkulO7LuJLBBCHhn74MeDPL33aAo1+xgWL8bEpzD+YCJFN4HjDZUVU3TNDx69Ji+tZSV4Drwop7Gqax4N9sNk8mY5XLJzbX4OFDgI+iDJYqln1WGBu6HhEhJ8tJZT9PV5IuM6XTCYb+jaeqhskjK63V0p9yIyhiGgeNOAVJBVtxNWdNWNbM8JVaatq4ptluB48YxwVowYoeYTKZEWuG8pxvW78VuT9kIYujp46dcXl6yXW8JeJSH2ETMJlNGWc7t7a0MesbIvaI1rRN/UN9Zdts9dS2n3jgxaC3m4ywT1p7SAVd5siznsK9EJbR+8EKOmBYV0+mUvpeXbdUYjFGcnJ7cP1TTNCPPJtxerKmKisXMcvH+A3VZc3p0wsePF1xdXbMvajySMPRO0fee5eKIj8kH4Rdqj4/D/X12370ohiYZ9oOjtz1pllO3LXESM5vPKSvxmZ4/nDAejyiKHZ1taXclre3Jk5bzs6ekWT5cj4qu66jr6v4Zesey1AKsvFfpfqxk/1DRJ2r5XZVdlmccHR0NfcATPn68oOtaFA7nWvI8prUOpT2r1ZSq2nNxIepXHAdefPOK558+JDE5VivOjhYcH5/S1i1F0XLY16RpBkPooziU99iMb7/7jqurK37ys59ydn7O7e0t290OGzybzYa6aUgyaU6RZ4q4/4PWdM6y2W3vIdpt27LZbNhsbpnNJ+T5mOvrW7755lu6ruXZs2fgAtpppmNJYE8n02G9rXA2UNctlx8uefr8OZ88/4R3H95hXcRoJODkKIqFanBbcn19gzExo1HCN998x/HJsaSSg6wsUaL+Z1mC9w4ztL0cDnt88EzGMybjMbttyYMH59ze3hArzWSWUVQbslEktp+mJolTQlBDX7GVUNd+T9d15OmEdbshySZMZxOx6XglnkstHFM/KDlyOSgm0wk+9FxdX9A2jfx8rSeJY9I4wfaWrrk7gDq0DsTGYNsOFwLv3uz5H/+H/55/99/59/k//O/+A/7J//Of8PbmHUlqSEcpp0crxqOMj5fvOD85ZTLLSLMIg8b5lq6vmU5HXF9ekqSBQMR4lGBUoKxbdvWWzkriGwVJIn2oo3HOKMrY77dsd+JJXCyWg6WoGWrQDLZ3HA6lUB4mM9brtTAZB5RPFCUoZTBKYNp3aBbvAuNRzuMnj2ibivdv3tJUFWE+Y71Z0zQNqEDbd9IcpDVpnonSHRkcgf1uQxyvePb0CUF7JtOUfJyCCux3WzY3Wz58+EjTdENoM2U8EnV+v99jdIR3ntevXvHk4ScUhwKtDCoIaDrPc5wLZFlOPKCv8izD+h4X+sEn6zBK3Xuxf7wJ0xrKUtBizkkoUN1B/H+Pr99fsVODD8X1MigMZs8oiSAYfNBYdwfWA+s6DusNR+uU+dkjPv38Id9++y2TaUrbtlxcXHF2quXFZYWdZoOl6RvOTo6ZzWXtZUzEduMwSjxTs0lGsDcc9jUnD09ZX28Gk7/icDiINy5PsF1HbxsuLz8yysccn5xQ7CtcZ6mKCt+XKKVp25IsGdEUHdNsSqFKdK/obI+tHbZxGB+D1XStZTU/Io8yirogjiLG+UiCFcaTpTFZFmO0Yr3ZU5Q1UuYNaZIymy24uVkLkyZLyLOMqq7uQnmDwV5L12acsd8VaKOJE811L2Z9TUyeRdjO0tatVHwpNfxPEq9h6K1N4/Qe8+L93YrJDyBa0MqSpdkP/i4fuNP97y4hN6ysjDHkI1FV73x5F1eXgnAR5zMEGezuTkdaafEd/sgXF4ZVzV2NkveydsjihKBFlfLOiZoYkNO0D0SRRmtFGsdizu+Hrls7BDz0XbLsh+o7P0ylUaSZTyeM84T1TgI4HkEJ7DYbAEZpxrur99R1g1FSceStrNSDkgD37c0ND87OGI/ygT+kBrDzXco3Is0z6qaiaTt8kgDR/YvbWkmSTycTNLKumUfxfTOBFAcMvybcexeVE5UxSSSWv+saosgwnUzIs4xIG3zmsF1LliW0bU1kNJFWxEaTxMKfm+UjvIlhs+PmZoP3cJNcoT3MJ3O6rqezwviLooj1+pb9focxZlgZywCtIoU2EW1rqZuGtqkIfszR0RJFoO3aYW0by/UWJ/S9p24aJtMlOliKsuJwKBiPZVhuGglB7HeWo+MVrpek6MnxOc57Xr1+y6PHT5jPltys1yRJym67H0IemsXiiGwCwUSYJOawr6jLlrW+5frqlkjLZ0qQ6jqlROmVH/bQzDKoAFICDx/ev2N5vGQ0zrC+5XAoOBQO6zrk4C3X2m57YB8852fPJcw0KMp934uNQw1Vf8Hfv7QjY4bVt/z13YHgx7WDIJ3KcZpIung8YjQeMZmMydIU23fkec5qPmE8HrM9WJrDnmK7JY08dV3Qd71YGehJ4pzx8YRq1HHYV7x5/W4YQAxd40mSEUZHHB+fkucjVscrFvOlhKXqioDn+OSEqqmYzKd0zuKC48XL75kuJvR9y/HJCtNo8VvGkfh+12uePXmK1pq6rvFDIrzvHJvNnjiJmM4WOGdJ05y6kBaRvnckSUbXO+q6wbtAVTXkWc6f/unfufcKbzZreiuNF2oYivdlxe36mjwfk2cjLi6vefP6PfPZkouPl1R1A4NVRSvDZDKT1aHtUS5QNTXj0ZhPPv2E4tBwKPc8fPCQ+WLE1dU1bVfQdj1eeTyOKDbSX9s4ykOBs5bIGFnFOqlpLMoDyyQnMoamrjA6JSDfrxnA5NJlDT70zKdz4kiTRDFtU4MPjEcjsiQbgnqBrhOQsok1ITiSOKZtG4J3JHHEdy++4+k3v+Vf+8M/4mZ3zb7Z0oaOj1cXvPv4nov+I0lu+OLzT4hSg9eiJBslIZBkONgaJcipOEronUXriCj2WC8HxL7v6Do3YJciiiJmNMp5+OgxR0crXr58zdu3b1mvt0BEYzpA0fU93jMIENJ0452XA6oT8D/acNgXrG9v6RppEIqjSJ5LcUKSJhRled+1bZ0lTgzWhwFnFITJaRSxijFGMx6PydKIothRVnuC9rSdpyoL4ihlPl1wyRWukwHs9Oick9UpH95/JDhYb9ZEOiVPp+J/r1uyJCNSMbWu6XvLbDYnMsKfJUhA8elqyYePF7KB9EN95n1yHvq+Z3N7KwUFTYNRhijWA8D5x/Wd//+/fu/Bru2Fz2S9lXolLdiH3vVoI0H5ODHE6Yiy6zFpIM5jjo5THj8Zs9+/5uvf/QXWBeJEo02g6WqUjnC94uh0QZyN2JRryqrmL37xC+LYcLQ6oToUHC0W7DY7RrkiiUbUxZ7Z9BjffMvl2xtSJSDCLE5RSUKjwNmetmoJvRib83RE4zsIHX0vAF+lM+ra8bvffs9sMiPSKct8xc3NLR9efqB3ljjKiMi53d2y3Rw4P31APh4RRTHL5ZLqUPHi+xdSF+XETN53nuAiDGqY1kecHp+x2xyIolj8iCbAgCBxYTDN33G2lCI4jW0hWMRQbgWp4EJH6Cx9Hwg2QjmP9hqjE4JToti5CNd6eXAzeHsG71qsU6bzKXUlXjPx9Eh3rEc8dHfXWprGxLEhSoUDtD2If60sK6x1JHEqniVlUFqI+0opYhPd19Dd+YWClaofvBNSfhqTmsEgHKSuzDtpqHDWS3NI31HXLVoJ+BmtmS8XJCO5ma1z9E5aP5Q2aGWGpKkeKpjELzbJc6bHR8Qabm4u6buWaZJwuG0Yj8cYa6m2GxlGjAyOIOBeZQzeeuryQNdMGeU5h6LABsEWGKUxSuCzRimCd2glJ+8oimW9icOYiPF0glKaKE3RUYTUhsmDVHlR5f5GDZsWj56yHfl4Qp5HBN0xWeQcHS/RXkEL0+mC6rAT9IFS4C192VAVnqptKXsLSUXRdOwPJaGzBAe3F9fEUTrAbyPSaIzygb4ThcBoJUNiJOGJ6XTK42ePuF2vubq6IssWeOc5FCV9W4OKaV3L1e31feKvqup7L6uKU6q6o6zKIQXW0zYNdVkRrLxUyrjhu/33nJ6f07aOy+tLoihhfnQMOsL3PUVb8+2r77l4f4UmJY4z5stTdBqo1ztJJQOpMfRVje8DWklqkKGX2OMkBHDn/3SaKAqk4wnTyYhX7/ecj04YTQytN1yt9/gu0Hm59++tDMEQhUBiMmKVDHYLR1OW8lL3Vn5vMwzG6sfDnEKpiB9OdyB1fBCZCK0YFNCM+XyGUZCnEfPpiOAtytZMEoVxPe12Cypw+eINdj9jdTzFZBE+9ATr2K/3zGdz+rqnKaXa8VCUjPIpnzz/kpcv3hDHGUerUyDgraLvPIvFkqI+cGj2zJgTjxJMajDDliAYx+X1B2bLCfksQecBrCPYHuUdWSxrOPkzSZl63whrM0lzet/TB4Vzin3RkOqU4GPqxpPnS4p9R1d78RA3FePxjK7vePn9C2k4ivSAEAk431GWlrdv3zGZjZjPlmzXNeXB8eDsU5Qf4dsI7TLcgM2KUWhviE1MkiUEJfefTjNUFBMnPXGiGE1S5ssxQTlG4wnr7ZaAgS5QVAW3mx2+l8aBNE2I0HjXooPDYHF9hwoBeovxktw2GpwCE2sSm6BVEO+zVygfSE1MFqVYVzNJc5aTGfPpRBS6CNxARtU6Jk7H5NMZX/3Bz9lsbzg6WjCdjvnF7/6C5198ymwyZr25IooUaSR9sSaOyNKc/a7kD//0T1ienHF9dU2xPRCNRoxsII5T4iQhSjJ0pIXTl0TESlF3DZEJpGmG7eX9VxQ7ZnrKZHJEksSSkr695fr6mhBks3fHOdXaULclfddjlMK2sp5NjYCELQGMpwt2YNw1nJwckyQJm82a3XZN3dQYpdiXBY8fPWS923JzcyXWGxMxqBXYribSDpMlEtw6WVDWW9q+Yrdb01tLZCIeP3zG9HhOVTziZfsKHyv6xhGsYpRNKfYFOIVWEdpH1PsOQ8ooz6lCRdEf6LtW7t1hO9R1HVVVkUYpuU5pbDWo0HBnBTGIsNGWlfjPg0IhsGYG+sXf+mC3L/bizcRjYi3JrhBQBqLIoIIEEMazCak1TFYRjz9ZoKKG3/3me65urmhbxfnZc3zfMBkH8mxCEmd4E1gs54xGhvP0nPkip25LyrIiT0uOT07QKiaOejQ5t1dXlINfLdIxrnP43g2rIoO1PVqJ6TKOJEJvO1GVtusNlx8uabuePE9JkpjNumCvKtRxRLCe3e2Buuho+gKlFZPpiIgE5TQ3l7eUD2o8HuuFqxfHQqIvy5Le2oHrZu6lVTk0BC4uPg4psIyAwEiz7G5d2ojX664bcDjNO+cHI2YjNG3v0DEykLmAdzIAKuTkKar4sMbpPX2QUItUggW8thhtyLMcZyUib6II5/tBJdDDA9KT5imjcSaJPSR4APIyllJiQXfcsfnuCtWts3jr0FoI+HGcSL1cL+vfvu+lviUX9a8sK6mEUXoov5bVcxLHdG0r61UvyBilNbbrWCyXmCiirCpMr3G2kVq3SGRtgoRYQHwpTV1DCCymM7qmZLF4KLiQ3mKC4ubyirosWa6OpJrJCUI2HuCSzvZYYHNzy2K5pJ42bPY7GRwjSZsZrQUh4j2jPJN+zBAktRaGMIkxLBYLxuORGGWH4VOGBO7RB5KKDMRRRBJFDN1XeCspy/FoJGpAayk3JWZ1V9EmGBKPHfpb5TM1JsYFTZpkZKnD2wZlNFGUCkevLpnPl+SjEW1XUde1UM9nU9I05fjomEDgyy+/5Muffsk/+U//CWjN6njF48dP+PbbF7RNJ6GJznNzu5HUehTTtA1N3RDFEfZ2A1rTdh3eOspDyYvvX7DbbukygU5rEzGdTmialqquqErp/lVoLq+ueXB+xrOnT9E6Ypy/gRAJgNop+gDGxDTNAdt1ZCZGOy9eOhXuT713bSDcp7+FL6dQJHHyg+918F2ORmNm04WETaynaxtCYPD4KJIsZT5b3odJrBdotx18t6LK/vj3/vHaVR7a/q6D+U65D56u79lutujhAKEIZEnEcj5hvV6TZTnWNigtnabOWXzo2G5viVNPPNKMpznzxRLvey4u3tNbj3eIFSbL8V7x+PEj/uLPf8FiMSPLUorigO0sVSWdyctmKWvDEFguF1xfX1PcbDl/eM7p+Sm//NUv2KxvmS8mTKeT+7TnZDxmPBphtGaz2fDx40cIMBlPSLKUOE4om5q6aembllGWY0Yjmn1DHkt9WrEvqOtG7iHvSNOEq6srbm9vybOUNE0oG3GoZVnC9HjGrthwu7nm8dNHTCZj1psd1vaUZcnTx8/4+ptv8UExGo9QJsNoae6ZrsaYRNNUDcEHXrx4iRoCL8ZouQ5mM+IkobtuJdlZHKibAbaMwXUdJ6sjQic2GB/knrVDJZpzslLUajiMarHfmGh4Tns5MN6uNxAEa6O1KHdxFJEkMUVRyLXZtYJvcYrQtVjruLy6oKwOLJZTPnx8zygfDduUwL/6l/8TfbDMTpYs5ws6LN998w1f/+Y3/J3/1d/jybNHfPnllyQ65mi+4OLdR37zy1+DVihtaLuOoijwiL8eBTqC0TijaQKHQ03TesLBk21ks4VSOAKj6ZQkydnvCnon4PMkkoE/jiMm0wkKRd8KlqTpekInrUVmOPdI4GpAwNQNfecYjSYsZjMC8nxbLFZcXFxI+0xQ9+9SaZ8J5NmIJE2o6pKq2vPo8TlFsWU0mrNaHctWLh7z6OHj4b8/ZbvZ8/LlK9qmpWlr8myMVimTyZyucRgteBM7+K6dcxz2O/o8v0dZFUXBfrtDDc/8uwpJre/8tPb+e70vQlDyTIri+N47/rc62NXDeiUEYYy1TUMcCYV9NB5zvDwbOHUNuvN89ukzjs/HlM2a4rKiOkRMxkdcfazIRwsenq3I85zFcsZoklJUG7TxfPb8E6IYrO+o65rT41OSOKdrPfvdBeurC/a7kshEbG7W9J2l7xz7fSGx+VFOPwQseuXY7/ZC7EeKqRezBTpEXHy8QAWDIYXhYrm+2LC+uqEdQIoZRlSnzhCTEasU3wX61nIoD7x88wrbO+q6ZjKeMh5Jse+HDx9xfSs7cS+qhXOWy8srmqYliVOcE8ZRmmUElEjFzglGwnsheftA3/UoBX0v6bq7gnD51yxqkPK1kuFO1ptuuAi8EO5DGBTBgI6Eg1VVFV3XkQzQQzsgPLyzAz7EEMcGHRnU0HrgkZeUiYBBGZPBpB+GWEFleOexLmCMcOjiOCaKI6JBjextT1UJvqVuamxvMdFQmaQDoyzn5OSE5WKBCnBzfc3tzQ2273FhWFEGUeHyNKWuKw4HJx4j/0MnrRLWCpHWHK+OyfOUKDGcHi1RKvDy1feM04xYR+w3O4L14DwmUiQmxjonK+II9lVL7zoOfj8MZmO2+50MqKOMLEkI3mN9TxLLyd85YVlJl6Gn7yuur695/OjJfRr6PrkbwhAM8T8SbwYUixoAz8pQHipwMEpHrK9vwXom6QjbNyg8aRbjVUTXNljnsc7T9pbOBeI8QROYTmbMJyt2u+K+9zhJEkajXDyzKsHEskr5h//wH7JcLrm4uGA+n0tS3XvarpP+2aHK6uzsAYf9ns12I0nK3lJVNeOxhAnUsHoLoZfVTefw1rJd7wjBU5cNrhflrCwquq5nXxTESUzveqI45vLqinJfcHx0hHVu6E8+IXhDXbbETtP5QGJTWRl1HZGH/Xo7nFf6+7W4H8JEd9dwwKE8uD4Q9VJhFZmIOE7oraPYHNhtiuHwISEsrQ0qi8CAyjTGRPcqBEiLifuRtxR+MErf/VoOIep+qLsbOD1SAn9zccP19ZX4ELtuGCgtWWbQyjHKE4rCYiLN+aMjSd/5nt625OOYZKSZzid8+ulTimLPZrOV/t+ypbeO8XTC4VCz2d5SVgfC4NVCBeqm5ubmmrou+dlPf8KnX3xCWdestztm4xE3N57ge0aZGPavrq/YrjccLRdDEbq8nAKIZaN3FAf5/JIolgT6EHbKsxwT5DpZLVdUuib0giPZXF7c3xtKSaWe7TvSVBo6TBA1v6ssT5895cEnD2hdw/ZwQ5IaDsWWOFEUZcs//Ad/j3pf8fXX3xKbmCzNiFODwjPKc5bLBdk4ZXOz5nAoubkWqHeWZYN/rh+6PcWsb21HPso4OTumreWwUuz3ZFlC3ckztrMtymgZBruOO7OF9x49HFalltKgjSYQEXDUTYMbNmVKSV3YoSwFqDykKrtePO9RpOhbx9n5GWVZsTvs+Prrr9EGJuMRX3/3Gz799DnL5Zy//vWv+Hh9STRKCJEo8ovFnNubG9brNcHD+fEZH9+84avPvySEQFlV5BjiVA2hInkHBhWoG+HyZWnC2fkpp2cndEMCuKjqIUggoTfxj4kIpBRiFUljbC9+ceccdSfVhmDQQ21lpDV5lpDEZvBVi3iRpiPOz08Z5zmHw56rqxv63on1CI33EtDrbYv3ljSRDZMEBi1lWfD69Wus7fjyy5+wWp1w9fGa24stddUwzkaMshxmimDBdY6+tWgd44Pcs33Xs9mIJSyOI7SSIZwAZgBJN1VNnmZEI4O38n6OokhwRZF4E6uqknviR3aiO1U/hDA8S3+/r997sLvzWvVDKq7vOqyJiKOILG4xJibPcm7XN1zeXvDx+i3L0zEnpwuSbES5Vexu9pSl5cHDMYvVBNvBeDRhNImYzhO8auQhNsuZL2cs5gu63nF9e8v15ZqmsIRgZOLWYza3Wz6+uwCvKXYVD88fMM4nNK2Wk5ILtE4KfvGKLM6xiWc8Ggn7qrFEJpEHhpOi3+AMicmwvcMEiIxiPpqTZKLixDqh7xxaRRytjjgcCjabNQ8ePCTL0oHJI9I2SNIwjmQyr6tuQCO0BCf+gslkQjQ0MNy1MIjKIoGGrrPclRcYE5NlGfk4F7ab70SFwMgw4xHoqQ73K2GPrM2N1vJAMKJ8dZ00RsTx3WmhlxeLEhxEPs5QRmFdy9B+NPiKHB4BVXsf7ntMvZce3LvItw+yOvHW3StScSwXclAyYEYq4mi6Ggz36bDWFXZhHEkay3a9rP8JooBZy6HYU5SHoVt3jDaKPE3RICrmEDm/Y7FpFLHWrBZLgutZHS3Y3F6zyMckaBwKH2A+nqGcwnfifQidR9nAbDohcmHgDQWuL6+YLGbMZjO6TXtf1Fw3FS5Y0jQlig3OtmRZxijLOewP92GOZjgU3aUA79s3/sYNPeBm+oDvLWmsCLHCtRblNX1jCdZjgOCkozFJUgYJlbJVdNZT1Q23uwNBR/zkyXNevXmHMgY9PGC99yRJTJrk4hXUcHy8YroYiwLpPWmWcnFxwfsP77ldr9FxRNN1w0PXsz8c0CZGmYjeOrpOPEWgadtuGHikX9daQRTZ1mKUZr8/QIC2bob1jGJ/KKUIfEjIRknEbn8gSWKSKOJmvabrOorDATRERg9tMoq6c5RlLfBoF+Ot4CmcCYQBPcKA5Ln7CiHg8QTnRA0dj+/tA0mSCXBYRaTJCFBYHB2Bpu7oWs8oB5vLqV0Gdu7DRwQEp/KjxPPd56sHXEzwQwvMYMEQ36mm6hreffxAGg+BgKJg2dYELEmq6V3Frrxhc7hmtVpwdHpMHEfsD1sylTBfTHD0ON9iosDJ6Uq8R9azXm9Z3+45FFtCMJTljv1hLVVxTUGWRxxKy2Q8J83B6ECwHa6tyGNNFiuyRIPv2W/X4CyxVkRaMc5zEiXX6MEW2LalaVpmsznHR0diLO+EUdn0PZ23jKbCF61rCUcZY2gb2RAYY4bqQunUrsqSUZozZDSkn1gr+XUjacI4MWRpzGQyAuU4FBtG44xAz+Gwg+DJslhUy1FC5xrOz045OlsSjFwLzgXeVm+ZT+bSIqClD11rGTjtu3fsdjsmswmL5QI77vC9ReNAOZQWla+u63uURVmWAvY2ht4H4SkO16T4pA3GeJSSMEfvnDwHgtQM7g97lNasNxs5WDqHMgY3XDNHx8dMZ7kwYl2Hs5Z3Hz/wn/+X/wX/l//T/5m///f/Ab/61a8oipLVZMx0viBNYtIsZbVYoUzEL//qr7j9eMUoSfnDn/6c8WTMi9dvWemI2AfGswnzo2OiTcThsKXrPHVXY0PH2aNTHj99hPeOyXTCzc2Gt+/e0zYdgVY8jZHGIB5TpQOWHo9HGcUok3u+qVuKQ03T9iRpTBrFeG+J4hRlxB+rtSbLEkb5mG4I1SWzGbvtFpD1sOCDHG1b3ffonpyc0LmSui4YjfJBbWyYTGYoNHXd8v7de7yDPB8TfMXHj1cs5kuOPz3hz//yL9lu9jx88JQ0ydj3FUmS8PjJYwiB/W5PEidUVcVuuxuEmV7eBaPR8Nzb3z/v77qx7wgK/m8oc3LQ00pzdHT0+45rv/9g19US0e66jmAlSWqUIThFWUhnaZqmNI2sKJI4Z7uu2e0KFss51UHoy7aN2dxUjPIZy8WCL7/8grrfsDvc0HU9h8OBfBQxnU75+OGC9WbLfHnCeDKjqXYQFGmSoYxhfX3LZr1lOpny7Olzzk4fkqbC43I+Fc+b7UijRIzpSmM7S2IgT3O0t+CEYaWASCVoLG7wOEQ6Io5jpuMZ41lOOk5YHM+lesz1PP/kM4qi4MWLl4Dh+PiYy8srNpsNzltR1FSENoosSygONW3bkaVjoiQWpIWWFapXgNFCzQ8K78Lw0hEzrVQMSWImz8dUdUMcC6/unsmhQWsvFTaDchcGD1eUpywWCxpXYUN//7n2vXgX+q6X4SmNmMzHZHlGb3sxfiJvKuctQTuU8RLE0IhCFmQFqAafQBh4Xd57XBCDr3OSHB0zJkkS4iQiBmazmfB8lNR5KRRN1+B9TJanNHh618kq2Dvw8vJVIdBWFXYoyE4zYfr1/d2f5S4sojBKyUl6uyOONL7tiJXmwfEpZVlyqFtsIrU/TdPhnfz3DZq+bimchHciHUGk6JylLAV9MJtOSeKENE64vblGGZgt5mijsJ0MvVVVyapGa7quk9UsP6g3Aq4dVnRK3YcxfFAoL6EL4xUudvRNj+88xWaP9hYdPDqNadMI76Uz0WtFZx3WBuJ0RJo5bjc70iTHD7VhbScoDaVkVZ5l2eDlSlmuFoxnsqZbHglH7fMvP+fly5fsix1F1QwrJKl3q+qOySQVHmAAkD8nAfpelFxjYo6PTvjw4RIdDLERPmGkYsaTMckyltVDZCS4ZHuCHkI2NuBMwEdylW12e0liK6neiozi6ZOnNK3ld19/R90c2Gy2+N4TEYH3gw804g7vg7p7OQx/HYQt54Kn71s22y3eB64ur0GDteBtwPsgK6DeykDuFa6X1WY+GtTJ4XnsnB+wP/wNle7Hadi778UYg8GIr1RSNPJMiCNa63j38QOLowUPHp8QZQbVg8kA3XL6YMKTp2eslgmT6Yjy+48AmDgjTaQbuyx3OJ9Q1zuiKGUyyUjThN7CdlPQ24amKem6mqo+0Nue7e6WL3/yHO8Tqqbg4sNrul7M8sp1rJYTptMxh/2Grq2JjHhSE2NIRmNa1XFzc4uzjtl0KurdEACIZyl507DebemLg6AvfKAuSq6urpmkY7yLhpW0qPTe+/uqN+8dblh3ByzBS/ju5auXnLgTNoWkbq1reffyJZfX15ydPeDbb3+NsRGKnjiCtik4PnmAC4qmKdhuHdtiz4OzBywWM+L7tbyiKmuauqVtLE0jHtNdsePx00cYo2hcSwiO0Tih3JckaUaeylpbKVk/v7r5cL+G693QoRPkEKR1hNKy5dAIfcC30kRw1ziiY2FtXl5dcXF1xV1/tfce6z0+eFarFZvtDbPZirI6kGYZ796959vvvuOLT75kOllQtxbXQrmrqXSLiSuOT85J4oTNzRYNzMcSDBhNxljrqKoaXzU0tiPKI/EnJhEegw89+/2W3339Wy4vP3B0smI8HtO0HadnJ6zXW6noqw9EiRZsjJVGIOf7+/aY6WxMno9w1tE2UpU3mYykOWU4NHRNI5YVKwGdzfaWqizQCrLsnAcPz3n/4S0mUmR5zHI1JU0fUxQHDsWO7W5DNtYkiTRVrVaLYWMVpM2jaem7nulkRp7l9L2nb3vWt2s26z1N1WJMwmg0oWl6mqZlPp/z5PFjNptbRlkmuKO+H0gEQao1u55ONdhhW3fXJHOHNrp7Jtyhr+5sOWLP0CwWi7/9wa7ZNwB471BOY7QWk2sIKK8py5p0Xwynqoj5bIXD8v7jO9p2Q98qkjjlwekJn3z+Cc8+Oefxs2OWizHdekPT1lxcfiBKJQn54f0Htts9h7JiV7wBFxE6jXIxiUpJkgzbOggwHU85OTphMV2wOlpwcQFtJ+nG+XRF3/UUhxK8IolSFrM5VdRwcCXFrkSHH9KYOI9yQXwVww86imOarsF6x/awRSWQ5gIJtdYxmczY7fbDA78nSTJZZQwfjDGaPM+J44okTkmSdPCXxMRJiu2dRPD7wUfmAWUGU7e8QO+6A7XSNI0Uu0eRJG6sk4LiaPj33PA5WdvT2g4XfjgFZGlKY8N9hFqwJ1YeNpFhsZQCaaUVu/0O23T0tieJYpI4Qg2ysUINKyx5GWljCDaI4jUk+uTrB39f0AEXJMHVu14CFSoQlOAvptO5+MJ6S1UXEIJ4aW5uiFU8nHqH1TPS8IHn/gbKc0MaxZig6HuHDXLCN8rQNg0GSGcTyt0BvJU1LAZtGrreEYDEtHSdxYVAiOX7D1YQGEZroiTGaIPtOhQReZYznYylV3a/Z3W0JEtSkiyGoNhuClSUEA3D23YnhxOlpeXh7oYWU70aQityQrNBXmbeBrwG2zts2xNhCL1UQwUsTgeauqBtFdYHxvMFxsRsdtc8fvKMyWzJxdUvuPx4RVO1tF2PTlJQoqKlSYIxmjRNxDdpFPkoB8C6ntv1DQ8enpFmMTe3azb7t0RxQor0AF9d31BW0hDSDBxAZ/0QngnY3rFarVitTri+3pBEKUZJYtBoTawTFOJPDN4N993AemNoTVGWEIQ9KOunCIXHKMN0HPDB0vc1PrQobambAkNEpBGYufXESTKwDZV4hoLGy2Qlg4Ky917H/X5PPh3Ttp0cjhyMsjEojdHRYHWQe4DBaxdHEoK4ewnXdcMPOJ6/Odzdfd0hUBRquBekEN0ph44NSZ7TVC217dkUO0wec/zwmPRgmB5lLBc5WluWqylJqgjB8ebD4GGdLHj46BHO9WgdWK4Wg0LXk6RSq4eSxpD9YYN1LeNJNgR9AuNJglI9Hy9eM5lkhDhhfXMhPs0EFtGYJI2p6gP4Hts1VIXGWUexO9A2Hdv1mrbtWC1XRFHMdDymrRt65VCx4Hha52i6jq5pUVpaBu6ErCiO2O12APRWDqTOSXI6eM/FbiPAdS0DtbU92ijquiSKDd+/+Ia6LtEaeltzKNZ88eRzPv/8KWXVYCINdGjtePXqG7LtCDsY1+ezFX/4h3/A7379DdPJirbtWa83vHv3Fud7zs7OB8xLy8XFB9LEEJyV69pIIt0Yde/5juOYzWYjOA4EbxJxN+wLTcAEWeEFF0gSTVEOaKY4Jk4T5vM5aZZS1jVFWaC0xiiF8z1plpGkCWVV4rxndXSEiTUvvn/BZrPn48UNX332c+bTFZeXG24vt9gQCCoQpTFpMuHh44c0tSWNDFcX16xvtzx5+ozf/O5bfAhY7ziUBepaXlEhdGR5wvNPntC2DRcXH/HKYV2PiTT1ruTrb6+FMjAc+pI44+GDh+z2Oy6HPlZjDD44OtviK2nG6XtHluVEifBxu66jbQ4QxDoSa0MUC+A9jjXT6RgTwdHRMWka0XQ98/mU0ThjPpuy3t7gPtQcDlu8Tkkz6QS/Q279+le/oa47RskYoyO6pqcq1oSg6dqOEHqapmO/O3By9pD5bMnlx2tpMso9Hz9+ZLfd0NT1fQjQaCOBtmE7YnuLCz9gvu44tneH+x8/H8IgmAi3V3Bpv+/X7z3YGeRE7byV0/RQnUEAb+BwKNgf9hTVnnySoVTE+ek5X/3k5ywWI6GV+4Tl6ow40by9+I5/9s/+ik+/eEQ+S5hMRtgPHVk0wtpeTm2TKXFvub7eoHzEYnxEZDJ0r6mLijiKePxQOiPXt2uWiwWzyZwP7h1lUdM0NT/5yTOauqEuJS6vhloq21tUCNJAoWN0ULR1Td+20uOKsM/iJOHhowdsyjX79Z79vubJZ48ZjUbc3myw1jIajajrmt1ux3Q6lRek04zyDOc0ipgkiQVOae+MuGKij6OYuhbVqapqScYOwFKCyM137QQSKe8FeEugqgu6gTweRTF5mg2pUPG1ZXmGbmvqphoi9QaTGHoviohcPHfVRoYkS5hMJ5iByH50vGIRFsRJzGQ6RscQp4au73HOcXu7YbPZimxelPS2J/ISCLjvPxz6cHUQ1TFJI5zrcb7ndHUMCADZBwEpKwXb7ZokTpjNZkymY/q+xQSDZvAiWYfrJennBsOpiSSwkWU5kYlR0VCrhtir+q4nGk8Y5yOqw44k0qTJCIclNTFJnBHFMUlUsy/KewyL3HiWHvHG6GFtGwgCREZTHAqub28GQLQ8KNrac315RdM6njx6QpYKuLMsSuq45s60f6fo3K3v1HBDC4Fe2F16UAtt74StN6hCsTFEWpHncv9oY9gXFcEHtIlI0pw0yymrhiRKqKuG4ALeBSJlxKcWx0RRTJxEZHlKFGnSTFa6r1+/pm6l/eH4+BhrLa/fvqHrxF82GsnKxLtOVGrn7sM+dmDVAYxG0nv8/fcvmIymqKCoqlqGbaVoqgY/JEy9GoYuLYMOOtwnckMsXtSq7lBI2tko6X598fIFPnjOzo9Z2SV1XVHsStI0hl7q7OLoRw/M4aEZ/HDwGEJOVlyuJLGErrQR35OKpNIwjmMqGrrOkybSeetDIM9Hwt2S34G27anKCj2sWO87otXffHj/jZXw8L15BXZQu+M0pXeBpulpvUWnESk5yURj9BJNTRL1aN2KgtVWfPrZOc4FptMFdX3g9vaWNIsZjVPatiaOUo6Pj6nqlv2+5vT0iO++e03b1nz++RdMpyMgYENF1xWU5Yanz75gNh2jQisG8l6xWp6xWC05PRzz29/+VtA4WtEMbMjgYDqZ4uyOoiiYzxYYY3j/7j1KxaTjEU3X0dgOr6Aqa7SC2/WaaTYi0ROyNONwKLgd1u+xUVLDZvRgH3Hiu0KBD6yOVlRVQd93g6/YMZ2NSdKEJE0ZjRKWyyl/8Adf8c2331HWFdvdNVkes769ZKKXLI6PCcHz5edfkuiMv/qLX9J1PWnqWK+3XF/fsjqaU1YlcRKzXC7Y7DcyzCgYjTJ0ZsAavA0UZXG/cSjLkq6z989I2SoIQ1EZI3h6H7DB/eA7VkJWCN7LhiOOKcoC69z9YKedqJk+BM7Oz5nMxtJ5Wxwoq5riUPH61Vvy/+2EB+dP+O1vX9B1ns5ZVGJAK16+fMd8viJLxxw2G3wvMP+zszMWiyVNJ0Orc07sF5Hi+GjKs2cP+fTT58xmMw6HPdPZVLixXcvV7RVFWUoQqmxwTuD7x6dLZosxSWK4urgSLI+R7ZkEwBR90xEax2gck6cjkjRlt1mTZSmTWU4UNEkkdrCj1UyCP0nC8cmSzz5/zmZ7S55n7PYbqgZCsBwdyftMRY40lXDfxcUFb968xVrFanGCTQOu6XDas9lsUUhXbxynQ4uRBNLiOKVtJAvQ1DW/+U0DBOpDidaa+Xx+H3L5cV3g3TB399d3a9jD4cBdG1OSiEWs72XF3NvAu3f/CwCKjU6lWzEgMWTvsA50ZDCIWdoYzfMnz/npz7/iq599xdNPnnF8doxSjv/Pn/8rLi5vuL55jYkD/+rP/zlVs6axa2bHE5SxpLnh5GxB00pKSkea0Sjn+FjTNwHfSjn3o5On3NRrXN+zWs6xnaUuSm4uLvmNtVxeX2B9T9MKx67vxLRrO4tRJVVZkSYZWoMKjvl8gSLi8vJKUjfS8UIIlnSUcvLghOaipLtscNphCVyvb7m5uSGEQFEUjEcjFrO5KGMBJtMFaZ4DhihKIcSUVU/VdCgTpJPSe6zth67EnM16I1tPHWQzSSCKNVGsh8aPFkMg1QlFJY0basCTdK4lOC8qYitG1KPZkjhLiGJJtQpPSxLMwctaRCklL84oIs0TVss5cRoTpzGPnz7m3cd37IodKpG05c3tDfP5nPF4KNVOBO+w3+/58PojrnOyqtGaYN3wtkKGL/QwQIvv6uT4lMurK2HHxTGb/ZbtZk0SRRyfrpiOR5SFAKD36z1d0+F6C56hb3MYhLzH+YCTTS1J4snSlDSKB8K/8Mu6zhElOSbuqJoKVIezAaMT8tFIavFsxTjJMHEkpvdhULNRT+csGI3izpwrPsKb3S37Q8FkOiaOM9rWst/taJqeJErpu146Dbt+8JsFab0Y5Pb7L8V9OtI5+ec6iLkeNN6BD5pexCKMhySLyfMx2hgORUHXWTpboMc5i6MVVdvQOUs2GosfR0dyTSUptm0IShGlGUmWoaOYoASu3W53BK1Z73acnp7RdJaiKEFFxEnM/nYtoSQlw2cI0PcOrcyAalAs50vGoxFVXRKCx3YttXWUhbQ4aKWJjCEeOjFlLSHgYCVbTkAYl73t0FaK3Y1JcFYqi7I0BaVpu5bVcsFolHEoS774/FMuP15hvKbcV9imRyuGIU6Sy971WNfR9C3WtfjQg1ZEUcpifkQvplWBHgdo6pqy2EtVkImYTkY0tbyc0zQjH40YClfoekfrHEFLo4bSZlBo7z7qQclTGo0Xb+TACkN5XO9pbIsboMrBwabc8OHmA4tFjlaextWosCdJNFVbst9vUMBkMiFRMU1f3/PdnLN8+PCBJEmF+m97tApEkSKEjq470PYHMB3z1UhSyS+2pKOI3jakSczRaonSgfV6gzvsmc3GMjjvZNVdFQ3e7fnlL38jh02tOTs950/+tU/JspSyKnn37i19X9P3os5VTU0fJGylkF5ro2NUFBOCwsSGtqk47PeAFjRM6CnLhrKuwSgWR0tud1dMcknk9knHzM2omwOz2Ypnzx8TJxFt1/Lo8TkPn50xXuS8v3pDMtHMZhN0pKi6PdNphsEym4754slz/urXv+H89AGnR2d4D5v1hjgS/95ud8vRyYI81/QuxtlW+kJ1xGQ6oy1amraRDcZQDdj2HbuiovcOZWK0iYVFiviqtdYEFeG6TuD0aujhDoF9WaKjGKXNwH3k3s8cgiUox77a0wVL6zvefnjH+3dvKLYHCJrLywuapuHLr77iv/5v/gUajwoe3wdaP3DYiDk7OofO8+mzp5wcn3N0csrf+dNrUasqEXAYti/PP33In/3ZH5FmKbe3N6Bgt98NiW4JXD569Ig0TSmKmrdv3nF9fcPLl99hTMTPf/aHnJ2e8Zd/8QsRDLwnNlIFl8QRITiqqiCJFaNRxmgUkecRZ2dLZtmEspCQ0+NHD8jSlK5paJqCupEgUFHs+fDuLePpiNFkBJGG2FB3Fe8u3lC31WBHScErDkVFdejACnKrby1pEmHiFGsl9ZslY6JgqHcl1aEg9JZ8lGOUMPO880xGY8ajMbvdjoDgs7q+H55vsjJXWmDw4lcXlIsymiROxacdRdR1RVlW0mb0+2Ps/mdw7Npehg4PkUkg1ugkwqRySh1nGX/3T/6YP/6jP8CFnrIs+O//xT/n4/VHvLdstjd8+sUnPHh0SjqO+WL/hF//ZoOONadnR6Rjw+dfPkYZx7fffYOKU4xWtNaTxBGR19S1A6c4WR1TbSqK3Z62aplOZqRxxtXHa16+eInXjtF8TBQrbq5vcNYP8ngkSl3XspgvsaplMsn5/LNPub7eiBKjI7y6S954eu948+4176/fUNQFKlZ8++13gipJE4LzRIkh0bHgKHrZ1dsoIssNegB+Xl+vWazm7MsCjSZLY/quwXuYTKb3p0/FQMTX8tLXEXjl6F0DxpKkGZEytHWLQWqwjB4UAbz08WkwcSScNO+GtVpglOdYL6tzjZGKJWVl0EylJaAo9qR9yvniARcXH7jZXIJRVG1BcRDv0vX1NU+fPqPve969fUcUxRwfHzOfTyn2NX0zDCU6GrrwZN3kO09btAQC0+WMw/bAm5dviKKIdJzjlSMMOJ22qyFYeltTNweury9wNkhSMYoHrEQYvHniRyNAsE7WcUoJJmR4jSbpiKPjM8qq43ZXSL1XEpPGMeNsRFt13K5vBSA8nfLk8RPevHmD0YYoGtGajs5b+uAw2qF9hPWO2rV4B7FJGOVTnIXJZMxB1aQJpFFEU9ZURSU8Pm1EuRxStHCn3shJ/Y5B6ZzFtg6DIYlSFHdoFBmiBGoZUCYimIhtIQ+A4OU6iGKDNdAcSmazJZPZnLrtxU1qoqETVRMnKUmWYZKU3oMicLPZMltN6Jxjdyg5e/CIy5tb6rqlaTq6xtJ19r5xJI7v+k7BaMN0NKWLWib5GO8sru/xXmj8IH3Eozwdov5/MzyitAy3gHjsgsIGi/M9bedJsymT6ZSmrEXhDJq2adFB4XrL7nbN9WbD8fEZR6sVTSWMPCEIyZAoQ52la1sZNtsKb4cauliU3CTJiXTAKajbmt1uL6cGLHESyfaibzFaEbwoyUZHA24IOuewPuC1EkiqighYCeWEcG/zUArQfhha1H3K3QU4FKVYKVQHseNQb1nvb4jzBYqeJFEcyhtqK6lIH1kUivV+Q996JuMFx8sTjo5WbLc7DoeSxWJBWdRs1lvqumE+X5LECfvDDZ4apS35OGa6HDOe56gIqbeaTHEuEFD0OFSsqJqS280tZdXyySefMp+fsNsVjCczXr54wfcvXuMsPHz4mKZpBq+aYzLJ2awFp5OMcq5vN6jgiE1C17RMhpq6clvhnOP6+ko6WE0kQ7ZJ8UHTWkuUxZw+OqUKB0wUaNoap1tWxwuszcnHKVmeobSntY6gHZ3q6HUHqSUzBpXIeuzTL54wmk65uLjEuxqlHevbGxazOQRFlubEJiKkKfPFhNMHM+IMrCsZjw1ajwgObB8xnk5JooSmuCbLEoyKsNZR1jWHqsIijEutpDtaEVBBnv9KR/RKWnsUcojtestmd2Aym7PfF2y2e8LAOQteVr8+WIq6oOpqvvn+Oz5+/EAzYGKSKGK/33F5fcmzp894/Pgxfe+YTKaoSHMoDsznc56dPeb5g8ccPt3R9x1/+ed/BTriw8cPrI5WrKZz6qKk61seP3zAcjrn8sOloIx8z2634+rmEqUV1vXEkeH05IQ0TtETw89/+hOujm7Ybnfk+Yj5fDYErAxlVdK3PbFJZP2sA2kayWClwduWNNEsF2MWsxERmgonYSccVbXHKFHgPn54N/BRA01VMltMiZKYEClMnlLsrvBaoZKEBw8ecHb6kK9//S2byx22cQSnadue6XTOfDlBec3hUNI0HVmUsxjN6auGrqxIo4g8Se9RSkYbaQ4amp1629NZS2etdIAHhw8/BOd2u62IB66Xz1zHKC3+Qe9Tuk62a3cq39/qYCfKjCcxkRhZAZ1E6EQWQ0kklPH/6r/6f3N1/YF9vafpG1anKx4+PkYZz5u3L9kWVzx6ds7J6ZJPuqfMlxPOH5yS5HqIUUslSaharLV0bYOzmtX8jIdHc67fbdnvCg67gsl4SlO0dE1HW3bYztJ0NckowfWWOEqZjCc0dUNTNcMNpOjajuJQYEIgjmJm8wXv3l3KS3aA5TKUgkdxxIeLjxyqA847IhVRVRXT2URK4fcFSZzSNR1d3eI6ew8vddYTZRFRFHN9fc37j5d4D9PJDID1ejMk76SN485Yffe/u2g9JIN3RCT73vYkSSw9hAgyIx08ewHoWktve9abW1CBPE8ZjUdMZ2PKukGVDOtWUSZVxBA4cWy3GxbLOe/evibKxOe33q65vnGSNEVCshcXH2iblraVGjXbSwVaHEV47TCpIThN37aiFiJ9rnVVkY1GRMbw6sVL6qomyzNc4el9z3QyIUsy6qqmsHuaWphq2kjNWTTgXlSQLl6p3pL1qOYu8OhxXip77lbQo/GY7X5PXRY414OXxKizjuJQ0dQ1WosPZqYN0/mcrh+SfiaQGEG2WOWxOGJvqduW1nbipVCapqrF7O0lkRubAXPiOhlQrFQWORuGtZx8f3druhCkuiuKBKnhlOBSVCyfl+1aufmDIkQGpwIqilE6ou0snfUkcUbX9rRlhc7koaCGP1fddNzBownCyMsyYQl672VFoTVN1xKQWp+b8pa6bmialsViyTfffEMWZ1grK5m2bUiSlNFohLWgglQejXI5rITgmYxHdF3L/PSEKEqHcu+7AdZxV3rf9z3Wy/crKWjxkAqoU1am+92WLEk4PT2hLArKw0FOydbStw2T8ZjlfEHf99zcXBMpOVxEJkJ5f48CcnYAiQ8vTTWgRtLYsFyuBnN7S5xl1K3AmvGO45MVDx6cyvql6QgBgSEPnLG7JHbbtNi+v++CBO4HOXXXdHH39zFiWGLw2QRJutdlTdvVeN2RZQlaW4piS555vG/59NOn9Dal72vSLCeODV3bcyh2nB49IEky3r59h0IPn1VPWVQ0jRwwsiwnz/O7rTRRFOG9w0RmSN3LsN61Hd2QoL8LhS0Wc+pawNPn5w/Jsgkvvn/NdLrgyZPHrBZLHpxJBddf/uWfkw4oKgnsGIrywLxvOX/0iKbr2B2Ek6o1rFZLQoA0ybB9T1EUAMRJLFgoNCZK0cqQ5IaTs2Pyqebj5VtQPUW5YzVa8uVXn7DZrdkfbklSw9X1R7JRjP1GeHadbzheHTMeZ3Rdx2w+o25bmrag62q+efE7rLO8ffsW27/jH/yDf12eAS7gXEeS5sQp5KMYj3BU03FO18imKRqPyXSKNgqP9IY66wY8STQEpIQmkMSGWMe0rfRy36E/9FCxqLWwKJfLI16/fsvFxRXWDh5sEfQJ1tM1jiTK2G727NYHvO2IMDhAq4jt9sDx0Sl/9md/T1Agbc/rN6/QTlHtSr773bfUdcWb169Yb25xPtA5h461YM1Oj3DeMZqM+OlXP2E8S6nbAx8vP7LZrclGCUfLFbPFDAgcHS04OTmhqmqyNKUoKtIk5vhoxWy2wPY9tmuZjHISI9c8wXF+dopSjv1+S9tW9DZHoZmMM/IsxRhFsD35KEEbz+Gwka1B2xHHhvlixus3r+5xY0kS45xlXxRkNscYw9Nnz4nThDRLsb2nbaVpI40NEQkXF1f0XYccNeXkOspHaKSS8+66vD+cDtapPMtI0/SHHvS7gUyJr1J5QZoQAmkSC9u1syRxSj9g3sTrK/et0uH+nfD7fv3eg90//sf/GB0Uh92OX/71L7lZ34pJsGlAQRUC7968IksiJrPRgOwA6yxNK4W83lqCaejeFByfLXn2/BHXt1d8//23HJ0tUKYhSsVLFscRdVOx2axxvaYvAk/PJ4NXqKbvHHk6Yj6dk0Qp5a4UArWOSKOU6WhKlERkScZhd5AhK5GVSWtbdgP0czVfslysuL6+4e5Ze/chppmsGneHNRZhBK1OjyTR6v1Qa1LTVgLRBUVvJcnTe4eOU9JszM3NDXVdc311yWQ6YzadgpKS4b63pGmFUjI83/Hq+l6m9LvCcLnRBVESKUmBtr2wopx3xGnMZDwmAPuwx4VAlsfMZlPSVHb4aI/1LZggsrFXoA06UgIxdj1NV3NxVREnESbRmNjQtRVt3wp3J0pQ2lHstqRpxihL6eqauizRPiZYcbYlScp8uuT2+pqmqSVx6B3BKXxi6ZpWbgz/AxJFabCdpW97+mGIcc6itCbJxN+gEVRAHEnlTNd2GPPDC/XOlu6Cx3qLwYCzXF1fi6pilBBBBu5e21qCldon6wUNs90XoAxJPmJ7dYtLpGMwSiPSPCU2EbnOwAW2xZ5IGbw24AK2s6yv12KuV+o+4RwccmrvHW3dDj5LSU7eqV3ee+6qxAhDOmrwmCnlsa7DulbWUUqhY4OJYzof6BzUrWM6n2JDRVFXpDoSALgTOKd1FmNiCbooPdQBBrx1REnCcrVivdnQ9j111ZDlGfv9ge+++57ZbM5XXz7g9as39+GBEKQ1xQdLCBZtxHc4HguqKE1jTk9POTs/ZTKRg9DV5Q3v3n3gzZs31HUNsTyCokjWS501mCSSyp+xIC2K6sD19RW97QjOc3V1SaS1NHMo6cF1zmKDqNLTxYJvv3tB3/akeTIkXsOgeIZ7H6Cznq6z99R7YzRRFJOmKZvNFqsc81j+rFmaExlp3lBKY62j63r84CFdzhcSnhgG9G5IPt9bERgGedS90R+kgEZkWnOXKccHSWHjHE1VojPZAiSJ4d2bF0zGn5LnMUdHM9LshH0BcaRRyAtisVwync+ITYZrgKB49+49JydnBB9I047tdktRHEjTjPPzBzx4+IB/czrl4aMn4ucKkpq+vVkLfHtoiAlDhd54MsJ7x3ic0fct2+2Oui64uV3z6tUrPvnkU548fURR7vAeZrMxdzDuw0GCUVGkGY9zTCRg8q7rMWii2NDVTsgFSlAeymiiOKLpO7EUKPGQ1s2G3vX86d/9E/7iFy3T5YiJj6jbiiRTHMVT3ry7wYeYEFqC6ml8xZuPL3n8+JH8+xPBZVTVgbIUDEYcR9yu11x8/MjhcOCT51/QdQ0hOMaTDOdrkiwjGxmSNGCdp6kbGbLIiGPDg9NzTn96xkivCD5gogipsWyJjGxb/NCooAcCQ9vK8//OYxUPqjYKmqrjr/7ylyISvP3Ibn8QFquwdeh6y26957uvX7C7PYBXnKzOOF4c8/jBU4yJ+Gf/7X/Her3l1as3dG0vKJYBy7NczOnKivcf3tPU1XAQGd4VAQ67Hd71ZKOc2XSCt5aqkDRyW3XcXt1iYkU2iokizc9+9lOOjhZcfPzIu3fvefDgEZ88e8anz6W//er6mosPl9RVzWw64vzzT8nTnF//8lfU1Y44MeR5hLMNdXXg+NE5X3z+GSE42qbEKE0+SvHOsj+UFEVBXVb85Kuv+PSTT7i+uaJrGtIspapK6IfQnkuo6oasajlfLNGRxrmexXJJdpSRJ2Mm+Ywk+RatZLCuipq26RlPRiRGtg3CYm1J0/T+cKq1vr9/9ntJ7//QAax+5J8TwohSmlE+pnAFURQRIziw0Si7r4uLIk2UJfc+vb/Vwe5f//v/kM3tLf/tf/3fcHVxQdN1WDwqjjCRQSvNaDRiOZ+SjxLWhzXOaSITcaj2WFeRjzPqrqTZFpw8WJCPU9Qm0NuW0SiRFE194Pr6Euuh68S4jjPstltuzS2RG1EeCnabPcoJLgELy/mSo/kRu8OOdJxyvDxhf9hxeXFJVTXEOiaLU/HWOQMBtrcbmqTlzZu3XF1dDgdoocRb71kerZjMpmyqW2bzOf/6v/FvEOcRHy8vub25pak7jI6ZjKeQjSj2JX1r6doOnKeuGhZzePnyFdvtlvF4hLr3+UhgQaLw8kK5iztLI4Qk3GR1Jy/mEKTVQWkDBJI0oe97ad4YVq7aaA7FFhc60AnKeJQBFXkJW/StrPC0nC784O4giPJlreBVbN+L76VTaKOIlaFvOtJxjFEaHYkq6vuBqt71RNwZoxS276nL6j5woLRQ8yFQFSVN3cjwq2WNFZw8+NqqJgzMuzvApEeRZokMO0OQQKFwyqGs4uGjcy4ur7kzMLm+x3lpYvDB0XsJCSil8UEQL274vvXQT8uAF2iLikNR8+LVG/FVhICOIjrb0XtLlMaibBmN8oFgvaSBvahefejoVU+cygCltTzANYIGwithJGoxLN+pIjLY3YUpxINx56GJIj2saB0+CPPJxDHpKBU8iIPWQu81QRm80jSdpWx3zGZzsjwnOpT0veB3olgAYKKCqmFIC0xnM16+eUPVlFjX8dOf/oQ4Ttlud4SgaZoW8YVBmqagPHGiSZIYbQLjNOXk6SP+zh/9CePRWAaiLCOJE5q24a//6q/45utvRTEa2GQS9JCU/eGwI8lSvvrqK05OjqjaGh88RXnAGMXN7Q2RMVRFyYvvv+PB2TlZmqGCl2Hde7q25frikuvLS46Wx1I8H4nPyfdu+JwNQXvhhQ1etzvlNIqie6W8C73477xjko+JtaGuGg77nbSruKEOLMBsOr3v9vUuUN1d++oHZdYHQQJJMveHwS4oLQZ6hk5Z5+ibmraucH2DN4L1iHTCetOT519xtJqSJorOplAYdvuCLImJkpyy3HN9u6UpW3wbSOOMo9UxeZaz2+2HRJ6l760osFk+BD00P/nJT0jzHBNFXFxeUhz2wm/T8ixP0uT+sGizBKUmFEXNYb+jLguMjjBRTNtV3Nz0nD9YsVrNybKMrrNsh0pF79Q9/aDrOhbzBYdDIYih1tLWPZPZjNl0xigf0U8Ftu0RPprzDheceBcJPHn2mO9fz7i8+YBLa+LUUFV7RpMcbTzKOL746lOC0cSZZnkyw6SKXblhfjQhNoZmX7Hd3wKO1XJFXTe8fvOW/b4AFL/73e+oqoKnz05YHMcslyOC6chHEUXZsd5ckcZj8nTFbD7m/PyMB/MHNHu5Z8ajEXmeSwPCUEcYBsB7CMkwAHiMkZS60o7ZfCY/u7Zje7vhn/4n/yld39M0NVpLX7QLAYUmiVK6quOb33yNQfN3//jv8uzxYxKT8PbVB37727+mbTs26w1FUYpim+VoNeCJnKctKxKlmR1JsG1XHKidkBPwga5uRMXyjt/9+rccna6IswjbWrI44+zBMWV9YH11TfP8KU0W47qO64sL1te3vPr+ez777Av+6A//mPdv3/L9t9+QJanch94yHac8fHCMc5bxJKOqSz5++EBkPM+ePeHhgzMuLy9ZH3bExkCY4Kyjqkr2ux1GG3m+u8BisWJ9fY1JNZPJDJPF1F1DnKbERwlRYijKmtEkJ44T8lHOYrJkNpqT6JTHTx6hgub1yzd0XUPTNCRxzGq1IiKmLMsBkiyNE3fAYbwXZMpd6pWA1oJPk6/hXdH397gpY2R7kmSi8J6cHrHZrLm6ukRrxWw+Yfm/BO7kL/78z/nVX/+S1y9fyt5+gCyK+VdYLJohvQPMZnN2xZambWhDQ9AdySgijgwu9CSZEJePjpf8o3/0vyZEHS9ffc3bD69ZruZok3Bzs+Voccrp6hEf36zZXFaUtzt8o+kai+889I5gPfPJgtlizn57QHmNtwIKdE6K7sfjCbGJhW4fFM552qbj5mbNev0vhUulpG3BOU8UaT777DP21Y4kSWi6lsVywfXmhg8XF+x3u6GDVloKsGFICA7VWF58icYIUiRJE47HOWmSEhlR0CaTCdfX12TZCGMGhMKwuul7y3Q6YbVacnN7JS9fZDXnQ30v7yapELDFm2Y4Pl6R5RE3tzfsd1uKcofSU5SOBRPheunIRP4/DJ6mEDwCUhUoLY5BNRjc4AN1uzM9ic5QWlMdarq2l3pS9OBfUuCl1q2ypZQcK/VD0IEh9WkFYnvnjfNB0CVKgVMGFUTt7V0vQ+1QMxZF4j0JbkAcaIULflAWWxbzBbuBQSYPf4gihfUOTcA6OSl5O9TAJNG9zNc7jx+Si+8vLsmyDLTGqQBGC/Jm50hake8BqbEzAojubS+AUiPqnR7W+kbF0lGoe7wTZIeOYgmy3Hlp7l/ug4/Oy1reaMEggEd8+B5FIMkMcS6Dp3eeunV0FhrrcSisDQQl5dq77Z5qWDVrLZw8qSkyKG1wQaqJTBxLGMrIKbUoKiaTCev1liRuqCpZ4x2tVpjogLaKOE5YLGYkaUyWJSznS64u31OWFZvNljwf4X3g5vqG/X7PaDQhy0b3rCYbRA07PT0lTRPqrqEo9vR9CwYuLj4ynU/5/IvPaLuGuihYLgRC2nU1WoXB55owGU3ouo7d7sBysRQ2nFJkSSIrczMkU4eJKmiBccdDVZP3Ugfkvef4+IjGdXz74jsWqxW2t8SpwGmVEm5ammTih+ocoywfyviQto+6JlhpszBaE4wMc39jNXs33BktFpAhKR1sT1MfaKo9eRbhYstklDAaJzx79pBHD485Pp7jXTe0dASKoqVPPIv5gtk8YT5d8v7NR66vrjCq5vnzT8jzEe/efaBpmiG8o2iahl/96ldsthsm0xk3Nzesjk+YTCdDsm/BzeWlKA/0dLYF5SnLA01d4f2wfk8i+r7m4aOnHK1OMHHEdnfD0+fPiCI4PTvGOVmzzuYLmtpTNS2Xl9eM53OSJKOqOpQPGBMzymN++tXPyKJMurZHOXXTMJ6NefrwGVVR0bsWrxxv3r6ht5Y/+dO/wz/9z16y2V1w/uiE65srnk+fMB7l2NDx5RefsS1LXr99zx/8wc94++4tm+tbxuOMuq5wrme9uUHrmN3+wF//xffsdz1ffvEzqrLl9nZDlqXMl1POH4xRcUXnOqk5dBX7wy3B7fjZTx4yGmdEkaJuKhTSGJDnI/J8RNu2hCD3tBzoLM71hCjhDpcj+A+hHSRJQt80ONdzfb2/V4fSNMH7TFTCEDBRjG07nn71OX/0R3/A7c0lv/zFLwhOYTso9gesc1jbkcQGrQJ3EP3JOMP2LU1T8dOffsWzZ0+4vLzkm+++43q3G8ItwwXuA3VZ8ebVK3bbNXGWst2vWa6mPH/yDJMosjzi9OyEw2HLN19/zSiXd/CbN+949eI13jr+8Gc/5/LjFZv1Gq3AthVdU/Dk0Zm0y/iWDxfvwbfEUcxiPqYs91TlQUJTQewZbddyOBT4AEfzFbe3O7bbA0mUczg0fP7Zp5w9POd6e0trPWk6Yjqf0vUtwXjpq7fy3BaI8ZhYp5ycHLHbHmiamq5r79Fgfd+BUtR1Tdu2jEaj+3v6Djp+J8TAYMFA3b8AhfEK0WAnKMta+sHVEGKMEpqmHTYIRlplzk/48ssv/vYHu8uLS3khOicPqiHR5YcXtVKK+Eeq0/n5Gd2HFq89Og3cbrdkk4x0klKUEsFOshgdxSyXc262H3Gh5/37t3z62af8wU9/xnR2zYc312zX6/uVXZqkGJ2zsQfKQ0WqDaNEmiJurm5x1jPJxxT7EjykSSqgwTTHdpbtZstqcUQcJ0QqpqlbXr58eT9YGSP+n+PTE549e8brD6+JbiJ61/Dx40feXb7ndn1L2wiCI9IahyP0XkyOQRhcDPJ6WVYC33QOHRnSLCVLc9RQTxPHyXCKGwrF9TAsDB6MNM2YzeZ431PV+/uHct/1xEnCNMuIfUzV1Lx5+wbrex4/OePodMl6fcvHjx9woaezns52ktBSwwtkgBf7MJD3w11zhL733aBA9aLoSBFyC85gdERbW1kzej3wvDwKJ0qTU/S2k6El/Ki+OPyA9BhSADK0uoD2QiVnqCXrul6Usr4j0opo0Bf9UHR/t4K2TpLFXddKWss78duZIaUUgiRnB9+js274vgNKiadIhltQdz2EXY/XmsZayusrSXU6keJHaoRXop4mccIonRLHMZfX11S1lDv7Xrx1LkjVlPeIdzMI6BbDvUpjTDyY5o0kNu9+PoO6JGlOi6jJMuzloxQXHLe3e7JsIqpdH7jd7EmzhChO6DrhLtnbDd4H0iQlzVJZKyup6/Fa4YK0oez2QtHfH7YYrXj//j1RlNzf1/v9Qbx0fT+sHAxnZ6c8ffaYJDHUTUW534NOSJMIZzsO+5YQFEkccXJygtERVVVjrRj9lQKjJozzjPzRQxyeqmvZ73dUbUVVlXjlmEzHHB2tuGrl1KyAw/5AGDmyNEUrxWQ8IklSTk7OSJKcvneUu4KubPGRF2bXwHlUyt3//LWJSZOE3ga572SfRpqmfP7FF5jIsL35oT5uPJ4wGnlsL1iTNEmZTWf3gxpBEZkIo6UxQA9cQq/CgLT5YbBTyCb2jn6iVcBozziPefTghPXhGpXHPHnygD/6o5/x4MGKJNG0bUnXFfTWEUJMkkzYH/Y4eyBPRzSx5+zsMbkZcXMllWRFUTEajUjTnP3uQG87Hj9+LJ9rPuL45ITdbkfdSkPAhw8XPH/2lP1BntcuGNa7axo7PLsH1lgYwjCffPKc8/NH9L3jdnvNcjXm2fNzeltzdjrnu+9es94cWC5POT9/wK9/8y2HumI6P2EyXhC8wXU9tvUcNge6riPLMp49e8q333xPa1uyccbzT5/y4tvvpYPVBN59eMdf/OUv+A/+w/89x//DKZt3H8Wf2Vu2uy2T6ZSrmwuSNOEky/nuu5e4XjBHru8pdjvqWn42WgmbsW0s49EC1zmOjx7QNh1FWVG1LavVnMk0ou73OFtT1g1NW6K0x2jFV199hjHw+s1LVBfx2cM/QiF9qKvVSlarA5xYrD8O5++sN/r+HRqZCDvwRtM0RgWH7cXU75zHGfCuR2lFFCvSNObP/t6f8dXPvuJf/k//A7/6618QnCXSMfPJEUkS0exLwv3vFeF9RzaZkmcZTVMTofnDP/45WZbw/uMbRpOUSS/Jdt+5gRQgHeQvv3/B+cMHTGdTmq7m6LNPWM6XRCmMxymR0ZSHA9eXF8znC5qiYnd7S9N0VIcDbVPz7/97/y6XFx958f13NE1F31WMsxmzaUbnFA8fnvLJ88esb9Yc9juSKOb66mI4jCXk2Rjb19J24zXWKt69fcN0MuPx40+oy45HD5+xOFry/uKa2GQk8RhjEhKtcVi2+w0qwNHJEcZHvP/wnkQlGC2BE9luKZbLBQ8fPCIipa/vajvlazqdUlXVcP+HH6w4SvGjt9/9ABhH0tRljKFtW+qupqpqHA7rUpzv6TrBBi2WU5QKvHn76vcd137/we6Lzz/n8YMH/NO37wbfl5f+NzOUzwdPZCQdV5UVtzfCDiNmiHMrDocSDDgVuLm5JU4j1ttrfvHXf8nbDy/QseX8wSlHx0tevX6Bs4osTaAXaHFkImZHJ4yjBeWmZr8uQEVEOhGiftOijCJLMrrQM5vOyfLk3nBPUP9f2v6sV5IkTdPEHlHRXW1fzurH1/DYI5eqzOrKyqru6eppDKcvBgTZAAECvCAJ3vOC1/wP/BcEMbwiGpwBCzO1dC1ZFZkZmbGHh69nP7ab6b6I8EL0nMjqqQKigRkLBDw8IvzAzFRV5JPve9/nbZMbAqaTfRb2kqv8yohYm6bV35gCy3UctAXH944p6oSX58+4urnmNrutbrVwWmiKukRXyrRjLSNAd6QpzIpWQGm1dOmyLIiCkMAN8AOPfr8PGOYPgG41QLbtEMc7Pv/8M4ajPlEUtNDGyujwtMb1HLrdiESCkII8z3j+4ltmiwuOjw/Z25uiaUHFZU7VmJ+vaKiVgRLXbTYsVgvI1VbLV9JtxqXBQ0gpQFk0lSbd5lhSmlM/5vRh7lpTjIh2rGtucNNts37Xqv2fIB/U7ckGYbqZ0qaszeJlWdI8ILI17EiJIx20gqZqTN7wYECW5ViWoMhzev0uZVHeAXCbpqEuK5pGGxyBtrCkodo3Sn/niJS33TNpRsAK8rIiS2OTcystsCVFVZHmGY5rWuiBZ8YrFkZTpxXUlWFVKW0RBKZYRZmRrRAWKO50X6Z7xXeOaL7LMb3FgDSqNhuZMHo013WYr9YsVkv29wKUNgy49WbHwOrh+yFaF+bZU+agYNktH1GZ+1bI21h6ExV3fn6O7TtkRYFnm851p9PF9wNAsFouAbi+uabXjYiGQ6RtCrwsT8myhLou2RUZeZqzi3dIYZPnpQnkbu+VsqzvMqa7nQ6e57JarSjKkkY0+JHRPTXU7GJz+FguFwyHA07undDrdhkOBrx88ZIkSQj8gLIyjrxet0cQdRgNh1RVw261bd1p4q54ur37zL31HbDYTBp62NJmvlzgRT6ylTvcjqzzPMe2LaqqJE0LbOkyPtonavWtQMvxM6f7uq4Nj49bllVroBDfdexA3fqA0TQ4jmA87jOevMvlvEs48Tk8ntLtBriuxLFNTOF2u2axisnLBmlBsstZzreg4OTeQyK/Q1kUSCnZbndmzXUMLLssSzrdiOl0glKabq+PZTvEcULUrlu+57NYLEmShKIoCMIIz3cpsxzHkaznCzbrLZ3ugDSrcGyDRMnzkvX2hqPjKeNJl6q2efb8czabjKKsWa0sHj18wtffvCGJV4bVpk18XhoXvNy9JtvGxB/GNLrh6dtPSbOMmoagG3H/wTHXV2coamxpUVeKL7/6mn+9/Vc8evQWL6++MDnYnjGxWcIlCELSJEM6LpEf8u0333Lv+NgkyghJ4PqUmXle8lLR7fR57917XJ5t6XYGPH60x+HRIa9OP8VxJFAjrAbLaiirirou8H2HJ4/e5cmjx1xcLrA8B8+L7rSoCFpJyK00xTLEAIs77JFoF8o7PZZ0CcOQPNlh2xLfd6lrs6cgFCZ1Ayzp8tOf/B4ffvgef/U3f8VvfvNrqiJnOh6RxRmNKimKnO12hUbh+z51kyGRTKcnVFXNLs6Bhvn8Cmkb85CUEIUBjivRmzVa3KZcGElQr9tnOByS5kZ7nCYJbmPRiTxsISiLgu1my+XFZTupcjg6OmQyGRPvttxc39CJQh49esjZm1c0dUkQeKimQquKXjfEdV0OD6Y40uXm6prA94njmKTOTERhqcizEqFN97zIa4YDH9/r8Ac//RmObRu3cFZSqYqoP0Bpo82tlNmzpTQgaEcKkjTh1cVLPMenrow7tXaMsSjPcwLHyDVuqQCdTgfXdVksFgwGA8rKmF10bbBjujFRnWabM3usbUsjSVBNSwswa4IBmzdUVW4SOTDTwySN+ebZ/wIcu9evX/LW07d476P3WS6XPHv2zHSlpPnAljL6kaIoibOEbbpBiQrpgNsNefzWQ+J0hx+5eFGHqBcS9nw2seb65oxGF3R7AffGDwnDkN9+8gW9cMije29T7CwCkZM6inSjqbWJ8KnKxoydGtMJyaqawPZxnQBXenQHIZtkQVGW7ZzbxQsCxqMJ48EIatitNoReQJal2O3FsGyb8bDPYnHDNt2htOLtt96lP+5yeXNJlee0g2fj/FMmhqy2DE1dWg6WkPT7I5QG3++aBUa2YNiqpDt1iTrHfPusJssq1K3Wqr2QUlpY0qWqTMdKa5+qVji2R+BHJvNub0y322W5XJjwZm3eR1GZFI843UELfCzLAq0VjTIaM6PzaEeiwpg0aOOVjDaodWzpGmgoq8YsQkaQZ5x7SrTOLMsUQ22AvdEQtqNdZVp0utUM0t7ct7x9dFtaWMqkK2iFaIXtQluGcSZBtdpC34uwEGRJaijejiGSawEnDx9wfnbOwcE+q+WSs7Mzg2doTCyVbosoaWlsy7wvY1QwHT2URkhTbmpVk6c1ZZnj+Ab2rICkMGwqy5Z0hEXghnh2wNXFm3ZxMVw3LEFTmZQNlMmeNMcbUywL0SCwDSqD22gr1Y7izTWQ2iJwXCygbhNELFvS6feRnktWFG2RXoIwm1xaNNSlJgpchGuKSN3qKY2OpcVsSNMpbTTU1Gga8iwmkhG2VugakOBJi0ooRoMBTZUjLYvJpM/B4T57e1OmeyN83+Xq6oJdvGa729IPewSdkKjX4fTNhXG62re5sR5Bp0tVVZR1Q1HWxq1r2djSYZfsyOucfr+PbQnKLMWWmsHhHv1ORHd/36SJSIvz8zMWyxmdbkQQBKRFxmAwpC4r853lJWWa3SWfIIzRo2kqGm3MPEVVoTSUqmGXx3SHPa5uLsmKDD/yyWITlei14uVaNZRFaQ6twkJIB60tXDds5QigdNWaBkzIsrh1bLebx21Fd7vOGz2EQYFoarQo0bLGDuCwM2ZyMMDzHYoypsgspPBI45IkiSmSnKrWxEXeutCN1CTepVRFgy4K6lpxcXGBbUvqqmkF2TWuC1iasi5xHI/tZkOcZgSdDtJ2GA1Ctpu1eW+WORCGoU/VpPiONEVNmVLkLoPekDDs0JQxo36XR49/hhMKNptrhsMIIQqkVfPg5JiXL26o8zn9TofPFwtOn79iMByjtCDZpTRNjWtBUee8OPuWL55/ytGjPR68c4/5YkHQc8iahFrUoE0EnUZQ1iWPnjxk+u2UrNzi+YZFajuSd995n88++wrH9djbm/Lm9Wt0rbBxoBF40qPJa4p1jmuFjLtDUF2a3DGw46bCdSW7ZMP1TUI0GFCrDKwKpXPqJqPb7fHh+z+grgsWsyv2R/co0pJ5ecNkuKYbeghLt2YJicYgm2zLRWmTQawtTYNCWgY27Ls+vheBMjByz4XSbWPURIUSRj862d9j/2jC/+/P/jsuri/wQ4+yztCuxehgyL39fVarFcvtGY1S9Cc9NJq9vSlRKDl7dUUcLzk6PgZLYTseZV2zy2KcyGMQdNFugyUdtusdVDZaQ6se4u2nb+OHNm9evWY86XHvaA/XlgSej2PbVEWJksYM8tGH7/PuO2+xWcf8+le/oR9FjIZD5tfn9PdHDAZdmqaizLf0gsi4ZqdDLs+vOT99gxSSyA/Yxls22yVVVrPbxdw7eohWgtDvYGlJsct5cHSfMAo5vzrHlpLF6gbbg7Dqsk222A5MJkOiMGB+PaeUDpZtIUSD1qVJjfIN/F83Ats2OfSz5YwkSzg+OmY0HrFabZhM9ji+d5/PNr+laGqqtnkCLfpEgxYSy3YJO6YLl2YptmciUmmJDkop8qK4MycFQUgSJ2zWm//5C7s4ianrmv6gj+M6XN9cG+F+01DX7UaYZTSqMQ9aW9SVVc6+P+KnP/0Ji/UM6QJ2w/XigiR2KKqEsgq5d3JEoWI2uw0vX71CCMG9o2M82+d6NacbjvG0zfr6itevv2F+veI2cLssK7QWtOB/GqUY9rv4ns31PEUJC12L1nkpuZnNaEpFnubMbuYULexR2kbr4ti3ozGL8WiI7Y45uzzl66++Zr6aUdUl3U6fIjPcobyu2wgggeVYrXvU4/DokKura4IgNLE2ErRuWK3mCDR700MePXrAxfkNZbFEq6JlegkThFxkNE2F4xh0BFrT6/VMg00afYzve4xGI8LQJ0likiw2lb5uyPIU2jQHg54wGrO6NqNVU8IoVKNoapMmYOj6Jmaoqdp8Vho0jRkxWW03q1GGJyfsu6LUMEdEW9h9h/BAW4Ygw3cjp9tJrECb/xeMQ6wdnYLhAUkhqVsThVZmfFBk+V3eal0Z23m37XwmaYLreaR5TlnX2K5L3ZStFqhthQtTeFmW4C6Pr+2aCMx3Q1PRqIZKN3itDi3NMpI0AUsQdjrUWoOQBnxse3ieuSZVaU5gqh1N16KCFnxtWYqmJY83bYC3STlpi04p24i3BguJFK3bsSqo64pOGBFEkQFp5oVhYVlWCyk1I+w8LYi8AFu2jjqj4L+7Bmhz/bRWVI2ioqZUFUJoAs+GpkYL0yl1bJtBr0fgG/v+/Qf3CTsBnm/ijdarFc9fPOPy8sIEWzcN/bBLUZQ8evgYjSaITNak5/s4ToTjRiwXC8PnKhuSJKcqTEejoDQjH61xXYeTkxOkbdEJO8xnc64vrnFsmzCKCMMIx/FolNEXlVnFm9NTXNtlt4nZnxygG1NcSWm1RhTz/ep2XKIBWrOU6/n4YcjV9SVFWfDW06ecX16YvOiu4W1VdYGqFI7j0e322G0zEzcWdO7u76oyHM+6qVqZSjty07f3v/7uQcAcem6NRUIosBTaUmRVRk1OUdqE0QCtaxbLGZY1RqAZ9IcIKyFJC6IgIMtyoqDDdLpHUVQ40mYZJ2htOgFRFFK0DnXHtsizlLDTZTDsE8cpjarvEA1VVbNerEiTlpyP6dJoVVPkBd1uwOHhHmEQ8vSt9zg6vE+aZazXa7rdDr1Rj8+/+S2r9SVP33lEv9cBlZNlCZcXp8yuXtDr7xP6PrvNhqqsEZZD02hcx8XxPWzP5uXpc64W56T1jnfeeUrQFaziG+xA0J90KcuCuGgQNpRNycmDe3zw4Xt8+c1ngEGLGIfijrJs+IN/8ftcXV3iOC5NrQiDkMiP8H0fS1s0peGSblY7qrIk3lU0yjxfYRjy3nvvEkQFSq2o2uB7rRvKsqATDoiiLn//8S8o0oZxb5+Dg4eUicklbTDdPa1Va7oxDQlh2eb6t907Ib7r2pni6TaaUmIHAUIq0gySbGuQPK7NYn3Dx7/6BWmWEnU8hAxIiy1pEfP40Tv85Cc/xnFs9o+HnF2ccXR0RFWXPHn8hCIumc9vGIoefsch6Hg0qkFZDZYrmBwMTHSXNLIbaUuytKQsavIip6pLHj95RKNy1psZk8nYMF5165xvaoTQuK7NaDRkb2+K5zl0uwFh4HB8vM9msyQIXPr9iG4vZLGckRUxQxkxGo6QAmazK5RqODo6JAq6fPXNVwbfU9UcHRzy5OETLs6v6UVdbGFT5TXr5Y6///uPqakRWuC5Lk1VkiRbhNDkWUbg7dPtRMTrTdv0yPE9h7pssKWk0+uyNzlgvdqynK9IdjuyJMF1bEbDIVEYIS3Dcj06OuLrb75CqW2rZ6zv1nbu1gDLGIH+0fjdNo0XbVBlUgocx+bk/gmPnzxkdnNNmiXft1z7zyjs4pjnz58bbYwQTKdTiqIgSRKWy0ULIDUMKktYNG0nxnUDorDLZDwmK3aM93uUKmW9u2Czm9PUOUIo+v0ez19f0Rt0GPTHeHbEgwdPSFcKVd/Q6w7YFhX3ju7x4ssz8qzAsd12DPydcLGqKna7HY8fn+BFNvnzHMt2qJuS9TomcCMyK6PKSvK0YD6fU5ZVu+Ab96TjOKRpcjey+OLLr9llW5DK6B5UZYSrTYlSmqoxaAIhTDagack/YTwccH1zhZSmbW5ZbfGiauJ4Sxh2Odg/Ya+C5XLT4ijM5m5JwcnJPb755itsW5qNXhptVVmWlGVOWWZst2ssy2QqOo7dkq3NuNiSFkrV5HnWnjprVFskWZYZy7mue5fVZ9vGct/pdAgjH8+zKSsTDq5UC2A19SXxLiZJ0jZKyUFrTZxklGXZZtA2d8Wb6UYYzAi/U1zAnZG1/WdhAMsosxG3ibBmLPodrqIsK1RjHpamUaRphmW77OIE23Z48eIVcRwThp02YLk0RX3rRkJro7NqkzcUbePQMiWsEIJKN9RNjRZQNQ15XFJWJY2ijYBxURqKqgJtsoDLsmxHsCbH13VM7urt5mIJiS1Ba3OSa+oG6ZjRu3mQDVW+rkvqxoB0Xd/DdsxhRWlN2OnguB4380uaWrf6N+uuULxdKIzLE76b+7Xi/Pa7VlphPDI1jTZGlqrISXagG9M5EJZ9dy1cz+bk/jGWZfHxP/wDl9dXeJ5DUeR4vst4NKTb7aHqhv3pIbvtluFwzMXFNR9++BGLuRnpxYkJ2DbJGoKiFSWLduys7BovMKJiz/NZr1fstltmNzNUo5gMx0ZqkZVEfkTgBm1n1zPMSGnTjbp0Oh2jD22drrQHtVv3a9MuuneMKS3w/YC96QFFWXF2dkpdm1F01OngeB7bbUzT1ARhSFXWJqmmkRzuS3zfuyvc8tw46L7LAf7+r+8eB0VRZoz3e/QHEfsHY9YrwbfPvqYT+XQ6IWmWkhcFWZbR6XSwbdvks67XdDo9xpMJoefiuWZ8t12vzT1a1+RFRa0KlDU3mZxByOb8grIycOtGKaJOF0tKwk4HYdkoBHXTMF8tkY7g+GhCvz9iOBqY7mFpXIDDQZ8kS7GERa/bp8gKet0uTWWxzHJsafH1118zGG7RWpNlKUVZIaSNJWyC0ZgwCphOx/zVX33GaNLBceGbbz+hqkqevvUeJw+nRD2H3XbLy1cNv/n0Y/7HPx/zJ//qZ+zt79Pogjdnr9htE+pGIW2bk5MT1qsNq/maXmeA43j0e0NUi7PpHHb41PqSutKgDX5m0O/i+hFow+7Udk66XNAZmGe0qMo2Ps/kvZ6enfLpp58zGe7z8fKXeOJbxv1jjqaPGHZHxjndYpXA3J9SiPagoY1Gu4VpGyNVjetIfN+hrgtC3+fk4WPenL5E7GoGwx4IRdFkXM/PDYYGE7X38PE9PvrBR5wcH7JZLri6uiDq+/zhg99nOBqyWi3pdDzGwyG29/ukaUZZ1Xhdh/5gyKvLV3RFxNG9fVzXQ6ma9XqH7RhzhG1bWFYDVFzfXCBEQ61ymqYmTmKqKifPU6JOSJanuK5DrxdSlAnPX3xj8k/XVyxXY9abJVWTsdkuKOuYxWJGURrz1snxfeKtWdu7HWP6UkrhOwGV1eDZimF3CI1if7xH7CRURYNqi+LdLuP16UuUqBhMOgz6Xa43Vzx4cp9eL8T3bNA1ZZFQFCVNXRu+rO1zMD2kqQ17drM0yT6qbYw47aHasiz29qYcHR2byVnTMOgPuLg0ObjWf6JDKuuCbJ2htcJxzF4vpdUetvXdem27Bvm2XC2xHZt79+5973XkPwNQbFOWOYNBn06ny2Qy5M2bNzx/vjHiz6YEjKuk1saxGDgB9x8e4UjJ61fnlE1BJwoQjmSXDnB9i2fP1zSNif/S2kJrB98LiDcZV5cLxt1DJuN9ulGPaW9Ik7m8+PKc3TKjKU3BcBuirLWBheZ5ynqzYuwN7joydyDU27IZQZaZ5AezMRrtgGWZ0+p8viTe7ZgvZ5RFQVWUaNkYdAhQlaXBgjS1gSVq7rQUtiOZ7o3YbrbMZte4rtdqKQQoTX/QJfAibClJ4h2LxZwsSxDiu9zK0WjAH//xzzk4mHJ5ecF6s8K2Jf1+nyDw7zpri+Wc9XpFkuxIkqZt54LnOQSOj5ROO4Y1+jwhHRzXo9/vEbb2+36/z2QyYT6bM18s6XRCptMph4f7uJ45SSjVGhy0oCwqk91YlLiuaxxzSpsuUppxdXXFer01jTFLt0Be/Y+6dbROoduuq7l+tzqkVvNmmUKurlvRLlAU5V0R09QmsN3RsItjgiAgijqs12uaRuM4ZhGwLIuG7+4DLUxIe90Gc1u2vCt0EcZlq2vzfZmMqFYLZzt0o45ZjG2bvCxpCmjcdoGWDp4X4HkuvU4X13NpdM18sTBxZZagrCpMTiTc2t6VbpDSwXFcLAlZlppRty1xXEmS7qjqiiiMmEz3WCxWZnyJpKoUeVYAFlLS6nA8XNcx5YFuw4q0YeSp1pTR6IYGI4KuVIVlm55Rlef4nofC0OrzLGUymTIdT7i5uma1WjHo97CENuOCqsYRDkVWsb+/R5qklEWFZTnUtWK3jTk/v8QSkjQtmM2WCOFQVbXBFSiNEAY9Y9s2KIVl1aZbiY1tOXiuYTp1og7HR/dYr9fMF3OUUriOcZl7jofjG3dbVVUGOBu1+ciNxih9RauhFHedqVtMgRBG8wQWvmdyX6+ubkBAXpQ4nk+aZriugQBvtzvyvGJveki/N7i7lpZlsDB1bXSw5jp/t4n/8yyq7048wtI0qmK3XXN0f0wQupRVymI54+BgH8c1cXdxvMNxAjzPY7PZ4PsBSmvOz89xnBm2lFycnVKWJfvTCbY0oNpdata9yXSv1X0ZffFPfvITeq185B/+4ZdMRlOKosRrfy6A7wcIYZEVOUVZYvkO55cXxvhQG5BrnCXMFjMEAs8L6XWHuK6H5yo8TwENZZmzXM5xXM/ggCREnRAhJHsHQ3zfZbG5odIptc558OAhaSr56qsveP66wrFDgsjDC7oMpx/y+nXIZ1/+BsutmR70cF0PS9hUlWKv0+fq5oosfw5I9iZ7fPD+e0RhwOXFOWenb1gvlkwmEzzXJ88t4jjBdTwD164brq+veHP2HMvd8M77B9hOg1BGp7ndblGNxVuP32Y62TeObMumG/YInSFR2DWdOjSOJWnqBtsT/0hrrDGHDYGFtky8mG7lAt1eRLLzsSwXYcHDRycUzY4ocTi8d0BZFRRNyi7ZkRYbjk+Oee+D9/EDjziJeXX2LU1pUFWu65DXKbNVbg6CaU3lVQymXfa8CVVtJhf9YYfDB1Pq05yg45ikljpFOhoHTEFLjeeFPHh4zGjcI0m21FkDwmjEtrsNVV0SRQGrFbiuBNGQ5wl5kbDbbXl9/oKajCDw8TyHq/mCIs/ZJTvGwz51VbGNt+y2MQcHB6zma+bzudk/hUMUdKCWuLbDvcNjsrSkiDPs0CHLK+bzBd1Ol8CPUFQ8PHnMo8f3+e/+4v9DvFliWzXprqIscnRT4juSNCuJwi4n9+5z/+QhX3z6NU3dUFcVqjJ67aowjSWhNXVRcrO75q1HT5hXpvCzpcRzPHzPbyc0TTsRstDaIvAM0qZpqrabrwzoXCom0yHCEpSlYQQLSzAZj+n1H33fcu37F3ZZvqWqE/YPRjgujMM+3zyLCSOHNJPsWuekKoXJ+VPguSFVARfPz/ny2Rd8+MMnPH33GNfX5FnMZO+AMHCxpGG3pUmBbVfY0mK1THlVX6D2PRzpslpuOByPaCplMAO02YuIf5Sh5nketmMzn8+I+h6dTpdeG2C8Wj03HDgEi/mcJM64FVHrNuJDKYMtKcuKz3/7GdEgulukpbAQEqQwWZXCsg3tvarbbpwZpdi2pNvt8uLbVywWc4bDMU4ruBRC8/jxYzpRj8V8w2q1YrvbUBS5WdZbw4EpSmAw6LPeLJkvKqSU7HZtNmSatR05EydkWZiOUmN4T7tdRRgGhFGAbdv0+32EMPm1lrTpdrv0+z0OD48IQ8PxOT8/Z7mcs15b7HZblss5UkoODg7odju8eP6CcX94F7nlui7dbpfrmysG/QHL1ZYkyZhMJlxdXWNZRoC/2ybkWUZdl1RVfcdD+5/ucaKV75nOnW2LtvMnAIum1hR5aRytbUEvgLpW1Lqiakd4t5u2tGyj5GvxAUKbrq6+HYUqU1C4bcj8777uQpsBtGW4dWhjttAKpzVJ2J5LVhYm3cC2mUwm7E/38FyXJInZxNu2cxHiuC6rjXFX6rabZllWay4R3EWL3bnMjbthG28py4LReAxCcHV1c5dxq1RDlhVtUSJamOx3n8dM/lpAb/uZlLot7Az2RqBQtcZzHHzHMSMErdifThiPx1RVxbOvv6Lb7aKbmof3n9JoxW6349NPPzX5sNoiSwuqsuFyfm0irLYpRVFzeWHuhSROSOIcYbl3/EB5iwloIago4xrO04K6rNvgd5dKVWzXOy7Pjdlpu97h+z6+GxgdkhvQ73dRTcNmtcFqi2hpGS1YXavvrnvT3HXulLodc7Vg4uWGy8trTPPTMuYMLVBUZkJgCcqy4ujoiP39Y9bLXVvstDm37djTwKa/czffmjeklP/o/jIXyYzbwUhBhLTwIx+ve8Rg0CFNE1arBUWR043M2NC4bm3DwCqb78b4tZk6TKd7ZHlG0AnpOz00gjfnF6i6wXUcorCD0oLlYkEQhKxWWxQOq3WC7bjEccp4ZDFfLBiO+qw3W2rlcXA0ZjAcMRx1ibo9Vqstu20O2mYwGFIUOR//6hMsaTEY9miKmmRbEE57+G7EennByxcv8HwHYUGvb3KKh4MRe4eHXF1eMZ52kFLQ6JTpQY9Xr64oqzVhRzCeBlxfnhP6A6T08Byf/mDIBx++y9dff0mep5Slx3q2YrFYsd1tTcKA7fH1V18znU55/+13DGC3qen3uix8j9Vqies4JjdcNGy3O7SqefbNa+I05/GTRwihCCOXJ289Yrl9SVoauUhVNkjL5+jwuGWbNZRZgmf1GPXC9jBqjFthEOI6DiCoWoGaRBjSRHv4vT1IW5ZhvEYdn/sPj8nzLWme8ObiBZat8EIHpUuO7x+Q1ynIA7a7LcPRAMcXhD2fuNiyXW94eP+Ed995B60aPvnlL9klG8qiMCajtGY4csgqw7brD0e4rsd7H71DWu6odcbr0zfssi2O4xuTmN1AUzMcd1G6oGkj2FzPot+PULohjo3zNUl2WFKAaEjSDcKqOTs75eLygkbVpMWOwbhDXZesNkvm85kZjVtDA5ZXgro0Hf6o00ErQZ4Y2dJ4PMFzUwIvYrVacHU54/LiEsfxidOSRmnG4wnD/oDrmwuyXUayiXGkzenrN6AP6YQe09EIe2ozv1mgC83B+IB3334X3ZjDYJEVNJVpMGilTcKQ5m5KUtc1m82GzWZDvN1hWWb9dn2fRiviJGnB5BaedPA8w+0tS2FyYBuTvBS4Dt1+F6Uaur2Qw6N9wtDH8RyK1mD5fV7fu7BbrC5xHIezC5vVakVZmpHn8fExtcrZbFcIbJQW2BjXYZaWvEku2JVbHr19RBQY4OQvfvU/sNxecnwyJQx9er0O0+kUy/GxpMe337zCc7u4TsTV1YyuOySwAwSSJE5RtcaVLo0wRH8zvjMC+E6nx3DQR8gGrRqiyLhqhGXjeW7rXJXkWW6clMK0Quu2+2Nca2aDzPOcw+4h9bqgliZbVRg0GUqbwkMISRSFgL4LZrZtwfX1Dc+fvwTak6yusSwFomE+X5KlOd98/YJ4l9PtGAt8oxrqqkRKM1J93ca63D7sQkiKImW1rlvAYdm2vI0xwujooFEmazTPBWEUcHJywnQ6Ybfbcnp+ztX1Fb1ez9jLq4r1esVqteLq6rrdoGxWqyW+H1AUxV0Bt16ucCzJaDTi8eNHbLcber0u292WyWTMbL4mCrtMp1MmkylgGZDz0ERZWUKT5zmz2cJ0dkrzOcqyJklT1O1otDYmDFu2NG9htSNkZUCaWt+xnMyRxpxuq5bifus0vY1lsSyJsI1EQGCyF5umQTWKWtdYd12b7zAUaH3XQ6l/pzDSjRG2YkkGwwH9aMhqsTanOWVikKRlo9sUiqqooDH5yqEfsdslZnToOti+g/Rs6kaag4EyuAQp5V2cnRaGMee6Lt1ej5ubObs4wXNDU6TVmoYaaVlIy7ARHcehUcoYQ8RtJmmLWlFGN6nav4wG02wsjpR0ArPRRv0e9+4ds9marnORpziORKmaMApwXY/tdsugPyQMQppGsdlsKIuqBXFaLBYritwU3EKY7GApHePgVYpKabRl4OZCwq0pR9OwXm3aRVNjWdxpjOI4ZTgccnLyAEtYpFmKlBLPC6irptWkOuaA1o5Db52oTVvU3RZzt8XQd8R4U9CslmssadGN+jSNZjgc44cBGsV6s8L3A0ajCarRbHc7giC8K9iU0i0IWtwdIm57Mrct6388ov3u11s9pLQtju8dEQ0dlJWz3eXsdjtjTstLSrfGCQPDpixqFosFvV6P4+NjxqMJk8mU9XpLmqU02sg7lFL0B0OyNCOJU4oq4/FkD0s4LBZLri7n/PqTLzi5/4j7Dx5iCRelIE5STh7cw5JQlFWbNzvi8eMHZGlMWazRStLt9EFL4l3O5dUFvW6HKOiiheT1q2vOTmeEQcRivuJg/5Cf/eFHzFc75os5RVXy4MERnV6Xq+tXRF3DxKx1zOG9IaPp+0RdD8tqmB7+gLM313zyyy8oioZONCCrcjqdDgfHRwzHfYLQp25K0IKyaMjSAt8PmUwnnJwcYVmaTz75GMeWnBwfc3y0jxQNdV3Q7/dQqiDLY/IsY77Y4kcRB4dj0mLG4ckenW7Acms6LFiabneAIGAy2OevPv87k8EadIjCDrZ0iPOCqqoN8aCucW2HqtXccmcjE63BrGV6Ct1q1BqEJdg7GFNXAcvNku1uSV4maKshLXZ0+49IFhumkz0ePL6PFprhaEiaJUhHMDmYMjncIy5SPMfm8duPefHsGYvFjKrM6XaHPHj4gNenpwxHA/b2JjRa0+l1uJkdc/rmBevN3MispDF+BJFD1A0IQslydYPSOUHgIW3Ii8zQGpItjarRWhnTTVUgbdjulnz1zWeG5drrglCs10vSNOX65prddstPf/pTOpExDTT1NSiL+ycPKayKeBtT1TWu49Mf9rAdh8V8xWa3YbveYTmatIjZpSloSX1jWKhRGBBvt/ztX78kZUdR5TRFRSUtoiBks96ynC0Q2ubo4Ih+b8Cb12dEYYdVukErGPQGJOsLhAbVNCznC4qswPcCdpst56dn1GWJdGxcz0SaFnVJmufGwCktQxRpDIJMq8YwC1XDaDRkMDSSit0u4cOP3icMfRpVUdUFcRL/z1/YaQqapubi8pVBfQiQsmH/YEBRJIa0XGrW6xQvCHFcH6U1ZdbQ60w4PnqMa5sNLkszhoMBQRDQ7XbQmA1Y1SYf8unT91nPt2zmGzY3GybdmuP9LlrB2Ztz3rw+Jc9LmlohMfE+twRcactWR1WSFxlVXbG+usR1AzqdDkVSEbgB6S6lqZs7UKpZ6OVdd8f3PaIwpC5L0iQ1m6cQSCnuihTaTFLbNg+o5zkoJUBorq9umM9WBEGIQdpY1KrhBz/8iNVqwVdffYlqrHbObrpzGgOxc1wb0KzXSzabdUt69wzwVoj2NGcEvXVdUtclQAtXNZ0A2zbcsAcP73N0dMRut+H09JTl2rSy67rm5ubmrrNjclJlWyQbDZ7ZMH3iXUK8S40+RGrOzy84Pz+j1+/yL//ln5BmMZ9//hmrVYzj+AwGA3w/JMtykiQhirpEQUiep60o3qXT6bZFsMnK2253LFcrtlvD6rMsC+WoOwGx4Qya8HkpzMjMuIjNsqjbjfLWyGFGvO1C2Y7gDTC4jWmrTQRV0zSossL2gxbXo1vBr0Y0+s65qNpi8la3VValec+zDbqB6XhMU9ZkacZKL3Ftx4xcpCQIAkMjLwqDV5ASz3HxwpCwF9I0FXVTtTpVI7a1bRvHbR15qiGKBjiuy8XFDNF2L7U243HjWlQgRZsCcYvUaCX52nSqbjtWt2J4pY2G0LJM1rNtGWt+6PsEvs/zb58D4LsemUjZrNb86Z/+KT/9w5/yd3//95ydnnLv+D7/5t/8lzy4/4jtdsvXX33D5cUZz5495+b6huHAMxmwddOS8nsGzixMIWm15qemNnBgyzadL9d1cF27/a4LExjvuLiOS12a4uzWpKSUYrPaolSJ7VhIIVENlKKirqv2mTBW41tt3W3X7ragvwWLxrHpMg5HA6q6Blqnf5qQZimOazEcDpjNZlxfzcnSit//4U/bnFVzcjdAUyMZMOPZf7yW/mPdnZGRoHVrgDHu6cGgjx005LWJHXJdnzIvSdMc340IfQtVCxzfodvtstlsePnyBU0Ns9mcyWSPIPC5uslI09QUhspQ7rWwmM0WjIZj7h0coxpJfU8iLJ/J+ICmMoDri8srtrstnu/T6wek2YrZfI5SJcPxgGQXc32zQjUW4KN1QRwXBEGP7Sbh1fNTiiLDkhCGIa6b0Q367P3gHrbbYZNuKMotvUEfS5Yk6ZzROKA/8MjLjEYnuF6E63uk2Q7Pk3Q7EffvH/HqxSnfPntFUVTYMmC13HB0fEgYRoBJA6iqhqbWbDcxH/7gPXqDiDTZcXHxhni3A604Opjw+PF9OqE5vG5WFd98c44gZD5PWC7XKFXS7QX8u3/3b9mm57x584LlZkFd1ezSmKa2uX//MQrYxTsc2ybwzYg8TRLKyqw7RhtdMBgMmC+3SDPmaYv+dqGBtiukTEIMkGUJebHEcTVKl1i2oG6Ma1KUDXVTstlucUKfvaN9pLRIsoRGNSRpymA8wvE9hqMRr148Z9jrM5pMuL66pq7Md1UWBaqu8VyPIk9J0hzbduh1OyTZFi8wY21hK2zLQSGNvCLfoZuausnY359SZxXL5Zww9MyzVlUmIq7KULomDH0uLk9RqrxbW7e7HTfzGfPZnPV6w8n9+4yme6TbjZEdaGUSZgRs1huyzOj4KlmRVile5GFtNLPFjLKsmU6mPH70lM8//5qvv3pGUbgkcYKUgqdv3cfzBLta8t69p/R6IWWZIZQBXEZhF91Y7LY7vvziS968OqMT9oyERxn+nOHdmsNkFEU0Tc1mu+X09JQ4jg1ns407rGuTdVw1pokhVGP2eUu3ukOX0HeRrmQ4HPCDH76P40qePfuaRiuTlOFI/DAgzbPvW659/8JO2CbIuKhLE2qsFH7HpTsICZYu/WEf3+vS7WXEcWHs25WhchdpzScff05v3BANGixhM52OGA1HBEHAcjWnyEq+/voZZSV4++n7oBQXF+dMumOePH5M5I3YrrY8f/bcgIgrQFloFE1VARrXs9qRZEFZxdQXJXEWk2Q5k+mhyR5sHFQFZdncdTHqpjGMs5bybzsS2zFf/jbeGmNF3W4+to0tLbQQqKYCoY0GqO202bZFo0zY8HR/H7QR+CttCrfp9JAkScmLkiDo4PmSTqfLpZphCRtb+tjS5fT0jKLIW/SFIPB9c/LWTZsnqylLUwDZjikEB8OB6YpYLkHgE4YBAF9/9SVXl5dMJmPeevKYqjHgYIQm8H128Y6yqLEd43K93XiSJEW0maVNY/AjaZrhODadTsS777zLzc2ML774CtDs7e0jpcN6veXs7KIdZ2g8z4yOhFbGh9sWd55rYK/D4YjDwwN6/T7L5ZLZzYw0NTex0UgqbOmim8aYCmTLILtz9kJrKkMKi6ZlQn1XtN8aCqRBmbTFe2PV6EbTlDWigSBwEZZFXhaUVEYXIUzRaNhTplCypEVd1yRJgq4FgReZQraKKasaXae4jjFPCGGZpIx28tbpdHA91+QPJjFCghe4bSwNVLWJ3zDh1A55nuLYNvv7+6RZxnK5Ai3RddMid4xOsWkaHNskQeRZhh3a2I5so69aTWI7HjSFqmpdvcJIDCzZWu7N53396rVJ9WjM6K6qSoOeQbM3mdDxAwLH5b2336YbhHSDkHSb0A0jHv2LP+Zw/x4vXrzk6uqa3c4Q7+M4adMK2u5U6+Iti4K6MpBp13NwfY+iqLAsc91MpFJNJ+oyHAwZDoYc3zumqY1I++XLl6xWK+I4xfddXNtBSRDaXF+jz7RAWGho3WrfdXNNV1DewUINtkfw/MVLoigkiEJAmEKhadhaifmzwiGKPMbjidmkMUaYPC/uYtqsW/jwPyetg7bjbFxyDSWiqSnynLhMKOqE7TY2bkRp0krCoIMUFo50ONo7YDwa8tXXX/PixQsEFsf3TqhVxWK2oKxLEPDk8RO63QHpLiPPSi7Pb3j2zQuoBb4XsF7HXF/NefL4XZ49e0av3zMpHusNdVUxGd/j7HyLAC7OL4wbMDKg1yzJ2WxifC+gqhTSdpC2wyaOmc9uEJY5TA0GQx49fExZNcTZEt+3saQCapLUmMfqxuiMhGUMZ1VTolRBo2vysiS+2jAZHfLW2495/eaMqiopC0UYGl2cECYtwxiWBHGSEidGA+x7PuenL9FNiWNLfM+j0/VZLG9I8h1aNHz19SnTyUNs2WWzeUNV5YynI9566yF70z6zZc7l7CvKyhSsTVOZNJbxkJdvnjOb3RB6nTvjWBiE6NLguYrSHMAn4xGrdWKYl7faYnMjGDkTNY0SdIOQ7abCcSST6R7TvQFn5685//wlWRkTRj5xuuZv/u6vyJqG+XqJ6ztUlUl2un//hKws+Ow//kc+eO89/s2f/ik/+f2fMru+5vWLlwhpo+uGNCu4uZnj2C5RFBHvUmxbopuGyXhEr9chTnZoVWEJ0+X2XatlyJVUZU5dl0ymIxbLOf15l8l0hOc7pMWudfQbZIy0Lba7dQuWr9nudkRRhyCIiDoVq9WO+ycPef3qDZNhnzCIqGuFJWy+/fYll2c3SMtG1ZqkmLNLUnStTBBAVSKkpNI1j956yGK15Lef/oYw9PH8PklsurDdTgehNN1OH0soUILLi2sDrXYC8qri6uIax/YMe1dJ0GYkW5ftpKeu8X1wHZdClwhqLq8uDCw/8I2qSBh5VFEVZhqnlGkICYUtJEWRY0nYPzhpzYIGd5K1nMiiyOl2R7iuiS3drNfft1z7z9DYqVtnHbjSwQ2lsZvbFXEd8/ryNd1whGt10Y2iLEoaKjQ1TSWpdw3SrpHa48HhQ05O9jjaP+bFq+ccjI9ZXW+4eHNBEHW5OD/FdzwGo5BBp4NSFdvVmnxtUeetK0VYWI5ANBaWI1GqQtgabSkc30Z6EUIa6/ph/5DQ7zCfrUk2GWXWUFW3Yv6Gpq7Rul34habWFTUWRw8eMd2bcHF5xnq9pFGVsSjXjYFKKnPakW2A912siLSo6towzJDUhRnPLJcrfvnrz3j48B5vvfM+lxdXuMJCC4u6Bkc6CG2jKousKKhrEwA8u5rjB8YsoVGUTUbT5ve5fsuQigI6vY7pXiqLqix59eolRZaiWliqpRWb7Yr79+/R6UQgBMvVkqxKUUJR0+AI5w6SSdvRsjCaLUdKDg/2ePz4Mefn57x48Zr1em0E1p7Lbrsz4+6qQKsa33XvnIJ1WSIRRg+oG/IkI92tWM4trs7PcLyQ4WSPfrePawfc3Fzj+y5K1yTJFs9xKZLMsKdsD89xKYvCMJGFMB4HjBZPtPPyW9zKLc1dK2VOUm1OqhnxmtSQZJvguz7dQUhjaeoioRStE/iuy2UW4FuThcHOWNR1wS7eEHg+yTamrkvznbiuibFqcRtW+xZ9x6bIEpLNliSJ6fY7DEYDfM9HWxqdmyLSjGNqXE8ibcFivqGuGmzLNmMbq+3KKUw0m5BUtaLRIITEtRyqojL6jaqmUg3ClmBZ1NJGtZ+lVlBnFdsmJwwVdqdGaVjO5lhC0Ot1aMoCVea8fvEti6sbqqzk7cdP8G2H//b/+f/iww8/5KuvvuH46B7vPHyHx3/ymP/yX1m8ePWKJE1oGsXLVy/55qtnxFsDvN3ttmb8HvjGzFLXWNKhLsy92GoQSLYmW3a3yVh01vz0pxN+//d+yqDfx3UdmqZmF2/55ccfc/rmDZdXV+y2W5TWFGVuDgdKU1cWFpYZBWvafGarNbAobNeirAvyIkML41JUCIpKEQQhw4Fr3LzAarmh2+kxGAwZDEbm1tNQ1w2b9c6IpKnBunXd/tPramttAVkjrAohGsJuQFmUbBKDq4jLlLfeegtqha5rulEEdUO62fDtdkWlKmaXlwz7PRzfx/ZtblYz6qbG9x0mR0ccH97j/PSaImvwnQ6hP+DszWumo33297qsF1t6QZ8n9x8Sr5ZMp2N+/fknSAs8xyFwPTzbAe0TehFoizDqMhhUlMWKJMtJUrN527aFZQsi36fSfcIg5PmLV+SVokGSJobQX9Ul8dbEPqapS6Ma8rJgtdwgLZfK1lRSUVQF0tHUuma+WiAdn/tP7vPo1SNePj+jyAybb7GY8+mnFQ+fHDOfzzk/vzaxkTczNus1o3GXpirYrGcIAUdHh2hqrm+uyLKcr75eEHhHPH78Lp7Xw/eHXF7O2aznzK/PuXfQp2i25MWGPN9SqRRL1LiuJIxsZtc3eJ4DWuG7Lp2oQz8cEC+XVGVFXubmMN128AUS1a4pWlutZrxGiNocfoSLUqYw39+fMpn26fZc3px9xeLNGdINUbom3qxR0hQTL56/oNvvctw9YjafMRwOOT48JN5uSOId3TBiMBzx+OnbxEnG9fU1RdmgtM3Dhw/YbGJmsxs++uhDhoMhRZVxsHfE9eUMgca1bHqdLk2tub68oWwMS1PVmE7wYslg3KdsCrTVEKdrsjxGSEEn6jIYT7hZLtCWNJDfJKHTHXBweILjdNlsMhplcXV9QS/qkBf1nV7u1atLhJIUWY60HMpagvKI0w15URrDmBZs04yXZ6/55uVXVKRkjcPh/jHL9YzFZo1j25wtztFSIK2GskrRTY20bJpKs1nGOCJlOt7Dd32W8xWr+Rbbck06RF2bK9co4t0OKd3WmFYTRC7oGqUVlVLkRU5DjRYKYRuna6/XQWtFXjn4gc3RvQM8zzXSqDoDFAeH+xxMp22hHWML2zAXv+frexd2VWNOtkJoLFvTi3ykZ7NYz1CipDcKoTLu2Hb7M4tbIxBa4tkue+OIUX+PSixwRIgjQhZXpoV+dnpBL+oznE7xXQ80TMYTuk6XzXpHFSe4eki30zU6OS8wYyclzGmoKPA8F8+36XQDygpG4wFB5wGD/pgiq7g4u2KxmKEbI/aW6HYMVoJl4/ohwtJkeYy2KtarBW8/fUTgPWC+CAHF2ekbs1EJRUUDSFMUYhwvSpsO3mg4Zrt5RZJkONJHtLmAy+WKd959ysnJA9Yrk2eX7BKEZWbvQrTjUG1hWSZU2LbdVpStzLhO1RRlbgqMsiJLMyO4bAwKpMprttstcZzQVCWubaMaxXazZbQ/5sGD+7iuS5pnfPb55yDMqCQrCzzXQQpJnpWtrs8yAetaoLQhll9dXXF2dkZZlnfoiLKsSJIUx7EJgoA4TpHSIgwj8550Ac3v4E3aUaEQFmVVkeQrtklKGHTa7FxJ1Ila3lFElVfQKPI8v7snldZY+vbnfKePE5ix1m2KiBnZaur293abAqJpk0a0RZom6IWi1BV+GLQCZ+PINRF6Zm8WljBZsFZ7uq7Mia8sc1yrzfutFVqbkarj2m0kjcVt8HNRZBR5ji0ltVakSYIfeNiuSQoQcOfeBOgPBggh2Kw3pn5QhrtntY4pLJBILMtw9BynzZesKoqioGxqiroCy8K6cx4Lw34rixaB0bSbrUm3cCRsN1t63S5SSCplTD0CzDUtCp6+9ZS/+PO/5B/+4Zecvn6D1vDJrz7hf/yzv2QwHNHr9Tg4POTxW084PDzk5z//OT/86EcsbhbMF3NmNzOWqyU31zckqRnTN62z3RhBTBLI7dhVWKZw+vM//3P+7u/+ll6vy/37JwShz3Q6xXNcBoMhQljcSGOg2tyaVTRYwsNur9GtZg9okScWw8Gk7YB7TPf3kLbNdH+fJE3QSplr4hpNb6+nEdrCcw3PT2tt0lCahiz7T0cm3+nr/qnX7XOghOns+l6A7Xicnl7w8s1zvI7Pv/zjf03geqwWc3bbLXVR0Ol06PY7vHrzynS4peFFbuIdjTbw2dAPiLcxN2LGbpMgLRfP8el2emRJia4tdCO5ulxwsLfH1eWM3/7mM7a7NXZg8y//5F8yHAy5vr4hywo63Q693oB4l2A7W6rKaCfPTt+0ucF9JpMR070hiIq9Nj/74mLOarlmMd9QlCVPHj3h6ZP3GU/GvDl7w3wxZ7FakGclVdFwvbo2Bwpd4HqC4aSLtDVpUnJ6ekm/t8/x8QnbdYFubFaLDcs2CSOIfLrdHlHU5fr6hqrOePaszx8f/AtGowGnb55TVaUZQZY1lnD48ovf0un0eO/tBzx+8BCBD9rm5z//GZ9//lviZItqKpaLBavFkt0uQZGh0HhuxPXFFZ/+9gV1ZRP5HWzXo6wqPvviC+JVww8+rFpjn8NoNERaFlUre7k12NzdK4BWRmaDNnDwR48eEoSSOJH8wR/8lIaCvDLIjDTLqYoCDVxeXFCWE3zPZW9/iuu6PH70hK++/JKP/+HXfPDBB5wcHeO5AQcHx9SVZrNYsb83ZW865a//+q8ZDAbEu5THJ0/QKEbDCULY+J6k3+nT7/e5uromK2KqpiLLDRhcSouiKIxJEEGaJmRZhmWZgubJk8eEkU+ep3dJR55nkqAePXpM4M/I84JXr14BiqIoEZakKCqqvDFSh6KmqBq8li05GAzZJRv2D/YoioL1eoPnSpbrBUm2NXpgz0a3aUs3s2uTSZ2l7XvM0aomDHyGgyGO9NiuvjITySDg4OSA5WLLbvMlvahncl3bSZHWmrKucW5RGcKwcKFNGqo0lhR4vgtVjXQM6H46HbPdbXnQO+HgYJ/pdMLe3pQXL77F9x2E0BwfHdOJQpaLJY5t49pOq8n8fq/vXdjVqt04LY1jgRd69EcdLLuCeU1n4FMmYCsHiYVji5YInoOy8FyPo/0HnL26ZpOaMePe6JBs0/Cb6y84u7hkvD/FlQ6e7VLkJZdnVyzYojMXR3V4//FDBoMMzwvAMjeFLaCuDIoiiAwuwnVtpO0hbYvLy0vKosFqUyLqpoTGdNJEm99oSXB9m/4gQumaSiekecw3X31G5NsMBn2kZQwVutaUaUHWlFRo0qRoOVcuru+ZlqoyHby6brVajqRpShzHZT5f8Otf/Zr9vTG6AT8KyGOTJSu0wLZEi14xxgyBjdaCujKQYKWMEN13PRzXxQ98bFeSxinXrflBKNneuC3AuaqoqgrbcTg4PCZLC5oGLi6ujG7AdRHCIggiHMsmTzPqxqA+tBLUSlC0Bcf5ueGCaW3gwEbrpynygjRNSJKE4XCE7/vs7e3RNIr5bEHVMt5EizPRmHGXicOxcKWkamrWmyVis0EI07LvdiMGgx6j0QjHccjSlDiO26zRdtwK/0lh951I/falW43c7XjWLJ6m2NNtwYeALM8QUhCFIV7jGLF6YRzJt3RwpRVlVRmEQaVa4X5NIfK7rmpTG+G/ak0MSjWoyiSA3IZHg8aWFkIL6vL2HsAMWJVuUwKgG3WJdwl5lrdxaib27HcLA6vtSoJxhgsEWZ7f6UFCx6FsKoqqQjo2XuhT1lU7XtT4fkDTNBRFSZpkBJ6NhQQN4+GYWd2grJqTew8IvQCJYH59w9mbU/pdMyYYDcfcOzpmu8lIYyP0vbi44Je//CVlVWK7hjupFEwmEx4+fMi9+/fp9HokSWJykNviKE3TO7NMVVVmfFqUxHHZusthsZhzcXGOtC2iKDSxcXVzh/BRClzHM45YrZHSNgtye91NNJ/Rkza14vT0At/3yfIcLZZ0u12wliZOy3fptA5zKQ2J/vLiGtuSdKOO0Z9a38Fk/3Nemlv0hUBg0+0OOdg/4ubPlnz226+I+h1+8+svePLwIZv1hiLLQDUEvofSgsFozA97fcqmZr5csIl3TKZT3nv3HU6fvzFdq2KJqoTJv8x3rOcbLOWwWaSk2zck24K1k/J3f/dLfv3JZ3zw4Tv8u//mv+bs8pTr6xsc12p11Q793ojZYsY2Lls0jE8U9lpA6wGT6ZCHD++RZjvOzy/48ouvETgkSUm30+OPf/6n/Muf/SuKquSrb77kcO8B49Ehq82KFy+f8+rFGUizdifplrfefoTfgnltGZElGdtVQl1q+t0Rg94UyQWu7GDbAlVJ9qbHPHywNTrl7Yyz8zdsd+/Q7fZ49PAJq+Wam+sVv/jbX3N0dESeK5J4zpfu5zx6+DbxriLwBzx56wG2bejmSbnDkRZ1URNvUtJiQxhFjAcDFrM1y8UWx+4Q+TZSupxdXFIXLpbr4fhuKz9xjePdcaia+u6gq1F3DQIwsYxlUVBVOVpVDPoRnm8hrIK9vQl/+If/gs1mTZrnPHxY8etPP2O1XlMVOZPx2Gjmmobtes12E4OWOLZHL+qzmC05fXPKYj4nTTKqqiKKIl69fEmaphRFxXAwBmUTeh4P7z0m8j9Ga02n2yHNdmy2S7RoU1yaBikNAzUMQ4bDoTGASXPY1CgcV9KoguvrC5SukcJwUy3LaEQtSxjawskJaRqT56mRLwnJdrtBNybDN8sLPDdC2g6WtAhCn16vix+4FGVOlieMRkMsCY7rMN0b0+v2zYHGc1guVvT7fY4OD+l2uqi6QiuLMOhSl4rNbs54NCZPTCzY3v4+aVKyv7fPsD9mvTZTBlva7dTHJC5ZlqCoS+q0xA8cHjx6QNU0XN3M2MUxVl4ibJMFbkwQmslkwo9/bMDRZVmwi3e8fn1DJ4qI/IDFbEaR5RweHBj2Z9380wvIP/H63oVdu01hoWmEoqbk0dv32cU3fPM8oTfus1EFKjXB7GEYoZHEaUJZaPr9LnujA+azV+zyii+2z9nOYq7m55zfvCarcpbrLWE35Gc/+yOooBN0OX85Y3YWczx9RPNAsN2YzDzLluztjel0fJSqyHOThzecDBC2oEgriuWSy6trsrRECsNbE2iT26YamlthlqVwPAg7Nn4UYXkVZ2cbdKM4O33J+ZlFp9NlNBpR5AVNramKhsoyGouq1Niuw3Rvz+in8oaz9TlxbE7IVWkKg26nR92UxHHG/tRCN9AJu0jl8PLbU9PVQ7cF3e2o2IALlTYGEYNRqLG1wHVMcbWLdwSBT54aF6CN01K2PVTTtNBkn16/Rxh2WC43jMdjPDdgb++wTYvQ9AZ9bi4vKUuDhHFcwwiTSIKgNhR/LYxpxbLx/ZC6rinzAtdzoRZI6VKVNY+fPGZvb4+bmxvWqzWWFDiubF2rxuFgRplG8ySkRAoASV2bjltRGMNOliXsgt3dwgGQpxm2tFHN74Qxt6M7IUyM0537sB2T3RaAt9DaAuNsqisDaA6jEMuWxPGWgTNgMh7RiTrcXM9ZLBYk8daMqVuHpVa6dTTV5HmN7zjY8nZcZwTQGtkibBSG99jq3ZoGSxr+UqNqqrzAUhD5AVVlPnfZNKDAlg6L6yWqVsbgoEzyyO3LsuQd5PTWENA0jXGduS5IgRJQ7bbkeYEnIN22EWWWZDodEQQ+19cGSxIGEXvjEb4TUGQp3U6feBsjLcH+eJ+Xz1/gOy6/+c1vUFVN4HjkqiDdxahKIYXLdrOlaRRBGJAXJudZNw2NMAaXFy9ecH19zdtvv83r16+p65pev4+UNlW7gPm+j9Oecquqoq4qwsBFKWXAyJ6L57mMxkMz2kizduxcURQl8/mydQs7eI6LZTnGpeqYkazhMAYo1bT6WIe6NsXt/eGIxXLJ2cVlCw8tkVIY80bdkOcFVVkzGY9x2oOO1tzBqL+r2lr91D9X7N26ldu/Ldvh5N5DulHE/t4xUvjcXG749FdfcXLwENXY9HsTg8IoSza7nLDTJ+pEzGYz0CuisMf9kwfkScE3X37L4f4xlu9RZBV74ylpUjLoDBn0dnz226+p6walBHleUdQVDx495qMf/hir1RxaloXn+mR5wmq5M1mpyjynmarwPYe96T7H944YDvuk6Y7ffvpbPM+mKGryvMSybAK/w7vvfsS//dP/muO9e7x485K6FDx4eMLnX3xKUyn+8A9+xnyxYLVbM5tJ4mTHfLaiqmr6wx6WbaEbm+fPXpPsCopMcbXp8dl//Hd8/em7PHrnOf/23/8HmhoOD494+bpHki4RQtDtdLEA54GP791giRtePD/nwf2nBH6Hs7M3TMYbPvnt37M/fYDrBkwnU6bjEb/9/Ne8fPmczWZrTChWQJ3vKIVGVRbX1zM8GdLpjPngvR/wwXs/5M2rKy5Ol2xXOUVdYDsOURQRRQXSNnmzd+xO0TqnjT6CuqrJkoKmKlgsr3nx4ksePT4mDCT7eyP29oe8fPWK7S6mPxjh+SG/+uQTzs7fsFmtCHwP76HLdDzBtVdkSUGWZJy9OeP+vRMOD46o8pLL8wtUVTO7uTZc16Yh3sa8evWSX3U/5ic/+n0mgzGutImzxHThipTR3hDptsYfZJsDa4o73/fbQ1rDarXC91yOjvYpy4RNvMPzjWvecTx8v8PBwQEgCEOznwSBjxBtdq6wDFPTdXFcl6pOTUTdba62JXj69lPiZI3tCEbjPkEQ4vqSe/cPybOC+/ce0FQNX375ObUq8AKH/YN9iiwHBcP+mF63y+zmmtlszg8/+iFnby559fIlruVSV7DZblnMVlxfXyMsiZQOfhC1HMaGsjZmzTDy0JbG7/g8ODikaUewbl5SNTVFaTJ7e70evu9RFDl1bXF5dcGbN6+oipyr83PKLGMynhjDhdaEfkCv0/m+5dr3L+w26Rqla/zAwVI22omIBh6vLm5QsqI77DK72pKlBel6jdWY/DuNoNsZMhoOWM6XbHcJrh9SZ4rffvwVlt0gpEe/E5LFOY7rGlREUSO1ZH6zZrut2e8LVostN7M5t+6hRtVEnZBON0TrhuVqzmQ6IY63vDk9axMVbJaLLXmas93G5s/+ziKrdIMSNdLVCLvi4OiQsomxbIVlKfJyh9YW0rZYLG5TD8ASDkJpqtIInYUsGQ7Ac210DckubSt705qV0ujLKE0btxv2yf2SYX+IpXdYWKAFVivSR9+2dVXryGxxLEKjakUQddmbTrm6uaLIClO4KJDCxpFtqLHWKE9h3zLxRmOqusH3I9Na9yMCvzTh8JYgTTKUMoDWpjF5hdKyEdosOo1SFEV252y9HSHZtm30akLiOobAv16vcRyHIPDp9TtIWyARpFlMltemeydM7p4lbaSNOTZojaVANd9do7pWbHc7bClJkwTbthmNRmbMWjcGANwmCNi2bWDXbcyFUgrr1hFrGSBt4PuMRiNeZ7mJFms5dmVdYQuFQJvrJQRVYaDaWeqZ0YhlYdmSLMtIshi0hWO7hnVU5Fi+hVLN3XXTtbgT0Ct923G9NXxobEtiibbALAqkZ1PlBdJuHZuWBcokfWilf2cMALd8NM9zsRCoVv/V/nDTWRUCUzGb3weBySXcrOdgCYaDIZ1O18Q4OWY0JS2bIi2pipq6Vnz6289pqpLHjx7y8MFjFrMblrMFl2cXJrrMdhj2B9w7PuHk/kO+/PJbvtx+RZmbDmYninA9445db3corXFcl+1uR6MU773/Pr/61a/YbLcGM1QUKKU4Pj7m4uqSqqoIwxDPdagrM+6z7cicdKuiPQA0qKYxbkLbxnE8losNlmUjMDm1TVOi6gYB2NKEcJdFebee+L6HbTsgBK9fv2Y2n9FojR/46LqiLDKDhXE8oihCaHDkbdqLNrKC8rajKO4OE60W4H/y+q7LbOQkSmtsx6ZpLM7OrjjYv894eMhq+YKzNzckccVkfGhGlKqiyFOKykTngY9SNlUlqPKGLz77Giksxv0pk+GeyblUingdk6UVk+EUSzlcvL6hVoo4TnFdj0G3x6OnP8IPA6q65q23nhJFAVIK0ixjPlviujZxkiOkRbxco5XF3t4ecbzj4vINRZHSH0RYlubNmwuur+cM+hPDSOsN6UQ96kbh2i770z3OTs84Pz8jzWKur8+xXZtHbz1hOOwzGPT49sUzkjTlZrZkMBqwN5mwnK3YbnPK+Cn/w3/7f2Z2+QCA5c09NDb/zf/hv2e6P2Q6mRAnC+N43mX0uiN0mZDGVxS5RZZlOHbI8fEJ6/WczW7OL/7+P3J0eMH77/yYyXhMXdc4ruDN6SuKbEVTajp+D9VVZHmB1bjoyuKP/uCP8LwBDx88xHdCHt5/iE3EF9vnbNZrLEvg+b65d2gNXC2QHq0xq72p8BWaShtGqdAVr19/jWLNYNhF2hbScVgtZ9iOx6uXzxEabGGh64blzZyPPviAyA9YLZZQawLfoyorLs7PuTo/ZzqZcHCwz+zmhrM3r7i+umI6nXJ9ZdISOh2f16+/4fGjY0aDLg8enPA3f/s3zBczPvrhRwxGQzbbDRdnl4yHe1xeXt/xUgeDAbZjo5SJYTs8mlDWKbPFFVVT43k+lnSRljFrjMdjwjBEK4tOp0OeFziOS6fXZbNbMRwN2KxipGMx3RsjpYHkCy349vm3/PBH7+MHLmkGUadDkiTsFmuG4x7XVzc0uuTrZ99QVCmOZxGnW8o8N+YWv4sUHl9/8S1XV+dEUcDs5oabmxvirUl2yVMzRo83pnsvhIXtOJzcv0/U6fLqzUtW25VhDvZG7B9NiXohaR7jeMbE1vFCVquNMQLa5kC63W44Ozul0w1ZrRb0el0O957w7OuvW/SVhW0Zh66u1R10/vu8vndhp+wc0z5QuJHLex+9TVbFFE0GNtS6ISsy6sacXqumMB0G1+HhgyMO9ic8+/ZLsnzLYOgTdro0XsWDx/eYJVdEo4BdvuLHP/kBTx494Ve//A0Xp1ecvr5Cqh5VBUmSs13HRtPVlFxdXVKUKbZteG1JsiMvshaTYLduVtjFGckupSwVlrbuxh5KK6N/EtDp+Tx4fMQ77z5mtrok6vm8+/QxYRgShV0uLma8eXWO50VoKZHCRjYa3VSUZYFlaepSg7YNpDDOaGqFkoYkf8vH0xrKomS72VFXDYEfsdNpi1ARdxox2xG4ngPt+BWhaZThtPU6PQaDPrObOfE2NuPBtsvhuDaB5xsGGhrHdSiqkqIsuLq+YbFa0x8MMcnwgijqst5uKPKCXZpgNOsulmidXJU5MTS1GcsamLNxmprukHX32RzHMi5QKdludy3/LkIIjeNYOI6FdAPyak2vE1KWFT6S3S7Fcx2EsKmr4i4S67Z4od2Ib0d0dVWRJSmBHzAaDOj3elTKOJWEZVGUBVLa1O2iaVyOtzmsDZ7nsVwu2W23prMlJY7nYUlBv98zBTia1XLRsvUkVVniODZpliG1Y/SHmOK5UeZnmKzNHFHTOjEFQhuAtskpNl1KKWVLxgfbkkjHwFpRmnQXm4fY83CkoBOFxLuY3XaHhWMME+oWw6LaYi1AtKNxx3Hu7jOlTAKuUlArdQeGbpqGvb19yqpit4up6wbf9ynyss3jdairmrIwDLo8yel3OxzsH/Heux/w8XZLkWVYgG4awm4P3/PZrTf8w8Uv+PrbV9i2gycs8iw3G2NRUNU1aZahsIyMorFZrdc8ffqUwXDI6ekbbNdr8R6u0ftZFnlREIQhddOw223JsrTVf4Kw4Pz8DMsS3L93zxgwhHGx+b6PVqLN8Y0A0I0iiWOqsjTdPGnfGTfW66UxQzk2o/6A8WSIG/jGFV7kbFYryrLEc4O2a1ixv7eHazttOxjTyauq1lzzO8XdP/fSxj1n2y5Ni7n49LdfoCnZxlscO6QTjZjfbPj//oc/4+nbj4kij7xIcBwbpU0yxnq9RNPgOJK96RhNw9HhIUeTAzwnwBYOX3/1ghdvXhN4XXqdgVnDhARpMRwMoEXL1E0Flma6N0EIODs7Zbvd0jQVSZIxzzPKqsDxBEHYQQiLPE+ZzQtuZhdst0sGwy737h3T75v/7tgBve6Qm5sb/vYXf8vv/eDH7I8nJOkGLQqEqLmZnVPVBV7g0xt1GAxH7O1P8EOPq+trbmYzdtuEMqtYzVeU6Ql//9/931hc3ceLPiPs/or1zb/nV3/1R4wPv+Tf/q+/Ybo35WZ+QZ4mvH59wfGhTZ0JXHuA72psK2SxWNPpRqTZjrzYcnR4n9Goy3oz58XLb/jw7Y84PjogiZdcn71gt9ni+S7D7pRBV/Dg3mOiYMR0uM/++D4HewcoJXACn4474uZ8gd0erqQlDWDasXEbgdLVXZdXYCDGqp02IExKR6NydrsZs1nObKEpqwLbcXn9+pRdnHN1OUM6EbYlzZSmbnBtm8uzc/qDAZc358RJyvHREdPJPoEfkCYJX3z+KU1T8ujhQ6NP7XcJfIfNJubs7FuaZsvN/DGd7luMRj2qumA0mdLpdNnuYhoF6+2OMm8YDEZ3sg/f94nCiOFwiLAaPM9ittxS1Tl+GBD4AQgH1VgMh0Ns2zaHMdtH6zYP3TJmhCRNmU4nnJ1teH16ypNH7yA0ZLsEx/ZotNkXd7sNCMV8ccN8Psd1Hfb2R2gaXp++4tWbF9iuhSwFu3jN8+fP2N87RgcCS9vUFZRFw8m9MbObGadv3vDDH/5eO6L+ClWJ77iY2sgnxuMpk70ppxen1E1Fp9ul1+8x2huhhNGDd7ohy/WKzWZDtxMZukbToJRBd93MrnG9Y+7fP8H3n/Lw5ARbWKSpoSEIDY2uqavqn+/6/xOv76+xIwWtyEoBMuD+43tcX7+iwTDt5osVaV4gGrBsF8eyEZ7Fo8cP+fGPPuTZN8+JdxugYbPcsltXOC6EbkQ5b9jzO0wPRnzw/od8+/xbnn31jGF3QhR28OSI46MT+r2hwTIIgYWJhVqtVuRFhuPaqKbG9TwzImzAEiZ6J8+Nywgt0costgKT2uCHDsN+h8Gww/7hhNdnL3n1+jme7/DTn/2ENM3QSrLaJuR1hRMIbM9HlTU2At2Aalp3YgO+G3ARXxnhpzBdONWyim7deUppXr8+oypKnj5OjAmhRXII2l+lKZS0VpTlrRjftKmfPn2L2c0Ni/kCS5rRYF3VKKHB8QzKpL0HTK6eptGKsm6wbOPQ3e2SO8GnqjVFWaEVCC3RSt/p84wmyZgoTCfxu66QUtqcKtpR1G16wi1Lb71es14vTWHnSmwXuv0uWbVmb296F9vDpWGzoY1ewXE9BM53I9T2hr4F6SrLJAIkcUK83RJ1OoSdDp7n0ShlkCK+z3q7aVND2jcsoNvtcnBwwMcff4ywLDzbJssSvMAljAJGo6Fx9QlIkgSlNLud0UTY0sKxJbYj0VriOpK6pjVqmPBmSwtj6FG6HZnSiv6ruy6c4zh3LlnPdQ23UJr/p2xNFZYwBopet0e825lrI80IUZgwSXRbJPp+gL6l2N8aArQCpahVgxkCm0SOoi5RAKrCcV2jq8vzNufWFCRZklILy7x/YZmEFdvl9370+3SiDr7r8fM/+iO++fobVsu10X9qSNOUqtZYLRduPBqR5hlVWaGBwWCI64dkpWFYBZbpdBVVybvvvcvp2SmO65mIOm0iy/YPDliuVjRK0et2KNKEqqpw2mxk27FJU9Ot29/fI89yfD/kxfNXvH59ymg4wfM0SZKhmoZetwuYcXbdmFF0GBqwatVk5EUCpWC9W5mCeWt0QlIbLpXv++0zbboR0+n0Di4uLUHZdg8Ftx05cxP/s2tyO0Cw2k7garvgL/7jX7DdLcmK7K7Q9tyI16/O6Xa7vPX0EbbtcXl1QZJWzGdL8jxlMOgShh797gA/cIl3OVfVDWVWEW8zri/nFHmNaBzyZGYOH1pTFDnj6ZisTKmbiixPWSwVn31mAObt26QoC6qq4eGDx0ZjZZXcO7lPFHX45S8/5uLZKXGy5tGjE9566xGWJQhDyW634/T0Fb7XQ0qPZ99+QxbveHj/hDDyyLItWbbhBz94B9dzmK+WrNZzsjyh0+3x9ttPGU8mxH//96w3G2igyhXr6ymLqwdAQ2fv/4rjfk6Q7pNu/ys2Nz9jf39Hlq8ZDobc3FTMZ1t2Nyecfv377LYxjdrw4R/8GZv1jidP32Y6HZEXCevNgpevvsW2OliWTV2XlFXBer1ECovAC40hwHJ48tZTfvzDn/DZ51/y9oO3Odl/irAFQltkecm2XCARREFw275tixiHUoKUqmWk3Y4eAWXME0ooJEaTvN7cMN6T7DZbsjxjs00oi4btNqWqSxolkbbD0f4B6+2Gj//hH/jpH/yUk3v3eP36FYIGz5VkWYzv2Xie5MmTh8S7mIPJAWEQYknNT3/yY7598TXPvv2Cly8XnJ2+xdtvPWY46NDthBweHhDHMattTK00VdVwdnNGt9tnsVhwdnbG4ZspjmO1rnXBm7MXCKFxXRvHMTgxsLEchyzL2Gw2TMb7XFzcYNs2P/rRj5jNr8izHVfXF9i2zcPHJ3zz9TekecygO+LRowdYlsMXX37GJ7/5DXWTcnA4pa5KknSHFgE3s2vW2xUWkrAToBuoq6q9jyvKsqIud9xczCnLnCjsoBtNHMf4fsBgMCAMQqqq4vLshniTUJY1rutT14rVZoPje0hb4ngOXmAy6ZerBVpAJ+oQ+CF5kbFaLnj8sE/n5JiLy2suLy/odDv4gctyuaAlTXF2eoqUkv29PRNfCVycnZsiMYq+b7n2/Qu7TtilLHNsR9PrRpRFxmq1IEtTqkJRxhVNYwKN+/0uh5N7jPojHNvhF3/7Cy4vLtAYdENVVVi2glrwxZffsK22xMWOw5MRf/3nf8WLV6+xtMMPPvopZ69SXAbsTQ6Nu1RLQGJJKKuMIlOkaYoQml6vi41PPxoxkwvKokKXDUXStOwvg4HQLcTWcS0m0x6dno0mY7O7ZLVa0eiUKBowW825vp5R5A1vzi6ogbyq6EQhXtBBIiny3GgPpUQ1NZ7rYAlwhMGnmKggwyWytEBojSsk1IomLynihHy7xWqBqUgzghW2RKOpmhosQyzXNAxGXcrKOIdc16esKixLUJcNWArtNdRlaUZwbTyR7bi4QiAdE0FkSxP51qiaujYQyzzLaS+SIi4AAQAASURBVJSCRiPbwPRb/JZuO1MokLrdqIw9E9rOiSkAMEwuszZRVqaD4LoufuiC1SBcSaffwQ1dfG1E8WHfJ0srs5hZFY0lCXzXGEfgzrGpW7ewVt+NHXd5RqkVtTaaLGEJbMdlMp6Q5TllXv5OxJbAtl2SJMN1PCI/IooC8iwzGtDJlEGvZ4rTpsIWLfxatWLmqiJwPbAkWmpq6UJdG7ae1mBrY/3Xv9OpEea/VU2Jbp2r0jJh9bffjbSNKcPSFrbtUNUVWitcy6PKNEXcYGkXSzvtwcaYmCxL4toSKTRKaLM4WApEbVYJab6nph2jazA5rrbE1RaqqPCkg6oVitqMc2xpHMhC0lSq/fyKp0/f4U//zb/lZrbkq2+eo1TNZpfiRx16vR5lWeP4IWmSkqSGW3Vzc40fhIi2M+Y4LtLzaNKEqqwQUpCkCb/57W/40Y9/ZEaeqsb3XOrGGF0ODw/59utnlEmO1ekz7I/J84wsy2gsQZ5nKA2u5zPeOzAoJKXJ8q/x/HZsKx2KLCcvKlANQmsD466NgSKOM5J0R61yjo6O+N/8+/8tf/FXf8lms8YLPMO5LCs6nT6qUVyeX5qx4mCAY0vKsjIsSVsRp6abeNuKMwKEFqvzTxV32pAGlGqwLYNyWM3XbHc7XN/Fsi2CMODR44ecnr7mb//2bzk9e8OTJw9otCaNc/rdEa7jm9N9JYh3KVEYURUNp7NzNqsN/d4Iz/dxHZtBf0yWFoyHE/7iL/6aqjLpFGHgM9kf8t4Hb1M3BVfXFwaqbRmWoKo0Vd5wdX6NtC2CXsh6uWW9WjGfXWJR8/DkmG4Ysl2t2wQQ8GyH6XjEdpPgei7JbsFFk7FdXxNEHt1ewL/+13/MeDrg7PwN0/0+cb5lt00o0gXxpkMvCvm9H3zAN9884+zNFZaykOrWQKTJ4yW5tcay/wH4r/jms32+/OIL8tJgOgbdMefffMBf/4f/I+lu0v45xeXZEf+r/93/A8/xePutp3z74htcL8R3fRw74GZ2yXa3MQe3PEfXFgeTY7zAZbFYcHxwwngw5ec/nRDILlmRUCXgugFZnpNlKVmamAOZBlva1E0OtkRbLSBcSoMo0oDVMvxa2kPdNGiVYDk5UWQTxzmuq3AdRZYWHOztY1s75vMt0rLx/IDAq1gtlty/d0RdJZTFjr3xmFE/YjgcmIO/smiaGt8xpgqQnJ+/Yra4YjTu8NPhj3j+/Fu++uZz/vBnP6M36DA5mLDcrFmsdriej2u7FFlBmubM53MsKVmulmy2G4Sl6Q96XFy+RNUltpR0wtBo8uoGrUu8wCfZbDl7dcryZgdI3vvgPTbbBVVV8ObNaxzbuSMIPH78iGQbc7R3iBCK2fyaONkSJ3PeenrfZNEiGI56CGGxWq6Mjtb2ODg4pMgKPCfAsVeIxibdxdiWQ7yLUUqxN5mw3aScvb4iiLrMblaslwlRp490VtRotLRoLItaWlwvlyRlSYOF7QVooVBaMJ8tGY2HLOdz1qsVcZLS7ZqEpl53gC0lQlssbpZkcUYY+XiOTVOVvJ6b0bmzv4cODfVAWxbj8eiuYfJ9Xt+/Y5dBlpaEkY3E4fTlGWevrgyBf1kQLxQSnzDosL9/yIN7D3n2xTNuLmcITMSVlCCkwHV9pAudXojr23S8HoNJQENMsk3x7YDj4ydstzmB1+VofJ/QC0lWWdtFMNoEpbTJctMOdVUhcbG0Teh22R8fcvrmjDxO0RWAQCgbYZmLr7QiDD0mkxG2W/LOB0/58U8+4Prmkour1yxXK04vzkjSgiwtqbXCiwLee+8Djo8f0BQVTV6ytzcmyVIa1ZgxjWfjOhae26NqFEib9W5r9n3LQWqwLQsHC+F6jDo9Ll+9QdclWoK0HTzfpBJIaZFmO5J0g2Wb03+S7phfX1NVJb4fIloOn9K1yVYtKkpKpOPg2C7YktDzCKVxKau6IY7Tu86Raoxmry5ro6sDlACURrb+UtGOCdD6zpSA0G20mukISSmNALzRbUcVEObfIaDRyhD5lRm1xGmMH7pYVcM4GHBxMcPCwg0lSbIj7Aam+6Qtg3Fo9WXaMv8KIc3JFpCuS9jpIKW8G2vUdUMURO3nMmkDQpsuXFWU+H5Ameds1ltcR5KlGeenp6S7Hfv7UyOIbxSqqrCUwrVN1qzQplSXWuJaLo2ujXnBkghlPudtgoklLaTTau4EKGWulSOlKe5sF+mYMYQAtHZoVENTGS2gEwQUqSKLayReyxOUd90gz7HxHKftoipcV5pDgWWwOQpNpWpDSlOaoigYj8ZM9vbY7LacX1xgy1vjBQhLYiOhabNkK1NQB2HIz/7o5/QHAz7/4nPenF3gOjZ/8Id/RF03zGY3YOVoUWCVJcIqaUrDeqzqCmFJqthEO+FIZGBQLKt2tOn7Pm+/+zZP336LLz79AktKNBa7OGZ/b5+DvQMW1zOWN0tc30MIzCjL9SmrmkY1CMul3x9h2xaL+ZJdEtPtdPB9t+2S1+i6QtU1Ao1jy9atXON5LsKKyAqYTvd45513+PiXH6NRhJ2QJE2pHMn9h/epy5r1esP9+/d55613OL53bLr1wuCKkiRujU63kXS3sVG/i7S4q0eAFmLcHqTQgsP9I6bTfWzHpqhz8jrm9ZvXOI6k1++z2WxZLDbYtiTepJzc2zdImion8Hwmo31cx2WzWaOV5sGjx1jCoc41eVbRKI1l2yzXG1zXx7JtoqiD7Wqm4wlNXhMnO4rUjNGzqkLVZkRmC5csy8FqWG9jtvGK4TAg9H2sQEJTkcUJvuPiWh6hFzDsjpjNFixuZmyLAm86xbZ9NtsZy1XJ0ckhPbtDkpjR5GgU4uclqIyqSBAqJdmk7I+GdD56nyZvOH+zIIuNkQqRYDXmnq/bgUJdVVydn3N4v8f++A/4xZ/9Eb/+639DGrvY7kuUGqDqIfOLDylzzdmbU37vR7/H9ewKx/E5PDzm+nKFsBSOI4jjmIs354TCZ//9dxkMe+RZQeiHLOYrvv32JWmc8/TJBxwfvY3jRCy3Gz79/FM2mw0SiSttep0Os+UWy5YGcm+2JtrwaDQNWLeGCoumrukMXI5PIrJsjeMqqBu6PZ8w6NPvH/PDjw558/qCl2/esNsl2JZNJwiZza7JC4/JuEcn8hiPehwf7ePaLnXV8JtPPiEKu3z5xVeMxmO8QJKkG1xf0+mEPH7rMU3dcD27NNIYS3C9mNE0Ft1OH9e28Vxz8FlvNgahlWUkaYyioWpK8iwBrWiqGtFIk5SUN4Rhh1G/T5mtuDy/5Pg4pNsb8sXnX7LdzRGyJk0y3np8zHtvv89oOCG7V3B+dslwMOD6+oZXL74ljjf0+gHT8Qjfd9BNSeCZaMCybNqIRwcpbMLAQeIhhUuVKQQWVVGZwIUGVK2I/D6B16epNednV3Q6xowhHQ+FAGnhBB7Ktim1YtumzNQIwrCLF3ZwG4fA9VkvFuy2G8aTCe+8/T67bcrV1Q29KMIWEtEf0jQNk+mIKAiJt2s2qzUSi26nQ6fbQ9o2e4cHHN87ZvW/BKDY0ga0WwrNzfmaX2w+Q6mCyd6IQRTSsUK6YZfJYMLN+TV/8zd/S11UWMK4KI3pWVOWNY3WSKUp6pSqKRCeZpMEuIHGj2w++uhHeF6fv//Fb7DtkCjqstvFXF3O7zhmZjxnmbQIy0TlBEFAt9MlDEM6nR5FUZBlhSk62mQJk2QgMBl8kCYp7z9+xLvvvs/+/gG//uSX5vRhO2y3K8Koi+N0aGrIsg0aE24sETjSotONiLoRrmfC08uqIgh9Yy0fjIizjLPLCxzHxXIltpAtvds2wnlbmlMZmqqq8DshJydHTPb3Ubrm6uqMswujK1QadvEGVTVEndAEuFuiNRpY+EGAHwZIbINwUBpd1ghbGxdb1VBVBlh7FzPVQohVm2mpamVcw4DC5LSKWzyI1tDmd+q7ws44dlXLRsOi/Z7F3c+uqgolauqmpqxKos6QNKvoRAOzMdcN3W5IXQpGox6e62JQcabLZAnjflLtGNYSxikshBGXVmXJ5eXlncZjOBxydnZGt9tlOBzepUTUVW3ux9t8vkYRBD4/+sFHOI5ks14hW52jifrBjBUBR0gDrUWgG41Q4Eob5XmmMyNuP7Oirs3CrNCI0hg66ragg+9E803TYNUCW9rQIlGKvLgDuOq7vFPahARDP7/dCwx6Q965cG9HvAgzDkULXO828zOhrmt2ux27JGG726IBJ4q4y91tHXp1XX+HgMGAXH/vxz+mKHJevX7N1dUVNzfXaK35kz/5E1arFVKagtq2bQYDE8dlRqrK3FNa0KgCVQqGwQjbMid45QfEccxvP/mE3/u93+erz7+8y29drpbs4h3vvPcOf311bfR2rc7F9R16wz6b3QZp28Txjr/8y7/k/v0ToiCkLEsG4z62tMmr3IzJLSNtuEXeGMh30wKrzTP56NEjXrx4Qa/fp6gK6rpmNBqSJCln5+fMrm/uzEFX1+Y7sKSFbUvKorgzfvznvExSnUAITV0rdtsdSZ4ad6Qqqckp8pwwDHj05CG9bodONzL6UCujG0U4tkXT+Nx/cEy3G5KkW1aLFd1Oh7JoKIqCeJ2RJgVNJeh1B5ydniOE4P3330eLiun+kO1uxdVVymDc4/DwiNVqyXw+p6oq0iRjs9khZQtKVyU//OgH9AceF+evuLk5p9YNnisoi5o4XpIkCZ4XEAYhnuvTNMpIJpoSTUmjClarG7JyQ1n3kI5Ff9DBK2G93hB1PGxH8Otf/5qf//xf8fTpE+K4psrO+MV//78HwHX/37jOGxospFxjoPMOq5unvPriv+CTv/nXrOZDBBvc/v+J3uTvKNb/d3bz/wuOZ7SJwrLodg0i5/r6mqKABydPSJKS+XxmWIqupEwrPv7lL4k6Acf3DgmjDqdnZ7x89Qrf81EoTs9PifOC16ev+PUnv8a1OljSbLVRGBmpxW3ZLwTNrXv/d83UtzeGpfF9nzCUCBnjhxKnkQS4DPrHHOy/xdHBI+oKPv71rynLCulYHJ8ccXZ2yk9++iP+xeP77HYx48mQLEsoRMZ2s6Pf71JXDReXpyw3N7z73gPm8yvSfEWv12V//4DBYMyrN6/o9ic0dYPrelSVRto2rusZ05VtIy1ThNrSJs9ylosl6ArHdimERV021LWiLBRlUXF89JDjo/tc/P9p+7NYy7I0vw/7rbXnfeZz53tjjsjIearKqq6eWN1driZp05JNNQyYNAQDfhAE2w9+MQz5wS8GDAt+kCEbNDxItijZMiVIZLebYlNWs8murqFryjkiM+a485mnPe+9lh/WPieiqpti+oE7kVWRMd1zz9l7rW993///+59N2d3ZY3t7m9lsyWB0SVEltDsBVak4PDji6PAKZaHY29tDlfD8+XOeP33O+dkZlg03bx6hVEVZQJqmRCuDxAKJ6/i1fMKiSCuyrETgYFvm8J9mOUKYXG6kRadjzGSLaFnrleH69evkecnZ2UUtdREURWFCCGy77mobvEu/1+P4+WPm8wmDwTn9fpf9vT2kgLLMCAKHMOiwWEQ4jsmS3d7po1TOYj4x+6MXGhOpNhr5sNkwXd01/eErXF+5sKOQVAmkRcWgWuL5GZ1OC9Vs0W+2aO/u4rkeTx4+4tNPPqff6RIGAYvpFKlNhiuqQhclVCWyMlT9pEgI2j43tq9w89Yhnu8gcPiz7/2A0WDJ7Ztvs1isyCPNs6dPieMIgFJpqgpsTFFn3IEBuzt7gOTp06fM54vNSci0mtTm3Oy4Fu1202Q6Vpo8Kzk9ueDkxMz0e1t9hKyQlkZKjW2D7QgeP35EqzXlyv4RnTAkDD2CRoNKGbFk2AxZLDukSYHSimXtZiyKAsdyqYRxPUksmkFoTsGOXbfjTUHleA6uZ5GkCZ1uk8WqQRSVBh9TxAgpKSszrqsqjZCSdrtFEHh4nmtGlVqRFXmNEpF1NBZUZbkRYaryRXG34TVo+QLRACjThjLoDlUBVe0wBY0xDlRa1XgWU0wYHpP4BSiv0qAtU4Csliv8wCHLMhzHocxLA1EuC5oNMy5KkxoFoBRaalAG0kgFuTYIhjW0cS1kX4vg+/0+g8GAODZZfPBCnxcEQc3pK/F9H8uyODk+pihywsDj6tEVXMeM2KUw2alCCSzTLzOT1dJAiG0psaVFVWeCqprBZmguRtdouzZhGKJqDdw6i/gF58ksFCYBoay5cgrXdcmynOUyMguP7RpwsONuMC6+72Pb5hGW0oyby8qEbiu0AXsWJUKawjLPDQZEWJYZj0oTjbb+nESNTNFCYkuB69p4nss3vvEB165f4/GTRzx69JDBcEBRFqRpiu0anpQfBKYjrBWNsAVScHk5IE5TLMtBWja6KOj1e9y8fYuL83OqqqiB0YLzs1P42vvsH+4zmc6M4zoXnJye8O6bb+P6Bitg2TaVqkjSlDTLcGtzUFHnv6Zpymq5pCgKLNs2j36NtzEFnEkMMbm/evPfulSEYcB3v/tdLi4vuHnjBs1Wg/F0jGWbRXW+mJPmOaEfMhyPcBwPL/CN+0qYnNiihnZ/9aGJqOUhikoZ5uNyZToess7MtdA4ts0HH3xAt9vh5OSY2WRpPnstSJPUfAZhg0bYQKuK+WxusjzjFEtEbPV3WE5TRsMpjuWz1d8nS3OyPCcvchQmz1drjW1Z5FmOF7i0Wm3G4wlPnjzFsV18P+TWrduUVUlSrDg6PODrH7zFyfEV/uAP/nOTf10Jzs8HRmM2X9BqtWk2WwYpFIaEYYAQuQnlEIrFcsp0WZLkbfpbHaTcrfmYFUHokaRLDg/38DwD3n73nfcolr/JfzB6F9AEwX9oYvGEjdv4B6Srf5N4dYt/8O/9Oxs2nLTv09r+X+P4/xXx9G8TT/420ir54Nf/CClTHMfj7GLAbLZkNJrxyu13yPOK87NzoigmDAP6/T6xTlgucyOXACpVcTG4YDAcgNJMpys63UNu3n6NvMhptloETnvDAQ08lzAI1vJm1lzFGnby4q4Qa52u0UQjodHyTXeoEpSlY8DZQqOE4uq1qyilqKqc3tYWd+/e5t4Xn9JsNtHKSA8uLy9QZUWr2a6d3Io//dN/yuXljFKXOF7Czl6PNLMYj0cMR4JGo8lgMMAPO0Yn2+kRxTm2ZeD5RuvqbNakwPc32bPLZc1krQRFockzRZZWSOGy1T+gKiWu22Bv7xAQRFEMCJIkQ0hot3okUcaDLx5SFGYCE/gBDx78iOPnp0SriFdfe4UrRwcIqJ/9FXGUmBQkP8RzQ/Ps47GYTJiM53hOgKo0aZJRlgrXCyiygrLUTKYzosTk5Jp89vXeGphnw3EIwgClrc16o2pd92q14PjkhGi1wnEEvV6PK1cOCQOfODaJRFJAUWRMJiNef/1Ndnd2yfOMNM9pNls0whW+69NoNhHSEDLG4zGXgwGe73/lVeWr407GS1Qm0IUg19B0m/QbR9hVi6p0uJhNWS3mjIeXbPW36Pe6XF6cM1/NaIUhtvTqDLwMpSukrBBSs73T58Zrt2i1fB4+fMpwdEkjbPH82QWe24ZKcnE54GjvCrZjIwRUSpsulTbdCq2MTmU6mfHjH/+ULE+JohVaC+NcrDdeU3hUuK7L/v4erZbHZLrgww8/4nJ0ghsK5ss5B4eHIDWOC75vkWeaRstHSpftrSN63R1sbEbnlwgpuH3nLnmR8+DhA/rtFqPhCK0t0rwiTRMzJ68LJ6U1RZFSiMKctGvBvxnFGI6d6zocXTng/PyEi8uTWtto1TRsjyzKKFVV/32Gsdfrb23YP0pQpzwIKjMfRa8PhC8JfUTdkVNr9lv9/qwXHUtaNVqjotKadSaWsCS2ayOkoIiLzUNdVhUSWTPUvE03FQzKQdS1Y1rTvqUQEEgTk2Xb2HaJVYOn86zYFEnUI2EpJXbNftPKvD5LSCoEUhq3K8B0OgWMmD+Kos1CGfg+YRjiux7j8djgW9KCWVUaYarSLOZzGoFff0+YYkybFBVLOti2pqxA1BDisizrws50LM2pSm6+pqwLOdd1KbIXwfBgjA5aGw2hZVHzxExCiRCSojCF6vr3rj81x3GwbWtTmFa1CcAUuAZ8bKHI0pwkTbFst+50mS6n1toYYWDTVV0XdZZlmYKizh/u9/v86q9+C6UqPvvsUx4+fMB4MqaqjJN2tVqR5blZ2KKVgTbHS/r9LY6uHPH4yRPyMmer08IpTGyO5zhcOToiigyZPggC8jzn7PSEw8MDhpMRrhvQ6XY4uzjn1771q9y8fZMnjx5jWR6gqEpFnMQgzfhbC8F4Moa6CHJdt862Nd+n4zhYWmPbVv0eqxpLY7qUlpTs7e2xv7/PZ59/xmw+x3Ec2u02J6enBvasNV7gcXB0xGQ4xnFdGs1mXWBBnpcGcVM/5+Kl9fNFbvGL7vf6x6qqNjicSpVoZeIILRuuXz9i/3CHTz/5hJ2tPm4Nn87SDLthc+fWbb7+9a/z8Scf0mz62JYgbDS4uNC4rgGcHxwcsLuzj4VLvMrRlcWVoyMW0znnpyecHJ/QbPt4vgRh4hrH0yF5mW7QMbs7B/i+Maadnp4BilbX5/69z7m8eMa1a4e8cudVLCEpi5KPP/mcxXxGlpa0mkY7GoahQX0ISb+/zdZWFyk1i2jG+eCMi4tTkjSi2+sSNAx3NAwbFEXBjZvXmc8XTMb36LWO+On3fw2tBY7zIYF/gmX5lLpEEnH15v+C02f/LlmyR6d/ht38P5JX/w/CoCRPPdLZ/wx0yJvv/THvfP1Djs+XfP/PfsTrb7yCKm18r83Tp2eslknN4DMpQA1fMJvPUFWJFhWL1Yr5YsHFxQVJmrK91efo6gFHV+4yHM84vzzHC3xs4eDXud1SCHMgxUDIDeZEGH2zqGkA6mUkl6bX6+J6JZeDYxw3IAhaaC15/PQ540lBt/2cKwe3+eY3v8FoPMAPHYSouHL1iDzPefb8iUGE5Dm727sEfsnuzi5h0KDX3+KnP/uYvEy4er3DO++9imU1jMt5OCDLSsJGj97WAZZlc3B0laKA6XiKJW0CP6TTalEUJUEY4NoOnWYL17bJ05TVMiKKEuIkocgVaVKaqZrf4fRkSJqU9HrbDIdj4jim2+uhhWI2m7Dd7WMJk1+erFY8uP+AMq84fX7MfDql29vi+rWrNBoN8jxitVoSR7GRfGDR627T6/ZxLJ/5LCKKUpIkR5WS2dSwEaWQNEOTPFOUxjxmWRaIimarRZ4VPHr0mMFggOd57O/t0eltkRaKPC82IHUwoP3lcgmVYu/okLLMSJOYshkghIXnWhRZanTOQtHrtNjeMnGEcdTkcnDO1ta2AVn7Pp7v4XoeZxcXxEnC4eHhVy3Xvnph5wgXvxHQbrXpb20Z52lSsCoTHBeWy5jJeIxtO7SbPovFnPFkhCVB2gIvMNZ8bJOhaLuQlyl3Xr3F4Y2rnJwcc3Z2ycXFBVl6QjNos9trE/oN8tAhy3LCMMRxHcrEsGQ2AfAYp2maZpydnQNm45IChJKbUzuYBd1xBHfu3ORi8BylFEmS8ez5Ce1ewCt3rzNfTZnOx7i+RW+rgcAnWpYM1YKiSDk/PyVwQpbLFatVRFn9ObbjkBcF5xcDptM53e4WaZqSJC8iSMqqQqBJEiPeb7ZbXFxcGDK1JVEaPN+n1W5z//59vvzyPghFq92mqjLyPCUIfGbVDKVyfC+g3d7Cc31c1zUO2iKnqCrKSpmijlrIr2rsB2yiSQTSuLG0YXBJIRCW3owJXMu02FUlUZVFVevpHNdma3uLnb0dLi7PWcUrLMsiSiKyPMN1XaQEx7E3BUmlCiwcA5AtS6oyo8hKYjfD8zxTYBQVWCWqoh531mNgbRZBIcCSJsZJVboO0a4XxzoDFmA4HG5s9+v4KOP+zMyDp9m0tTUmqcGuO2he7RS1bQvHtqFU5FllZGxSG6iw55JXFWlmCmwpLUO8V9SoFHPaVsqI85Mkwao7ai+Ppy3bxrKdGk1iUgvWBaLWgrJUdV6wi9YFSq0F+GYcrZUZm1ZVaTRkepM8aRAstl07hXXNRTKdOmVuAvP9v1zo127WtWTB9z3ef/893nvvPZ4fP+Pevc8ZjQZYjk1RlSR5xiJaMRyPcX0fLwxwshStKtI8ZWd3j7dab/PFF19SqBJpS1bTJV98cZ9f+7VfI44jnjx5gmNLDg/2CQKfm7dv8/zsBNtzCRstJqMp8+WcO3df4dnTZ+jaVZiXkizPcX3XRMtZklUU4bkuzbBRyzOcTbScVgppS4TQm3VA19pE010WvPvuu2iluXf/HrPFnNdef5X9/X1OTk8BTZwmeI4LUtDqtgkbIX4QYNnGQVwUpmu8RiK8eGPZ/Pe6gH75EkLXuJsKy9IEoUuSRxwdHHHnzjV2d/s8e/KADz/8CYHv0+322dnqkyYJs+mIL+9/Rqvh0W41iJYzLNmg2w5xvC4PHjzlyZPHaAV7ewdcnA0YXEyYziYUZW5SaSpjZru4yCnKhMMr+7UzcUiSJkSrCBGazrLvh9y40WOxmCBtoxnt9/rkWU631eXmjZtkacbFxZTRZEXQbfHue19jq99nPB6ytb2F7/u8/eYbbPW7PHr6JZUWvPbqFjdv3WGxnJPGFVE0J44ysrSg0WiZwPlCMx7O+fE/u8Uf/cF1hJjRaP7bhIEEWliqRFgCIT7n/V/5t2h3XyXKf8Sjxz9E5iWWCJDaHHgBbOeEy4tTJqMZliOIogIpA4aXAx49uGB35wAhLByHeoQ9YRVF2LZEOC5REvH9H3yfp89PefXV13n7rTdxbI+LwSXD8RJhCQ52D6lSC1U3GbQG1zYoLlmD1AUmbUYh6sOgNPzKCizLJo5iHj8ekOZzwoYmCCzm84RHj47Z3i65dGckK8Wbb75BFF/h6fEjkjTm3XffIctSxuMJnXa7TgGaEK9Skiin2+nx9lvvcnZ+zpOnD7Asw1sVQnBwcMBgMDL7bkNiSZvf/I2/wtbOEccnF/zw4oc40qbdapGuIhaLBaL+3hzLuH6jVcxstqjZjoqyhDxTHL5ynaq0ePL4FNf3yNICz/OJk5jeVh/fawALPDdAaIuT52ckccxsOuf46TPGozH9fp/XX7vL1StHuLZgPo2YT2dEi4h+f5u9g6u0O1vMZ0smkzlf3HvAZDRDV5o8K4iiFa5nRqHCFmRJSpYaCUypSsIgQEpJp9NFKUUURVy5csSVK9cYT+fkuXHVLpdLGo3AmLlUiedZOE5AmqT0tzpMppfM5zMjjaoNf81myNbWbdqdNlortre2kUIznjiEjRAhJJPZFITRN8dJwiqOmC3mX7Vc++qF3fXDqwRBg06nQxTHzMYTNLC3u8tiZkKgg8BB64qizImSFVVVcPfV17h7+xaqzBnPhjRbAXG6oFQZ8+WUIPAoqxLHc+n1thBYJFFO4DZMxMdsSau5S5UXbG31yKKU45MztDbaMrkpWmr/pjALpa40StfCfwmgqKoC13O488ptwoZPlqZYtkRqB60Lmo02zWab+WrKu+++Q6Pr4Qchq2VBlo7RVJyfn6G1TWA1qVJI0pzhcEar3WKxMIL09YeY1ZbqNVfMBLYb7Mn2zg5BEDCeTsjLAmlZlFlBkqacnJ6xXC0Iw6ZBb1iKqsxxXY8g8EjTDMt2aTR6tBptNEZnppQiy83s3wSbmyJBAFaNiGENQIbNBqQrTVWakaojX5gnSqWo1vZ7rVCAFiaOqazM19g/OEALXUduifr9FxRFRhRF5HnGarUiTSvQNpY0Yy9URbTMmBarGlAZUOQlcZEhhTF1iHVRWlHrv9QGC7MG8q5PSyZT195oxNYdSOslXZtWmsViYTbZGlyM1kghTS5xIyDLMjSKqtA4UpIXJUmWoTFamKwsa8exRDoOdsVGd1FVa/2WaZkKrahUWUdSBZvXsi7u1q/L/NiMYw3w2cGyLCMTUBrP8zadvrUzSgi5yXU1HTdz/9uOZbQhSlAW5veuHalVXcBXWlGqF2P4tZZOSrnR2jmOQ6MR8qu/9i0sS/Lpp5/w7NlTssxoXGWtg5NSslwtmc1ntFotPN8zyIEkIkwiur0+wpYslgs8z6fX65l7Yrlgf2+X2XTCfD7n7bff5tVXX8VtBBweHXB8dk6rbfHKK3eQUrK93SdshuY0XhfxpVJ4cv3aLbQ2TMkYQVka3VRZdz2VVkhhbQorUyS/QExIafHmm2+aDNvhEMu2GA6GPH76uD4wFYCm2+vSarXInax+Hn1zDKrPmPqljuxXvoQy+roqw3FhZ7eD4/fp9btYjmY0vsSyNWma0DvYpd1u4HkerWbIYjHj3v2PuXHjKlE0ZjS55MqVA7a2uihMdvd0OmRne4duq4vnOwShy3I5YzweoZQi8H2uX7+O5WhjaLLMQcn3fY6Pj5nNFvh+QBg2zP3oeoRhA8suaLUDtvpbvHL7JmkWs5wvOTu9oNXqsL93iFIV+3sHTKdTbty4xe07NznYP6QTdrn/4B6ffvKQm7evs3e4S7vTIMsMyDZOl+R5yWQ65OaN2xS5QChFtKj4x7//HRZzn27vH3Dj2gNU0aMoFbYqqajQpWA4+DnL6HOiZIFntdGuwpEOSpZIYZKUFvN9HLuNbQcUucDzOnTbBUV+gq4c0kSZvGJhkSaKLIk366dGs1pFnF9ckhUVrXaHIPQRQrK3v0upbebLBcKSbO/smGSeei022CSH2lFVH6zFhi5Ajb0qqwpRVYBNmmiS3GYymVKUU5bLhChKCYIMz21zcXGJ7TocXd3h1q3rHJ8+w3Mdtre3uLi4pN/vs7W1w3K+II5iBpdjPv7ocySCt956m6vXD9k/6NJqtcmyiE7bptPpkaUVjbDBdDqj2dllPJkwnU4pS0VUJRzs7LCaL1gul0gh6XQ6eK5rcrCrijTN63WsQmuBbftcv34HpSzGozlbO9vMZ0saLaM9vLy8xPN8Ws0us+mSwcmALMvIUpMlLYVkq9fn937vX+OVV17hcnBBVsSGbZtl+F7AK3deo9ff4/hkyL3PHzCdTHny+Cmu7eB7HpqC3f0tHNfFtmxTUlsmzaaqFK1Gi3a7bZix7RZJktFqtdBKMJlMcL2ACos4TmpWa4uyzCizlDBs0Al9ppMBd1+5SbPhUJY5RZGjEQRBwP7eAfv7R6BsylKx1euhyoL93T1mywWz+Zw0TkizjFUcb/R1i8XiKy8pX7mwu3PrFYqyZDadMLi8QErJ/v4+ZZGRZzF5lhrTgGObBdNA0BBC0Ot3KIqUtIw4vLLH+WVJmmt6TofZfEKiC27fuc3bb77FxekFZa6YDOfEq5zVMiL0TOyT4zfZ2d3h4nJEXqQ1tqQOedeqfkaqWrtknhtRH5fXyQ27uzvs7++aeCDXRWmXvDBdl4vzC4JQkhYxaRpjZyUaBdisVgukFMxmE1yngZICnZscV0d6hH6L5TymLDSuZ5MkWe0WlTi2vWHZGRfMDrdfuYNvGwyDkCa8u6wq5osFDx8+MuHrtsR1Ja5vse7SFEWFbRuYr9aaKI6wLBNzpCrjECzrDsV64zWFndx0jNZFQlUpE1hfVajSdHwQ9a+VBZKaYbe+CaRAWxa+7zFfGJ7S/qHptAwnI1qdJq7rkKYJQeBz5coVhIDlclkDgVPQFZkQSEvjOQHjyZjFdIXEoswVeVqYIkXbWBYGb1IZpIpWyhg/KgMNZj3uEmLTwS2rsnaZ1v/I9bjZ6PuklBuY8zraLI4jTk9PUFVBr9tlf2+Xqi4IkixDCcNbi+KEoiyRlk0FuH6AVraJSauLuvKlgk1KSVV3Fc3rN5pBZSnWkGejfct/QQu41oPlef4Ln9d6bCiEMKP7+oMxehxDJu/1ujiOTbSIKMus/nVwXccM+jXms1ZrN7V5T4xhgc3IG+C1117jvXff5fnzZ9y/f4/RaLh53Y5rOmVIQdgImS3mNJqNeixpCqH5Yo4Wgn6/Z+5JbdyKQsC9e5/z+uuvc/36NT766COGg0v29nYgctjf3+felw8Iw5BOu83jJw/54P2vs7e/x+PHz/AcG6vOol4X8MKSUEJRlPieKf6BuqNZbT4P0w39xZGoEAI/CLhydIXv/dn3zChfCi5HA0pV0ul2KPIc13HqkfEpq9WK11593Ty72riOkySp8UPSaCr/kmutXtX1P8YNbUxQQiiuXD3A9a4wmY/Y3dtGSM39+/dxXcFSF1QqR0oIQ7+m9Wco1WY4usDzbPI84dmzx8wXHd5//z2+/Vu/ycnxOWWRcXZ2QpYnzGZTBoMBeVHSajeJ4hVFUdDpdUnSCGEZmPXOzh5Pnz7HsmyTQCMkVVmZ7kSlaLRCmq0mQRjQajXZ292h2C2oSojSivEsYji45NmzY3Z3d+i0uzx/doznBFA6nBwPSOMKoT3KDIRy6Lf3yfKSdrdLt9djPB6wWCx5/myALg/5//y//3WeP3oNL7jPK6/9X+k2DhicTREopJBkeYJ0bIq0RIsS121h2xJLQJlkVI7i3Xd/n48//jd5/MV3eOX1JzS2Ltjd3efqlVuAg8Rlq9+l3e6yWkVEUUa1jBAoKFPC0CXUPqUy60Oj0abdbrNcrUBITs/PePLkDNf1uHb9OjvdK/R6/Y0UQtVr6lpXqZR5poS0DO6kptcJIC/M92B525TKZplPmc1XpEmBFzRB2gjLdKdnsylBQ7B/uE2302IwvKDd7tDt9Gi36sSPrDK5q6UB/K6WS0ZfPkQ6FdIqKMoIjUkFaoRNimxFUZacn18i7Sad/h6tZofbN2/z5z/6ITovNtD3RiOk3WkhhOTi4pI0XdUTi4yyyJGWQ7+3zVZ/m08/+YIsKzg+PmE6m9Jqt5jOpqR5Rqfb4+jwCCVtdF6SJgnDwYhoucCxHV599S43b9zglTt3cD2Lhw+/AK3Z2d7j4OAKN6/f5MnzS37604+ZjCdkWULYaNDrtLl96wa9bo80TxmOR6zmJvXJdRvGpKcNFF5rTZblRt92Oay18oIoitg/vLI5GH7wwddRquThoy/Q2hSHl8s5+3tbeJ5HEFg0mgFPnjzGdTx29/bY3d2i3WoynaywpG3i4xITkdloNCkrM6nR9R4V1Dpex/mXkDzxs48+Jk1TsiyjEYbcvHWLNM+YzYzmwLEtPNszY6a8JMtMR+fk5DlZtmT/YIc0XxCuLKRXYcnKUOEDC8+THOzv4Dkej798wGy45OJ0jCotHNnC6lUUZcVoOGI0nBq9kFIIrZDUBQwShKqLudIE2ANKaKqyAFFy48Y1jo52WEVTxuOMwPNohgHj6SVxNmV7t8k3vv4eDx59RryYkeWGS5MXksP9Qx6tzkijJaUocZou7UYb1wlAWTSsJr6MoHKxhG+6NlLj2OA5RS0ULxCexd3XXkGpivkqNWNBy6KSEi1tk78YZTTDJrYlsKWNazmUWUaSxCA0SpkIMIVCVzllnm6KFKM5FEjLwqo36NpaSlGWUCqo1iJ/Zfh4Nb/OCPGVSZ0QCmmZSDhda5EqpWoNTUGyUuS2xaNVRJalCCFodALywqQbNJrmdJ9nGa1WG3edW2vbeF5oYNKuhegIVqslyTLFki62EFRVXWzUJ1qNNvFeVYGuNZVQ8/NkPVqpf04qDCRYGqaimUxqrFpvaCPQdcasEkbXUqqSZbzCtiyysmQ8m+NYNp7r0mi2adkuk+mEKBqS5bnhAboulrSxHBehNaoo0GUJumb+1WMWC1F/H6aTqCqjpzTMPcxBwfWQdTqFOZgYKGqWJaa4TKLNSHmDzxCaStVoGcui3W7jei6O41KUhUniUIVhKynTOURXCOOgQVflhs8n6iRo27VAG5NFt7vHX/trfx3Hdrj/+T3OTk9ZrhZoqRGVsZI4ro3t+viNBoOLC4o0xbWsGqitjQs7LXCkg1Smi60sM8pfLld8+eUDut0OQeAxnU749NNPCJstrt+8xW6viysFebKiqjSzaMrelV1Ozs9MSkAcIR3JesbpSItKmHG6rkdeBvNibg3jOnWMC7HK6pH2i3zd/b09XNfh5x99RF7n+Pb6feJoRZ6klFlKs9XC1op4MSNPUw52tvFdx7wGYYrKdWeZl/Am9ZcypZw2jnJVj83XiJMyL405QMB0MSRJI/K8BVLT7vU42N9nPJpwenLG7s4Or75yB4FgOrpEaEUY+JRlnaYhBba06HZ6zGYJZV4yGc24OB8hhE273aPZbAMC25JGmxSvCAKfIPRoNkLyLGN0OUIKh+2tA/MeCnNQKdGUKHJdsX2wyyKZ809+8E/QVcXB3hW0tvBbDbAhrwq++OIBX9z7kv9i9Y+YT2bs7e3z9rvvceXKFb75zW9RljlCS54+es69+5/x7OQpfsdn/2CHt956AyFbPPkvj/jP/v2/xXiwj+fPuf36/5n2lkeeVRR2QbWe3ABpkSEsiagUVmU0o4HrguXS6/bY2f0Jp+cfc3n+dZ49fI3boYdtuRRFRZHmUEHghri2T57OSbKCvMwM1BuFVhJpaXxho7VLlldcDgY8epqRlopPP79P2Orxne/8Lm6rzfHgEttusdPcMutoZQDwVaWotKQEtBRIYdUActNRVIAUPr63y9PTGaukIitcosi4O/3AwrFdpJDE8ZQ00xTliDi+5MbNG0wmM5bTEdeuHFJpyenpGa1mF2kr/BDuvHaHZiPg0aOHnJw+oSgypAxpNo22LPRDilAwGS9ZrVJu3XyTlhvS6vewS0GVFRw/P6Xb7eDV0YJZlnF5GSEtbcDJpUZVUFXGFPHa3QPm8yU//elPDZjfNrFui+WCNE1AgGNZ+O51I6WJI3LbxrUs5mmOG1r0211Gl0Oehi7tToM0j03h1t8hCDp8/PlDvvjiCYPRiLI0Epaw4bG12+f9D94jCAIe3H9IEieEno9j2ySrmCJL0EqiSkWRJ1RlxOhyQBQnCCS+F5h813TFfDbHdRx6nZDTsxMEOa2my2uv3SKNInzfZhnN2N3p0+12cG3X6BE7bRQFWRZRlWY6NBpe8vzZM6ranY02EXDNZsMgvKoS25I0/mUAildRYkLYGy79rS0s2yWaLVBagrBrNpdFVjuh0iRFCEkUx0TP5sTZku3dDstogesLbNclWWVsNxoIafGjH/6Iqih5/OVT8rhiNorotLbptpomIkvaTCcLhsMheZ5j26bDoWsn1zqSxUzXjOMNjAPFsjU3bl5ld7dPFM/w/YDxZErDb9FuNvFclyip6LQb5FlE4FkcXruBEgU/++gTwrBHEHiEgQvaGEDyLCIVAaISdFvb+I7ParHCs10cy0E4Ei0VDdcjbHhE0QKBRAgLaQnOTs5QStNud0iyFIXAsh2q3LSuJ+MpnmdTtkOEaGwcUhqDGRH1pkR9clCqqon/AkcafMba7VhWJWVmOlCiAKleGCk23by6g+l4Jn+zLA0EWtZj7LzIsCqNUi+QHnm+brMrur0evW7PiL4ti6rSzOdziqJksVjQanUIwpBGI6Qoc5bzGVmaEQQNAt9k0xZlRZFFdSFpYr60KqnKAse2qYrSCOW12SRNl4660HuhF5NrnYqp8o2ORbBJLdFak9ddHINr0Uhj6DUi36Koc/oshOWQZSWj8YjlakWlFLZjxNCO69AIG4RBQCYliTJ6rvVohVoTuB5xrj8Prc14NcuyTbcuz/PNeHZtiMjzfGOeWI+VjW5RmqI5T2t2oken00FrmEym9Z9RdffPLLbrCC6tqXWVtfRLmzG8sC2qerSrgLffeYdvfOObXF6c89lnn/Hs2TOqyiRY2MJ0Q6W0cB3nBScQaIQB89UcjemWrVbGxOTYDuiqLvgU0pJMJhOUqjg4OOTp0yeEYUCj0ebs+JSb127y8PEjfC+g2WqRxAk7O9s4rk3YCLhy9Yg0TTk/P6fIc2zLwKSDICTPcjN6zstN8ohcJ3LUiSDrLsn6M9nf3+fZs2dMp1Mcz6VQxSZ1wRR/AlUWFJWi22mjWi329/fq+EIjZ8iyrOYoshnNri+tzbP7st6O+odyjQ+iIssTVtHCCK/TmP5Wn2bnBrZlU+QV4StNyrzg+Pg5aWJc5fv7B1iW4OzslDivcBs+aZLxZ9/7PrNZTJLkWNKl0+nQbHRJkpwf/uhHaKV4/fW7HB0dEsQuOzs7DAYXTCZTlK54/OQJFYKdnX2EsMjLgqLSeMIiLypcP+TV196gKFck8ZwkjlGV5OGDJzx88pRVFNFsNkjTHJRiOp2zmC7JspIoLdh5/IQHjx6wt7fN3v42Z+enZFnC9tYel7Mhz56cUeSao4MP+IP/8G8yHnZ4870n/Opv/pC9gz5F/gEf/uxjlK6YL5c4lomh045d4yUqbCkJ2i1azSZnpydE0ZLzyzOE/T9Fyr/P/U9+g0r/T7h5+w+YjqbEi4QyV4yHEyaTJVmWUyoDh0dDVlZoVdDueAR+h2WUEYYhZ6cDskpxfDFgOJ7wzrWb3HrlFXrNHYSe0mi1Nh97LaYwMhNEXd7Xp1RhphGiNrWh4JOPvyCp5rR7ATotEMKsr3GcEa0i494vClzX7MUnx0u2t7bpd7vkWcbZ2YBub4c0StnquFR2xc3rN9ja6rBczsjzDK1zynJp8sKrCsf26HW2ODt9wOPn52xv7zOfzmgGXapCsLO1zc0bN/jJj39Kq9WiKDLiOCIIPYKgTrTJC/LCjGClcAgDj2vXrnNxcUEUrQibDYqqIo5NRF1V1do2AfPphFhIhoMB8+mMJI6xLItrV6+xu7PDZDJmOL2g3THZspZjsumLUvP48XMWy8gkGAlBVpV0ul3eeP11LMtiNBoRxZGJHK0Uy+WMIs2pqhKUJC8FujBRfVrpupNm9ikQ2I5FnsdcXMz4J38S4bo2vV4bxxVsbfXQvSYX52f4QYiUUBQ5+/t7rFYJ08mEkhypB1jKpRl2cGzHrBsSltMZnmskYK1WC2lZzJYLLGHh2t5XLde+emFnW+uKs0Ov12O5XJLEqQmulmDZZixZFGaubvRGJo2h1BVxkuF6hmOU5Yo0T6kqSDMj/H765DGqqPBsn1fv3uGpdUanuUWnuUNZlhwcHBCtMmzHwS4VliX/AtflxXqq69GVQloK33d47723cD0b23YYDoacnZ3SDJpUdTfKtiXL5Yw0W3JwtIOmoNtr0+t1yXNYLGa4nkN/q0u8zKnKgiyJEaWk9DKeP3vKxdkpTuBBzXWzLUOM9nyb0XhIWVRMp3NmszmTyYRer78ZJdmWRSlroX+pSJKULIMsS8jzFM+36+iikkoB68B3bUDCUtrG8q81SlibEd560zVYF2XirmruWl33mILBNZFatiOolgVl3fGs6k0tbLTIs5w8N2PedRqF4xqDwnQ6ZzC5xHZtWq3mBnOydloWRUGYNZnNJ0ghWC7m5GmKQJvsQEy+p6k5JFUFGRWq0pSFprSqDVLEMOzEJk4NYQqVl6PHzFvzQj8GbEaO6x9nWWY6LKrufilNnGZIcnzPoyxi4jglqXUiVR3RVaiKUitkIpHSotloohxFWRS/cE9KYaLh1skXL+sBPc9jOBxuCr40TamqaoMOeHmE+MLUYCLJhBA1ozHe/L7pdGrQMbV4X6xlAI5JRlDK5P3yok+0ea/W2j7T2avY3t3hr//1v4plSe7fv8+9e/cYDcfYnkMltClEdIWySjRsvu4yjji6coXTy0vAFHZZWhj8Th2zZopduz5UWKRpThynZFnBeDxlsUzY3dvn9p3bqC+/ZDQcYVk2g8GAN15/cyMhyPMCx3ZMkoptRoVZFeM6HqvFilajSVWaDrbAdL7R1DiYWltad9bW98jDh4+A2lgjjBlCSmnwMNTPX2Wcx73eFs0aii3qfXldtK85jpt3WeuXa7lfujQao7FTuiIvM7I8xQDAFctoSTYrCLwAS1rs7+8yG0+5f+8Ltre3EUB3b5ujo0PKsuLiwvAcw9BntUrw/AY7Ozs0Gx2KQqOVNLq56ZRms2n4dqsV0+kE0BwfPyfLzUFgFSfESc5qldPrbaGlwA98sqzA80KuHt0k9DsMRwvCsEuRa77/wx/y5z/8Ce1el0azSakUrVaD7f4208nMmMmkYLaYs4wjBuMxfq3N3tvf4bd/+7fIi5w4Lzk/P+Py9Ji/+6P/IYPzFnde+yH/rd/7x2z3W4T+IWVR4n3Doxk0uf/5l6xWUc1xBGpOp7R8lNLM5gvGkwlRtAShkPKS3u7/iung3+bBp/8qzVaTV+/+nF5nh8BrkheKIqsocvNMKEOwxLN8XNfHdRo4dohthbhOg9ks5nI0Js4zXMfHsR1cy4xHdaU2LvP/+muteTX3hZEOSB4/forfFPS3u/S7O6xWq83aHq0iyqKgSDOOjvbI0pJG02e1itnd3Wc4HPLxRx/T6+1z69YdFrM5l4MB7VaLV1+5TRrHpFGMLQSd7hZbO2126yKkyDVn51PU0zOqSjGfz7l5wzVkAd+n2+2aA0uN80rTuG4GGI11lqWUqqiJFJIbN65x5co1jo9/QKPRwPN8AstiuVygVUXgeezu7WBZxrWaRQmT4ZDVckVVlHi+T3+rb3TdumK6MqkOntcgK0oajS6W5SOkRRCEFIVinqQ0G23eeP0ter0+J8enTCdjJmMTdYlQzBczysy8TjOmLgm8Np6UJg5RKKRtY3suRV5iORaWJSjLjMvLc+7cuc2Nm9dROmM+n9JoBAgJXuAxXcwYji6xhEUQNHBcG8+2yeLSREWWgmazTavRYDyfkiY5qhBY0kVVBoETeg38MPiFvexfdH3lwq7dbhOGId1ulzRNuawX7zWLa91aVkoZTYZlHGmOYxM4Prt7u7Q7HdI8YjmfkaRRrdOZEHgBqhRIHNrNLq7jc/3qdbrtLdJYM51OOTg42gjkpSxeEpEbQwC8KFbUpuYxDtit7R6yDhV2XY9VtKAoMpbLRT3iqrAdyfbOFrdu3SROxwynA+zIZnt7h+Uype6R0WiGVIVGFBJLgaBiOhmyWMR1R6JEVyb3tKp07cpTlEXFfL5kPluSpc+IVylXr17bhLinaUa5ik1BLEz8mGXZdLs9ev02WpcUZQoVNWC41glpw7FzHRdLStLcdBqqqtr8u9ZorTsG64VDrzccrZFKUBTmzydpbNynvofj2LTbHXq9LicnZ8ym6/es1o1pbbJEXYnQgm6vS6MZMhwO0FqYKJ6iIIoizmttphSGQWdL02Gcz5dUlUAKGwOVdE20Vm7iwHQliaMMrc2pWakXubS6HrNR68zW19qgYNIr9Ob+EELQbDYRQjAajShL0FJTFiVUqnaoQVFalKUBTisFWtTuamn0bcaRI8nSjKooTO6r41IVJYbqB6ImyK87dutiOwjMQxrHMZ1OZ6N9hBcGBqXURsC+LuYcx8Gp8xWjaEWWpRv3Z5Ikm+7eGt+xLgYdxyGOjENbCGvzHq21dFK+MJjYls13v/tdvv71r3NyeszPfvZTnj19vukYO55r7kGtDcRYShSmJZpmGY1mA2lJdG7MLUb7iXlXtaw7Z4YpaFk2eV6Yv18bd7qqJIvZksvzAbs7+3zy6Se1K1hzsHdEp93j4vySyXhKo9FAa/A9k9JiWw625VAVCrflmU7del2QNVqkLr7Wz6VTY4Q+++wzOt3uBhljuTaG06ipioIiN5DgdqtDv9+n3W7j1egRUyS++Ls392RdNL7cwPtlR6x536m5gxnL1RI/DOj2WrTaLYSwYRXRbLYosoLZdMZoOKbZbBEEYR3m/gWNRpMkzun3d7l9+yaNRsDTZ0+ZzuZ0Og7NZpMwaDOdLlguVwgpyPKM0XhMURY4jsVgNDTTACmI4xSEJMtLWu0AahZiGDaodEmr3eFyMOKnP/uI87OnLFdTJuMhjx4+pCxKtvo9iqqi29mi1WojhWTvcA/bM8/2xcWIoqxYRitmC6PvPT45xQ8a/Ppv/Dpff/9bfBGc83/533+bh599nau3vsfRnf8tz591ccRdqlAQ+AGddpt3336bna1tTp+fcDkcMY9jkiwjy8zzkBclYRjQ6W2xiiJzmAx83OC/oNkuieb/Y37+w+/wnzY7vPcr/3dWixylIEkzU9QJhRDKFCwVOJZgcDnh7GxAqRVVBc1Wi057i4au0JZJP/n93/8Ddrf2KRIoo4rD97c3OtZf3qM1Jh1FANo8IpSlotNucrB/RFYuqApot5s40sMKTApRmpj70rVsykKx3e3zxpuvsrPbYzQasVzOcWxBvJpDlXF2MuDs7IzAE7z9xisc7O4QXb/CwW6XvEwoqoz7nz3g8dPnNMIOzWYXaUlms+lmnUnTEsdxiaKISlVkWU6el2RZgcAycqIkN1IM9QICfnR0FSEEl5eXNJoBQloslisj0bEsPM+j3WpTqZLxaES8WpFkWX1/GvRQ2GwSxSbnvFIKW/qsooxOp08QtFlFKULYVGVKtErI0pwwCMjTkslwyng0YTC4JI4jKmXQUHmWIJRAK0GWFqRxRe/qLlJaTKczqF7UGmVl7qX+Vo8kSWg2mziuRZbFdHtNijLF9WyW0YLR+Jw0XREGPs2wSb+3jWULtto9LJUzy+dUdkmZGze94UdmhF6DRtjEcz3yIidJUqMd/pfBsTs6OkQpRZ5njEZDVqsljuvgOi6eZ8wBVVVQFBVCmM25qEy3bOdgi6vXDkjzFcsoYjqbk5c5rqeYzxIOd/fZ7pnQ2+tXrjG6nLBcrlhMIyQBUnp0Om1u3brFZ5/dZw3mVUoh6818HXNlRkSyhpPa7O212drucu/+PZQquHrtKsPRgCSJ8ewGeZbi+oIwDHnjjTcIgpDJ7Jxmo0Uc5YxHU5S2uXHzFq6z5Ox4iOzapCuFzgSlMsHtaZ6jdIWmohKG3G9ZNsvlnDRNDM08LaDuUqwxKEop2u02aTqsF31tDAPKaFqazTadTpcsi7EKQRSb4kPp2mUkbVqtlhFWKk1eLKjKGjpaGyg2jLpfWkjWmh+lFLrUVKkpHpWq0FhYlYXjOigNF5dDFssIaTlIqwZS1u5bt0aElNpFCIvVMsa2XCyrQmurxnEoXLxNsVGrSV5gOwpDDleVptQGhmxJyxQQCjS1y1cLLGuNCKkLNilBi7/AClvfF+ux9brYS9O0hmsafVRVx6fpynRai7oYMQWVhbAUtnTN2EyVIORmtFeVJdFqZbAXNWIlz/Ja2/GiAF93D9dFcZIkNcfuReG3LrTyGnIbBAHNpoFoAxvxbJZlZFn+wkhR//vy16P+XKW0aDU7OLbHaDSpWWtGn2oWK3M3rO+Pu6/e5W//rb+F0gU///lP+fjjj00kkm0bnIuwkLZElQV+4HN4dMRHn3y4gQEnaYrr+jVgWrEGhAvkRvsmtERrWQ+hLCzLxa4lFFrDdDJFK8Vb77zNvc/vkawiVnHE2dk5N27c4PnzY2zbIUnM5+i6LmgT2afLCl0Z95z5OduMXrVxx5sCW23e9/V7b8wEBY7vGS2kUAgRbA4LazNEr9fnN3/zr3Dv3j3zeQhqNmVVJ23ozddYP3G/NH39C2N5XX9+eVkSJylbOx2azTa242FZFm3pIADP8ylyg8kpspzxeMo7b73DF/e/4LNPv6DX6/DWm++xs9PHdY2r8Y//5I8ZDgeEfoOd7X0GgzHHx89ZLlZcuXqVbrdLp9PGsiVpag4HhoWIGbFVIG2LoqrodDsEjZDZYmLME2GD87MLHj58wmBwhuMI0zGmJMsSmq0W3V6XXq9vEicCj6s3rtJstLj1yl3u3/+CLDMjONCsVjCZGsyJqlJ+9v33efDpt2k0p3zr23+PZ8/GREsf23Ip84JVYTSttmNx5eiAXrvFzmDI6WDIKoqR0uLw8KiOoTOfcRSvWEUzk5xg2bQ7f85bbzb4//7D/w3/9L/8Bjt7n9BtP6IoFEkyJM9KLBuUpIahQxRnWHlpgNmOxXweATaWW7KKI/7Kb3+b/s42/9Uf/wn/+X/yD7h+eJOW20K9++tYVq1/rtNmNusDelPsaUwKDWhc1+OtN99hMrvgwaMvOBdnJjJQCmxhIro0CiyLvMi5du0aO9s7zGYjPvnkQ2xHcPfV68wmSxw3J8tH+GEBLBlPnnH39l06LY80HjO4OOPs/ILRZMrJ6Tlb2/u89/7XuXr1Gudnl3zyyafc+/whuzsHNJotJpMJzYbpWidxwiqKCcPEpP0UkGUVxXrtdy3arQ7Pnz/n/PycK1euYFk2q0WExBxaA9dDVxVllrGcLUgTY1YT9UQpaISUVcXJ2RlBYLiFyygF4ZAXC1qdlChKidOcwXCEJR163S0cS/LowWOKPCVaLVBVgeUKhDSaZinArqcWIPB8n63tbbIsZzKdkhc5Xa+H63sUZYnne4Shz81b19nZ2TVyDFmRZjG+b9NuNzk43ANR0mk3qcoCraDMSuI4Qg0KHOFj1wfb8WiE0OZQ3QhD0jRhNpvgeWa9X8xmJjnHsviq11cu7IajC6PXKgtz6pGKSuVkeYnnmXisPC+oSlNwaOr4ozpDcTKfs1xOsFxQWqCxKEvY7u9QlRrp2uhKgJIILamKkiTKaYQeQmiCIKTX3THOw/prAL9wGt48FsKwyV599Q7vvPsq88WEjz7+GWHDAFXTJMOybJQqQdgIadPpdGk1O4RhB9/rsEoWtbDcJY5TFvMItMmnVGVB6ZRUWKgCCl2SqxxlSQpd4jge/d1tkjRmNjPdyTiOyXOF7zVQlSkw5vM57XZnU3CsT/uVquougME2lMWaleYiRIJSxaYrKep4sjiOSeMaBFmpzQazjmfaiO+VYjMkUmZUhQBbmMxSagOH1qa9nhcVs9mSqlLYtovrOGiMLs/zfJNkIAWONIJ6rUQNXDUiUOqT7rpjJqVZ1CysOrZLIi1AW+iqAl3VTl6DJ6nqLt26CF1jRervvmbyiU338Jc7IuvuzHpnfbm4q++WejRt1ckcBWWlzMYUhnhBgKxM/ExZVUbUrBVF7QarBFiaOp4swLFscLQxmEQxbCLvnE0Rkef5L3TjTJf7xfg8TdNNJzcMw81I0HGMFsOyLLrdLlKuO+YvCtpNhFvdrZ1OZrRaHXzfx/cDhCiMSBk2XUHbtmoXqeY7v/Mdrl454uef/JwPP/w55xen2I69cUbalkO71WIwGtJotdg/2MeyzXs3mU7I8px2q8NyEdXfTy0WF6I286xxMOtxpWWQeqL+bHMzfh4NJziWy/b2LmfnZwA8f/qcb//W7+A4DmmaoXWC47i47pp8L+v7T+NYDmiopHGbo190TPVL98Z6rG2Sa1wzdhX1PaYx8U+WReAZyr7h+r3L/fv32dvdfaG/q8xoTApB+Zd05dbXyxw7080TRqeMRCnJdLYgr3Im8zm+byDNWWoimhpBg8l4wo2rN7h+9QYffvghi8WKTqfHYjHH80J63S3Ozi4pyxzXNS67+XzB8+Nj4rggzyviOKYoCrq9Ltt7JtEhDAMmkxEXw0tKrcirkk7g4sS2OaxWGUHDZzQeMltOyIuYoozp9zuUZWVwSVVBnidIYRIQpDRZvOcX5+zv7/P1b35Au9tlOpmxs7NH0PIZXA748sGXLOZLLMviYjjg7/4//yNW49f5yT/9HyFExWvv/e+4vPyE6WTBcpFx7egGB7sHFLlx7psEGIHjWGxvd/EbIas4wfMDrl67DkKSFwWz+YIbt27x9Ml9bMtCa0WRKarqC27c+gFf3PsdfvqDv8bv/o0PGU9PCb2MMle4rkSLEik1RV5RFQWiKJCWJElTkto8Jiwbx/MIgyZh0ODN19/kwx9/zNnZGcvlC1SFFALbtmpDD/Xpam24ATDgciEkq2VMlkUsVzNOT4zzOQhtPN8cequyQkjFjZtXef3113Fdh5OT55QqwXEl+wd9rl/b4zLQ+EHK/qHLtWu3CcMGrhcTZxeU5YLVcsLZ8VNOL4as4pRmo8n+/gEHh4cs4hXPn50ym88I/JbBaVUVt27dwnU9ZtM5RVEhhcVsuiTPyg2Tcz29UMo8n599eo84jjk/P6fT6azVhexu7xiiQpwwGFwynUw265PjurS7RqM9X8ypypKsyMmKjKLSOHYD2ymQ1ilFWZkuoIKrR0dIKbg8P2M+HZNlCVVVYNsCS4NlC8MkBAQ2YdiiEVh0Wn3C0OjplNYbcoBjOzSbLVqtBrajsW23hiNnIEqieE6z2aa/06fVC7GkYn9/hySKkFhMJjOGlwPGl0NUscLWHqXKiXSE7bk0ggDd7TCdThkOzmk2A4JGiMDUWNPZ9J+7rvzy9dWTJxZjI9IEpGW0a6re6IrCBWXcjGCE0kVZYjkunuvQ6/fBquj2+jRbPvGTmFWUsH+wy1/9q/9Nntx/xJ//6IdcPTzg0hlgS4fD/UPSOCfNYDSao+rNeC34Xpdza+6WbdsEoQsobBsOjw5ptdqcnJwRxwv6/W2uXj3io48+ZLmIaDRaVFWJbUt8zyMvCrKswhI+i3lGlBakecLjR8+YzlZ8+sljXLtBkZo4MNsOwDYi8koqCiqji5ESbUGn20YuBaenx1SVaSVXZWkChaVDmiRIKRkOh0a3k2YvOmzSaLfsWkRfFObUaGJcPPJSoak2G+dwMCKJ4xcJApb8hdiqDXqjqtB1/MO6iyDtNS6CuqNhumaqjmzTNf/LclwcN8CM1DSO59Hv9wEYj8cbvZopus1iJS0JWLVz1Zg+zCjWFIFCStNR0RpLKKTKyVSFRGEL2/gKa+enEnIzMlx367SCUlebDXpzP9TXC1ONGcmtN/Z1obv+f4VGVRW6UpsZSZplBr66tYPURtheKWNoKKqyxneYh9566es26yQC4840nZ51Z0hKueluaq1pNIzraa1HXHfe0jT9hV8TwhhbyrIkjuPNWFbWHai1zm5dHFaV0SZqJSiKkslkWuceSqrSaN8UqtZri82fu3v3Fb773e+yWC34+OMPefL0MWmaYEkXy7brg4VVay8Vvu8zGA1J89wcRgRkeUYQhNi2Q1lqSlFs9E5oiazfK61ELRQ3LkBzDjCcQikky/mMB18+4O233uLk+ATHczg7PaMsFXfvvsZHH30EQFVpLMsmS1McXX+OSuHYDqpUCEtQ5tWmg6lq44jpjuoNL9B1XVzHRTjmgJNXeS1bEHW0XFW7OL/J559/jpSSVtuMGI0m0kSs/YtUVC/fo5tuMsYMo7GQ0mM8WfDmW6+zf7BPnmcsFyvSNEOVugZW23VUlODjjz/FsV3CMCDPK5IkZ7mIAEWv3+Ptt9/h5OSE4+dnLBYLtJYcHh4ym86Nk9p1sGyTIrNYLimK3Og8MUzE/cNdbNsjzQryIkU6mM3Q0ibsXeVE0QrLluR5xf7+Du+/9xad7hZpZqQMjqfp9XuELZP5XemKQmW8896blOXrlCrn7PwcKS12d3aZjqcMn75LkfVwvQsQP2UyGuPaLllW8OzJM3Z6u0a7qBVFZbouUmh810VaLp5v5A5lUaCFRV6UXA5GNEKfmzdvMp9NiZZLxuMptrS4c/sPefbk13j88IjB+Qfcvhuwt3eF6XxCpVKmiyFKlYyHY2zLwnZtg1ZybKRlNLKO63P12k18L+CP//ifcHBwxNe+9nU++/geSRyxVlquD2miNiG9WLLW+5rZz8rColIFlyfnTGdDUII4SsgzTbsTEDYchOOyf7DNt771DbI84/zilEbDoyhjXn3tNu2OR1Eu6PcFWkfcudOn13dwHYHrJSwWx0gSOi2PV1+9zd3X3iCvNF8+fILSJc1Wg9u3b/PDH/6EPKvwPb/mSm4TNgIODg755OPPePr0OVLagGC5jDfPTVkjeg4ODsiynHv37m1A3pY0/NU0S81hVBmN6HQ6IUkSkIJut0uv26XVbJm/rypBCtLc4MSSJONiPsd1W+SFJIojxuMpFhYnz08py5zVck6WxNi2IPA8Y35QKaoC6dT7j+2YYtcOaTY6nJ6foTXEiYnwdD0PPwzM8+fY7HZ2UUpxcXEJKF577Q6LpUen28SyBWU9rNDa5P2WZUFZFVi2ZbqBq4IiUXh2gLAcbNfF8my0rvBci36/Q6sdkOcZZZmy1dlisfwqq4u5vnJh53nWS5umjRCKJDHC/DRLUbUwXVpGAO96DsIq2dnb5u23X2cZL4zbUuVUtf15NJrx6af3kUWFbbl0u1sIYWNbrsmNExZZluAHIbbjUhTKgFGlGemAOf04tkO706K/1TGtbqlpthpYtsW9+59iO4Jmq8HDR08YjWf4YYN2p0uVFziugx96FMoAhY9PLvj8/iPjJK0qhG7QbjU4vxiSxgkoye72Hs1mjzjKiVYpSgiEY05QwjIiivl8xtZ2j739Hc5OT/Fcj72dQw72rrFcxRRZjuf4uLbHdDYzTAZlOmqWJXFdC8exAONqFVJg2RLbcXDcCl2bIZRSxLW+SgqB5Tggxaa4gBdoE1V368znaE4htmUKKCnr04laC8tBiLWObh3BVGJbPqJ2nE0m001EkOmWrOsiYRyoUtYjOLOJavHixlRKYwlB0GgY00RZkYiYojI8Klk7P9dodtuyzciVtZbOpEFUNUZEoOsRx4v79JelphudW1VtNHlmcTWoClMQmNzesBGyWpqILNv1cISLdGykY5OkCTo3jilLQ1FUxInJm7QsB9sxI5tGw2RKruHE7hqNoY2xJgx98jwjihYkdT6h0UpahEEIQhupgGvj+x7j0ZjFcmmAxbXAf51oYsY2bt0RdbAsqEqFkOYR11rULuay1lpWOK5rir2qImyE/M2/+Tc5Ojrin/3Zn/Dxhx8zuBxswsuFlAjL8ACTPAcpCRoNfvazn3N6eoquSjzHRkvBdr/Ls+dPTeFmmlFU1UsYkHpMKWptrFy7D7QRyErbIgiaPHnyjLfefodr125wfHqMtG0GgwsODva59/nn9Rivekm/Zjqn685iqQrzk9qMXDTmHgZVazRl/eeUKZQtYQwnlqQo14xEk+LRajb49m/9FaQt+Wd/9qd0u2Y0o4Wu70PDflvrX3np+/vF6xcPpeZ3Whv5Q9jokhQZl4MJYaPN7u42i8WC6XRKmiUkaUTQNFiSoOGymEc1j9N06aM4Ik5jDg/3uHrtCoPJBU7gcnTtgDjO0Ury5rtvMLgY0Wg1OL88J44jbt2+SaUrbNfB9VxEYQq9VruN7cBiNMbJHQ6ODrhydZsoXrGYzTk9H2C7Njdvvcb5xTGeJ2l2uhRVZVzPaJrtNnEWM49WaODk/ISnJ0/pdFpcu3aVb/zq1xhcDsiyiulkyv7ur/PP/v7/AFB0t/8eSfQEy/JpN9uoEMaDIdPxiHa7ZbJ5qTvPtXHCsixcJJWCIs9Mhm6zx1tvvkGSLJmMNdEqBiKkcJjPIvZ2Puc73/l9/vAP/3v84z/8PX6vC7fvnrK7t814OqDdaWK7Fr3+kGdPn4IAx3YotYGDV2XB7s4+e9t7fPbRpzz4/AvOnp1y7coNtns9PMfd3G+WNGxTS9ZAdwRgvVizzC1bO/uNpMCybHr9Pnke4wWSILRptXz8wOFXf+2bdHtdZvMJN29fQUrF5TDGdhTj6SWWKPCcEs81ur3lfGU6j8okCjm0cOwGR4f75JXkwaNnHB8fM10s6fS2eO9rH/D+e+/zox/99MU+4Fim+Kz3gaLWiK0P3Za0KJUybD5lcfvmK1yeXzIZT+j3O1y7egWBZD5fIKRx80fRiouLM8AA0puNBkdHR4R+UHfGCubTOctVjOf7SNvl8uKcyXiFZS2YzxLyIgc0O/0tBmdDVss5SpUEvkuz1UZVJXlRUiqNI2yazR62JQm8gDIviaMlaaaMGWy5xLKMJAZKXM/UEbP5CMvuYjsOi5VJwbh26woXl4IgcFhGc5I0xvcchuMRZVGQJWatarYadPfbiMri4mxEmZsDuGVbNNsNAl+SZymO5yIsyXgU4XkWtg3bvfZfUpn95ddXjxRz5YaNVpYKhEmMqFRlokikaSdLAUpWVOQIChbxmPtffkyr1WAZxQwGI6JljtAeeQJ/+ic/wBUaz3V58uSYdrMNWpBnhdnkrAaeH9JodQzpOfBrsXatX9fgBg7drQ7NdojRTBknS0WB5VkgYLZYkiQxjhfihw3ysmSr3+X27evYHhyfPuPZ6Qnn4yGThXHs5onCstqGpE2KtE2aRYHREgntGPeKjs0Ipz5BxtGSsjSRIleuHDGbTpmO5jT9NmmUkCxiKGCnv8ve3h5PnjxmNVuZ2CxtTp6uC54nMdNRjbAttJSIWptBvSmss0rXnT7btrEc87G+XNBtHJZ1x+3FhroxkRmUCMZhq+tO2NqkIKVC6ZKizDfFoelSvBjrrTfuoigQ0hSLpqtk10aCvN7QzP9UgKpHqVgShQ3SMZ05KQFV41yMQUPIdRSaYcRJS4A0+ZpK1UkUmk2nUteLpNjER9XC5Xp0KV8clbHq17MelXqeR7vbYZks8UW16cQ4nkWpJEqbODZL2aDMQSVOMrM5Bw2iKMFxfBphE9/zWCxmNBpbBNojyxKk1EhZAQY1YEnDkxNA4Ic0wgZJuqSscoKgQZYmpGmM0GDXncsifwFaNii/0qQGWJaBtdaL09q9mZWlydPc0LtNOjFoPvjmN/hv/O7vcj644KOPPuHs5JwoSpCWA5aFtgQlCqEEaRxTInB8l08+/cScvm0oqVhEM65d3UfpxGRDU4FdF1BCooQpsLQS2KIuGrWux/LU6RCSwA9IchP1d/PWLZ6eHOMIyfGzJ7z33vsEnkNV5KZrUykcy8ESmmiZ1LdNDbpGoXSOEGXddc2MlKAGPJtuuk273cAPXHr9PmkeM55WWOuDjaW5c/cWr7/5Gv/u3/k7PDl7zjeODvBCn/VdVZUmrH19wEFoM2qW6+LuhXzAXObXtYZSKwqlGU0WfH7/AV5gc/32bbKy5NnJMTtbfYSoyPKYPEs4vLaFtEvaPZ/d/R3msxVVWYIFhSqwPcl0MWKZ7JCqlKDj0t9rM53M+PKLR+xsH9LfvUOW5CRJjJAeUBIELnnu0+118VyX56dnBL5HpXIqFVHEBc+PZ9x97TZRvEChaXdbHB7t47k2yjIkgrPhlLIoKIuCsNnA8VwT56YqGs0G4+mQKI7Jqh697SbtVkgY2eR5xo1r1/neP36fwfkBln3Kwf7v0wj72FaIKjVpEiOUYj4Z02kGoEqyPDXGBmn2KI1FVYFCoAqJ73QIPJf9nW0Gw4qTOMd2AiptoYRFVmnuffmQd9/5h9x+5S6PHrzHf/Yf/2v86//G/4GwtQQpqBTEqwi/3WJ7/wALSbJakWYxhUopq5yL83PGF1PGkwGlKkiaTeaXYxw3wHdthBZIjCs+9H08x8GWuVm7tAFds5aO1MVRVaas8UgHV3dJsgW+L/ECQasV0N/qc/XGNRQZ777/NpZd8vT5PVYZLJMB48kI37XotFzanY4p7rIlSkFZCBw3JLCN6chIVhRFaTBLZal58OAJd+68QSNskSYJVbvEdW2yPAMpuLgYcn5xUUt3jK5cWkaXDRIUtMIOnWaPp88eGRJFUfHgiwdGvlAqPNclTzPm0zlVUSEtaLeavPHm6/hBgG05JElOlhW4XkiloawkeVKyXOaUuQRLUCUaV5q19vn0Wa0VNRpw2/FYruIa7WJc6KGw6HV2cV2XJIlNGkm0ZLGKCRyfqswQlPS6IXkxJ0ls+luHXA6H+A2BLASLeEhSTBgv7iLciulqQRAGYGmiNCZJE2zbjJg918XSyrBA6zpJS01elnT8Fo5j6ossL8mLCnKB59rYAlSRc/Xw4KuWa1+9sGt1mzi2Q6PRIIpi5vMFeWGDUIS+B6U2s3etEbLClhpha7J8RVk12dq9yuj+iPlyZphpnofGIk8ShKpqjEDOUq/wvYC9/X2yJOPK0S22tw7Z29vlyZNnWLWTst6iEazzT2ucAorlcsl0mhM2/Lq7VzfBhQn6nc3n2LZFu92k0W5RqZxWu8d8HpFezilziyQpyNMCaVWIJCcIjHU/KzJWq4RcKpp+l7Jad30EQppOpesaeGscRyhVmrivquTy8pI8UTi2T+j7vPHqq4RhyKcffYQqDDDWtSxcz6HRDGm2G7iei+04BIFPVuR1YWW+37wqN0J7z/fwPB/XcVDCCPCrsqzxFy86aWbD+ee0dOtxqfn9L0u/2Sw6Wle82JxeXAYRsQ5WF1RlRaGNgN51HRzXNlosjRnDYU5C5vUJpF53T0xkmQUv4pm0Jq+1fOvxp8lTFJuuY6Eq43Qsq7pLY16mVgqpTXcQ+AtmkvW41nGcTeLDejS6FgU7nhlFqsoUeK5jIr/KosCqLHRpCuc4jhmPRziOvUG9OI5NEPjEsVXroNy6sFJYlqhD1u3aZCAREpphiOu5ZPmL17laLSlLg0Pxfd+wm14a1SqtTFIFhtnmOu7m+8uyrEblmDGe+T5fOHBtR/Ld7/4ujUaDP/mnf8LzZ2ZR9P0AjaCs2X2VgtVqRbvX5/133mQyGbNarYw2UyiUViyWSxqN0IyOldkQ18DiNQusbhGj9FojuZmAb17zevw8GFzywTe+QSMIKEqT8eh7pkMfRVE9+jfue51XpGmC69m1HtEIy4vCcO7WJpGNSL0eW1eV6V6GQcAbb7xBkiVE8RLXNvnIrh/wwQcf8Ed/9I/4yY9/wuHVa/zKN34F3w822r04TsiyfOPW/8uekV+8Xlgq1qgZpSruvnKb67cOibI5llNx9WCXaDnl9TdvsL3VI0tTZpMZz85OkRK+9v47PH96ynA4otMKubi4wHbMeDrPYsbjM8JGwP5Bn8HwhNPzx4wnQ169+yZe6GPZLkUhDN8zcIhiaDZ9Go2Q84EACoRQbG13SLIVSRaTZis63YCqEpyenpJmDTrdXbbdbabTCXmeY9kWoe8RhAG97S0s2yYtctIio6hMcfDmG6/jedKI2SX0ux3GF7f5h3/vbwCKq9f/l2xvp1SlT5YUhhxQlkiowepsWJpVVdVMQYmQDgqLSlGjR0wg/ZPHj3n89AmnZye0Wy0aYROhNa5jU5Ulw9FTvvNX/2OWi0MGl7t88dm3+W//3p8ThB6D4SWPnjxktpzT63awhY23u8NsPmYRzUiKiDTOWa6m2I5AFYo8T5hMRtx95Q0O9nfreGaB65o1QVrSyG20kWyoynTzJaa4E/VI1nMd0mJOo+mxu3+I7Sja3YAgcNFoHj76gtt3rmDZGi0KRuNLsjwiLxJ838VzLDqtLqEXosoYoSS6rIyLXBrT3Wq1YDJfsYhyRoMRQiuEKlnOppRFWb/Hyrj4LYtHjx8RNprMJgvKoqg1phq5dt3rWt+sjITq4aOHnJ4eI6TJoXVd4zjd6ofYjsNoNKAojNvWcWzuvvIqV6/e4Pj4GdvbbWazJfP5AtfxsW0Xx/G5OD+rD7eCwAvY2d4hS1PSOGK5TEiSjDAM0FqyWsWkaVIXdQKlC7LMZMvv7e2RZQVBEJIEKZPRmNIqjLlLCPzQp9vZIS8yHNehv9XD8y3yMiNJVzhe0xyohSYvUqzcHOQs2yJaLKlKtYmJFArSpMC1LBzbp+EbfI7nuihl9oxYxERxYugR9ZRnuVxspE9f5fr/q2Pnujb97S5hI6CsSuIkInAClDIFRJbnZlxoCSxX43gWb7/7Oq+9cYfxeEJRZbiejRDmg6m0STUoIrM5GC6aS2+rz7Vr17i8HKDR7O3tUlUlWZYaxhLmNGNZFnb95q8ZZUVhXDiNRgPHtZitKqQlaXc7NKoWw+GQRiM0oxfHIclynj57SqMRkOeC6STFsn0kHrYdm5OnKgjCkHYYcHp2Zr5e3YY2wuu1pg12dra5evUQpOby8oI8z3FcC993CPwWQmjT3m002NveIS9yijQ1LsWqQkob25J4novv+zi+i+e57B8ekOUpz44zg+YAVFlhCUmzGRKGobFL5zlFnlMV62QB6kJwLbCvn7uXirvNj8VaBVIXGeIXCzxVmy/WhfJ6nKbrhUirdbi6KWgcxycvMjzPxXEshK03C4BSdb6r5ZKmOe1mm6ooyaQJx16L/3VlCjsz5v1FnRysAbMv6eiM08J0MDDdLKEVFS8VMmsN3EumlZfvIc/z6sSHxHRc6i5ZXpoYLiklvuuhbAdRaCpLUeQ5ZVUxnU7wAx+njlYrigrXden2egyHFyhVYDvGISoEdQSfxrIt0Obru55jvpO64KyqiiiKcByHbqdXc+PMYch0MwVCmdFyWVW1U9zgchzXXX9QpqhzHGzbMq5jy0Kjeffdd/mVX/kmT5895Qc/+AEPHz0yYNcgJM0yLGFMMb7vsbO3z61XXmEym/L4ydNNSga8ABKDMclIWdVFrOnSsR71C2F4ixqoQdLro1otz0RrsGyLi4sztCq5e+c2l5fnhIHHdDKm3+1wfnqKJcBzbFzXJc5jsjzF85qmqK83x6oqsS1nc79sTBRab8wn/b4RTA8HAy7OzkniGM/36Ha7vPveu/iOx8X5Ja+9+irCcrh985Zh42FetEEMlZu/V/yL6rqXrrWz/OjKAY5b8eT4Ho+efcG/8q/+Nd577xUGl8/5yU/+nHfffhtVwXh8wXy2oCgUz58/NQBgFKenzzm/OOfOnes0mwGLxYStfoO9wz3mszFJOqPUEVmp0FaC7dlUZYZlV+bw6LusIkGr7dcSGEmpUlzfpt9sUiqPXDVwXPBDyXAwIs1mLFcBN24eEfhd4jhiPJ7Q7ho0RVbkLJOIsiy5HAzwfJ/tnR2k0Ni2YDadIKUpaLKkyX/y7/02aeLR6f3faLV/TJF1yLKCJDZg3rUUY1QHwcdJTJYl5p7WZiRrJACGq5plJqXo+PkpP/iz75NXJVG0ohU2Tf5nVW5QRcvFksn4Kd/+nT/gD//Bf58f/ul73LzznPc+GPA7v/PbvDl4lZ98+BMmwwmNIORgf480P+R8cMrj40cm3tHTqKKkzFLQiu3tHvt724QNrzYIaWNWsqWZIggQv1DgVxsAvSnuSqQFWpR0eiGvv3EH26kIQofj46fM5wu0rrj/xZQHjz5ke6dNUWZ0u10ct8/29jYNz6cT+viOwKbEseD58TGXgwnLak6VRkSrgjSvsOyAZhDiWhYN33StomhFVVX0ej36W4bfOJvNOTk+Jl6llIWJVEQZ+Yc5VwvQqtaVNzg9OWU6m9BqhTXDzuRXlwWbg7RZCy1u3LjBlSvX+fKLB6yipWmyZGX9dQp63RbLRcRyuTJGKdvF93zarTYqDJmMhsaQKRzyXJEmRn+uUdiWjbQEQhrjYBRFrFYRruswnU6xLJuw0UAqgR+4SGlQb17goYXC8zxc1zbjUdfjxo0rIBRptkRIcBxBnKxoNlv4XmAKO6W4e/M2VVlxeXpB5QgqJFrbOHaIJRzOTwdsb/fptLdQGuI4q/X15oA7Go149uzpV15Tvjru5OqRIeGrgrRIDWBYV+ZGLCuKsqBUJVK6CEvQbAV0t0Ou3zxgPL1kOBnRaHlM5wrLBsuxzChGK5Rt0e/2SJOURbTC831Oz8+4vLhE6CG9zg7NVpPh8OJFK1WCZUukpu7gmWstUN/d3WUZLSgr07EJggau55HlBZ12G9fz8DyHe18+xLZtVnHBdLKiKm1sK6jDwwV5bjZLzxUc7F0hTgpmsxmrVYKDaSWLurK2PYd2u0mr3STNzIlpFa2QUtPf7hP6bcaDOXYgaAQhjmtTVgZNsmbpOY5du/MEWZGhpObK1SO2tvucXZyRpDFxHFPmRhPmua658VyXJIpJoth0r+oEhJcZaeuN8+Wibo1zMCYUUe+qte5DUCNHTIzXOh/vBcKDjZvQuIzVBomjtXEap1mKbRu9oBamGDH5gSlxHGNbxkFVVSWe55HEsTGk/BJ8WtavSyu9EcKD2ETHCb3uyMmNTmVTbNYMv7XL6mXMxbpAXH+99dhDKfMQl1VJnmVY0uRklmWBFBKv7RJ4PoUokKIAbERp3o+iyOrIMLF5n7war2LGguYUplSJUsZN6HkBBv1h0iWUXidHWJR1RzAMTfj7crmkqkrK0iyIVQ0Wruqioq7kzXhW1CNnidEuSPNrooZUu47Ld77zHfr9LT766ENOT0+ZjCfG3Vu7mEulUbrACxpIYXHv3n2enxyjVIlli9pwYz6P0WhCHKf4vk+SFfXYXWOKu7pjLIwOt1Lm/lqz5qQw+B6BgbkaQ0vBl/c/Z393h6ePHzCbDNBVwat3X+fi7JTr12+yu7vPwwcPKdOMUq7zkfVmIzXgV7URr6/v97XxRAhBEhsO5dOnTxkOBjTbTXzX4/atW/x3/5X/Dj/9yY/Z3dkF6eB4Pk+fPuX60c36vjOGl7J8kRPML3ytX/jPv3BZliArMy4uThmMnmP7GXEy4eGjT9nfC7h945BWy+HHP/4e3/mdv8rlOeRZglY26JKyTCmLhMV8SuA7LBYTympFp9eg5bikyYI4mSOtkus3DyhyhbBzsDKkXVBWuUlkuFgSrWJa7RAhMO5LzyfJYizbgMel0zS3kdAsVmOkVREnC7788h6vvvoWnU6HKEoQEhrtJsvLS2bzObu7u1iOw6LGZKWrJV9+8QX9XgvPcQiDJh//4Gvc++g2jntJq/N/4uz0OY8eVgjtsLt7QK/XN5nHZcl0OuNnP/sIQ0zw2dndqh3e0nSjESBNV70q1zxLUEVJp92h2WgaPScGok+d5X3y/JTX3/w+v/3dPf7w9/8G/69//3cZT//nfPzxT3jjrdd567VX8d7xmQxHzBdzmo2Qnd1thFuBqDg7PcPxBHYBllRcOdxne6uLLcWmgJObqdM6/3k9pq/v2/pOVUpRFhlCwI0bV3jnndfo9hpk+YrlaoaQCtezsKTN1naIkEZu0Go2KYoU32tgWz6j4YxxUdFvN9jd6rJMY3ynT6flcHxyTpYUCOEShD5KW8hUYUlJliYEjTZpmtJqGT1kr9fF8wIazSZ5UZFERprjOgbFoyqz3umaRKCB7e1tWq0GcbJESMFwOMTzHa5cucrlxYjZdEqSJPT72/R7fZrNBqcn56xWMXlRMRpNCIMmWluEQZNms8MXX3xBGmdIJI60ydKMx48ekyUJs9nMSJRKRa5yU/DXz7sGbMdBuhZhw+fq1ev1BCRlMpnRaDRoNlsmasy1WSznFFVFMp1SljnD8QjLlpsGTa/XYTofc3F5ju+7NUbLGAZnsylZltPt9vA8n1k8J44S2n6PUmtUJVktUwaXx8RxRLvdIQxbNarsgjhO646doCgKxuPxP38R+aXrKxd2WZabIPflitFowmKxMqddYZmukKYOqrXo9Jps7bVodVyKKiFK5viBxe1XrpPmGWVlUxQGg+B4xq134+ZNyrLki3tfMhyNuLwcopWm19nB812kXANls1rUb07FEoHneVi2OXn3+ts8e7ZESknYaGDbDlFs2HmtVourV68RBAGr1Yrt3V2GQwla8ezZczw3AO1Q5hqBA9pCCnPSj+OMOM6QwkFg1yeTEoHcjAUdx6o33AzbNl23ODZaMNeRaEoqldPuNmmGLRzf5fTilEW0QEmwPIcgDGh2WrR7bVPsBB67+7ucnJ3w8JE5wahCoUpVc+AEuqxIy4TlYkGW53Xs1ssC7hfjLV5ykP4yHmQt9n7ZYep5vjHIpCl64yZ8eYxVorVDs9nAcdzarWqySS3LAZ3R6/XZ293Bdm1sx8apY64GgyFPnz5lf/+AqjC4kGgVUeS56drBJu/Wqlv8SgjKyiBhdC0Yr+mF9XdRd4SEMEzauljVm2KQjYN0U+S99D68PAY8OjKHmcvLS1AmSktpTPc4TrAaEtexKLQpTJ1a25hlKUHg1wgRQZ4XeL6F57msopiyUobZRFV3dsxibhILzGJvun0FliWNhq1m5KVZWmsnX4wRi7I0iQoYtIfKMqST4bje5vus6qIWKdHr7p1lsb+/x7f/yre5uLjgxz/+MZeXF6ZboMyGk+uKUpkua5Scc3ZxabYjabqNAo1WZf37TUcuyzIajSbT+aLu+mrW6SDr7qHp8mI6wKJGI0kTw1dRmIJdKaoio+E7vP3Ga3z8858wGsy5fvUK+3vb2FLQboZ4tsQSmkYYkEaRGXdbdVFcZKbrKvRfiJ5b3xNFUTCfz4ljmySJOTo8wrIFfhDwrW/+CpaQfPLxp0ymE37nu79rHOKWAYJbQtZ4nGzDHvvLrl92a798lWXBYjHjcnCOFgVFkdFqNxCy4vGTB3SaDjeuXSOJYh4+eEC0jGiEIWUOgxr67bqSZtPH8xyErHBsQRqvcIOALAlI4ohVtEQIjevbYGnDrNQFjivRQuLlFstFwWh0acZDdXZsnC3M2lUJkjzDD1zKsqgRHoI0Tbi4uGRn55B33n6fIGjws5//lKxISPOM5WpFq9WiEQSMxyNU5dU6WUHgNdBVxeBizM++f4TWAsd9gpTHuE5AVVSEYZudnR2KomC1SvEcl+HgonaXWmxvb7G/v0dZKjzXqrsqPkLaRHFicsXNG0+RF+zvH9AIG6yWC7P5pymOY2FbNsv5ks8++Zy33+mytfUrjEfb/PE/usnu1b/Dn/3ZP+Xuq7f4zV//Db7z299BK0VJyfnkjCcnj9ne2+bp0yeUecYX9+4jtcRzHSajMdFytVmfhMQc3qXYoH6EMIB3IY18ZH2/uK7NlWs3OLjaYf9gl7yI6G91CRo2jabPxcUAsZbXKEFRKoaDEVG8wvcDDg5KBueXyErx/ttvcXyyYDYZI4SBybc7B8xZkSYFWWbW4Ha3wd5+Qqe7Q3/b6MDnixX9rS2KoiSOplycX7BYrkhWKaKyCP2QVRmZrppWrGtVy5FMp1NGowFZnqG0yU0PCo8oWjGbGU6c5/nMZ0uajTZKCebzhTE42AY3ZtseUgi2tnaoKk2Rl2ZM7QdUhSBLc1ZZjFWjt0pVUBZl3cU1h08ppYk+rQ12vheQZTn9vvm+tIbVKqLf67OKI+LxyrhZVYG0zDrWXDVpNX2SNKXdabHSRlO+mC8oSx/bsdnqb5sgh+SSVquJEJLxeEyRVezs7LHdN+kmZXbGsycnzGYLpIDRaIznuyAUZaFI4rTeo01HfxUt/9K15S+7vnJhV5Qaz28yHM1YLCOjLVuPIirDNQONFwT4gcnRdFyHKFmhRQlCISxF0PRJE0WUxGhtE0cp8WxBt9tja2uLXr/HZDRBKzMecF2XVqtJWRZcXJ5TlsUmL1MIjZSG9+V7Pp5n8hCrquTs7Iz+dp9ev0+pTAHQbLW4eesWRVEyXywMdHe1IlqtGI4mXDm8SlGUpMWSwA8pcmMmsKRLlmY8e3ZaR4V5VLZG5WpTRJiGkibNEtI0wQ9ddna3WCynJlxZCxxbcHCwh+97NDot7MDhdHBBnKcoYXRMTuixs7/D9u42aZoQJRFPnz9lMLxE1JqsUpSss3CVUqxWRjydJamJvapzQaW02ODk6rbBC0/eX7wMK+7FJqSU2aid2tElpSQMzRi70+nQbDYB06o+ODig0+mhlSCKI5aLOUlq3guDYsjQQtNsNilLw/pTyhRZh4eHDC5GUBlu2GQ8IUsNG2q9wVvSqgW960io+l/YmFY2l3hRzImXf65+vwyP8UVKw8s4mJehzouFSdlYY2c2mJEavaO1JvQDQNf5raoe4cY4jl2PIyVxHKNxaiREhu3Y2E6A1iWWbRZ4pUyxFviNuutnul3rQslAqF2SJK21dTVnMM9NV7Hu3BVlSakqhGXTaAna7bbJhqUeRdcdXBMBqHnrrbfY3tnmRz/6EY8fPzbSgtrNvO7EGalB3Qldj7Jduy5cDZR77TZ1LMlqtaLX6/L85KQ2z5iuGdJsXMZLUBeC64PExiFqRjmqqkwove/xtfff5f133uaTD98kiVb0ux2yJMJ3bL7/p/+MsqpotzpcuXKd6XhCEJgFVuuqXtTrQu6lz3Z9rTuzQRBQFTl5mrNiSW+ry51bt3nnrbf5o3/8R8wmU95//2vcvXOX//Tv/31+5Zu/9qIAVnVyCcZpq3npXvwKlyEJGNyD69u4QYPdo67JVc4Vj758hlIVEpef/eRDUBa+20KVkiSOuH79GkEQ4jiSbreFkBWIkvOLEy5HOVvTGRUm3k0pcHyXLMuZrqa42mSTSmHjug77B/skccZ4PELaNtPZhEqXaF2S5hZxukKxjj40HfRCarI04oc/+BGBb/hni/mc8wvj4C7KkicPH9HqtFnOF6S2ze72Dq/cuoVtC06OT4gWHU6f7QIQNH5Eq9Uj2G5ycTHmtVffJAxDjp8/x7JsGmGDs9MzAt9nZ2eXTqfNeDxhd3cXIQxCI/AbbO/scHE5BK1xa5dllmV4rmeMCUVFnmbkmeE+Cs8w8UbDEV9+8WNeff3f4Uff/7eYj/8N3PAHuOGf8PknK+bjMc8fP+a3fvu3ee2N13hz/3WchsXT50/p9jrMp1OqskIqCyoLVUKZ1wYuadYk33dN56c2maE0skY8IaXJXa9KHN/inXfeJquMa7TdCel2m4R5wHQyoxG2uLg4Y348RFqKKDaj2eVqiapgMswpi4JXX7nD+WXEcjrjcH8PrTUXwwgtBI3uLm5YorTAcXyWq5TZPGIwHHN6OaayPPpbO+RZRp4rppMZ09mUJM1wLR8Lo21shk1WqxVZZogFayzKzVtXuXf/s/qgaqMdU3z7vk9ZGl3Zzs4Oz5+dcHJyxnSyqNN9KlzPwfNCykLRaLQQwmJweYHWkm67R6vRYTSYEOWpaXTUCVhFZjTm66mTFAJLgG1b+K6LE5hRcJ4VpIm5B8qiqrXiknanY+59ZXLCpTTcO8e1iSMfhJEWTKaj2lBX4q+MNrXb6eK6Ngizdk8nC57Hp+xs7fHNr32DKi2YjqekWcrh4QHTyRQl4Pj4hKIs2D/YxXMDqmpMHMcYhJtFGAZfeU35yoWdZbt0wiZRlDCfG8ivtMzmJaz15irRmCB1NUnAapAVS0oVobWiq00uouM55EVuYkqkg+f7zOYz0ObPJmnCG6+/SVmU+HaDqqoYj8dMJiOz0druhmmmlBGUu3VUDRpc12O5nCNsibbNhuF5PkVZcX5xadIC4oQkL0zIc5njeJ7JjMsVQtvYNQi5qkrCZgOQpEmOHwRkRVFDhs1pQApdd4zMZrWKVoymEY5jkSQxRZHhOZ4pSps2SZZw1GlhuS7DyRhtS3SlUUITtpq0Oh06vQ492eWLL79gMDRaQ8teo15q3ZnWxiChjK7j5dQBo3NQ6E0ni42u6+Xrl80ESrzoyq3HnVIajaAphgyTp6pKHNsiSVPOz884PT1BCpeyVGRpQlaYCKuqMt2mOIlRNftsPe7UdacrSVKKrDSCZMel2WzWwFPTAdEYJp5d365rl++6SDNuLsl/3fVyrNc6pWET8l7/nvXfCwZwnSTJphiwbXvT6VubLDZFXP3fRtcm62J7SbPZQgtNnMQgjF5D6Qql5GakbQpJ0wUUwsb3fYrasu/WIdZmPO9T5GU9Ejeg8CzLNq7olzuNniURtdFp/brlSzxDS5qRfxiEfOtb30JVimfPnjIejw2nybJAiVpi4OLUgeRlVQ8zbQPt1TW7TtTyB601QpcsF0u2trbMmLN2n9Yl3AsdpzCT4Xrub44coibw15/KVr/PVq/P559+yl/77u/ym7/x6zx4cJ/hxQX9bpedrT6DiwuCMKTZCNjd3ebk+MTIIiyJqvEXSlVoWYeq8+LgUpYlUpqOz2/8+q/z85/8hNVyCVozm0zZ6vf57NPP+LPvfQ/Hcjg6usLf/Q/+Lh99+jnf+e3fRSCwhCCvzIjeHKYElfoXzF5/6dLakAW63TaWU7F70KG3E5KkSxq+hyVcJpMBZVFw5fA6VQmjwRSJhSUFlxdnBEGD3d1dotWS6WxImi2ZL2dkRcXZ+QVKKlbJkqzMsVyfTm+LKsuQZY4UFp4Xsrd7QLvVJokHSGk0xOOzc5Ams7rZCdBCM1uMqUpFkRvItG1pPDdgNJrwZ9/7Htdv3MD3PLI0wgKSLGMyGCKUhrIizQu2e9vcvHGHqiqIlhnFapfh5T4Anf5n9HvbBH6Lvd2r7O8dcnJyutFfRlGE7/m4nofrejSbLaO/DBv4vs/F2RkX1oBef4tet2viER2XG9evY9s2RZ4zn72A6GpVJ96UFZZjounOTk/p7/wpQThmtbyOLr5NM/wxlqw4OX7OxdkZ3//R93nvg3f5rd/9LQ6uHRhOp2XR29ri7XfeZXA2YDGJibMCVdYdY2lkLo7j1AD/dWLOSwlKWtcB9SYFpCgytNQ8efKEsOHS67eZTSecX5wDgk8/+QxkwcHhLrNpzNZWjyxZMbgc8uzJiL29XTqdJTqfsr+7S6O1R5omLIYLHN/h9mt3CIIGJ6dnJjZytqTQUFSaKIt5+PARX+v0ODw85LPPv2Q8npjDoTTu+3WkqG3VJkKTJl2vN+aQaiDr5rkLwxDPdamqku3tbc7PL1guloRhSJ6X5LmBxG/v9CirgqIo6XYa9Hp98qzg8nJAtIpRWUWelKyWJjNblUbDXeZZvR6upRjmPfY8h2YzwPd9rDo3vtPp1rzbU7LMgOMffPkQaWmKIqMocvIiBSoc1xzwd7d7lGXGYHRJVmQ4jqDZabK11TN7XRzz7NlT4ig12a9K1O+T4dZa2kxWOp02ru1hO8ZEUxSG6Wf07ZKq1GR5RKUKPM/B8/4lRIrZtqCqciNUdSxc5WyqcoQ2HTshiNIlaaWwcwVOQX+rjeOHICBJK5QSWMKiyguk0lw5OiSaRczGM2bjOb7r02132d3e5e6du8SrlEYjYDgckef/P9r+LMayLc3vw35r7fnMJ+Yp58w7161bc1VXV5PdbFLspjg01RQHydKT4Dc/GZAAG4YfbAM2ID0YEC2JMATJHCBZIm2y2fNQ1d3VNd6qunXHzLyZGZkZc5z5nD3vtZYf1j4n8jZp8zZgHuBWVmZGRpzYsfda3/q+///3L7HONvufsXpNKgPKCLKiZDiZ4Hg+GkGaZZSqpBlGNMKI4eUl8+kUIWpYaaEwZUnkBzgta2e3yRkleVnn3WpJ4EW4MkRpi6PI4hRVKbQS+H6tS5OsTATT6YxcxWRZQpwmBI1oNf4qdYUUEDUDFCXDySVGKIKGz9raGjfv3KC/3iVo+SwWc8KWT7XIV8Ja9JVAW1cKo6+KueUY9YX48U+MfWTdGeGFYm7pAl0WO0ujhf2Z2zSIrLQ6pMXCcn2UUpydnFhgZGldv3leIIVrcSOuY80A2JHpStTvOLiuV+vK1CqQ3fc8HGmLcilt1Fiv22VnZ58kjplPZ6hSE4R2IbffvzVIFEVBWdkxpHnB2HH1MithvlPz8VzXXb2v5bWk/hjqEXNWC+utNs6ti2an7mJau3xZ2sapXhV/3qrgW45JK0dRaatBjSLPOnjzElUq8JdJCVCVikYjQhqH2XS64sd5vkej4VkNnVFoYzfKLM2uCtzl+FnauCLf9/EjO0qTCFynLmqVHf26ju3A7exs8qUvf55nz5/w3ns/ZTab4bkBBomqOYGe69YsKo0U9jCjtEJXdmSstR13LLV0wijmccrGpltLiJbdVG2RQFIjrTrcaiWNxkiBcawe0AK0wfEMWuS8/sY9vvft73D4+CGvv/IyB9vbHB+fUCQp7UaD3e1trl2/zunpGa2mZVdKV6JEra4zoLAj02XX2hbSCrBdva9+9esEfsh0PMZ3PdbX13jt9dfpt3v8w//2v6fZavLlr/wMH3x4nz/64z/h+rUbbPTW7LcgLJS5yBOEBWzY9anWqBqW1+AqVm75XK7GLK5Lt93mcqC5vLggL2bEcYdGM2R4MmF/e5dmY5uLs3M8J0KiaXd6+J7H0emC2WJGVOQ4rk3IcIRDp7WGVhI9mzCdTlnkCXGZkquSQo3YrgytMCRPFlbTOpng+j6uHxI2GlSVgaJElYayyinDCBoOlcqZXi7IixzXD2qoc4AwkqYXEAiX3Y1tDrZ2+Om7PwFhWMynlFnCdHxJPJ3i+QHdVpvxYEiapSSzjD/+vXW0koTRCY3mc4yBbqdHv7fJdBqzWGRI4ZJkCZ7nsLaxRlXZTnUUNVhf36LT7TEazzi9GJBlBbdfeoWdnT3KwrotNzY2KMqS2WLBYDBAlVUtqgfH8ZDStc0KKgplCIKCr//5f8Dv/+b/luH5/5LtvXdw/d8hbISkScZwPOb3/uAPeHLyhF/+q/8Ob372TRzh8eTpIb7rMxrOaPd8Wg2HtfUNnBrgiwDP82vdXy1/wdRGMQ1GgdQIqal0znB0Tn8rZDEbsJgb7n/0U1rNNsPBiKeHRyRpRqPlMbgYEycx6xubdLsbXFwkTMYzHCfnD7/1fXqdNdqtPS6GFecXQ5JUsd/eRIkmRjbxGm1KKfDbTcJui2al8EuF49qio9FoUWQZ8WKBEA6udK2GEYPruMR5SqlKQNfjZcHl4JLL0TmqsiZCx3VsQo/rMjgfWL6l0vbgrwAjVweunc1dlK64OL/EwaVICmazBYvpgsloyqAa0wyb1hilIU9zTKW4cr/Lel2SCOngByHNZosgDHF8Fy/wOXp+wuByxMXFgDy390NVlSDsBGK5xlmpTZv9vRu0GgGDyzN8J6rjETVba7uEgY8u4PxoQFUp2xkWLqo0bKxvEroeR0+fcn33OrtbO0zGMz5++JhK5Whtr/HF+RmOKxlcXpCkSV1Y2txj999GpJgjYT5fcHx8hFnmcmJxY1JIWu0G2pRMZguiICRsukSNJkHYxG/YHMnj56eki5x2s4/v+rQ3uwSuz7SY2BtEaXY3t2k1WlRFQa/b42CvRRoXPH5sKfjUp3979BG4no90rKNWKUUVx3hBgOsHSEcSOIKysnlsCEOe2Q6aHS1afk/geqiirEX7Vb1hlvh+wDL2SCuFqgRFkVKk+WoU7UtrORc1PqLIC4RjcEMHhcILA3w3wCgbkVXpAt+3RHelC7IiQbrQbIU02xGtTgM/cilUjnQFQeiTplaDVBQVGCtsVZi6+7PMpLSLgxWhy08UdC925Jw6Isl1bXdICFGHyscrbMDy46o6C3ZpOkAYGo32qqDTSuG7Hq6QONgCRdc2d/RS02fHebZcc1CVZhkzJYXV3FVVRV5lCGFjtizstWB7ZxcpBB99+BGLyZQ8K1aIj2WwtF0gLQVeq08K5C2MWF9x0iSEQbBKcVjGb1l+39W/WRa5qqrIjUbK8AXDhdXCua5DEBhUaeOUbGSOrkfZ9uNsOWMwEoqqwqtqM0JZYpRBGAnCciGzrKARQJmXpAsbhxR4AeDgB74dtys7ao3jpB5J18BuYfNKETXDz3XxgwDXdVYjT4ntJiyvhxCGz3/xc/R6bb7/g+9wdHREVSpcN8Bm4SqUNvhhhOf7zOYLez/UgmhTA5KXKTBa28XckQLXC+zC79juiFFX+j5baNdXW4Irl/05hZIajO0EFlXB2eCY+w/fw1Dx4x/9kBt//Vd49d5LnJ+c4QrrdJ/PY7qdLuPxhCDwa/G81R8YIWpjie0D2g6p/dJWp1MipORHb/+Y0HepipLNjXU67S5/82/8Tb71zW+SzmKu7R4wHI747d//A3zPp9ft02g0XhghG8oitxtyXTh+UlP3r+rqPvmXMBoMmI4n9DodXFcQz3J0JUjikgsx4eaN2+zsRDQaEaenz5nHMa+/9hJRv8nZxTn5IiFshviORxAGVEoRNprcvnUTXMFgOmEYT4jzlKIq8X2ffrfDdDTi+Pg5WZaSZCnT2ZTh5ZR2o0e30yLPSmazKa4M8Z0ma71NytSgdEXYCphNpuTzlCKdI4RLKlNODk+JGiGqMKRZTOD6hDWrrN1ss7uzR7ZI+OD5CYeHz5iMc9754V/CGEkQPcRzLpGiBdhIxSzNWSxiiiJnOpvR63YI/ABjKhaLGOm4bO/sEscLhJB4QchsnpCmFvMjHYeyshME173a8mxyhFd30HzbSWpIKi1wPIWUmkb0AMcpKLMO7dZn2dr/gPFkTpKnFHlOVSkefvyQP/hmANpw99ZLrPXWka7LaDQnm1eEsonr+S8cMVmtW7A0hNX3Qq23FfXeWqqK6XzCrbt3OLj2OX7y4x8xGY0o0oLZNEbg0muvUeqMxSJDaRiP5kSNNr7fRlMwGafk6YzJMOeVl3P66w5JJnG8DmFzndOzCe9/+McUVYJBkeYZcVGgHYcyrxgMBjx5/IQ7d+5RliVJktKI2ggjUNqglJVvGLQFdos62choVF2s+r5PIwro99t02x3KMmOxWBAvrGPV93wWWYIxNvfb9Xy67S6TyZh2s02WpMzGC87PB0xGU9IkR2iH7mYXpTQnR8cYpfCkYxsFnofwHJbGRt/37FoWRri+NfGo6axOfroyzlnJjcFZ3ibC4Dg+7XaXZrOBVoI4zlGVROLTiiL8wMWXIY5xKeKKIrZrZeBZHmqv06PVaNIMQlIFo+EQoyFJUibTIaCQrsNmf5M0TVjMZ/X0pFrJbZZNmE/7+tSF3aNHT1YtVc8LsGHsot5IBFvba7ieZH5/RNgI0bpiPJ5ycXlJsxuxs7vLWm+dhZNilKTZaFEWitF4RJLYUa3nuYxGQ9I4sZgQ1+Pe3Zdpt/rM54t6w1wS48VqTOUHHghTh7ZrKlXQ6bRZ3+izWEx5+vQZBk2326Esc8AiH7RaiirtSb6qSqIorDd+RVqnCZSlYjqZUVWqhjfaG1rUGAeDsAJw17GpA0Kxu79FMAmYTxdoG4FKlVcoXaKNT6vdZDgakGUxjUZIENq0gDxPcDMwjsb3fFqtpnXNpRl5lllNS1nVkVFX7XurSRdXm0X9+xddoFJKHK9OpKidgHmeE8cxZVWRpSlGWMSG0dqyvRoNWq0WnU7Hhhljb/5kEaNVPbItrbW9LDSmUnUihr0yWuurjtILouAXO4Y23N7qlaqqwhGS2XzOd77zHXZ3dqiqik6ng8Dq3oqi+FfMIarWmC3Bw8sC1mJNrIZN1gDnF3+1xV/1iWv2YhdvqXFbQo9X17EebVaioqRcFcGfcN0CVVVYkLKASiuQglJVFMpGIQEr5EqlFAaD63tUSuFqhWPclXGiKAobq7d0eIk6bF46OMKOgf0wJIxCHM+tP8beDwJqp3dF5FgtyOfeeos0S3n+/BnT6RjHdQh8W9ghIC/KVXerLPO6aK8db6LWcdbj7dWmZBkmbG5sEvgBeZquOrFmda/a9++49uuYegSLhEYUsbu9zcf3PyROFrzz03dY76zzg+9/nzdefYPXX3+dw6fP6usvmc2m1hgiBGUdA6frNAqj9RXP0XFWusElX2s5pi3KAq0K3nzrLW7fvsX1Gze4uLzkt377t3j51Vf52W98g3/2L38NYzRvvPEGAnudDEsJillJA17syn3aV1FYx1uW5qytt1niYapS0YgaFHnO+dkJ3W4XREBWZKxvrdPfXOPG+h2+FAT88Hvf5zMvv8ru1raNeru8tPdylZMUKYsiA99BeBbnMBwMKPOMJF5wfLRv9VvNDlVheO8nH7JoZ7x87w32dm8R+Je1y9BhPslZ7+/R7XUIIx+h4eMPH+D1fGazmG6zz97GdYQHx8cnjIdTOr0WuzsHKG0YT2ZkaUWZlrT8JpET8ezyJhcnP4sQKb3e/50yLZDN+r6PQvwgJkkW9aRFWQwRgrW1NcbjMRcXlxwcHHB4+IyqpvtHjRCDxnHcGq+kOD8/p6xsR6nZjAiWhonZjLq/C8bgSEPge0gBZbnADwZkWQfBNe7eu8loPEZR4oUS4Tisbdr96V/+2q8TBt/k81/8Il//2Z+l1+7y8Ogxo2TCVz//9RqIbp8Pu5Y4ddf6X59xDRLH8bi8GDAeryOdpi2EKs3R8yNarR5GGSuNEB5KVWB8jo8uCcKU8XiO0YKi0mxu7LFYJPzwhz9mMU+4fuM6jgOtRp/j82eUeUWWl0SNAFTJYpYwHFpgsGsEw+GEXm9CUVS0W12k9CiLqn6Wa3RUjWBaBgxZrayxJiPHtb/W7mCjNWWRU1b5SsvtuDZqUCDZ2tqk0Yh4+vSQXm+NqtR89OEHjEZja7r0PDzpk2UpRS2nkkJgaue/cARBGBIEwYod6vlWc66NZj5fsFgsat328kBWg+udZZ6z/d60ZsVQFOKIdrNJnmYsFon9fLrEGMHBwR6NqEWlMpsfi6TZbLO5uY0jPQaDIWmSIhQ8fvyI+dxKCtqdJp1Oj+3tbZ4ePieOE4SQZFluNdRV9Wcq6uDPUNgdnZzYEZbRSK3xXKfGWEj80GF7b50wDDg+f0a70+ZicEFa1K3yVgvfjWi2mmxthpwenzMfp+R5SZmXtdbJEAQ+RZ4zGU04PTlleDmg11mn29646tKI5QJtSd3LTdqiG1wMNkS93+9w8+YNjo+f8+jRx8jatRoEjbrdWpFra/UvKw/Pd2h3WjVQNmKxSIjnBa5rHVzLrgoYtLLh3b7n0mg10abCUJFmKaIylKqgeprh+pJur4vEYTKZWWhvkZMXBReXp2htSFLrGGs0AipVIITGD1xcX6KVIQpD0JrpYEK6SMGIlc7qakO9eglRPzx1cbPUlUFtn89zwG7WaZqudGZKKcIwpN1prTAdrVaLnZ2dVb6pUhWXlxfEi5iijnPC2Fa67WjWD7e2HbBK2zGHfCGoXrxQFL24AUppGYRlWYJ08F0L+R0MbEYxnm+zcoMAISVlWVw9lMagS+uCUnVxJIVcOaWX47lK29OorJxVcVEtEyle0N9ZHuLVfem6zurPvRpOvOLomavv58XNfcnJk/WBA6NqTmNAnCxsFJqy4n7DVRGugVa7RVpH0LxYzBljY8MsYNMmTARhWHfDhAUpO84qyN5gVtcpt1ZiWq0WQeizd7DHa6+/yrOjZzx6/IisyPCCsEatLDcWQ55nFPXIe/nnq1xXQW2gqOHD9ulgOp7Q7/XAGCuQTqyhw6i6gDMC41ihs3StflJjx9WvvfEy169doyoTzp49B23Y29+j11pjPBnzCz//C0xnc959731u3brJ8+NjXnv9De7evcsP3/4RqipJ4wVRaIvKPEsx2ga3S+na7qbWVFVRF2SaJF7QjEL29w9oNFpkWcFv/uZvEzVa3L59j+9853ucnJxw+/YdLi8vOdi/hh9YB7gUgrLWgy5d13/Wl8CgypKyyJnPZ+zub+K4kiRNCEOfm9cOEEKSZzlRI+TO3dvcunuNMPRY295gPJkwnAx59/2f8v57sLa2jhdY/uVsMuLdD97j5PKSqNPmxq1bbO/skMQJnuOwt7PN3Vt3OT09od1sc3E24KPex8SLjMHlmDQtVp2u+eyCNEvZ3Nhge/Maa80O4+GYYmGZpU7lozPBu2+/T9AKkMJjf/c683jKfGpjkg72bpDEGWVSsrO5zcG2y7/4n/4ySgV0Ot8i8n8A2sP3AqKoCWgmkwGz2YggtF3oPLN0gmazQ7xIOT25oNlok2clh08PuXHroEYWqZWBpixLJpMR2hhKVdFqNum07GhxbqwDMUsTvMDg+pow8pAixOgRn3nrf8d3//i/4fvf/hVu3P2Azd0fsL3Twx2DRrK5uUFeFqRpxjye81u/9RuMR2PefOMtNvrrbNza4aW7d2sD15W+kxcKOiFFfVBfWcdBCKrSMJstmE3nOI6i31vj8aPHPHt6xMG+y3wWs77eoiiwz7hQID2SRYXvt4jCkl63w5e/8Dk++OBD3n33fZI4Iwgi1tfXELicHV/wzo/fs5DzMqPVaSOkiyt8oCLwfFQJjz8+RJfQDJtW11baws66+QVVnTG+JFMuD3EYqyGvygpdKcLA5/bt60yn27zzzjvEcYpWhZUAOYIoCNneXmc6nVCWFWEYcTo6txFf0iUMAkui0DAaD8EYGs3IFo6ua5OifB8nDAj8gFa7iefZZJO8sPiYqlqSBfTquXUcuw/ZaDq90ggKAapSFEVOEBT0u+sYTxAEGt93qaqc6SQmzw7xPI/tnTV2drYQxjJWLy+Gq/QJR0guTk+pKkW32+Xa9Wu0Wm3GozHPnj3l4nL4gpTqSt4j/tQU7t/0+tSFXW+th5BWJLicpJRliREKy40smC0WNNsheZFZA4WxFyrPDfN5Dsrj+rU9zsXIjjiFg9ZFLb60N4AQNmzchg27K4OG47jUnoF6jOquEAuOs+zI+Liej5BQViVPnx5SVjlhFDAej+DS6l+M0auiwBioqpxOp0On0wCg2QxrR15BllaMJ0P6/TU731/MVyNGhcb1rAZpkWSUKgNtZ/KzeW5NJdgUACGwv3ehyguePHlYR2RVRJFHf73DfDYniFxcBzqdFkmccjYYspgumE6mK07QKu+1dhIuVRqOtNgVpwa2LrtIy3busjOEqAHA5bK7IWg3W9y+ewfXl+R5ttKKaV0xGs1JkhiAi4tzFgsrMK9KG+QsjB0nGGU1I0IIhFPrmhxJr9fDpruyukGXD9OysAyCgEajaU8oRYlXF1HL2k9hqMocPwjIqoLKaCpjbFZjDWKutCJJk5VBwFE26UKhVgiYZXEWhSFhFF3FqolPFsPLws2CZ9VqMV6iR1bFXc1iW/5ea107mSBqRDSDFr7nkmUFlRK4vo/0fXTNh1NlHXHlugjHJqMIHBzPQxvDfD5nPrf4HnuPdphMJquff6vdotVuUyprVND1va3q65vlOUkcg9JsbWyyubmJNor9gwNanTYPv/uHnJyfsEhjVBXXo1DAGIIwRDhu3eXStS5SWdqWqZlt0t5PjqQGHisrezCGwPMoswzPdcnzwnbn9BUDEWmQjiQMA3zP5dr2NX7l3/vrfP+736EoE7prHfrNHheDS4aXEx5//Jh4Pmd9fZPxeMz29g6vvvoqaZpy69YtPvzoPlIIptPpat2qSpsXW5YFUmoLQtfVKhlESkmapWxvbbKIY3r9Pn/8x99mOBzx7/3qr/Leu+/y7nvv8Uu//EsUSvPrv/7r3Lxx2/7M68xq6xYsPnGI+tOv5d+9iBhamVmMYW2tS7Pt0OoGOB44Lhwc3Oa1117l5vVrZFnOhx99RLvTRtEmThccnQ3YN5aft7u3QyuMeH74lFv9W0TNBhrNxkaPwWRIo9dje3+f6zdvkWUZLi6hH+LIgCLXnJ9cMgsXnJ+eUxYF+3v77O0dcHR0xmQ8p3Isf7IoNQ8fHnJ2MuDG3i6LyQyVl2ROQRg0KNOKtMyZLGakKmZrex2jJSdHpzieR5kbfD9Ehg7CRPzB7/48jz/+MlKm7Gz/YyQOvhcSRS0cRzIYXFKUGds7GzY/HBfjWPaf5zl1xzVnvpjR7/c4OnY4Ojpie3sbrRWzuZVwhDUyaz6dk+WpBfBGIZ7rEIUhRZZZTqUuIa9w3bZleGqF57/D+ubvcnH21/jON3+Zv/p3v8Pu3jpaVnV0ICR5ieNLQidgPBny4KP3KRYJn/vsV7h764bF1jQ2CQOrs1tC0u2B12KzhBRoszzoSaRwqAqFBf1CFmcYBev9TbY350RBg8Qpabc65GNFlsYoUxFGkW16OB6NZsDW5g5xnDGdzqmqkvF4yHe/+13efPNNGo0WqoSDnRuUZcnjw0Mml+lKF2yMpnAVa71tPM/jYnbJYjGlLO06KFwPWScK1VPkWldKvSvV+4ABVVZUVYnrulzb22W93+Ho2SHJYo7RBtcN8FyXtX6bNJ4xmaZEUYPJeMr9+w9skRdERFEDXWmqIsP3rKHQNnfs2DWKGnhBgBdEq4QpYzRlmVEpRZamaG0zpZW0nVE7ZbHTQNd1AFU3Beyfdzpt1tbWqKqCyXiGxOqjPTdASsF4PCRJMqQ0jMYDRsMxnuvZ+qKwOKi1bg/PdYnnczzP59q169y8eZOysPDl+fzUHlqkvZ5BENTJRBa5VhTFpy3XPn1ht7G5RqWqevSiSZKYSpe2k2YE03hoNQNCM48X5EVlI118l/k05Vid47tjfLfFaDhFSo8wcEgXGVpLJJKqNOhK0G71aERNGlGDzQ1rzV4mDwB2Dk8NRtVLRIVkY3Ods7NTQHP//ocIAZ/5zGtsbKwjJbTbzRVaYjqdkiY2NNgPXNY3+mxsbFgtQKPBbDrj8MmxXfjTDKVL+v0uRZGRpqUdlVQWxuv6Vl9gh7I2SkT6gqgRUapqVbwIx+AgWW/3mc3HPHv2jCSdY1gjyxYoXZCmCxwX4mTOfDbn9PicLMkpixq4mJdUS4fVsrCVEtdzCT0bfSVdWzxVVbVyTpZluSqm3LobBrCzvcO1a9fY29ujKHOEI1jfWKMoCu7f/4jT01Om02nNJmswGtUnpEaDPMsoC40rbXdRKIfAC+n2eni+T6lsIRQEAdJx6hPl1Qa37G4tCyfPs6eTonYbLgsmWUcuLT9ma2uLoihI6lGyUQppBG6W1cw1hXCcelRZZ3LqT369qqrI8tw+OKuYLbm6x5aduSDwV/fYssh7sTBFg+u4Vx23+mssXWBB5OP5TcpKUlQlThDgByE4DoVS6KoiajZwpWdjoMqSqszwvRDhOOR5TpZlK4frsiUfBAGiHjcLKZA4IAVFanVIVT1az9KM9bU1NvpreI7LZDLB9Rzu3rtLVqScnByTZqk1MQBlpeqsVbuwC8fFcT2kFDg4NTNvCarW1u0qwK1J+lJCmiRURWkp77IOPC9kTdK3C77BoLRCCoEXeWzubvC3//2/zfbOJoPxBbN4yt7GNn/+Z/8c2bykSjXHT0/4rd/6bfZ29zg/P+e3fus3ka7Ho8dPVgtfu9VgMpmSB36tu6zq4O8C3w9xHEEcL0jTdDUyD0OfvYN9Wt0+Dx8/4ePHT/jFv/iLuH7ARw8fsbWzS1Upvvmtb5LnOZubG1daLWEdbmma1mvTJyUC/8aXAOkYup2IeZxSlTFCOvT6fVxXk2cLyiJn/2CXi+Elg+GAVrfBaDrm0eEjrt27wVq/y+5iB9cIFvMpBzcPePjxQ27cuEHoemxubXF3Y5P+xibD0ZgHHz5AGsnuzh6lr9neWOfrX/sGp8cn/MkffYe9nT1u377HdFYwn09RqsAPGiAcXDeyyCPH4/x8gC8k6701JqMRqYrRRuG4kqjVQi0K290vc27cus7O7i5JmpMmGeNxyv33b/L7v/c5pMy5e/e/YK33IVnWoNno0O30OTx8ysXFKTu7W/T6bRrNiLKoSOKUMAjwPInrWd5goxHgeR7Xru/x/Og5k8mYyWSC7/tMJlO2t3eQUrBYzKxrfT4j8D3CICD0fXLfsvkUgJTWCKYMRVaxmE3w/T9CiF9icHGDZLFJq39Bo+FQakAWOI7tyO8f7NGIXFRaMrg45vGD93GNoNvdQr9q46+kEESRlUwYrEa9WlZF2F+s3MhBCNtpSuYpyTwhjAL63T77Owe0231mo4S17hppXjKLE6RxKXKrjw0iF6UsriOez1CqrFOjSi4uz3n48CGO43H45GPm8xntVo8ytYVlqfTKVOLgce/2yziuy9PHR6AF7WbbSjQMONKzRUe9Btozn21+GA260pRao2RFvIihNlx5rmRvb4vTkyMc35IuWs0Gnie4uDil1d5EIHjnpz8lywp8L6DRaOE4PrN4gi4zyzkV4Pku0q2bAZ6wkqLQs4xbz2U0HlJWBUmakGUZGGuWsYU2q2fWdV2EFDVs2K3X/YJ+b52vfOUrzGZT3v7BDxheDuouoL2mUdTE8xwW8ZSyqDg+PiMMAtbWNiiLivl0QRbn+J6HNJp22+P05II8qxDCodFoWoya0paXKyVhGBFF0WpfSpLBp1tT+DMUdkZUFFViH4TAJ680OskxQhM2myid4QUNyioHIeh0eyhl31xVlgwHUySCduuUqtJopfH9gCCIyGOFQVKWBmkkqpKkScHB3k22tnaZjCb1IuzWQFy7WVsLse0eGJZdE7spx3FMGPp1qoPm+vVrbG1tIKQ1SIzHIy4uLri8HFi9ReDXkF17kvJ8l7yMCfwme3tbPHt6itF1i1bWZgBXoNFLB4kNaPc8gtAjaFo21mI+t0YIoCgLijzH81wm0xGz+QTPk+RFQpJK5vM5jVFAWWVcXgwoS0UWZ1SFNQGUeWX1euZq03ix07Q8AS6jnZbF3LLYkFJa/RmCRmCjfW7fvk2/37PcpCyl0hXn56dcXFzw+PFjJpNJXQgp+v0ud+/d4f5HH7GxsYGqSpI4sToG38cU1iDRCCMabcurS7IUXdoTC8txbP2el6fWsjbOJEly9XCZ5dgOpCNZfsuqNgy0Ox3a3Q5aWY7faDgkqwrCVgPP87FjRFsUaQ3CtUknUsoaoKxRRYGjKorc4gd831913pYJJva92mIwrzszy8LOxpUJa7GvdRD2gQxrJ3BFkWf4vktZ+eSlXfyCqIFw5KpItDmCS+i1HdG6rsGp9WCu69JqtfB9f5Vh6/o+nu8jpETVOh23jhCrqookSeh2Ohzs7Vv2YVFyeW7j8PYP9rhz5y7T+YzxZIyqN2OLonHwHI88TZjO5lRlSdSQ+H5AVodq2xHLJ93WcmkB1cbG2QHNRoN4sbDspzwlywqWMGktNKqsSOcJ1+9d58233iRqBfzgx9/jyeEjNrc2ePnll2i1m5TZnN3Nfc5PLjg8PCQMQjY2NphOplT19fnJT36C7/s2p7R2/VbVFbKg027T7fVJ04wsy2i1Wuhag6e14t133+Xh/YcMh0OazSYffvgR3/rWH3J5ecnW1ha/8zu/yzyJUUqxsbG5cn0bY8iyzB4w/gyjkk8urgWeD32/xTzN6fUiNjY6jEYjjo8OWe/1GI4GPHt2iHQden6brMgImyGPDx+RZwWdRpMvvvkWgefy0/fesWYyo3j3g4+YzucE7TZZnpGmOTev38bB4fjohOlkQTtqcXR0yF/+i3+Jo2dHbG1u89K9V/kf/+d/iuNq9q9tIaXLxfklRkAY2k631C5CaSazEXmZoZWi0DlhMyKdJuRliutaUHeaxGRpQr+7QZVv89v/8g1+8N1vADm37/7nbG5+E89r4QUNWs0WVaU5Pj5mNh/R7kSMRkPCsEkUNWm1GvR7PTqdFjeda3VHxa43Gxt9Gs2QNM24uDgnSRJmszkgaDQim8gjDNPp2BZ23hqNRojr9IkXC9KiwA89m+STFAhZUeaaVvu/ZW396wwHf53f+7X/FX/l7/5nBJGDm2kqnRA2PPI4ptkJ2OjfZnY5YnY55eToCXma89qrb6HVFV7Jda17XQqJdARSKdSyo/viOBZRT6rqTvTEHqoHgyGOCFHKkCQZQRDQalmOnK6Zm0tsUpLMCb0+e/u7ICqGwyGO4zCfT/nggw8oUkt5GOczykLb6YoxNcYMlIDLizFvvPEG3c4aaZoQRqF9P/V6XVWq7oDZA+9SA6611VzbeEFFPC8YXF5y9PwpnifZ3lrn+vV9ylLRiFr4XsB8nuA6EIYBR8dDZtM53W4fsPKrNE1QyuC7rg0CiEI63S4bW5sYIZgv5kyn85UGv6wKO4bNMyq1jJpU1rxXy7iAlaEwDEP6az2kFNy4ccPeN9pm+XpewNe+9jUe3r/Pw4cPyPOUtfUub731DdY31vje977D0fEzAj/Ad33yrKQRNigCZTNjQ597t++wubHJ4eFTnh6+y97ePv2+IstylLINmTAMbVZ5mjGdTjHGrDp3n+b16XEnnsCkFUJ6eIHE8yVCKqQwtDshyJK8SMjymLX1bZRymU1T8lxRFhVlofAcF0e6lvKsDc1mm8UstekORtQ4EUmaFvWG6rKYx5yfX9hRVqVYptQLbZCurAPI7ajo6Pg5aRpbLp3vIV075lksZsxm0/qHXNJohKz11xiNxivYrlIVi8Vs1eVqNJocHOzy4P5jrh3cZm29w9Hz09opW9m8OWFjzZrNBsLRZIXB8axAu8gLkiSuHy6NKyRKWar8eDK0IlFh9UpZltg83KpgsZijKsV8NsdobDFXQVVqdFUL7fWSF3SFTqiqiqSs0EZT6qsuodY2DgxhdRxOXdy1mi3W+j3KsuDB/QeWEzgZkauMLE9XHQ3P81hmX8ZxzO7enToipkXg32BweUkQBDSjBlJ5mMo6QbMsrx8WbzUSrpb5r7XWzvd8XM+1J1TUqnOn647Q8juUjmUlqXojXoba+77N09XGdksd33b8VF10KQzCdXAUvNhAsdiNq+xcjS2MtDHWQWsMpkaoOI7VLDqr0bBY6T2LoniBK2dHExbg7NcfU5HnBdMl100ra3RYGitqZ+myW+b7PkposqwuyEsbXxaG4apoSZKkPnj4hK0GaZaRFzaWLp9aPV2j0eDawQGNKGIxX3ByckKZF7g1Sqa/1ufgYJfRZMh4PCZN0hdctS7NZgtPOsRJhnQsNHoZ5m3F5cJqXetopKVb2N5vJXmecXF2Tq/X4/z8HLnkXWFZclorlNCUuqS92ebmzRvcv/8R733wU27u7jOdjLl3+y63b99me3OHhx885ic/+Cn3339Ao9kijBpsbe9YSQGCoiwZjyeMhgN8z6WqSqaTMVmek9dFV7PZwPUcillKsxVy/foNkiTh4uKCRqOJ53oMh8NaxlHwzk/fWQFVj09PKFRB1GywhHQvR/h29B5/Qsf6Yozfv/r6U5pYrCb39PQ53X7IfDZiNr+gVIl1bbqC8/MTnh2dUBlDq9tmMBwwn89YxHNG9ycYo9norfGNr/wMSMG7H7zHz3z9Z0jzlLd/9GOCMGJ9e6dGSXVx8Hj/p+/z9MlTbt26xdnpOU8eH3J6dsb6xjpR1KAocs7OT4gaLptba5YRKjSj4QQhLdRZBh7pfIEuK/obHdIkplQlpYJZHCNcQVBKvMhjPjNMxw5PH/8lfvKDL7CYN3CcCbvX/s/0N/4Q6bcJoiYgaLY69iCV5TSbTcIwYG2tj+v6jEZTOq0W/X6HbrdLv98myzIuLi44OT61GsCtHdbW+7a7l8RkWcrFxTlr633WN9ZIk4TZdGpNU7VONAh8ME38IEI6EkfYg1+lcnQFRZqxtv5fMRz+FZ49+jwXp6+zsfdj8iJFC0NvbQO/knR6TdpeQLWYsHlnH5TL4HLBkycPyfKMVqsm/eirvHOd5SvphF1+bOSe1laP2my2uPfSy/zkx0MePXqIUorpdI6qHKJGw7IzC3vATZOUStdFmazh11SkWYLrGTxP0uk1LKpMGILA4dV7n+H5sxMm4wVGq5o/aYtKVVVkRc7p0Qmv3HuFVtSkyHKqvKLMctxGiO97NKKIPCoYDkYWI1OVdRGlQWtwLGYpDDzSJOXyYkC7HbG5vcbW1gbj0ZS1tS4Y2+DodjsMLgecHJ+wu7tHEITkWUGaFiRJiue6bG+vs7bWI8lSWt0O125cY5HEVKYiajYJPKs/ns1i4jiuZYuWaKDrbqhc7QOm1mQLNjY3+IWf//k63/ucu3fvcO/OPZ4fPeWf/9o/J43n7Oxs0WyGnJwc1QZHK2GaTicr2HHpWmj5YhaTJintVocgaNBqdZGOR5aXLOKUxSKl0ag4PHxuwwwcm5rV7XZ59OgRcZLQbrf/7bhiQVHkKb7nMp/OMEoTBh7dXpNmy8HzNYvFlLW1Bq1myHRSYEpFOk/Q2uBKD99zrbNTVXXouyZeJFZYXd/ESlfoSuM5PoPRkPl8wXA4ZjQYW3aWtjBgUc/APcdqXYQ0xMmUy8E5SZZQmJKdrS1661aPcnF+SVlqkjim123x6quvUKQFvhPgOi5JOmceD9Fa43o+QeSTZCnD8aCm7rv4vsJ3DYSehVEGgk4U0Ah8jPGRrh3IFkVBni2oVI4QGteV1HHkSEdeAWUd+5A3m007ajaSPC5IJjm61hOaStgi1gjLDKsUAmele7KuTmpAqgBhUKZCOLVzsh6rAVA/6I4wGErGk0sGw3PG4ymz2YxGs8nO3i5ZnlMpxWIypSorq02Zz0niBEe6XL9+kzzP2dnbo9lqMZ/bqBMtXAy1iUDZgOuyLuqEFAijoe64oh1LsEfi1NmgQqhao3HVEdMCBPakIoRYfT4pJVUdp4UxdDtdmo0GRVFQFJbi77meNX28kFixHKH6dRFojGWpSSERnlfjRyqMUuRKE/oeviNe6ORddUaXjt9Kq1rjKXAcD8+z9vgkS6nQxFlFSxmEG6yKO43GuBY/oo3B91zCsEk6zwAHowVKaRzHY319kzheMJ8vkNIWlr0gtOPwqiLJM0pdcTka4gc+61FIPF9wfnxKkecYNIFvM4j9wOfzX/gsG2s9vvedP+bi+IwsLjBKUuYapVOKtMTBwXVsR8GRLlmWU2a57Wy6Atet9ZzSnnoNlsVXaQ1asVjMiJpNFNZggrYjGimsE1ApA8bh5t5tLk+HfP/HP+DO3Zu42wd0wzZrrTUGpxPODkc8efyc9376Afvb13j53utI6dDpb1pIsoCiLPGiJmvbmxwfPWPx9ClJkhEnCQIoqpLTsxPGs0vAdvvH00sajRaeH+KGHnGSUlHURapGyRLhC+tiLjV4VvjmOx7tZtN2KDGgrRxDL5l1y6LOLGXy1lBicxepNahm5XkygOO5PHh0n14/4uD6Fn7gsbPTIwh87tx5Gc9pEycJs0XMq/fukasMU6UUyZy4TJglCZu7dxhkF4zyAU5T4AWCyWREripkpRlejoi8Jr32Gr/zW7/LfLoAIdlY2+D88ozhaMI/++f/gk6vw3z+gDAMmGcLqy2Op9bdt7POvVfucf/+fQ4ODogCn0cPHoA2NKOIDWcNlEGpiuzwCUmWcXw8Q6v/BU8f/wcMBzsUeQchC7r9H7B343+DMc9xvS2UgbLmJiIdsjwhbDQIfBeBz1qvRVnm5OkxotFAFSWXZ6f0+h1UkfH88AknJ2cIHLrtHp7jEPgOBkOv26TTaVOWFgprVInotgmjoGaE5VRVaQst17MmgixHmwKlC1wvQDoNPPeMRuOQJL7L0cdfpbv+Lnlq0CiKSrG+2cNxCypTYpwFm7tNttY2mA7XmY9dAs9BYPXAUki67S6BHxInCqPt9EuzjOMyoKUlxmvDjZu32D/Y5r/+b/4rjo+O0VqwSBO2trYRLsSLOclsTlkqtKmZlp5ES5tagDDE6YLzwQnbOxu0Wi3KUrG50aLdbeC5At835HmJ9EBpjYNEG4Euc6bDMfPxlMANKLIcpUuUyvGMS5kn+I0ISY7rllRlUdMfDI6pM3KNvc9Dv4XnNrk4nxLHKdK1ndTRaGgj7hS02y2Oj8949uwSITzu3r3DeDxmNBpZTJbU3L13i5//+Z+j1+tSqJLZfMbR8RGD8QAvdO1oU3rEi5i8sjzbJU1DShcZ2AaMlHIVYen6ktt3brC+vk5RZjQaPvfu3eH+/fscHT1jOp0ShREnJ8/IkgWe5/Lya6/SajV58PHHxMmCyXQBBnzXQ9cEiyzJQUiCRpNCad7/6MN6qpIync4JoiZeGKEAJSR5XqAR+FEDP4xod630ZjKZ/P+/sHMceOWVl+j11njy5DHauLTaPn4g8H1otV36/TWq0iFZGGaTBKVy0BWBHyAdQbfToigyojCk1WoxGEzIsrzubhg71qoUxggcqRlPxuRFgRB1LJM2tRvGgLTaMiklfuCzd7BFp9fk3fc0T58d0mw1aLaatisia+daldpiMk5JkpRet89pYjVkwlFkeVKP/QSzxYzhcARoLi4vrLFDOQjX0G41CZsRfuQSNRsrKKMjLbLDRoAIPN+zxYqwXSCLePDqItHCCx3psLe7T+BFxLOEPEtQlUFgW8SqLmZt4bdk1F1FY8EVINc6IrHdE2FqzcOyrV9jXYQtBtMsxqBt526thzGKRrOJ47g0mi5JHGNg1bkTCPLUolHsolCSJmk9fat1cpW1qy9Hv1V5BVMW2mIthLD6ObAjcbASW6OVzQ2tC7tlIUf9uZc5pEbrKy1H3amU9e9l3cly6vb68hqVRUFZlNbwohVVWdJoNAkCH6U1eWFPzHIZFI6xXEWtkYBb89Fs1yp/wSEbUJlqlYv4YmKH7/u02m2SMsfxPCtc1hWOK3EcaoNCbYCphcZFWbKI7X1elBYts9RYTKczytLyx4IgQBhBkdux41I3uLO3SyOMWExnTMZDVF7a7oNj3acCg64qPvvmZ0jiBU8eP2Y0HFt8jqbG2djRroPCc/1VkdJpt1eATCHVC5mWkjCKKMsCvUyFoSJOcvYPriGlb7WzWmKUXEIlkNLDlx7nZ5fMnkxwpMNat8d4OGJrfZPpaEo6K6AUfPThfaIwot3pkheaJFmwvrlD5Nos3CLJGY6mvPTyHdI04ej4BOl4truuNZ6va8lGtToQFaWBNCZA4xc+wjV0+i20rsiyFGVKWq0uApfFIqcyCtd3afoRvW535UbXxhaWV6aIP92pu/q9qP+n9i/ZtaLWCVsOmOHGjetsbfcIQluIO9Lh9PSU4+MTtBEs5gl+4BD6ERJJFqcEgccrr7yENhVIw+7eDkfHR3jCpxmFlEXBeDBApRWf+6ufY6O/TpUpiqpkMBxwdHzMPE7ob/TZ2tni4aMH7Oxtc+PWAZsbW/T7NsT8ow8fIF2DEQqEprfW4hu/8A3u3rrNH33zW9z/4D6dZou7t+9wPrigrOD5+d/l4/v/KVqHCFHQ7b/DtVv/A+3eN9E6QVctfD9EaWuwKUvLlnSlYGdnjyxZ8OD+Q7Y21+n3u6z1+vS6XYo0JUlj8nRhR2i+TzOMKIoKVa9N7U6Tg4M9pOPTaHQZjWacn53TaFgeoOd5LBZzO77UmlarjY9LkqTEyRwpFZ4viBodm3stFrRaPyWJ7/Lkwee496aL54V27TKajfU+VZUxnYzxvJKoaeh0XbI4pbW/heOI1S2xzC1eTV4ErFJZsGuCPRzbg/J4NOVLX3yLbmedh+khRmuyXIEYkue2M2mUwWhRd/0MRmikNOgaTVRVFsvUbre4dn2fmzdvMbgccnL4jKKMEbLC801tKtR2H3YchJb4nseTx4+5uLisJRWVVZQrTRovKHOL+MiztF5PllF10k5glEZrB5DEcUZRJJxf5IwnF9y9e4swDMmzHIRDpWA8nlAU+Uof9/jxY6pSIaXDZ978DH/rb/0qN29dBwcGgwEf3p+R5kktBfMQS0d/mZNlKUIswccunucSNqJ6+mUxXSBphAGe53JwsE9ZZnzrW3+AHwTcunWLR48+5vjYRoomScJ8OiXPM9brnOL5fMHl4IJet4srYTqZ4jgOnU6Psq1wHLeWs2TkWuN5Ho1mk8FgxNHxEZ1ul/1rBzx5/Jg0y3h2dISQku2dHaSUjMYjRv82CruvfvVLfPlLXyHPS37v93+X+XzKfDECUXHr1gGeb2g0Ojy4f0ieZ0wmI8aTCc2oy63bNzAYJuMRRZHhBBGXlxecnQ1qjMYyoNsKIV3HIkc8z7NBv0GwcrEtT75LK7J0JEHks7W9ydbOOq1uSKMdkKQpSZwQxzFJktQgRdsZjJOCo+entXDZfo5ev40RHRaLBdP5jCRJGV6OEFLQaXaRwiNPSrLEdlS297ZpdptUqiBbJNZMgkJ6giB08MMmrmshwmWV4bkeZamQ0iXwG2glEcZq0qpKISntQu64q/BiY+zmKalF/cIWbUve27KwW+rnlhrDZQEFBhtDaDcd13PxHInrSCtEbTYJfKvTmMUzRtMRbmbdzFrbTbrT6VldYNTCDzzKvKLyrZ5iNp1b+nteYgw4WKSFrkeu2tSgzfq9q2VnrN7UjAalq3qx0ysm2ItJENooSpOjhFiNKxzHwXUcHOnUHTdDWQOLl45cUYuUEYIgCAm9ALfutM3nc3vflZW9f+o0ixXDTruWxVSWNui7qlYFldGGNEtrTRVI5KpoB8iybNUd3Nzepr3UfMynOJ6DFI51dKkKahQDWhAvYmbFHFVoGmETXSnyvGBeY1csjylY/f9r168hHMHTkyOSOEYL6Pf6JIuY87MzGp79eEdKkAbPddFKs762xt3bdxgNx1xeDphOp/bnh0RrAdLBlaIexdQFSa2jCYKgTrqw95ayNyhxmqzi3xzXwSiX5yfnvPTqG7heQJHnCByMqrNi7Y8bAwwvx2in4ObdA6pCMU3GOEbiSYFjFCdPz8iTnNc/9xkW85yf/Pgddnf3asBnxrOj51wOBjSaTfr9Po8f2Wxjz/OoyoqiyutnQ+K49n0bVO2ks9259fUueZFRVSVJUiBdTeR6dHstVCWYTKfgSIIwQABhFNX7s70nkySzo+y6CMaYTyAL/vUvA8IirC2l3nIKf/jDHxE1HAs99V2isE2RCtqdPkrB4HKE0iXPjg559OQBftPhxr3rxLOYs2TO+fkl8SLm8PQQoST7W7vkac79jz6i1ejy4/0fsdZfo0gr5smCvCpYpDHXb17n+o0DLoeXfP3nvk6v3+P4+Dm+53Hr1nUGgxGDyTlOYGi0fNY3Ozw5fMTnP/d5Xrp3l/FwwE9+9GPbNa8q1tc3efz4l/n4wf8akLz86j8lav2Aze3vUekFVaWpShfHC/G8gJbXpKqs3GM6n3FtbxcZhbzz5AmT0YStjXWaUQNPCjrNps0kLgvG85itrU1ef/UV9nf2SNMcJ/C5GA64uIy5fuMm16/fpN1ZQ1WHNBpNjCpWhqjxeEyWZSt9reN4VFVMnlV4nt1jHOETRhFptuDGzf+SJP4sZ8ev8v7b/wG3PvN/pcQ6v4vMQpBnwwuu7/SQTo5iQaNttYgGG8kINlGm2bCuzWVerHrhTGC0XkXgGWN4/OiQb3z9Z3nt1Tf57nffRggHUxnm05SqrF0K1qsJ9X2JspB0gXXbl1VGEPpcu7ZvjYRCoVRBpVOipoumQCEIhW8/v4Eir8hUidKKvCyZTueUhUJjDWqVMhilWF9fI0kSG8O4XMPtAmkPzPVaXJQVUSNkOlswm4+I04iNrW0cx2Nto4cxkp/8+KdIx6XVblIpycnJc3zfdvoPDg74C3/hz/PKqy9xenHE86NnnJ2dk2YZXuBQqgIv9EHatThNUyuTcZcMUoebN2/y+uuvkSQxaZqSpxnDwYDFfE6eJbz37jsEUUi8iJkv5ty//yFlYQ9B/X6fwcUF07E1Mhw/P0JiSOMYXSpc6fLaa68wGlnzThBEtT7OZ7GIVxGUXhBQFCWlVkS1wSOIQrSAeRIzmc+YzKb0+33a7TZxHFuN9qd8ferCbmtrk6PjI771rW8RxzHdbttu4MbOvCPhko/GLBZz5ouESmU0Gh43bu5y68410iRjPh9S5gVxXJIsCpujWhgk1gJuHXQem+ubONLFaL0SMwopa4ebRjje1bxZWs3OeDpikQ1xfYdGK0CJgsvhjCRvMo8XthB0LXhXALNFiu9ZdpDnOrzyyssgDcPhJWcXl2RpQZlXpGnBSy+9QrPR5fmT50zGVsgYBFZ7VhSlDRtG4/sS4YLrSQwZcbqwfx54+IHEcaHX67PW3+Lo2QXxIkUYh1k5I/QbCGEXFkdS59Taos52tyywdBlevxLvv8Bfs6kTNZSWZUKCSytorYpG1xFEdZEQxymXgwsWC9slisIIXN+OBv0mnrTMKN1QbG/Zk/t8MSPPc3S1hL/a97ns0Fmcha71IVZLJ2u9hhSi7vRYbRE1U2xlk19m4NaL2RK8rJRCGVYgWuO6FAjCILDdKmOojEYuo7NYrp/156g00q5zaGmLS41ejahdz0Mtr520C0Dg+xRliSMkrrDWdj8IcNs+QRCxWCyYz+fkZW6L17qwXnbPyrIkS1O6a+tMJ1OajRZ+6FMUGVlRoarKRn0Z50r/GEikb5+BqmZAqjohI4oitNbcuXOHb3zjG6RZyje/9Qcki5h2u43S2i5iZUmn3SGoR572vdnvTWnN5voGW5tbfPjgPduZiFOUBiMdBA4s3ar1KH/pBl4acaSUVKbWBioL0i1LizJxXQcch0azyeVowCKJabVbTKrqCgViBAh5dS+4klwV3Ltzl8vLE05Pjmn4IQd7NxmcXTAbT3np7svcuXGX2TwF7fPmm28SBCGLeMHJ8QlZkdNqtzFG2OggP8D3Akq3Is9sUSlrPAlCYXcbw9bWBgc3rvHyqy/xk5/8iLPzIVlpsT6+H4JTgXYIGj5eFNHttWlHbbr9bi3atM9lWutJ6ztuqXytO3NXIvjlR9iXrr3BGmUUr73xBifnh8zmA/obu2xurSMEtKIuQvm02z1OTs95+wc/oaxKm1YT9nj9My8TFzPGwxnPjg6ZLRYYZeh1N7h78y77G5vE84SHHz1hZ3uHw8ND5vOUn/na18nKgj/6wZ+Q5Sme73I5vABp+MKXv8h0NuFidIxWJb/x27/GaDwhXsSsbXa4efeAz33hs+ztbvPgwUM6nQ67e/vs7u3z8KOHPHt6zOc//4s8e/L30CrgM2/9z9y593+jVDmu51GUEWleIV1J4LeJghaR16IsKvI0wfdcay7wXFqtBmGwz97uNoPLc0ajIW+8+goHewck6YQwbNNuRTQbPoG3RllqMlUxno04Pjnj+OQZnW6Pfn+TRrNhZQPGrl1L4fzyeQUIw5DAjxBibuUCuGjtIPAReHjuBddu/D/58P3/jI/e+Svs3/mnNNdOcIQgiec4TokwBUGgkU5BnA5YX7/NfFzWP3sNQhKGHq22RbosNcUvyjKXWasWmOtw//4j7j98zM2bd9nbvc7JyTFCSJQSFLnCqNLypOrkBkdIjFFIY3XOxujasOERNUIGw3M+uv8ee7t7tNsBiUhRRuL6Ac1mm0bUYL5YEIUtnj05ww0kUSO0JAxAaVv0YiTdXotut8dgMKgPthqlBa50cVwHz7WoGccVNVw4pCgMRjvEi4LBYFIXmrFl4wmXVitAOBWDwZiirPC9gI3NPq+/8Qpf/NLnODs/5t33f8R0PqMoSuIk4fjklIvBBXu+izYtms0Gvu9z585d+r01pHRq7bfg2rUDptMpZV5QZBl3bt5EVYr33nuXJEkI0pTj42PiulgVNUbp9OjYTkDq/XU8GpOlqTUwtTu1OatkY3PbpttUFaFvD+RRFLKzvcVsMqXIr/BjjUaDoix5eviU0XC4wprESfIJQ0dcY7Q+zetTF3ZxnDEYHDO4HNNf69ubBUGeFRwdndDrteoA34qqrGi1mmxtddjcXGMwOLUcK2whKJQVW7uua+OYKjvS0do6TIMgIEtz3FqwbjsPDlpY5tqyM6MtAwUjNK4nKauE4/NTpnPbaXN8C85EGoQr8BwXUbePHc9FSoPnu8xmUx4/foSmwvVcfM+l2+3SaXd4eP+xTaFIcsKwyfZ2yHw+tbmppRUUL52yjUaEcQxZnlDkc7JshpRgCGg0/PohE7iu1QRKKWyskYFFEZMlxSpyyzL66qVAm3pEUdj2fP16kbm2dMU6jkBIr47ccgjDBt1uF8/zUJWyWa9aMZ0t7BjcSIR0EdLgOj7CDazD0nXxHNdqgoCiqBgOR5RlsSpeirykyItVN9Uxy4OZqKfPVoOkdB1l5jq19sie3mwnUa8idZanPFN3DJfMPVtg1BIlDEqXlNpAZa+FMhrjSkRpDS22pjMrx4RU9ees3WIYsxrfOtJB1+Rx6VgjzpKr6Ls2bmj53payAYAgCGsna2l1bMsuoxAEQUCn07FGnLSgLCqiZlQ7vnWdzGFNHM7KzS0xlS3W8yKvtXd6VVgto9QuLi749V//dc7Pz2xEWrNB0Gggl+PnlraiZiOoloW3tpgWgcNL9+7RiBocHZ3YzNWiREh3hQwSxnaShKlW0N3lAWKlSVwOy4WFEy9zIZEGx5WkZYzIDXmV0OxExMkU1xe4rrHGFrA6UQSYinYzotdq8eF75xRpymanz2Q44vzkkjI1nBcXPG8f8fLLr7OzcwAIhsMB3V6X/lqPwXBIu92iLO3IfekOdrLcHhCFtmYPAUJIpCepdEGr3SAvEu7ff4+w6fLSazf58KOPGA1HOD5oUZKrAj9y2d7dZGNjHd/xiRqRjYszhlJpqk+0WupfXtDYiTpHe/mXQiiUxuphKxtpFzUb3L59h6fPodtbIwxbnJwcc1nOUKnh1q17CO1w/OwMpKDTbXHtxj5loikLQ7+9yQ9Of0yapZRFxZuvvsmXvvR1PvrJOwgj2NjYYr5YUFQKYyQVJS+/9hL/79/5NWuaup+zsb3O+uYaWhvyqqDUBds7Wzz9g6cMh0O7Ofr23woHXnv9Db75zT9irXdEK+oSJwUGh153k8FFj/FoH8cpObj2gMHggv76Oq7r1wWei9I2fcQPXJxaMlJVKaHvYnTByfEJ+3vbrPVtBNWTR0PCwEGLAulr1rY6NnHA08T5jCzNLV2hcsnyr3Bx8R9z//4/oNl8zP7+dVqtBktYcZ7nK7RVkiQrQ5TvezRbDYYjAejVOlwUlQWwl5qdnT/g6NnfZj67xfs/+kW+9hf/YW2gyzC6ROiMwNNotUAbcD1rkLGHCns/+L6k2+0QhlamRGlvHpsWcyWfWbI30zTjH/4//jG3bt3izc+8xeByVCNGJFWp6xxoB0fYPSEMQ4zUVCq3KSm6QrqW/3dxcYbrgTElRZnieT7Ctbm0Co0yOZVxEI5m/2CL4WCI48AinSKkXX9NfWBxHIdms0kcL5hOpzSbTebz2OpSpT2wauyvSKs1z8oKIxyM8Oiu9ZFOiDIOF4MRo9EYkAS+R6vdIM1T8uEYx/X53Off5Of+3M9wdnbCBx+9jxI5WRZzen7OxeWANCtwHJf5IiH0U0I3otvt8tnPfpZrB9fZ2Nik2WhxenbGk8cfM7i8IPR8Ls7POT46JvBtksXZ6dlKguT7HroquXXrFs+0stGOWiEcF4RgPJwwd62MYm2tT6PZ4PjkvB6h2yZMmuW22y8F3X6fKLSNAcfz6MznlFXFeDIhzwvysqz3fG1xW2FIo9lkY2ODVrv9acu1T1/YaSXI0pK1tU2uX79GnMyQwkNryWgwQytbfGysbxEFPWbTmDBsoLTi9PTEMqyE7R5cdXVUvdgttQd2xHhxcYlAsL+3t4pSEmLpOLsSr9uMP4dOt8Pm9iZ5OcO4Of3NJrP5jLxIaLUDPJ8a9ljiSh8bPyZptyI8DwqVMJ/PWdvosr2zTZykGAPJIiFJU87OztCVh8oVjUZIWRYssgWO7+IHDpUpKMucJFVIz1BVOVVlc01b7QbtdoMoChDCXu7ReESWJTiOi3YkRVaiSuscrurCznYwBaYyqMpu7tZS7qyKpxfRHEt0SJ0GhagZYqqCyXi+6oB5rqRSVhdmr6FDGNSMNMejUAqh6+5gdlVI5llBlqU4UhCEAVppiqxYZa4u9UNC24dYCmG/Tt0JC/0AZ+UW1TbweelGrc0zRn2Sb/didJauUSCm7uhVsqQQWf09S3RpdSv6hSQJi8ORNsC+7p68GGm27ASnWWb/zLGiZmpRrTVImJVmZOXaXfEAPaIgIKlP/cv3vnROuq6VEnzly19lvpjx9PlTpHDsaN0IdGXQwqBLBVg+3PJ7t1FhcuXGTdMUpRRBEDAej62Gr9VES7tRLPVvjhB4YYguKoKoYTuHmbYn0zJja2sLIQSDywHD4RhVaYRr81RFrfORwi7Gy40FrvSDCKyguh7zu56N8TPCYIyiqFJwNeP5gsnsgp29PoaMJBnjuCWqAiEchNQICUoVNBtdHnz0PsOLU7Z7fcajMUeHJ2yu7dFutllMMkaXEwb9AYsipqoqms0m0OTGjX0uh2e89NJttNZkqY3ysSYFm8VbuxpWS40QdrPsdtv4DY+jo0OcAMKmy3wxQLqaLI8ZTy5xRIOvfe0bvPTaG/z6v/wNbt+4U4PF7edS2qxGZv/fXrW3m2U4uv1wmzJQVAVlVdLqRFYbJBym00UNlE0YXY7QKcTzEm0cdCWQjoMqJecnIx59/Ij1rS6zcYYrIs5PTzk/v+Arn/9zfPzgOY+fnLC5sc7Lr77Oj37yYxZlxng8pvijnB++/zatbos994Cjo2eMHo1Yn/b57//Jf4d0BHmxYH1rnc2dTZqdNgcHBxwfnzIYj0jSnJAG5xcDGuEzpuMUpST7+7e5ce0m//C/2yfLPFrtI+D3KPKKPCtwXasbdHyD0AIhNVoXFjZelnTaAY4UeD5onXJ+dkFVdNnb3+HNz75Cp93CDSRxOSUpp2itmCQ5J8fX8dwtPNfn6OiLPHj4dzDG4fGj6+zt/33KKsEPPLRRzGYzK+dwreTH9/3aaW/3kzCs72mWMHu7T5VVRaAlgR/T6T5gPr9FEf8cezvfo7OumC1OSZMpgS8IA4GhJIoCprMZF+dLUoHt1Nrv0eq96iklYsl0EldRi3lunanzIuaDD97nJ+/8hH6vT9SICEMrIcnzDGHqAs/YA6Pn+YSNgNlsZAtet8DzNVvbm3S7LSqd4fsOcTJFaI88yclLu+9lubRdeylBKsKGlS3MF0OQdgxru96W3xrHMXme0ev1EEIwmy1WfLlKK1wJjqjHsdJhESc2pQJJvCiYTq2TN4oiqmpEVRUoJWg2G0SRz/pGj7feeosvfflzjCdDzk7PeHb0nNOLY8bTMQgHz48IoyZh2GQynTGdzXE7timUZRk/ffddZtMZ3W6Pg4MDms0Gb77xOn/y7W/z4MFHeK7H8fOnZGlmdXdVhe97GNdhY73P3t4O89kEVdmD+pKEYGHGHkJCHCf4vs94Mlutp9KBfr9H1Ggwm02ZLWZ0Gy38YI1Op0tYA5g9z2M8mdpufA2aX060zs/PWSwWtFqt/5/rzIuvT13YHT55znQ6ZTiYrvQHVQWuEyICAI9+b41eb4OyVAgsAHAxj6lUwebmBkWeE8cLqlwjHR/HkZTYLsryPKuUZjafsbG2wdbWFo1Gw2IWsKwxwdUG7XkejudY19vpMYtshB8aOr02USsgSef4oaTTbzIeLJgv5jSiFsIYssLQESGdThvpWWdQf71TE81dAj/kyaNDHCnY2Njg9HjMdDojSRaEoXU1qkJTVho3lAhpO3WekNb9KgWOExAEIZ7nrUwVUSdiOJiQpinNqF0DkzUWumw3acexJH+tDbkqyNJsJf6XXp2758hVgbukUwthN1y0Y0PfpRXmlmUNcUasYrJst4laQ6YwWYnWCdQjOAE2RaNSq1Gjbc4I63IEXOmi0fXv7fdn0Aik1UhETYQQtUbNW4XAazuNqMfMdUGklp2sq/+W400Ao5ajilq0rrUFEC/xErVu78Xukha2o0s9rn2x+7T8HI7jWK0YyzX16qS8jJxSqi56EFddnzp2zYZiJ58otLMs48mTJ3hewN4+tJpt5osZwkhLWHdcG+mWZKCoR8jUman2JTH4jo9Xx6gt8SpLKLNlzmmkcAmDEBzBYrFgNp1ZbmBR2hGyMShlO6pKK65fv05VlpyfnzOd2pxVSgXSrQsUXU/GrzqQLzISLWdP26QLYQilh+vZokUZewBJ0ilpkvD+/Z/wq3/jVzg42ODy7ClzT1PoCiECpGPvz3g+Q5/HnJ5+jO9Jmo2IZ4+fs7O9zbW9G2x09xDKI4srLs/PORkdc+fuHTY2+6TZ3I56PMmduzcByZ/Und7lxu25bp3xaB3NShVIYTFFp2cnuL5gNh+TTWJe/8xLHFzf4fJyhOeG+F7A7s41/tpf/3c5uxxTVAWVsjFKSxVoVal/Y2F39RK1HnN5r9lEjDhJWd/qMZsPKSvF+eXAmpo2NolnGUVW0AibFJWh0w4YjcdIWbCYxRhRoPWE3/3Nb7LIF5S5IPQ6PHz4jLNojC88Ts5GvP6Z14i6bR4+ekCmEi4mJ4ziCbu7N3ECl6gVMl/MePTkY9zAZl6//IqNwdrc3qbb7bGYx1wOBkRRg6eHR6STiobfIAyaHB+ds7a2jang8PAYz/uivT5lxfHxCVKWq3XbdR2EZ4tuTwR4tsLHd6Gx3iGJF2iVsbbeJs9nCFkBBb4H8/kYnVacXCx4+NF/SpHfoKo008lraB2trrTvlwRBxnz+7/D0sEWe/wGu28T3nRXHEKzbMAzD1T1iUGhT2UQVs9Q0V4B3FZtYal565T9nMnmZZ48+x7d/95f55b/za6yv9RioEXlSEoYenmOzfhczyIuaTFB3uEHiuY7VpC7dV3ZzW623V/GGKXmZsLbereMuY8oyo9Pp4Lou45F15VaVqqcnViIQ5RFZlmOcAuPmREhu3brBxmaH07NnVjJkFFWFTfKpD93Sqai0pTVoNP31FkVhmMzmOD44JZa3pkrKCpLENkqUUozH1oyFsekNQliczOpQbSBNcqSwCSN5XjEez6hUgeeu0en0anaqLRg3t9bpdnvcvnOLqio4PHzC8+fPefzkkGk8RRlDp7tG6Hr4foP9g+vcvttga20dxwh2tre5efM2k8mUweWALCt49913OXz0kDxLGY/HDC4v0WUdvrBMxtGasigpi5KqLPn+d7/H+vo6r7z8CudnFyRxWu/xDlluYwuTRUyz0QTXyni0tlKVZrNJFEVkWWpTX4S0XVIhaTabdkIkJPNFTJrZ0ARRS2eKqmS92yFqNCiq8lOuM3+Gwq4oFGVlcFyXLM+olKTXWyPLY+LFlChosLmxQ1FUeK7NKYyiiGYzYDy7wFAiHcNiMafT7LGztc+TR8/JsxJqeMCyaGu22rx05yW++Pkvsbm+SbvZJgojkiS2gnqtMI7A9Rw8RyBMhXQ0RZlSSYOXS4oyBwFRs8H2zhaO8FcsOIwVfc7jjO2tNfwAGo0QgeHy8gLPC5A4nJ2dkZcljUaLZqvk8mzIbJawvt6h3WsSZzEYReSEOJ5PnOf4rg/CoShrfVgpSBc2yszzHdrNHqfHdgFfqnFAWMOCERgkUhiEsKLUssgtSsORNffItSgTRyJdW/i4ntUy2IgrjV4WJ9SOVW2uiiIDy7xZoHaNVmDElRarbiEbZSOYGmFkOzOtNlEYrAoMe2NbS7zRGpZdWGPwwpC1fh8/8FnU7WbNC1pAyer3wKpLpZdQS63rmuyT+AhRq++XOYTC2PcphEAu/8x+wCcKuqWA5cXiMI0TXM9F1ikCRl+NuVdjYbDj6rqwu8oVtIBRU5sIjL5i0TXCcDWqvjg/o1KlHT0pRZnlGAFZnJLGaZ0aYjt7yKtDi9X7SaTr4foengpYJDHzxQLHcUjSBKUqmp02vcCOz1VRsZjOqMoST0iKuu9m982KbqfDtWv7TGcThsNhHeItMcYaeaz+zQJSS2PRMzb5w2JFdC30Vxqq0grBXYc67UQRRIJmp4PwGhilObi2SW+9QZnlNFoO0i1xKhvx5noS4dhNYzobonTB5uY2m5vreMJlMrSmp6/90tdwTcD3v/c23bUesw8nBJHH85MnTBdTwihCeJqoHSK0Q7MVkWUJAk1ZlRSZa12nwkoQpGu7hUpXTGYzOr2QtY0+JxdzcOHOvdu0Ox1Ojy/RRrO+3uf+g4/49d/8XYt5qjSe69brkGE2nZLGC7tXL8ev5oUpBHWR/OJs9oU6UGvF9tY2b7z2GcaTS4os5+NH9xkPJiSLnOlkQWAibt68w7PnR5yNzmg0AvzAZR4nxOkC7UQcfXjMYDxid3+fv/yXfonbt+4xn845PnrOo8fPeHpyzBe+9FmMhI2dDW7evkaSVSjlMTmeMp6MOLi2z2Q+5s6dOzx+8jG+6zMdTei0umRJxunJKe1mm263y+BsVMPSYTAccfL8nM+/9SXQDh988IBOb4QQFUo1iOMuYeMIQ4mQasULk46LJx2ktpMIhaIsEqRTUilNpQrWNnqMR19gMHS4uHyL2ewtkJDnLmnyMkuBhpUD2CH/G5+Z8vf+w9/i1/+lyx9962/y/NkXefhwxJ27bxOEDoXKUSYiK3IrufBDpGNF/2VV1MQAa7BZZi5bqZCxbMQiw/FyXGcOSKS+y829PbwwphmlTNpWt1tWgjSGw0dnlHkfxwlYQnbB4HoC17OyHEfqeg2xukujSzzPwfc8ysqj5XfI8gSBTXJRlSKZL2xzA4ErPELPQRUpeR2jV1WlLVA9hXDsTTedTlgklxRFwtLE5roOStmDT1nabpXjAMJQ6ZJ2v8PlxdhG3Xn2fVdakRd2T+90GivHLYBSJa7r2DXeVCCc2klttc1lVdrEHEcghWXbJnGG2HRotzuMxxMbLlBkhEHAzZvXcV3BkycP+PDD+4xHExuzWDM3u+0u0vFpRU0O9g7Y3dmnyFIiz6fZbPKjt3/E5eWAJMm4vLwkTROS2ZTpeEy8WBD4vo1J1Aa3BuaHUUQYhriuQ5Zm9Ps9bt+5Ta/XpdPtEQURa+trzGdzTk6PSdOUoshWcY5ezWiV9bJ6fnmOQVHFBVVR4Lk+YRhZhqbnozVcO7iOlA6D4QBTGyRnc5srvre3R/lvI1LMYKvM7Z1tlMoZj0e4nkcvWiPLUoxxyHPFfL4gDAPKMqfdCdjc7nI5btSw1oy7L91gd/MarajH4ZOnloWDW7sYHQLPZ6O/QTNscePgFq2oTZkVNpNTOBijUMbmVTqewDEaXWZE0Tp9p0dJTl6VZFnOeDwh8JpI4VpieiOiyDQSH4wkLwyn50OC0OCHm/iBZD6ZEoUNjp+dMl8keF6TolTMFwlIF8cLCKM2d27f4YOPfkTUDFhba5MVC/ICOo0WRVVRz8hwTISp7MPcaPUwlcd8lmBwQLooXaGMQGlhCzAkrmPQZY5WJVoVuK5180jp1JrC+kDkSoTrIFyJrjNwVV1o6GWxYa7Gm0prhDI1m4xVYeQ5Lr7jIh2HqrCsPIP9uNANMMrQbDfJ83TVRbPh9RptSmzer0Qau0h6nse1a9fo9bvMZlMyV1Ap+/UcxyaIlGVJWVy505SqrN5S16aR2pVpQ+ZtVuyyGF2OaaHuKEnboVRa16PYq8KWukgS5mqRXv47Ke1I1NRRZ0rpF7qTS31f/dVX2qkr92xRWj6fFwXoapkt6NJut3Ech9FoZPVoxurbsjSx3ddak+g69rSuqnLVA1qOgI3jYDyB8Byk7+Hoimbb5jQvwcil1ozHNii71+vhGIkrXIQDjrRfd6nbcQSsb/bor3c4Pn3GYDioO5YeRjs19NpetdXmUo+kFWp1kq0qhdEOwmDzeIWg1Qq5eXeX3VubxNmc6XiAqipefu022mQcnxySlwuabY/PfPYNjAhRlFxOz2n3msym28wmU37x538BipTL8wu2d7bY27nNoyePwLh4DYet3Q1eka9xMTjl+u09zGnJ02fPCYIWXsMnmSYEkY8fuLWr21L9tVYWsI4BITFCWhxKGLJ3sM+N23vIDwyHz59xy72F9Fxu3r7Fh+8/4vjkhGeHp5yeD3ntpdf5mS9/lWbUwDH2UDS8PEMVqbWdmBphwkreWd8zNpzdmlOuPsZ2zgW+dNnf2met02M2mHH2/JxxPMAUhl57nWRecnp6ju9KtjZ7TOMJbiDohCGzsxGXkzlJkmIqw9e/9LP89V/6Gxgt+ONvf5uz8wGD0YST8+f0t7q0ui2cUvDZz77F4ckJftTlyz/7Ff7xP/5HPHryMfPFgtlszu7OPndu3eFbf/D7uI7HeDxmNl/QbrfJ44xW2EBLmE1i3nvvPTbWdq3mOk9Z21yj3f0R3vdSinybo2d/n83dfwC0aTSaCGGxF1JIPEezvvE9MDnDwT3ixTpSCtJ4j6Pjn0cbWMyvY8yfpu4bNre/T6s9p9PrcOOlf0HUmtLr9Xjz5S2K/AlvfP5jzs5f5uFHb/DDH7zF9Zu/T9gwhO2ACsUiSXAdn6jZZBHHGCHIi4z5YmYPY65vI/QqDaGLkB55mZEXOZHrsn/wz5hOP8N7P3qddNSmeTBhb2+DL3/xdU6OjxlezEjmEYvxAt8JkCKA2qAEkOcZaTqnqmyii0NBpSuMVvWaUeF6DusbezRbPpeX5+RpSiNsML4cs5jMkULg+z6b69u4rs/R0QnVdGqnLWVpCzrH8ji3ttcZjS6JmhLHWa6EFhruOALH8xCllWUgKooysy7TIGSRZTiBhyw1uAaVWbhzFIU4LmgtWFtbI4oaHB8f11ISO7aVnmW8Vqa0I1rHTmiWemcbUajASKKoQaMRAYZut02v20ZVOYdPjjk6OmI0GiGFR6sZooxL5IegoCxyhvElZ8+esdZq0+l0kULw/rsf8PYPf0KSZOR5xmKxwJgK1+oo8N2gVkUIWs0GB7u7NNtt/KhJpUqyLGU6nZBlKbP5hCSdk+c2ojCvCmazGRbBK8hLVeu0Lbu22WriuBJlStIixYgCR0jL9hOQZsbyM0tTR4UqwqhBt9ev02yswSLLS54fnawaLp/m9akLu/Pzc/I8AxSVKrEhucbGsbgejUYbgWujwyREDY8gCOl0+ty4foO8KHny6BnJIsep9V/dbo/JaGERGNiOlM1mTRgMBjZDr1JMxhOKfFmt1hu+dG3Yr2fb2aoytFsdLsdnnJyeUBUlg8sRo8sZruPjygCMRxA08d2ILCtQRUEcLyirGWEkaDb2OT+/YGdrrzZv+PT7GyRxxmg4rkWvQX3BK8IwoNtt0et1uRwlyLozouoOgRQuAgnGtqI77R7z2YL5LKbV7SOlg9FLkXrtHjVWUxEvFhSFTdBYtm1t46kedtbXSgi5MljY4s3CX5e/Ny90qrQGUxkb2C4kQjo2x9Nx8KQ9qQixNBhAs9FcjQOklMwXc8aTEdvb24RRSJrFtRPUdgv77Q7r/TXCMODll1+mqhSDwRDXDWh7EdN5jNIa1/FQlSbLcqrKOn1VqerCblmM2jGBEHZEoiqNquyYbRn1dTUurcccL3T/lr+3HTAb5vynQ9pXeZ5/ikixSscQFvux0lTBaiy5FFtXpcIIaESRxaEo67jzfb/WpUmyLGNtbW3lLt3Y2KjHFiPyPFuNDpfIhaWOcFmY6lpvF4QhRtmv6wZuLapOLferLiiXsWdSVBizxObUHeM6Ni2tu312dOxQ5LbDtJL3C1amEa2sa1kLVetKFAIXWQdnO0g21tZJ0xTP8Xjvp+9yfn7CrRvXODk5phkGTGZj/NClGOb8+Cdv0+1t0uhGHNzaYR7P6K41+exnX+XlV+/wm7/2a+BKSmnIdcVwNsZ1Qs7Ozulvb/LFr3yRH779HcaTId///ndRBt588ws8e/aUxcTC0JGO1StiR0xIQavdJs0TqtppL13DIl4wmkx5tXmPu/fu8d79lDRL+fEPf8qXv/RVvvFzP8tiVjAezvnC59/i3p1XODjYX6VOqDoj9mqA/uleNh3F3n++5zO4vGRwecHnPvcWeZYwGJ7z3nsxSlcs5jFgN4tms0lRWX6bcTVeFNBut+sxXMr2zh63bt3h5OSM8XDC+fkl0nFtB0cIPv+FL+H6hmY7YKu/wx9+53vgnJPG+7zy8iskiwXf+853OHp2xN7eHtub27Sabd5+++1V7F2RlZyfXyBwiCcJg8EEYXx2t68BmtdffxWlCp4cPuONz/0T3vnhf0ievsXR47/P0b/mWgihaDTOAEWWr6Oq5p/6CIOUGVJWbO08ord2iOt67O3BS6//Qy4Gz9nZ37d4imSO414wj6ecHB+yf73H3/j3v8t/8X98nfsf7fDtb7/Kwc0z1ta7LGaZxQSpmO2tbXzfZkNXlVqZKZbYoqqy0hrP9cljmM9SXLfJ2vpv0Wj+PcajO/zGv/gCf+3vvo/wcvwbN9nZOuDi+CHjRcH+7l3mY5un/eKCo1RFHMf11xErHa/RdpZjQ5YMnU6bl1+5jee/wf/rn/5Tnj97bnNt6xiypVxl+UxL6SCkoSgLpDZoKpqyTavVplSTukCwXcilvs9gE2Ycx0LTlTLEccJiERPVco5mq4vrBkynp1RVQaPRptNpszz4xnHM3t4+xghOT08ty65uSkRRRBwnaA2e51ret3RQZWn16K41nW1vb5Pntpja39+h2Yg4ev6Uo6NnlGXJndvX6XT6xHHO2eWE2WzBbDohjlO2NndYX1vn9OSUH3zv+8zmcxazlPHYOpzVSsNsXbvCWAPj0kRnZVM2s/b87JQ4SWq9X8lgeMlweGFNWcK1Uz3HwWiD53tkWXYlyfE9pOPUBgkHx5d4gSSMXDzPoTIKX1h4/3S6IEtL5vMFIOq9jVpTXxJFEb1ej9lsRhwvPvUa86kLO1WVK92X0QqtK/Issy1bBFoJkjinKjVpluB5fXy/idHSFkdpQrPR4tnhQyL/hI2+otvtIjipRc3LTbTeUOoF+PLyktFobF2ejqz1TVZYGvgBhorzswu2D7bJ85Jnh8ecnB6D0jjCpdvuW7en8EhTq1OrlKIoSvIsQ1WKstIUecVoPCVqtLl771WePj3i5GyC6/h1fIvlswk0o9GIjz76iLBhr02j0WDD2aAy1gruuS7WKGHxJZhaxI9gPo8xRuA7/mqTxtibTEiJUbWgWpX4YcDO9g4gSNOMNK1zT2uwrS30bIcL6qJPg9Ky1oa98Od1EoQrHKRwkcKzRgEkxlgdnoXv2gVtqdkrimKVq+m6Lnmecnp6zNp6n62tTVxXMpvNCIKAV+7do9fpcnx8wsOHj3j06JEt0KUE4VgdRx0Ld1V41pMrK+9aFV5VpbDxVNaFK4yoRczYNrfr1fl/BUZr3HqkKWtgr645UDYmDNylkYIXCrr69UL07moUesXT+2QxuLyeV5mO9mP8ICAMQou+qbV8rueRF9Uqpm6p6ynLksnEngKXOo2lSUJKaTt69UjdImXsSS2vmUtLE8UyQ9YCjKer92dH6hLH8etxtl3MgiDA868i3l74jj7hYRJYVMGSf2iZWvb7lkLWOksrFVKV4umTp3Q3G6DvkqUZUehz685NjufH7IhNMpGwu7dFXiR8/PFzhk9HTBcZP9f8Itdu7jMcDsmrBe/ffwcZCFrrHYpMME4mbK41efDxA2aTKQSS7esbJGXMt7/zRzx9dki700EIg+97PHr8hMl0TlEp0jwnyVKKqkR6Dnfu3eHho4fEkzGNdkRepkzmM15u3aHZ6hC0fQbzIe1Wgy98+fN8+ctf4qMPPsYIh7/wi79AWRree+cDXrv3ur0f6sW3LCqrneTF6/lvfi0xKEJAWZVMZlPSPCVJU8JGSG+tj5QwGHxEq9FDuOB4gjCyhbnf9GkGAWtBSF4JwrDDnVt3ODo6ptlo8/jRIa7nWamAUoRhxIcf3ufZyRO+9tUvIqXDs8NnbO3u8/5779Ftd7hz8w7HT485fPKEeXPOg48e0Ov2KfIKKTyyNKUZdQjDBot5jOO5NFstylwzno7p9tvcuH2N7//wTyhUzP6d/4Fm/494+P7f4PL0F+s760+doowgjvewZrEUx50B0Gicc+3Gj2g2A4z8L+l0FdeuR+TlGUrB7Vv3OD0dEoZtitzG8s3nCiErFqMHhBE4vmD/5o/5lb/zQ/6nf/Qlvvl7/xF/+z/6mE7nKcPBiKJUaGU7+hsb60SNyEY61u75pSHNda1eM4waJMmCLClI/JJmK2Zn+x/x+PH/nm/+zp/jV/7u7yPFc06eX3Jt7xatcMrHTwccbLes/m2ls7OvMLTPsN3bXDuqNLZ37wjLc80LQ5anSAmdTpMg8MjzBFWqeiIDeV5wcnJSNwoEzWaT/YNdHnx8n6JKkUKyf3DA3Tt3OR8cMp9fIMRybYOyLPC9kCDwKXJFWU9PKmMYDydkWWY7g56Lrizo23EMgS8pi9xKVrT9z/d8wiBELNmr2uBKF8/1CDwrXXFdl06ngxSSxXzGZFoQhiFRFOE4Dnt7+2ijyLOEKvKJQg+jC3Z3N+n3WozHAwaDCfNFadMrhEO33eT6wR6nx0ccHZ0wGo0oywpVCYy219Xeb3XjYHkv6nr9N7CYLXi0iOsxsTUwaKMo6xzkJI6RNfrE84KrqY+w19HC4jVaGYTwKfIMWVlaQ5oqkkQQeB6e8Jn7MYEf2vdRH9qLwhoUwZCvcDx2/XZc69D9tK9PHylWa54cKfBcx96MwiCBOEnJkgpjQCn7H0jSxAagL5IFeZGztraO6z5lPpvT72zVnKBaw1CP2oy0J9qt7W0cxyGOF6RphhBOjeuwo7wgiJDSxUYsCdIkQ/gGjIMnAozQNIIIDx8HzxoFKkOhS4yxOgKbRqFQWhMnKa2sQae7Rqvdx/NHGOOiKsFoNKMq6/dnbEF7fp6zvtkkzWPWtnpcv3mdTq/L2cU5iySpN1PrVFrmiLqOb6+NFqvAX6OW3TODNBKNPcEEoc/m1ja9Xp/FfIFJ67gZAQJ3ZTS5ci2KVZFkTyd69ffW/WXzRp1awG27pMu4sSvtm3rBwLCEO2ZZRhAGgKbVbiKlYH19DbCnyTfeeB0/8Gn4Ifc/us+PfvRjhoMRWhuCIETX+i1dt4OWOBdrSlg6BmE5olimTNiRVa0FVBaLYjuI7gr4WNaiV8RVZ3JZ2FVKUSmF0Ab1rynsVsWN/ORm82LhJxxZmz5YvbfVw+N5BFFogchCUFaWe+fXfD3PdTHYQm00GtUZu23KsmQ6ndb3hVx9vTRNV59bOhKwnUGjdX2iDAj9AM/zKMuSOI4Zj8eEdZKLvQ9qJ2+V4zjg++7qz1qtFoHvM5vNbKZkfV8u8TP2G7T3jJQSR0hUfXCwhpp6/G0M1WdK0r+YIgSovGTjwSs8O3zO+emIe6/s8fSXL/n+W+/xG94P6ZxH/Orv/iwHZp9nR6cIFyon49nRY156/TpfvP0mjx89pqoyUpWgHUnQbmK04d0H75LGhXWVpRPSKuH6zX1+71sLopaL49kA+M+/+VnSuOLo+LcpqhwjDHmVYYSi1Wmxtt1jI1nnbHhGZQq8wGW/t8ef/4U/T6sXMpqeo+ux0O7uLuPJiLARkieK7d1NxqMFo9GArY2N1c+rLC2d33bNV/3Of+UllqL4VUeZlTg6y3OOTo84uzzj0eFjtna3kA8kRVWwt7fDm597k2SeMhxd4PtbGDTtbot5vKAUBi9oIKTDSy/fw3NcFknMdDbl+fEz7t67x3g6Js1TZvMp/+if/BNwKkqV8/LoHnlekMUxFxeX6Lzi9PkJa90+3m2Hk+MTzk4uSJIFvuOjCoWDQ5bktBsd0kWO33BoNDpkSUlRZTie4GJwSn+9Q5KvWQeg/JjPfPX/xHz2f0E6At8P6kQTUKXG6Canz34JR0S0u39IpX+MIwSdlsvGpo2bmk6nPHv2lLPTPkpVtNp9jo8v+PjxM/YPbhO1XIQIuBzMiOMpqhiyt9fl1t0d2j2Hv/jX/oTf/vUNZuOb3P/wDhtbb+P7El0ZVAlB5NNsNJESRqPBir6wNFaEoYXlO9Kl6bdJ8ylZXOIgaUY/xnXHzKYtHrx3l1svPWdWFai1AGm6XJw9p0qG9LvXoB7FX90XNi3HRi5ixbBC2lGsFHUsJORlznQ2Zndvg+3tTR4+8FBSklWWblBVCiNLAt/uy4531fnHQBhGjIYTPvzwI4ST0eo0yPMEsDKWfq/Dqy+/zrOnxxymxzbvu7TPfLLIUNrU3dqceJHZuLVKU5U5pePiOR5oQyNs0Gv3GF6MQFvHvMZYjh02vQIMURTS7bSoSoWqGiziBWEY4vkeg8GAXq/L1uYml+fHjEYDOp0mt27doNVucvT8OcfHp5ZXmIHr+ASBh+cInh8ecnkxxJEepdIYbKNE6/q500vjytXhXQNoQ6X1KsN7aexqNVuEoc/Z2SlSinr/tt3OPE9YAo/DMLDQYd8DBNJzbb2il5Mya7CqSo0uNco1COnYTOa8Ii8KO/KveYZKlShlM+1dz2E6m6C1pt35t+CKVaWNUpIOeI6D7wb4votRFbqqKMoKsBuIrN14l5eXOC6MpxPyPMdzQqKoQZbmTKczxuNJrV2yp9flWK3dafPmZz7D1tYWh4u0jji5+oF4nk8UNWxh5wh2dvdI04J4MsPBp9vq04qa5ElOmZdkRUqprBPXGHtCthBFK1YVdeD5ZDKn3eowm6ekaUWRa6pKkCYFVWVdpbZrYYsT1wnIi5inh8/xQw/Xd9BKIPDI8wVVaShLg6ps6kOaFizmMUoZsiTHBPWYVtuuktbKcq20qj+fyyKZM09isrKwvDar5rcFzBLvYVh1OkWN63A9x5pLXA8/8IEaKGtM7fRSK0Cv43g2QktVn+DUaa3r7NWC6XRKGPn019q88sqrfO5zb3F8fMz+/h6bWxu8/fbbfPfb3+XZ02fEcYo2ss5ddFCV7RhpU602Nq1rOr9ZRhFgTR3GJlLYpshVQSUFSLdmuazGFjY3WMoXN80XtGrGIO2Fuuqy1X+/HLfa6J0XNHfiCvistbbsPXlVFAJX42IM0rVRc0bZbFq31vutAMu1BiYMw9X1DIIA4IVi+sURtKkNC5KlWlDWbmIhBJ7r4vv+6t8ALBYLG3Jdvzfb8ctxXWEBr2GIMbbLZ4Asy4mTuC4aS5Sy5he7wZjaqW1s0Vm7oAWsOsDlmznz/3pMda1c/ezenrxL///QpMhKLn455vBnHqMcTUrB7HrM7/ytd/lPvvXvcv/BI8Io4uTiCOEqDp894ef+3Nc5PTtidDmiNCnS8fEjgdCSTj/ED1xGwwkVKe+8/zZvvPEyP/OzX+VP/uSP8dyIfr9Dq9nk85//HD/40dscHyUoUeCFkna3x+bmOtI39Nab+BHM4jG/8qu/wptvvcZLr9xiMrvkR+9937pNnTWKwjoLP//5LzEezfm13/jnTEcxvhfR6XZqDIGl8ls80SfXyj/dERb1vGtpwFkWdgKbwRzHCx48fMDO7jYv3/0av/SX/zLrG32Ojp6xs7fD8GLIR++/T6fb4tnz57iex/Zal1m8YDgaYYR91rMsY39/j+OzI4oqI0nnnF+e0Om3mCwGFFWGKgqGowEPHmiKsiCJYy7Pz2kE0f+Htj8NtixLz/OwZ6215zPfOefKqsoasqp6QqMbQJMCZQIgAZCMEEnTETbDoqwIW1bQtCjbcijs8CSHfzqscFiWI2wzQjYZ4iBQhEGaoCiiGwR6QFeju2vsqsrKzDvlnc+8573W8o+1z7lZAGkWf/BE387Myrz3nnvO3mt96/ve93nJ04LlZNEieTzqoqEqajwVtPcmWA1NqQm8gCAOSJIe48sZcdghK1Iurk7Z3t1gkS+5nF6hsQSxYhSV7WE3R0kH5dalRsoFr37h/00cR4wvLzg9OyYKfBoS0qzLfO7E7U1tnMAewUynZAUEQcLl1YRlVtMfjShL5zAOPMvte3fpb/TY2Blx8uxDbr/6O3z4vb/BD3/vv8vP/rHv0ukeuei7RdnC5jWrUHjHL3PC+83NTXzPddbqsiEMI7Qp0U1OmTf44Ydsbv5XnJ39Bf7Lv/Wv85f/6g/wjKRYehw8HvOPf/NtRoMTXn/tK5R/dpWedC17WOmgEStGZJvQg3OxW2HQpmaxnHJ0vM9rr7/Co0efcHJ04pJoKt2+L25k7Hk+yvM4Pj5u13ZFkrhO2Hy+QHolVnhEkZMybW3ucv/uHeKo65itdeMmTMJrjWkC0wg8qahK4/Yt4/YZoy1REKGkR97kpIuM46NnlEXF5miTjY0NqtY4VtflWlNtraaqcm7duk3TuEQgz/PI85zlco4QEIaOobpcpKTLOXESkGdLgsDjlQcvs1xmnJxcsVxkpMsKrIfREtMIB5+3AivctIw21WolL0Gs8njb96Ht2q18dUYbDBolE3zPo9/rsb29yc7ONhcX58znc8bjK/zAY2O0wWg0crgw6WRRVd2QZkW7BwZubxYGbSukJ0mihH5/wN0795hOF3zyyWPyRerWB6Nb6YyLgBsM+oRhQFEUdDoxn/fxuQs7FzIPyko63ZgkiVwciW7odhOK0gkBLQbluUUsTZecnFQI6fSJk8mUptbkWcXh4RGLee7iRp7rUPueR6/XZzAYtBbhgqpaZc+503EUulOU5/vESciNmzucj88YX81YzGeYuiYSCaYCYRS2aVDSJ0m6pHlJWudUTbPezACqpiHNC6z1mM0WHBw8oyhaE4SVNI1xzplWmxAEPlubu5RNzsXFCWn+HvdevIfnx6jWeVtVBVVl0I2h0+lxfnbJ+fllu0gKjLbOAYtrtzrgb4FFo1SINo071ZimLUB5zo7dZoyuW8wrs4FzG4Eb8cVxhLWWsizdiMG27tXGtOkHCiUtZeU6OI3WrjvWFhmrzzPG0OkkbG1tcvv2LaIo4s7d2zx79ozf/+HbHB8fc3F5SRBGWCRl0SCEch1L3T5vrkfDf7BwawUlbiwtxOpgdf0Q147YqnKBztas6P7X41d3EzkNorcqnGzT3tyuSFppZ1aLrGMRXW/Ijk6/6iaK9UhyfcprF+O6rt3rJSAKApI4Qfisv777mmo90l7p31au4pV+ccWoW41+/NVIWbjvLWEdWVUbB1cty3JdNLr7s1m70hwMOkApWjSOoq41w+HQiZWbmm6nS6ezJM9ryqzAGHd6F9Y6HWYL83bvzXV32HxFM/+/XSG2BP2zAWVZYIShvFly/u+V3PzRJuMXF2hlGJ73ePVbd/jojxzwk70n/OON38MqEErQ6/fAd9fUeDqm2+0wn80YhR2a2iCo0BpGmwl5VJEXgk43YLK45Ju/84xv/NzXubg6ZXo5d2Jr4UTinV6ElQ1WNcjAIgONEQXSb7icnlDqJZ1ub601e3r4mOn8kv2Dp6RZRiePiaOI7d0t+oMeo+EmP/jB73Nxccb2aG8tYJbi+jqWUrZcxs/3EO37CpBlGXfu3cVYwz/55n/NBz95Fz9wa8ezk2PKuqTMM3rDLl6gnIC+qdm5s8dwe5PTyzGT6Zyj4wOWyyUPXrmPH8DWzpDDo8dcTc649+I9Nrb6jKc1ZeWkMmeXFaZuyBcpG4MhD199jXd//B5aW5TwCFTIdDKnqnIGvSF5XjiBd62xWqKUT1GWhFECUhB3Yp6dHnF2JtC64tnpFdq6bGypDJ5UTkvbaDRuSoESIN1GXFYVcadDEEXuEBkk+H6MFE17r3vky5oojPDDAKUiNre6TGcpZVVxfnnGYjknjBRbo23CKEZIxWA45PGTJ7zw6o/JF/8Pnrz/7/Cj3/ur3H3ph3S67fqOResG3/dIkoRer0eSJHS7fYf80C6eqswrBJZ+t0uW2TYqT7C98de4vPwlPnz/VfYffYPXXn+HxcRg6ojZpKBMrxj0zpwpYbXc4ZzlKw6oT0tFaFURq/tdeYrGNGjbcHR8wN7WLjdu7HL49LCVfhStPk/TNO5A4ochWuM4eG0u9mg4IO5Ken0JqqSqMr7yla+ys7VLVeR88O4HPDs6RtcWjMJr050EYLWkqd36nKcVoR8ThjFG2/Z6gd3tXQaDEXGcsDHKsNat07PFnDRLSbOUqsqxaLJsSVnmaG3odPrrPOw0TR1pwLpEp82NbebTMYvFhHm9IAw9Njc3ePWVV5kvltzYnTC+mnJ8fMp4vADb8mprjZC+MxraVX67a8h8ZkJk4fmED2vd6y6kxTQVy8Ucaxo6SeKkR9tbbG9uMJ1NyPIbrRTNI4xCt+abxh0MhJOrCdzoNe506PYSgtCj0jUW4+LEtGZnb5fLqymz+RLdaATuQO15ijAMiNqvHQT+OpHi8zz+JZInlmANQgmUskSR23x84RFEMYacZdq0HQeJ7yu0cYyvTjfBk54zJHgenm+o8qaNoPrs91mNrcZjR9ZeLpfkRY51M0isZZ0FuU4kEIrRaIPD4wMEgjhMKLMK2wrudW25fe8WjXWIk7qpaSsFPN/H9z2kNBRFTVMtefbsjNPTczzl4/kBSvkIUTttoXXB8EbDaLTFxtaQo5Me7//kHcLoktv37qBkSJJ0qWvHQJJiBV9sCAKXWOB5TuNm2udYlbWzTJe1Y6la7cLIdQurbTtKYF1yzHMFxjp6ZuVY9V0XKIoD4iRkuVy6m8paJG6019SOz2M8H60rzKoV3BaMK3OAtZY0TXnrrbf42Z/7GvPFJWVVkucZ773/Lm+//TZVVbjRbVZitMBaNy4XQtHoBmPc++SwE6wLU/dYZRyKNkd21dFotXfPLYWrYsmZV8z6hm1jEl2HrU0YgHbDta4Dte6wCcf9WxX0z5sj/mBhp7UG6QDJq7/TbSZt+wkIJV2odBi6Ubdw33MNbZYCz3e3WVEWbQ6wJQxDGt0QhuG62HueFyeFxKy6eUBdN3hCkMTJ+hVxgEyX4bp6TutrQDRoXWNMg9bue77x8A2XP7tYEEYhURzT7Q6JwtyxpaSk0VXL9HLcwufNN9o3LP7DMfqW5sE3H/Dg//gKT548YhksOfk7ZzT3G+r/oSV7tQILu78xwvs1w62zEe//5SW/+YXf46fUDUIdcvvObS4mZ+uYwpfuv8Srr73C8cmnICRVLjChj6ng/HSMtQXzecnmzojT8zPOr854+MbrnD+7otNJUEKQF6mDheuCKPFBlKTZjPxsihElnz75CKEaOv2Aw2dPqL67JC8XIDXSE+zt7fHiiy84hmAYUtUld2/d5KWX7vP44336/QGBHzhNEU6r66YJn3cVXV/J2HbsC67jOti4SRB4PHn6hLopW46a4fSsoshSdra2efLkU56dHPPSq69QFDlnR4fM8xwrJGHkoU3J46ePkLRSBFsiPcPB4WOSTsTu7gZB5GNpmEyu6CVddre3iIIYTyp85VPrAoShLhsuzy9pdMX9+/fZPzikqR3eAyDwA3cftXrDxXLBYjGjLDI8JfHCHkKG7mcVoI2m1q3jcnV48yTWQFHWeEojW+2SlJ7TPdea5XxJHEZsbexwenJCnlbc7G+xd+MWnhcShCmT2ZSsytG2YjjaYWdvox1rKg4OD9HG8NLLLzC/eMqT9wXp4iWsTfD9lFu3byKFYDadM51O6fV6bG9v4/s+URSv96Q0TSmyAp0X9PsJURi2aRSGpPeIjdFvcHH5F/mNX/9j3L31IXrDJ4lH9Do9skyTZfn6MEa7fld19RnTmxMktQf2tsMrlKMPBKFPmZdUTcmbb77Bh+9/RJVXLVy5auUzIETLAFVOhy5UjGq7/FGo6HQjoqRHECreePgGl5dX+L6P7/v0uj0m9RJrIPBDpHJa7aZyEPUoSqgKjRI+oR9R2wbTaPwoYWtrm5dfesBotMGnnz7m5OSUyXjMMk1JsyWNrrHWMQKNqbBGc7i/Txh12dgcsbu3zaDfY3NrA2sbFssFN3b2kAYm4ysXZaYbnhUnbG1u0zSaW7dusLW1xc7OLifHFzSNZDEvmM9TqspSNYaiaNY4LGc6FCuc6nNrm22ncW1BrTUW7dAnVcViPkcKw6DfpZMkRKGPUh1MUzsNa1OTLpeURdFiyCK35hrH4muMM69ZYpJOTFGXJLHXFm4Rw+GQJLnEaEO/GxP4bg/o9/sIIbi8vKTf75MkyR9cSv65j89d2HmyQUlJGPrk6YJBr+MEf02FL1y4vO+5tAGpLNIDa3x0Bbrx8YIQ20gCGTDa3ULZkLPTKy7PxmCUc8UKp0PzvIBef4A2ljRbUlQ5RtgWeSJRQYD0PLQ1pFXDdLEk7gR0Oz02ByN0rWnKhmy+ZH514SDZGNJsQd0UWKtBeWAh6XbY2Ori+208VWmZL1z1Pxz2CXwPKxpQ7qK0pqbRJdpYtne32dgYkpVLhv0N8rRgfDl2p6zGulgV62JcNjYGnF+c4vvOAKKUwFpDYypqox2IuC6wWIIgBClarZ5ACh9tXPqHK5Lak13bUXIMu1az4SkXcC4lo+EQJSXL+RxhHfEc68a9TVO3WqyammY9+jPtomKsRVsHnd3e2+aLX/4iVmjm6YyHb73O48ef8t4H7zFfzgiCgKIqaYygaSy6qQg8iZJupira0cJqfHw9pmzH67hWubGfHWO5WsW9Tjx3M2rbuPgt3Hhc29aAgmMRydXXFKLtZEqHHTGu66k8z3UMaI0GUrUJCtdGCWusK0pbAvhqNCukxMprXZzG4isnDnYpGe6/NW3RLYXANO2IVOuW3aSIw9AdfrQmKwuUNSjvGqorhICqoSkK+qMNRBhjtEYp5TaI1s21Kr51+3frh1hFdjlXShy7yKrzs0uWi5xu0sFXzhXd73bpxF2auiYvMrTnMpn1c0xBYwz69ZrmZ92p8Utvf5nz6TlVqpELj+4/6DD9yzPO/ux43WmV71rODydM//YM+RcEzYZm+TOGn7q6jwoslc3IiwWH+5/wlS++zje+9rP8+v/3lMnEZeta7XG+nHJ+eUAS97AG8mpJlHi8//6PePP1N7h7+yZ72zt4QkHVYMqSukrxQgFBg21qsiLn6bMl1m9QnqQzTNCUZMWUza0BTZ3je5vsHxyBqYmihE4UcXV5weHTQwJPobWl2xugPN/ddxaM1VihnT7KXeGsOGXuIObuT3CmK6d5agXW1lLrBiNc4kJV5Wzv3SDo3eWdd36fnZ1tFK6jd3Jxyt17d7hx83U+ffqY2XzG5WTM5u4uneGQ0/NLh+uQ8N6H7/Lw9dfY3t3i8uqcX/lTv0gUh+wfPObp08d0Ep/pLENo2s064MaNPY6PDxiPL7AaAi/EVxblK8JOj3m6dMw3JVuigYs6ipOINMuRIqescpQn8eOQLE8JmpwgatM2pBvnCemkOxaLbQ0+WZ4T+RGjwQbpIsWTMXHUJ447CDwCL6bXGbH74g5R0uH88hzle7zxxltoA8fPTpguZmA1d+/e4Fd/9U+QZWOMKVFewHI545OPn3J8eEpantHp/7dIF19gcvnn6SR/nV6vT7pwmdlZmvHKKw/o93vPcTQNdVO6jOy8xDQ1qvLodnpIX1NUS2Kh2Nz6z7ia/Bk++OAF3nvnDV64bfnk/afYStANQgIhyBZLJyPB3ZZSOLyHMTipz0rvirt+lCfBSKRoiOMArQVlk7M53CbpBBRZ2o703SG3afXiRhiCJMR6bs+ompL58pLKKmaFJo4Vv/Krf4Lbt2/z5MkTPDxOTy5YzDPKvEFYD+F7jl5gWoOQsDSNazwoKSnEqlEgMBoG/RGbm9vkeUG32+Ph65scHT0jW6Y0tetSOXe/QKBoqnI1REE3hsCPMEaQFSXGNBSV5satO9y6cZMnB/sUee6mC1XBeOKwO3XlYPj37t3mrbfewFrF5cWUg4NjPv3kKcfPLmiqEtHizSwKY1yspQS3JwrrTIzo5wyckm4yIF1ma81lXVaEKqTX7yI9RdRJSLraaUDnE7IiI0szjBUIUbnGhnJTozRtyIsl4lKwsTGi0+0wGo2IwwgJ7Gxt0FR3UcojiQKaxk1lmqZZT3Z832djY+Pzlmufv7Db3uphtBNgn56e04kjwiB03Lc4xlqN7+FGsa17q24M09mCxSwjiRJ040jcg06XXrJBJ+hRpTXzSeq6KkbT6XQYDYfs7u5SlAWn52dkRYr0fIwGIRVSOVGmMQ1VWXJ2dkaSBIwvrkjiiG7SYzFfcnp8gq4a/MDj2bMTKtwJW0gndpZKUuQpYbTBH/n5n6EqC3RpefrxIUVWue6V0AgPauMuOGFrlDRICWmWkhUpk8mUOO6wSB3duj/sY6xy4F8sd+/dZnNrxP7BY3zfIwg9d3E3DXUtkC1sOYhDBoMBu7u7eJ7PcpmxXGTUlSHPq1aj0DokEO2YbWWaMO0oIcYPfDeCNYb5fA5Wuyixum7zZnWrdWi1bsJzJ402iqlprp22Uin2buwRxiHvvv8jXnntRZ4ePOX3f/RDyqpCeh5hFGNXol/jTvtWuQ6dGyO6As+aa+3ZCumx0h+xQmy0/22lqVwJ0oX4zB9dcsU6gge0tQht0Fx3MdfpA36A719r2lZpHas/P18Qaa1dscS1a0zguqHeukt8bTCxxoJuUSzG4vveGuejtXantfbnNa0Xy1Nyrd3TTU2314VOx00TlUK1CQ9KCJqywpNuA5jP5+R5TlEWzmrfolXAdRlXI2ApJYEXOti0cWkgSRIxHI2YzRdcXo7xlEcnTsiyCil8/NCnBMrS8awqW7ndCGaoAAEAAElEQVTLrN2ArRRY1ayLNtO00GxPYRuLeKageW5FsVBlJXVaEZUx0b4h26mYPMiorir2hpv0+wGbW33efvu7vP/ej9jb3aRuas7OTrl16x5ZllM1OTfv7CFlwNXVjCQJSZINynTJ6bNTNnuw+aVNhJVUeYlpSl588S5pPeXw9IqwA1EnRghFXEfOiKUaribnHJ88Znd3k+3tAYNBn7PTY1558IDNzU16nR53bt3ju9/+HnmWEycd7t57oXWauiu1biqMaVy253rEs4q0k6xeLPevTTv6MevrLk5ibty8wZbuMNjscjW94Of+tZ/h6fEjusMOptacnp8x2tqg1ppf/pO/wk9+8hHvvvc+08WCweYW3W6Pnd1tjNF0uzGTyRUoS9QJCDIfP5B8/etf4ed//uv8w9/8B7z9gx+QRBGD7sBdv1iGwwFlVlDXBVXRIBMoy5LNG5tUuubw+Jg4SjDW6ZudTrlB5RVZmoG1hFGw1pwWswLhefi4CEHlBe5ukrLtAmmqumJrY4tbt25zvH+MbjS+H9LrDonDhCjwqYuGOOzR741oGoPyPOJuh0W25B/+o3/Ia6+/QVXWeG3XvJsk/ODt3+P88pDd3U3u3btDrxOzmOdYI+j1rnjwxX+X97/365we/Y8Igiu2N37QmokymqYmy1OSJCbLsjbxSDqTSZG3B52GvCjp9TeRXkVdGFQQ0Q9mSFFRVX3OTocU2Zgnjw4ps4pOEpEEEevM4Db9xvN8giDEaEtTN3jtNaOkwvcEvi+gdgiWqiqRnmSRLtnd2aPX73J5duH4k+LapW+tpjY10nhY6dacTidhZ6dHaTI0JW9+8S3eeuuLaOPi1ZKgy/7+MXVhqXINRiNliPIC6sp1vZTyqCs3jvd9H0mNFJJSV5guJEmHq6sxl5eXDPpDtrd32du9wcnhsRsvBsqlOJgSKwxNY0iShH6vTxSGzKYztDVwZokSlwj1yaPHvPX6a9y6fZcPPnifugalYs7Pp2RZTdZx16zWW9y+cxNPeQwGXTa3Bgg0ZTshqWvR6uicIW39HiDaMADZ1hUu5s+NwiVZVlJXbjZ+eTFhOUtJ4ggvdvrSm7d3EVJQVa5JYqwrUoV0kyTPc1D0uilRvtdGQ7rpVpEXlEXNcLgaXzt23XzhrsXJZILneQyHQ0ajEUmSrKU2n+fxuQu7/rBDluUYajY2+wxHPTw/4PzqjLxM0aYm7kQEVhGEAVHsY8uavExZTjOKuCQKAoajAVvDLbrREN+L2Blvc3k5Bu06GeeXp3R6XS7H54w2hszmM7eQCkkQBQRhiPQUxhpqXaN1xbxYMJ84EnegJFfLMxaLlDhOKCmpdMVkPkeFPkZKN05uNR9FnWPQBFEAGObpklpXWDSzRYpfltS6RFt3QYaByx612DWANstyEBI/iNCmIU0LmnZMuLW1yRe/+EVOT5+RZTnDYR9PeS5pYF1MOFr1YDBgb2+PKIqx1i243U4f0zqN5/Mly2VKvsjXm8Y6jgZFGPp4vkIIw2IxYz6dEoY+GI1u82vX4Fbpt7ZsF3qvlKSuNVbItrhxRalSivv373N8fIwxlrwoOT4+JisqUB5WKPKyQgiXi9vUlrpyRoxGWzdqXhsVzHqE7DY/8dkPPpvleq1Tuy7AVgYHz/Ou83GfK9j+4Oc/P6JevearDldd12v3mMtcLFlFjq2fZztqev57ruK96rp2nTmu48mUTAh8ifA8161cjV5YjXwFVmuqosQPPKw2bG9uEQYBk/EEawyrGrYqqvX3BLfZVm2klW40ZVFQluX6Z1jlIlZVRSfuAJaqSjG2YjDo4PsKISyXlxccHOwTBIn7XLPKYZYMhyN3Yi6K53SG7u+XnSUTrgBYpunaxet5Hru/tUt9XLG8uwQBYR4w0COuuMJUlu3/fMjBFy44/dqMj3/3EzpziaUm6frs7t3kk48/4e/8F3+Pnb1NNra2HCxb52zvbpOlFdY4B6FUFk8FNLnDFxWeZmfrNtYoyqpAKsONWxtYP2HRHDObL9sDgALlYYTHZHpJkiR0kggwTKcT17HJczqdDp2uW+vefe893vvgfepK4/s+Ozu7KM8BZo21lGW1Hqe2yihWhZ17PKchXQtGrdPMSkPgS8IwYD4t2Ik3mJ5MOLs4487d2w4hFAQteqJhPJ2Q5hl/+k/9Gd59/wPiJCGMQsqqAKGduHt3gyhW7B88Iel4dLoRP/nofd59//d5443X+MIX3+Ds/JSrqylRGDKfzun3enSThKOqoq5dhyAMIqIk4ZNPHnE5uXJjod6QMIyxrT64KHIW1jqcjJJs724xX8zIirx1Yeu1bvR5qYiLpTMoGXD79h3u3btPpCKyRU6ZOxD9s+MZs3pBnVfsbe3SNA4dtLOzR39jSKfXY75I6XYjZromTkJQmqdPn3J5dUrSUSyXczaGA6bjMY8fPWHYH9HrDimXE4TMsXaHutwjS3Pm84VjeDo7QxtntWSxcIakFWsT65JlojjGWkMUheSlTxjEhLHPndv/OU/3/x1+97tfZdT/P/PJx08QeFRlg5Lec+L966mE53lYXMqA0w4qNI07uWIQQlJVNSdnF2xu9SiLAgsMhn23D9Yup1lIAaYNBGy7jNKTCAlJJ+Hu3bs8PXpM4Ctef+0hu1t7XF2ck6cFQvtgBf3+gLPFZbs3Goq8omhlLwIX+SiF63gJXNa2UgFREJIuUuazOU2t8URA9+Uev/rLv8r+p4+ZTMYOEI8gSbo0umSxmJN0OnSTDlK5n9G2qRSz+YwoDDk8OGJva5eXX3qNJ48PKPIx1kiOji6oq0MG/RgpLXmekXQSNje3GA03SZLY8fAKS1l9yvgqA+tjhcK2mdFSKmRrBhXCIlU7zTINdWOYzVK0lkRxj7osUVJgraKsDLWtOL244OLynKQT0u93GY026HaHzKYL6sayyrRfRdWt9p0sy1pIsuXqakyn02c4GLrXuygoigzfU4xGo3UUWRAEa3nQ53187sJO+orGNpRlRbfTw4tcwG5jNcVygZUCL5AknZjdG3u0My863S6zcUZelGRpSuiHdJM+SaRRnnRFlHXcoCAM6XT6DAY96qZiNp9SViXGSGpr2qJF0mhNUZbUdY6lIgiEi/kIJFfjS8aXYzqdHr1+Fy+ShMajbHIH9QxCdra26HR6zKYz5gvnKLu4vHD6KDRVXeAFEi+UNKaibJY05BirEVrhS0kQ+QjlRp7Kd6fTxmp05U4jVlhu3b7B3t4edV1zcHCE1po0zfF9rxXsX2+aqwXw5OQEow2hHxLFCaPhiG63x9b2DvPZ3DF6gLJ0rDOpnEPS95zusChybCuwh4aq/Xd17boLWOP0fVKxCkpfaRWrsqauTVvYuU1qY2NEHMf86Ec/pDfo8JNPHtHr9VgsU7q9HtpY5os5vuezNergteO9Iq9cYcPKWm7+UNH1fDzXHyzqVjfCqriQUhKudGwtzPf5TeN5WPGqOFtpNBGiHXP6KCVJOh2EktgGiqpEtbq7oijWWrVrDaNBqmt93er5rjYpU1VYrbFKgeetmXpGONC0taJNw7juSLqRtFlH2ORZTlU4HpRZmVeM6/DEcbzWO65+NiklWZ5RFeW6aL5OBHHPq6pch7luCoRo8IPWJe1L6rrk5OSEvd3bSCkpymZNdFktHlEU/aFiWfYlx+16EEURC7lYvxev332dX/7Hv8xf/3N/nbyT8W995y9SRxn/JPwWfhDRfDfHG0vqGxrvv5Gw93SH+WLMyckJ48mEg8MxO5Vga3cTpGSRplxcXZIkFUncx/Mkt+/cYDyeoYTTcQrrIWWAlCFNA8t0wXArZmMnopIFG7vKGaUKjWkUVeVjjSCIfTY2h0ShT1Hl5GXdYi0iPvzJh0wmM6q84vzsgtOTc5TwSKJdQt/BSQVOkL1mDFpXEPzhh/0Dv7rfu4aBpigq5ospUhl29rY4OAvRtkEFiuPTY7Y3tvFDn5/++tcIpMe3fve3efXBqyS9LpOTE+bLBXE/oSgz0sJHqA1qXbBYTjk8fureN9NgTMOPf/gDTFMg0GTLGYFS1GVJXZS89+671JV2wvWO66wJ6fTHg8HATR5a6cLKeRn4IbaNaMuL3OF8tjeQS0FRpg7R0zIlV52G64OWQirFeDIl8E+4e/ceH773IVdXY6x2Rh0lfYJOjBA+k/GMO/du8cqDBxw8e8psOaOqM/xAIGRDUS6JkhA7MQwGQ5JYsjHcZGtzh9lkggNqBwQyRomMOD6kKu5R5LdpaoGua4zWLgZLOIOcaqOlHPOxHY0qj8FgyObmNk3dUFaGwPcxBjypuHv717g4/yWOjl/hH/6jBygLgR+htTMDlKVzBtOut43Wa3al6/q4tVkIl9XmDBAR1kguzi/Z2OxjkOR5Tl4UToLSDjyUr1pqgnbRf7pxZihPcOv2LcqyJE0dmuvZs3PKqqFueWvjyxlZVqGrnKYBKyyGmiyv1gaEqmkw2rZxmxIlfaIgoZN0eOHuPTaGQ2f+qxuaqmZruIk2mm7SJV2mrhyQiiSKkSphMZ8TeAFSKDzlHLhSeeC5fWA2mYOG/adHvPnmW0Rhj6ocI5UzrTRNG83lCzqdhB/+/jt0ux1efPFlut0+QRDwMz/zs+SFJc8+QWsPg2oPJm7aI567HwVtopM1LbFBtUzUGqVWiUAO2WW1wfddvnC6LKhrTRR22dnZQ8mI6WzuirrWUSXaNXnFKF1B35vaUFeGMq/WOl2tazobQ7a3t9cAdCllG2/2+el0/xKRYorGCCbTJYgAIxR+GCOU7zRbtSEvC4qqJuqkJJ0eSrkF11hFnjvH52hQs0wz5rNDsnnO+cUFRliGgwHb27skSQ+kY4JdXF2yWGaOQyNoBaZQ1TVSue8XeJp0WdHpRtx/6T5lXTBfLuj0u+ze3Ga2vMTg4YUdkl6C8CTDkQsb3n9qKOo5ta44PTvD9xT9pEfZ5AilSboB08Wcjd0uvc0QiSD2Q5IgxlMeQSRJkg7SB6m6IAWz2YzZYkatK5qm4tNPH3F4eMizZ88cMFHnGOO0Lf1+b90J6nT6LBcp0+mUYX9IGIaua1NWXF3uc3FxjkufaPB8SLOCJE7odHpu5NdY194tc4TQzhihG5q6dqYX3MlEWJzrMWi7Ry1TQ9eN+9BiXTwA3Llzh9lsRpblNKZmuDFgejUlW7oT9nK5JM9z99wqUNJvP9997ec7ZcY0n1nkV4VKEAR4ygfkugP3fFHnxqn++vcr51RRuHiu1Vh0NYr0fZ9Op+NSAVpnmcHSWA1O7YQSkrTIWcznbPSHa0PFqihcFTTaWMwat3NtnvB9n263SxRFzOdzp3GTsh1zm+tu30pI0o6eV5myWDB1Q9SJaeqaeZriKRfVZY3T5qnWQt9ovdY9rlBCVVWtT4Na67VTdjAY4Ps+2XKBtQ1SWjY2R0SRjzvPGxDutSvKgiTuUxRLQLUAzFXXwi1Kz3c7n8/SvXHjBre+dIv9/X2ePXvGp59+ygsfv8B//OP/mFsPbnPn5V3+zv2/ybe/8wOiqEvRKEb/qM/5vznhnZee8NLvjPjKm29xdCJIs4yy1ggl2blxg8urK9AWFSrm2QKUYjAYoYVmMr1kd+uGwwsYD6ykrlzgdm1S4oElGWqackHYK9nY9Tk5XmCM36YwNISew75MZzMGgx7z2YIgDLn/0otkac63v/1t9nZvsDHcZJBXZGmO8rx1Xq6wTqj+vGNcmFXCx+rtdjeWEILnDd7uvzv0T90UNKbAiwVHJ/tYz3AxOWe2nJEXDlbcGw7pDwe8eO8+f+/X/kseP3mKlaDCgKwsEKXEWM1iMSPPU27c3OPwaJ/JdEIQ+HTadaSpa3784x858Ld2DunIi1jM5uzt3aAULiapqmuszVnoDGMtO9u7683QGNelFEh8XxIGHZTvcX5xyuPHjyjrm+ze2GZHbVIU2h1qVjgPPntgk9IdaPYPDvg0/5TJ5ZTp1Yw4ilHKJwkS+p0BZZaTJAkPXn7AzTt7LIsZ83RK3RQcHD5msZhTVSm3X7hBoysmkzHdxIn7y7zm+PCU5TynGxo8AVVR0B/8I2aTbzCf/VHq6j9tXc0NYRzi+wFY5yj1POXi+6xbd/rdPsPhiCDwkdLFjwFMp3OapiEJAkaDt1lmrzCe/QJvPfi/M5lcAI5X6B6iPUhrirbj7ZJIatc5kiCEj6BBCoXDaHqk2bx1TjbM5gvmywVVXTqGnHR6SGUtRtsWkeIYlQ8fPuT2nTt88JO36feHPHjwSgvJl1ijiOMeFydTFvOMype0tnWaxnWlkbQgexBWEih3PfnKxzSWwAtoyprT41OiMHZUhKah3+lydn5OvzegrmsWyznCOhJCt9ul1+lRFiVNrekknTbb3eJ7DsK7XKSYSrP/9JCd7RsI4WO0S6jCenhKgWnc9/Q75HnBeHzC1dWcvd2bhEFCVUm6nS5JkiBEiBUKrY27xuvn7krRrmvWjVElCgwIqduOnnQiC2Pcei5AyQBtVgkRJfv7R2gtGQ6GRC1suCpLlxsbhq4TqzV1VTnpinCMjUbXpHWKaEfwKLc2TyaTtWN6NbVZERA+z+NzF3Yq6CK9kqpeUDUKS4CQrvpGlNRVgfIiqsqwv39Bv18hVcDhwQW6FiRxlzjqoa3nWppFxXyWUjeui3Xr9j2+9OWf4uz8krOTC1QQssxyxpMZ2jhswyrXrixLyqqmKBbcu7sLIsRi6Pa7bN/YocYQxx1GOxvEI8Uim9LpRezd3Gln3g3appR1SmMqqrpkMp3gKUUSxty8tceVf8F4NuXu9g67e7tUTYmuNUWa0+Q10nrs7G246j9w2sPhxohPPn2EkZo0nXN+fkZZlnQ6XdcB0qadwbPeEBxxO8EalwHqmGOWbOkW1qvLK+azOd1uh83NrZaB1jAYdOj3B9SVK+qqqiDNUsqiAN1gjG4LuuvRnsAikdSmoamuEyDq2o0aLAIXbSZbh6ZgOBxxeXWOEILFbMFsOl2jO6yx7chWgLCkOnNdMXEtIFdSrRk/xjRrltsKjquUA4H6XohS/rqwe34jWOnaVuPTVRG3uvCf586tRrarZAbHqXMHgxXjbT6fE8cxy+WSoh1lep7XRqpda+5WqQv2Od3eqgPh+FBJm7urXApGUVJkOVIIPOW1Wo72a5jrMbQFjBBY7SJ9fM8j8H2sNq5jIFYOX4O27oRa1zVSCAYbIyyWy/HVtdHjuY7lquuodeMwE55ie3sTrWugIc9TwjAAgXsdoh5SKsbjadsNFeuR+fMjcDd2udYifvWrX6Xf7fP973+f5XJJlmX87u/+LmEY0Zn1ERvuVLu1uYcxCt8EXC3GAEzFkouLS5Kkw3h8hbGGV1+/xcbWJtP5nGWacuPGLbK8IDu75HJ8QRCFRH7E6ekp3bjPoLNJnbU6TmkwtqDUUxo5ZVk2nE0+Zlkds7E7Yp76XJ2UBMGQJq3I8oLRULCxuc3tWzfY37ecnZ/y4osvs9sdcHR4zGwxx/fD9toRa6eybO8kbRqqqlp3nZ3ObkUetO3/3L91OKPrbihYGl2hlCCOQ67SS7om5pXXXqJsSg6e7dMf9nn51QcMekM85XF8eoL0PD748CcMhiM6wyFWCBqt0UZT1TXT2Zw7926ys7fD6emJE7KHsbtPm3aMZgTLecrGaJNUpfTjLl/+0pd59OgxKwd83BH0+0OKosRa16na2dnl6mrM1eUYz/PpdntY49z+N27sMV9MmM3GRInHcNTHmJqqej7Bhc/c0ysAeVPX2MbQSboUy8oBd+uGZZWynC0JPZ+XX3qB0ajPbH7FYjlFm4puNyLLZ1hqOr2A8/MTlsslTaMpcsNituTZ8RlPHh+AligRYLUkzyqC4NeJ4l+gyH+O/ad/iUH/P8Jv3eVR5BiTvu8zGAxbx6lLF9rd2yMMnG4wzzOWyzlpmqFNTVmUyOEmo/4Bx2clVT3ADx4yHP647bq4A5OQ1/gkhCAI3OQry6u1y11JhYC2yANQLJYZH3/yCXu7e5ycnXF+ceE6QtaxPMEgPaeH1VrjBR537t5h7+YeH330E6Io4itf+zLDzT7Hzw5RyifPKoyWpGnZ5kVLrBE0ps0bd6o9POn06CuovePbga41GEG2SKnrGZsbWzSlJkk6hH5Av9MlCkKqvHTGSOmiCCM/pNvtsVgsWyqEuzadJtvF7FVliW4jKX/rt34LawW93sDB6T2fvMipi5Q46vHiC6+DaJhOJ+R5znS6ZLk4ZzLOePPNL9M0kqOjU6rGUDfO7OSMJW2sWXtYr+sa4XtUZYXBTWpcAabAGORKW92O1AWeG99bQ1VpZtMlve4Gu7s3MKbh+OQZFxcXBJHLT59Op+3BfaU7byMaRbM+sAvFmibiClLB6enpmq34eR+fu7AbDm/g+wN63V16/T693i4WiZAJRkMQRGztbNLoirx0gF+ta5rGtVs7yZBhr0uWLrBW4fkxYdxQlif4QcxLL73CxsY2b7/9Dr3ugN2dG7zzznukWYnyQ6dzMBalDcssw9gcY0o2d7YIQ8VkdkVa5mgp8OKQ7Vt7hL0uJ/unZHkJSlLVlslkQlmVWAvT6Zi6qbAYGtMQhgF+6LO7fQ9PQUWO9C3JQJFdLej0O1gLB/tPGfY2mC56TmArwAuhrAPCyCPuhKSZCwLW2mly4jgmjtzJoqwKjNEt4sKnaSryvGyLH+Ogyk25xlR4nqTX66KUs7Pv7GwipMd8tmCZ5lRl41h/WmONu+GMWQXaK5Sw1x0j4Zhbph31XefKupY7ApTnxmtB4FEUOZOJi3RbzBb4LVxUaoFoMSXaaHfawBUFpr14145VVp0flwfozDa2/dlWGrLrTF24HoU+//G8A/T5P7uN4pqRt+q4rVysjdZY5RyiqwVVBT61blwnjGsw8GfAxXYVG3bdYVx9/VWRqeuGwPfZ291FCMHV1RVZlqGldoHWvaSNYivbjadZs+qEFFRFgWn1eK3CvHVssebkCeHGYtc/k1j/vM9vmEKIa02HcELqQb8PWG7fvsnF5RmfPv4EcPFieZ5zeXlBr7tBEGSkadp2Da4hzavxbtM0yOxaGxTHMffv36coCtI05aOPPqKqKr7zne/y/gcfM9rokmWLtaPb92LCwOEjlJQEfsjlhdPrRXGAXyg8X5JXBbVt2YCdhDAOmc9Tjk+PaArjHOu4vEkZSaSyKM9gRYERS2bLY+qwxqg5WTnGDz1u391jOTslEDG9fsBsMifNCl5//Q2++lNfodPpcjWecjkeMxyMEFI6KPd8DlairaXf77Ozvc11x6UdxRonuP5DY1fx3O/XR6vVH13ouvScY7axFZeTc2b5mAevv8KXvvIlvv0732VrZwthFZcXl8ync+bpgjt374KUNMayzHPqsqbR7jmEUUwS94jCBFDs7Owx7PRYzucUReHwHRam4yWTqxmTyzFbr4ywLZrnzu073HvhRT559BgrIAgjx9uqC/b2brIx2uDw4MjFOEmPMs94dnaCVJZXX3uFKPaZLcet0cCtJ38QHP68nlZrjW4sCunkIUpS5lV7f2uyZcao32f/4Anj6Qk37+3iRxIhHCs18jwabVog7MJ16DXMM4dcCf2Q5XxJ4McoGVKVhqpoaKoTup3/lKL4aS4uf5Vu8nfoxFM3/mwahHTd2DAMWt1q6XATcYy1sFgsmE6nLJfLVksIRdGwWGZs9v8+N7a/wPHZL/POR3+FL776V5DKIqR2Xan2klBKttms3npasBq7BYGPp5yg341UPNI0ZzJfcHp6RhK6KQHCObOVlEhP4hmBlRaUc9gjYDaf4fvw5//cn+flV1/mO29/u41rFIDHYp6SLnOUF+B5oQP3a9EWH7rt2uk2uP46OG9NWTCwmC8J/MCZQGiwxqKk4pUHr/Di/ZcYDoccPztiPL1yhiNtiMPETYFqJxcabgzI8hTdaDzfQzfOkd/tJQxbPl6elxweHjGZTDHaOWJPT6Z89zs/Iuk4pq2nFMrzqEoXG5okCT/90z/N/fsTDo6esX9wQFmV1JWhLg20MWBRJ0HVjpeLyGmqvDX0udg05TltHo1pTYBOy+688O5Vmc+WHOhDdqtNXn/4GsONEScnJ9Rak+Yuf9j1HJWLuzSrqZQz6xlrsVq7bN9Oh16vd51a1TZHPu/jcxd2rz74its0kE6DgTsZvP6qT16UpFlOr9+lbgoOj47IsxllqRn0drHGEPpd4njIclFSFO56rRtBEHW5M9zl9dfeAgRaw+7uTQQeaVqQZSUuimXVsXFjD4QmjEL8KCZMfPLLUz492GeRLvF8n71bt+n1h/zo3Q/I8orxbIkXdFnMly4kXSmWiwLdtPBj604788WcxWxKXReMtkbk1RLhabZ2B3Q7XaTQdAcRQlgms0uKwhVk3X6X+XJGVRcYXdPtdl3eXqNRnhsZrYKDvVJhbd1a1CuKsnTpDK2WQ7SQQ9rIkp2dTZI4diYNa+gNes6BdHUFVmK1aEetq+1DtvuKc6K6y69NqGhxIK3he3V8cK1nHJdNSbk+wR4dHjEej1ksFi7pYqWLwwn2Je6kgRHYtijwlHPZ2pWWoGnWJ5SVIUOu3Z9BC2R0o9jnC6hGN9cw4ecA1Q5DYNeFDrhupBsXmXXLuygKfN93BXJrwLHWuu6Yddm8qxZ3ulw6Ybd5Lj5LuDHEqmO36ro4/Z7LHTbWcnV2jrWWF++/iO/7nJ+dkec5dVWhPL8d67jv85nRpjVUGEzjijdhwUpLGMdEUURjYDqbtkHzrouQLlOMNa67146LrztrjgljjMNrIGDvxi537t5ka2vEkydP2gQM9/pUlWFaTxn0N9nd3eXRo0cukFuptSbyeoxuQLldKSTk5vZNXr/xOjdv3uTNN9/kW9/6bb77ve9ydTXm4vKcy/Fp+774hGFCY0o8z504hZSki4pHHz/Bi0NeffgS3/zdb4IwrOCk5+fnlGW9/tmqqub46AyspKpr6rp2KIxeTJxIsmpC1SyQXo2xKYNRiH/q4gzv336JwTBnclaijcILYiyKza09bt26x9nJKUVR0el1UcpzI07p40zBkn5/wGg4Yntzh8Zo/NW41bSjdut+0n/+Y9W5W3mtBUpKFssJZ+en9G70WZZzFkXN46dPuHP3HlESkxY5b73+Re7cvsdHH3xIoHwG/QHvf/AhVxcXNFiKpsH3PBpdUVY1BkG3NyDpdImimED67Gxu0ZQF1NDvD9gaVUwuxsymM46fPWO2WBLGCWEc8/CNt1ikOfPZAoGkrCqiMGY+WxBFMXu7tzg7OwPrip/RcEDTlBw83eell++xs7XNMl0wHi+xxsUVuvvUvQ6re0sqFxHpXPgNxbIkS3MHQDbGOcQlGFNzNT6naAKigWCw2QOpSdMlw1Gfn/vq1zi/uOT9Dx6RpW6SU+YV3bhHFCSUeUO3M2DY3+TibEJdaIqiQcrvoeQYrW9R1V9jOPjAUQ+EpKkbpxnc3GJ7a4siL4mj2DHdmobFYs5yuaCqqvYQqTCm/TmqjFu7f5tn57/IZPYlxvPXSKLvIJ5PE2vXkqIonUmpPRwUWYaz+SREnQjluXGdpzzq2pCVNboumTNvxf+Ase1a6rl8dON0gkaCH/iMNoZ86Utv8NYbbxInMXVZYbXAakGv06fXHbC1uUsYHGIaQLg11dgVe9Sl91gMWqzWYUB6+Aong2gMfi/AGkt/2Gdra4vZdMbZ6Tn9Qd9FyYUB+U8yZucTtK3bwtZ3sXpFjpIjrDEslgv8wCcIArY2N+l1u2itubi44NmzM2azOVjhNOUqwJMR08mSPHdQ706ng5CSra1NXn31dXZ29uh2h7zyymu8leX88Ie/z9s/eBtrFFdXc7COu+sO2wF5XhCEIUYXLh84CphMqnUj3giHKrLtO+U0em5vMVYwmcxY5nP80OfFl15kMHAJMVXtrhVr3PqnhIsu041pzSkWqSTCA3ANqCzLCEMXF+l5hqxNF/o8j89d2B09yj+jFVld0L7cwo9h0L8eCQV3t5jNFtS1G9NZ65yYvuezt/MAKQRV1dAf5uzsvUInCJjOCj7++BMsEdr6nF/OKCvr3CxGIIUT1UolkMIJHAeDLl6QYKUk7gzxIx8v6HM5nlBWCq+EGkmlFdaGZLlHGO0ShG4sWucTpPQRtY8yAWVec1FcoZR7F0ebm9x+4S5hbAkjwXw+ZbIc8+oXX6dMLZPzJdSaGzdvsrW1TZ5l+EISKIWf9DBaYvHwg9DdJEDc6xF3e8wmV9TNaqTY6q/b060WFnwJGpTvcu+WWdFq2SAvCxaLOXVd469a90YgrUbYBpfQjsO1IB2KpE069gVIc92FktZ12SSuMDBC4CvPFX/akhU5ZVahKwNG0ljRRnjJtivUst2sy7pVePgqwPeDtWDUkfY1VZm1XQ4N1nVuhOfI85UuqBvTOmr1Z7plWIEnfCQrTMkq3qotbHBIEW1d0a+sxTYaW69E35pVyoUrIr3W5BFQ1gVFUbiRUFsselI6BxguOmpFmHEnNUGjTTua9PGlT+YtGZ+PibzQ5UrKgIqKuqwRwi3cVVU5EWzYFvdKIaWglyQOTN2Op91I3N38vmoF6y2jTgCh51GUBUq4bqjAJWe4sJE299c6llW/1+UX/sQv8ZMP3uXbv/sdfvmXfhkhFb78XSwNQlqa2uL5iu2tPY6ODknTptXXfXa8Xb9QMf9fzQhEwP+m+t/ytfhr2AbGkylpVvCVn/op7ty9x9P9p+wf7XN0dMxkMqcoa5TfoDzF9pM9HuWPqBPDo/Cc5TsFt1/aJQz6BH7sOjjGwauXS4f50TUEKsI2Nf3eiOlkTl6WGBr80HD3/jYiqjg+2qdqSjzhoXXNbDJjZ3OHsozZ2rrF3bs+58cHCFqNJh7f+u3vkKU5xlRsbO5R5BUn9YRb915ESUWgAqgF0ipCFRMEMQKFxV0DRrtrXraXqXnO7fj8+NG6uQ0OttCevqRksVwwmU+ZAcOdAQCX4wlFVVE3NR99+CHf+KlvMNgecfjkkE/Hjzg9OSUvMv61P/ZH+N7vv83i3BVQVV3w9OApw2GXrY0R44sOnTBkOZnQVA2DzoB0uSRSIZ5V6LIiDAJkEFEjmE/nzOYLzr712+RpQVM0BIGHJ9xHvnQoKIWPJ0Jm4ym72z1m5+d4gWJvd4s6K3l2eYn0JKZuWi1puwGaFWB95Qw3WGHcSK9ym3uR56CdpszUjetoBpbOZsL23oCFnqKzApVAP46JYp+zi1NOT6+4urhgOVtSl5oq04Syy+OPjykWgkHU4aW7r7O8ehddt51EOSeK/x7p8t/l7PzP4qn/jDAw+EIhpCWfz8h8j729PQadDuAhjNtc67pBSg/VHnRWB7baaEpb4/tlew14GBlTWkGDJIxDEK7TZEzDeDxlMp5QNzWedFdKtVxSSwlh0BoOBIHykDbAp0MQxWAbhG3HsFa6pIz+AHBpOFVd0ugKa0p2tkeMBl2+971v89Nf/Ro2t8QiIbQxy6bg1ZfeYGd0j09+csx0MqVpKrTVLtfUOC2yaA0DDbZFOvmtIS1gPk8pspz5fEmWl3hBSNztcnpxTppnPDl8SlZk5EXGdDmj0jXFMieOI4SyaFtTVA3j2aUzkFhNFHXW6+snHz+mKIoW1O8KOs8LXGcOidWawI/xlU+YdAl8V2B2O9vcvPUS2ipq3bDZTYiSkLfefJWTZ/vcunOf9977kIP9QzY3NvH9kJu3bvP7P/ghAidhkW2GuFQOaiyForFtHGV7PWsjUDJoGyIKPxAYUXFydoEfxg77VrlC1vcUdaXR7UHQWkttVgYYi0G7fcsaoKYsGqQsmc9SlPI/s6b8ix6fu7Dbf/x0/fvViUu2kFSkBa9904PAdX6MIFIhunHtXGU8bA3CupFdEkQMOiNXebedgTDsUZUOEFpVlkF/i4dvfJEib7C2AaFpdIGlwpiawbCHMQFF2eCHA8LQw4oIf6kpK4Ve1MTJNmXlUVcVy6Wk3+sSeB5X0yuaJqLbCfG8AVZHLPOMXr9DEIYoTzAYbSI8OLs4IYwFVVUy2t5mONygCA1Fatje3eH+C/cx2pB0E0YbG5yenlLVkiAcAJc0xrBcppS1pj/oEIQBVswpqxLnJVAI2UJp29Oc8hQop++aLmZ40nXXhBAUtUFbjedLnKO45WdZjRAaz0kCnEJiFbxqWzO/bRvH9pqthnCnMCud0UBK587J87pFgGgnrPd916Vou2P/zAtqjSFZdZPcgiWBOIpIonDdWRPCjYqt1lS6oWw7McYa1nFW7RWHsCih2nG0Oyy4PdJVXNo6rVGjGxrjU9XKaZ6EWTuX3SnXFUyBFxD5Abp0+piVzsK53K75dNc4mfaZtJv3CrWCNvS6Peq6psgLN4ZQit2dXcIo4mo2YbFY0DQNg8GAMAxdKoUQbcfPff1V51FK2eaHptAieVx4tjOiGGNadlir62rfS6Gca0vrBulJbGO4ceMmAP/kt75FGHgYa1DWQyo37rHWYLVlOBzy6quvcnp6yv7+PmVZYK1zapsNg76nyf5PC9Triv9F9r/k38r/e3z3ne9xfn7O+++/z+XlJWVZEscxYRQRhgl37t6nrvcZjw/xlE8/6tHfH6EqRTUoCd7osfygYrHIqI2l2+9RmdIVtGFInhVEcYJMHMdrfDUlTEJ6uoPvS5bpjMhTDIYdLidnHJ8essgzKg3dqM/R8RFVo+gmOxjTQ+slZaHpJAEIx6i6Or9ienVFv58wGAzI8yvS+YL7L73IeHxFVdfk88IVd7clvvTxpAv6LgoHbl1pnP7g7XCNuVlfwetfpXLuPN2Gg5+fXzIrF0TdkN6gA1LQH/S5OL3kn373nzLsjTg+OeDwaN+Bs63mw5+8z2w+YbmcMxgOGQ6HNFXJj3/0I15pn3/v1m0WsyV5mrG7u0cUdTC6FRhZtxHdun2X0eYm//Tb3yavSoq2qIvDqDXxyDYCL0cIhdFOPtHv9dgYDrh1c5ur8ZjNjU1eevAinz5+xOHRIWmeY6RyBgAlELQxfavOOcY5S/MKhb/WdfZ7Padbs5ooiun0E5JuRKUrwsTn7v3bJEnIdDpmNl3y9OlTHn96yGJaYmqBEj5SwMHBCVZbfC/ky1/+Kr/yy3+a2WTJOz/+AM9zm2oY/CNy8d+krF7m/OI/ZND7n1BslHR7Cd1uhzR1o1bXMWtIlxkXV1dtEpJd35OrSCytK4SSBNGEweBTptPXmEy/we7ut2iMWUtrVhdD0zidZhD4JElMrjVlWVGVbtqQSJ8glvhBQBTGONJIA7ZG4Eww/e6Ab3zjG9x78UUuLi+5OD8jzZZcXJ5xfn7K40efsrM1Yjo555WXX6WpNIEK8VtHqtaWr3zlq3z0k0/5nd/5HepKu/fZNI4t7bnoRt3ia1Zu4ZUcRWtD3Rbxs/mcs7MzNne2uXXnNmfnZ3hBgM6WKE+RdBIW6QzTSoU8T2GFg+Qv5nN836ff7wNwenrGdDID6+DxtsWlrA72vh/QCRMkzmgppYP0S+EhPEFdaY4On3HTOEOG45AqxuMxN2/epCoKHrz8ImenZ7z08osYbdjd20EpWuZhj9ls5jTkTsOE8j0Ca6hrS1k17roWLmHJNQ5WRAVJWWo+ffyUMAzodGI6naR9vZ3ZYrW/rQ7Oq73d7c5uCqCtbkfjGs+znwXQ/wsen7uwm0/Or/+wXq2Ea/ti1i3KMHCRMJ4X4HmKlRRoZQsHdyITQjn9VytKdsLVBBWHYCUX52PyvObGzXtIGeJ4/jVCOlG4pXE2ZOU5R5G05EUNIuT27ZdRXoey1Gxs3CVJdiiyjDiOiaKYydWYq3HFcHSbXjchCAOMsXSSIZubWxRVjkAzmxekZ1M6XR9bWKyN8H3FxWVGIBJQMYaQ2dwVP4PegJ2dDeJkxNnpDG0EYTDkw5985D4niPA8l6+XV2Cs55j0xuK1OA4AtG6dSBqsRvoKpawbqFrd0silE7VKgakFpnbBxVYYN1amLdrWb5crGg0C0+ayriZIwnOGBdl+CK5BvUbrdUizFKJNkPjsx+rxvPEB9Npxuxql0rLeADC2TbpwSIEKTWV1myJh191L9xQF2tRYNEK7zcHwHG/OapraMfoa3dDogKJ01whCrRMWnKHBdY49KfGFIPDkOmHDbThcx3kJ2o7Dc90Xez2O1k3DcjYn8HxeeOEFlFLMZrM1A055ymlPWrPHyhizet5ZllK3hpmNjY0WV1MwHo/J8xw/jAiCYK2dk1JSliVZlrlOabtJrAplnlssqrpm78YN3n33PYqywFMJCJccMNrYBIQTfIuAo8NDAj9mMBhw4+YNjuIDsi8VFP96hn5dox80eMrjf3zxV/kPNv/n5HVOHEVIKXjppZeoypLHlxf86Ec/Ikk6BHGXfn+DwWCD+y9EWGtI0zmjwYDb377L41/6hPIXLF8+/SKL8wt2b94k2u+xHGeoUqNr2N8/5uHDh+zu7lHXDVXTkBcZnnKaFKxG64q6KTk9f4bGkFUZXhLw2puvMjdLPv30DCl8nj3LyDIPpUKXNaoCZtMlnaQLVvDk8QGbWxvEcbjWc5ZlhTSCPMtQ+ASh68q00y8HT27NE/YPlXX//x8OXN2QLpfMZ3P8QcBsseBqOSZZRsTdhBfu3qOpNbWuqJuMNJthbU0Ux6ggQQjLG2+8znsffERZVvR7PbAdinTJ2flZy2WTeEGEKDSzecZotMEyrdDWo64MfhgQBDFhlLCxucXFx5+4Yh7XVRc4ZqIxtt1cBJ4vMbZB64qz8zPeeOM1uv0eo80NmkaT5QXK8ymrhYtfVJYw8JHSoHVN4Cs8z+mdszRnOp7S7/QBgR949Ps9qqqiyDOCoMvG1gZC1WhTIFRI0ziTSF6kjv1YzknnOU0lCP0OUZggTN6m/gikCvnCF75Ep9tjNl8gpCs0tTaEwbeJk79Gmv5PycuvkYQxRZEz2hiwvb3D8fERWZYxGibMZnMuL8fkVUnd3otAO66L8H0PrR0Q2vNSXnrxb/Hjd/59zs7/BL3+P6TX/2QNfV+Zaeq6pqoqJxcJDCZyOC5XWLn1u3FxPHi+75ontkYIhWrlIbu7Oy1Qu0schszGY47HU04OT5hMJ/gy4OPBkF4vZrkoWM4z/CBEWsXl+SVKKJI44Wd/9md598fvIawkDg1VUJGmqTPJ6VVx4X2Gy1ZVFWjHnjPWJdVkhYtOK+uKIAwYjoY8efqYssq5ffs2ZZWzWEzbg7RqETMuBcoay3w6Yz6ft3sGBC71oE32aTXGKHzlEYcJnuc7B+kq39y4WqLIK37y4Uf4nsdLL76INZZOt4sSiiePnzAez9jZ2UNiODp4ShRFlEWOrgviyOmiBay1fFhQwsMLfXQtKIsl1rqppfu41ocrz293LUVZNMSRQKkAz4vQOneiDOsaJEq1o+9WarTKjgfciN60Mg5pnc7vcz4+d2En7Kq93G7S7RVqXJmMkgJhDbaxpEUFbXWttUFr6zIWhXD5q+0PvtqIrBD4XkQcd/BUCCiyrGS5yPC8GKVCpIIgkAShaG3ILmlBNBZtNbW2SOmhjaGqDJPJEhds7lhw3qZCti9o5A/Y3rqNL3EpAMqpX0QLdPRVhOdLlFfT7w/JsjnjyRwhlOvuNOCJmsVY46ucbLlkYzjElyG9TofBYMR0csR8ntLvd4nCC6xOyXOcocIItInI8gyt3QnWMx6RdLZohG67Ma5Sl1IDTdt98vCQ+HaFXXDdJSutKxKtA0ha27ov7bU+DAua1vHZvpcrvds68HltQqAVd7rfN1ojrF33JlYnTykcTX6lfVt/cdwU1GnVXHEkhddGdem2k9t2q7ShERotrhl0UorPdO2swXGammvdlYtBM84V2Oi2WHXcuKpxr6EVBqV8ghaZQqtvciHNTr+CFEjpu9Fwiyxx49AWmmw+u3WvNv+qqsiLgkbWLu9zMFiPLxeLBcsso2qqtZM3SZJ1IVxVFWkLqnwesrz6t+DA1cZ4nxlpr/Ea7auz0lKuilLdxvYMN0YMhkN+8IPfwwLK9/D8gOlszs7ODj//Cz/Prz/9TSpbcxqcUnQr8r+UkW2mTL84xXbNmq8b2ID/2fQ/4N8L/ioKj04n4Y2HrzMc9GmahsePPuHq4oI8SwmDkPOLMYtlxS/94peZTmdcXF5w684doOHu//U+iIaPf+kJk7804+cff5V4c8CDlx5SVQ2zq5yqaDh7NuPOrYwvffGm2zS0xjQNdHuESlFXBcPhHe7cu0sUJmRVSVpNIViQ6QVxL6Y76HNyeMVi4uPRIWgL+igMmJmGIq/pJF2k9bg8HxN3fXrDHt1uh9ksYjldECcJtuZ67YNr44zW7T1y3dV9Hur8z3sYrZ3zub1/y0LjxyGDwZDGlAxGQ7q9LsNRn/2Dx7xzdUW+zEA03HvhZZZZwenFOV4cIAT0+336gwHz6YTRxoij/acMh302t7bQOSzn+8zmS4rSbYp5VuD5Pt3+iMurMSIICKMEKRVxnDiXo27wvdBtQsY5+T3PScXLKkXbmt5g2MYawvGzZxweHXJ6dkZZ18gWXq6URooAa1stc4s0wroOR1M7GHboR0RhyDJdoI2TCVhp6XYT+hsRDQWomqLKqKsFSsBiPmc+TbHGQ1iFLyOaEspCo5IYa1zIvB8klGVNVTkNnVs3GoQUROH/iyz776D1K1TNv4EQ30IIycbGqO1cu/d8uXBYp9pcRwyuEmxWec3GtGu3tWxv/1NGo1/i4uLrnJ3929y9+7++ZmQCqwiwlTkKKfCDAKNN6+r3Ww6gdgcyz3NrrxB4XoCvBMvFou34nnN4eMTDhw+Jo4QqryjyCiV8qkLz/js/4Y/+/M8xGS+cvKGpKIqKyWTGKw9eIfBDHr72Bg9ff8jvfff7Lj9d+ESBY6ft7GxzcHDAxcVFuy7p645d7aQbfhisXdq9fh9jDJ9++pjLy0v29vZ47/13uHFj12nPdeVkRdLJf4JWUzefL5jNZg59JQS+59bkNTTeuISfTidpOZuuKHRkiBVKK0cpSZzHJN2Id378LvfuvcBLL77EeHzFg5df4ejomMPD3+Zgf5+qLLm4OGsP0KdUdU7TlHSTnturG9tKmtw+GAQBcQxlZZ5bu69NQda6fc6lQslWtqQwGpK4i+f5NI2bTK0aAE7i5jZo0TqP3Z63mo61ij7xr6CwGwxCrG1RI2X1mcVLegrhyfZCd3DLNM3Ict0K1qHRtPDFGFPLtovR8seM45/FcRclQwI/xlpFo0tAEscxja4YjydoU6FNiRCGKPIJosRFkdA4LaNwujLlBUgV0KoR226UdBs5zrVT25XmqQVyGon0HfIEnEPK8yDyegSdXfcm9oVDlljFTteNq4I2JxQdMrty0EyrEwQGpUJu3LhPVggWaUpZabQVCNFpMQSOwWW0G89IN3XEkwLPD5Bt0SzRCGnwPVdIOQ2dxjSGRhqMqVFFhlEajXGOJlf2gFx1dCy0lnaEy8VDKqfBE6vRqXGdNIGL5xIglHTdPqsx6FYzZ1udnGi1NNcwYtFmvEop1xuhtc5ebg0uT1bDqnIwtsV/wKoaxPL85iigNT5o4wwaWDcesBanW2h1im5UYHAd3hYrY1easdWIzPGDPF85mKdt7fxt4d9o3Y6DaV8n6Qw7XGunVp20TqdDXTjgb1EUa5NEWZbopiEvi7XIepX31zQNV1dXnynyVuzAPM/X4OUgitdB0GVZrrU8i8XCoQHaSDUn2ZIupQCHV7l55zZCeRwcHuEpHz8I6PWHPDs+48cfvsfJv33G7GcyrLQsyLjk4jP3+ygfcSO9yR9Xf5xfjf4UX/e/jq4MT548IV8uePDgAVEY8v133uFb3/wmV+Mr7r9wj1dffwOCHmGY0On3OD49R/k+SMmdOy8wGvXY+ptDUILHf/wxf/vGb3KSXvEX1C/S9TfRfkptGmLVoR+P6CdDposJnaTDjb09TF4yPr8kz1xKRK/bI+72WX78ESoy7N4bYr0cbTPu3rtJNh+TTt0YUeuaqszANNRVjm40s4lDbARBiFwfVBSDQZ/p5YQkSpjNFnjq2pXmuIFFO5qSrYvwunv9LyrupJRkacZ8NieMQoxyuqhbezdZ5jN8qUiiCF8p0uWc4+MD7t+9T5UXzGZTkD6TyZS8aVgul3S7kjRNmUzGmF6XTqfDjRt7PHz4EM8+oqpqnj49oK7dYaSuNJ6WGCs5Pbvi7GpKYxqH6FKSMI4wtYOZW1YHNktZ5WjdoHVJFIdsbm3S7XfZ3dvj7PycTz59jFA+pqgx0Lq39Ro71DS6NSK5DoUUHhsbW5RZjjEGP/Ao0oKqKog7Tg4zW0xQcQ8VWSSaZV5ia6fFuzhbMBsXmNpH4WO0oigqkCFR3KcuK4IgpK4ND155ndHmlnMQG5xI3vdR6pQg+BZl+d+mqv8iYfhdwI2bR6ORc6bXdXsId/IPKR01IEkSkiQhDEOkVICm0ddYpiQ5b6+XDaTsf5aNadpuTXtQA4HwPKR0pi6UckWzAs8PEEI6cLMniMKQ0JeOiWYsl5eu8/b9734frTVXFy7JyZcB0voUWc3HH37KfJrx9OlTBoMen37yhDzNSeKEIi+YTZcOrSO99cHR9/w1qFwIRzQoWx7fyim/krBoY1uplCaMI8IkZjybtjQABzJfLBZEYcRSuXFq0zQuNs0KAt8njiJ07fiHTeP0w6uDrNHl2kUa+C0iZpGi9YIsT9eH4VWBN1tMCeOA8/ML/sk//ickccyXv/wllss5d+/cRUlFUWQ0TeWYhEbTNDUCB6ReLieuU91GkLpM3Iamdprmfr+7Tt65lhy18OPn6i/HafXZ3dtlPp9TlQVxEuP7LubUkRLcKLmua6exa7uPol1LHO3C/fp5H5+7sIs7LkpJWxderZTbfBrdIDxLd5A4TYyQLJcGFUZu9t628S0WKQ1SFhiDGzGYxm302lJrhfI0UoQMhh3iqMt4fIGKBKFnUGgu0wmL5YS6yfF9QRSHeGGExeAH0uUVWiibBiE8gqiDNtcICE9eb96m0aBdyDJArd1pLu4kxJ2Oc3IpF/mjPI8wjFpmlnMe+hICESK0R1k6PpsNFbVyozotLEIGGKPY2r5Jf7jt2sVtgblcLqjqirKNgLKtyyzPM/emSovA0tQFTV1ghYsZLwtNEDm9gPAs1jR4ygIldaMpVnmyeE5zJVzhyDqEXKGkajcfCdLHyjaYGoGUbQGDQljnEm0rIqSwyJZutBKMA+jWiSrbvNn16WXlsMLp/eqWTbRyW0qlXJdNO37R9fNa9aKeVyjJVoNgW0ODWTlOXJcSh0GwgG7b19Y6ip/BooVBY9tC1NJYgxHWFR3t91q7fgXXo+B/hphwtWk3WhOFIYHymM1mpGnKcDhkY2ODuq45v7xoi0+3IC1aVEee58znDmqq2sV+xccTwkX1AHS6PTrd7lqLs4otWy2wkusCe4VBkbgc2jfeeJOj42Oq1kCiPNcpjztdvK2Qb3/hbaxyn7vqjD6YvIIcK372g5+jv99jN91jY2OD2WjOb/nfZDabsVgsuLGzxf3791guZvz93/h1Tp4duWLUGs5OTzDBgpdffo2mqXnzrYdUVQkYdve2+bmf/SoPD17lG0d/lL/13b/BO194h9/pvM3TLx/y+usP+DeufoW76W3mVylnBxc82z9jthyT9BJeuHOf86ND8ijDJ3CIIBGgG0GWV4RxQH+oWC6nHBwckoSa7c0tmmWKqBuiwLnRu90edRmymOWUmZM96KaB2hIEAboN3zbGOD0cFr+F0lqc7mwVQbcCEP/LPIxtR5u+R8frYGxGkWc8+vBj/Fjy8gt3GfV6LHpddre2uGp1U77wmc8XSC9CSI9O0qOq3aWa5zlaa87Pz9kc9Hn48CFlWdDrd9nYHHF4dAxWOr2SEe1zsCwWKZXRlE0F0mEYgjCgaFzMoWwPQsbUrIq7m7f2+PrXvkZdVVxcXqLbA1fdGDwvJEokSvlUddNuUG69krLFFRlNWdYu23NzwGVzjjXGSRFE5tzRwhBGgcNnFRmmKqltgRcITFlhCpiOc7KlxTYBSkaYWtBUFoMCfIcCUSEnp2d88uhTqlojpKIqK6qqRknFz3z9Zzg6jvjhjwxl9Trz5Z9ktPEdp20NXJpPVa74mdYlLHke/X6f7e1tgiCgrmvSdElVFRjrOu51HbK3+5vs7/9pZrM3uLr6Wps965YXpdxBT7a6WbeuKCelkS5HGukSc4z1neZa+iSdAF+BkM7EsLO7w5sP32Q5XXLVMt8c+so4Nige0vN5dnTOwf4xdV2zt3OD8dUE01jCIObddz/EVyG3btxy+a9lSb/XJ1u6w0eWZ46j+dzkYJWFrY3GSoW0Too1nc/ww5b1V+TESYIxmr29PfI8xZi6Xe/cRKKqDL5vCIMNrImpS5dski5zGl0Tx5HbF7MCa6GqajxvQrfTZTy5pMjL9SHcXVsGo7Xbk4yhKySLxZLvfue7vHDvHmBYzObUldP1udrE6b1VO7nTjUZY2utfuZQVa8E2NLV2juAgoNFqvSav9gljgVZ2sNo6r8aXaFOytbXF3o0d6tpp16UE31f4vlrjTSYXV2AFZVGwWKYYc434+leSFWuldo4e3yIa9+TDMCLAA2XxY4HwG6q6pmgWWIU7fbDykQFotK4RVmB1CVYT+CFb3RHLRU5TZ3R7CbfubCNFwP6BJYkFvmxodI6iwqNC24KmrPHiAZvDAWm2xNgS300haZoKgUfoh8zTjKaq8JSHClwwuhujFZhKsrt9iyzPydKURmvqKqcuHWm+Fu7iEOsoErvuSkoEyjoHqRAChTvRKCGdKNoT+HFEGMb4QYDyA+KkPXk1ht2t/ho4uDrpu1OfdV0y6YqBqipp6grZdsx0U1PrJbZ9LXXdoPAoC81ynpPnFePplLzIyLPULYqmwVhNXVfY2rnQhBBoC1YLpF3drALTspOMkFhPteiMFc8MTFOtXavKc0WidHPbtu3sbloLNNZSa01VNVhtqMvSLTiBY7hZgevotggEp2X4Z3Q7rADruqrWmFbPQdv2FggRoFYMClwRC85ZKoTACInGoIVxJHEk0peOJ2Scs8yZSa6L2M/Ulc89no8cs9ZSNw2+dADfVQTM+cWF0/S1usTV2GLZIlUWC5dN6XlqjZVxSA/X2VMti0kqRVEU63+/Kph9340oWHWJ1tePxPN9Hr75kM3NLb75zW8hpEQbQxjFeIHT8A2Hw/XP8efe+7N89exrTCZzwqch2bmLvJNSMDfz9fNaPX+spRsHlEXOJx9/zOnpCXu77jTq+x4ffvgBl2lJp9fn5s3bCGHZ2t4gTkKUgq3tbb78pbew1vAr53+Sx1cf89f8/yd/u/tfcNQ74wfb73Nf3+VBcZejXzvlN/7uPyDuhvzMN77G6MYtsmRKFmfkuuTo8IQsr+hFPcrK4PshH7z3Pl6sSecFLz68xZdf+eP8zb/xG4wnC3o9J7be3d1gc9Tnxz/8AD+QCBtgrKGhdLBs33cmm1Z0PRwOuXv3Lp7y3WgSs9Y16pYBt75U3Fnjn7+OwnqsJNpxU0JEXvqkszl+CQdPnhK3xpzx5QWvvvoa+0/2KZsKKQL6nZhO3KOT9DEolumiLTJdger5Pq+++hqPPvoIaxvSfEEUhxjtOvJutCGRysOPIjzbYHIoq4K6buj0OtRVSVXUSDS+71JJev0Odd3hi196ixs3dznYP2KxXPC9736PRZqT5YW7H/wQqZxMxlonxdGmdtfs6sWyjgcX+gG9Xo8sXWCME6SHUUCUhHR6CdoUNKZmkc4QniZRIUoEIBRNWaArEDYA46Ot24itCCiKmrJs2NrqknR6HD87YbFYUtfu8DTo97l37wXeeustrPnrfPjhNkX5R3l2+md44d73yPOUpmkIw3AtZVmN3uI4dskdLXhWa02W5RRFijZVO9kq2Nj4gFu3/iuOj3+Rd9/9K+TF8fo6cPdxsB41XuuV2/XT2HbdE2tJTOD7Th+sLBgn6n92fMydW3e4d/Mu88kU01jQEHqRg8XjtGJ1o8EqJIZ7d+7hq4DTkzPGF1ecPjtle2t3vYadnZ3RiTooqUjLlMbUa57lirn3/Bpt2iJKepK8yDk5OeFbv/3bjMdjdne2OTzY5+z8BK3dQc9iuLq6QAiHtekmCcN+nyrUDHsbxHGHp0/2OT07pd/tYpTG7/n0ugOOjo6ZjScMe32qqkAbV+xoo1lfXMJ1Q4W1NFXDbDzlsW54dnTM3bt3iMOIbqfD6cmzll1au8OEr5ykDDcZE43b03zP7UFO+whlU2FqjSNBrfBb7T5uTBv15i5yYyy6LBmPS2eaEBbPC9aUhJWkIwgCAt8nSTr0Ol2auuHs7JzZbNHmFP8r6titXjPP9wlb8GuUxHhtaHFZ50ymE8qyoNGrQGWI4pg4ilx3yLqM0xVtWSlLGEqGG12UJ7m8mBHGPrdu3eDsbIL0JEHoY4WmMTVa1zinp6auC/r9XV64u8uzk4qsrNjaiimryuXJ1QV1bYhDRYVr+UZhC8vFhf82hSGvrhCeQPkFmoYw8vD9iqrJMNKN3Ab9HnWjKYsKN8YrkcIBMo2uKcsKqw1l5aMbl6drlY9QylGucWwxVhBf43QSqnXCCuFOQmEYuU1eOgHoKj3BwWwlvvLxVUjsu/BjX7m/81WIJ3w8FeL5Ibp13LlFtaZuaqzVVGVJlVVtqoVLYSgLt5gXRU5VlSzSKVo3bTxZ6fRrZUVZlRR57i5a2WrCvHaMa93QVyjpFqHVBd00VJVAG9/NYWWEyy8F0+oH3c9O+3VcWbbqYIHTFTjXuUO2WOHimNZdthUEtS3GHGRZt8HYFqskVkm0FOhW0yHESmco0LXrGreCQRdWvfp61rquIysKeyuY9n263QG+7zGfTcmtxfcDBLAscjpK4QUBtJmYqwi0VfTXyoAhWzODakcTLjTajeVEU1GUrkuwyqzVxoFb66Zu7y53ZLJtwbd7Y48Hrz5gc3PEJ48+Yja7oqlrkiRw5gzPoyhz4ih0ZBQg/yRn8cMUXVjmzYKmceBsizuJBqHTca061ZdXF8SBYDa54uz0GS/cuY2nPL7/gx/QiWOiMCCqNMpq5pMraBrS5Yzt7S1GGwOWyyWXl2M6SYgUihfq+/xH0/8d28ku73Xe43fFt/mB+jE/+MaPiV8Kee3/cIfZuxPGL6QkrwyJgyGRn3KVzkiXOabRZGlKvlxCx3B1OqHTD6EM2B3usTfYQyAJg5BASXeStjWjjRG37tzk9PiSMrco38PSMBlPaHTDzb09QnzGF1N8QraGm04mgUN1LBdLnMdHthwquda2ivXqt7ItuU7ASkujTUNe5ZR17g5bypIEAZ7f5eFbr/Dyyy/w6OOP6CVdPKFQBmbjCWEQI4XByzJ6gz5b21uUzyon8jYa5YHyJX6gODo+5JNPP3V52LMlUc/pl9O0RFWWsmxYZBn9aEAcd9C0GlYLu9s3CERAPs8osowgUIw2Buze2AFh2b2xjfQF49mYoqp4sn/IZLpAG4iShEB5zkVpdLseFnhKEcaxk8RYEMZiakOVl3Q7Hco8c/mynsSzkv6oywsv3+HJ4ScYWVNUKd0wRgKe8Ki0RFdei2ABLWu0rgCJ5ztSgxdIRptDXnn4Gs8Oj5jNZnTimH7HudgfvPQim6Mh89kpYTinKO3aGDMejynLku3tHTwvIE7cuuz5Ab1ul27H8dWqqlrDirVp87jb0XXTzHjrrf8Li8XLzOf3+c3fvM8v/qJLwnFFYtsBsyCRNC03TrjsLdeBFwI0CCMIVMDNvRs8eOk+Qhg+eO99Pv3kMd/5ne9yfueMZ89OKPMSjMCTLlZNCYXRGoUi8Hw2dvZ447U3OXl2xP7jfT7+8GMuzi74/vd+wNHREUdHzjBydnFGr9unKAuoXEfLQXVXaRetS1V5bdeftmFjCP2A5WyOqTUbgw3KrKBIC4QwvPPDHxOGntOLWZAiJIl7ZFnB2dkFngrY2VH4fkgYxEwmC4dJu7HHi/dfREqfR48ecXJy9lwx7O7D686nK4jBHUqf7j9la2uTg4MDbtzY47XXXuXp4RMODh+jdUMYKZdIIRqU5+FLSRh4lKXT8fu+dJm2npPfTGYLhLKtrtKuZUGuABcEUYK1Zh05KKS/lgFZA57ymOdz8szJQcqyZMmSZ9piqgbZSqU85Qr5Fex/7W34HI/PXdhVlZtBO0ijE/YvmrTVMDUY0TJoAocTENIlLwSBY4YZLdzCpz107UZvWEFTOxhpUdRuJNsYwrhDoyd4XoTwAypjKRtDXhlqDUJ4SE+RFUusKLh9dwtNn14/4XJ8SW0bIuEhhOTGzbuMJ1MWizlB4GzMo9GI+WzG6ck5G8OEsqqwvsd8luFFBV4ExTLFWEVdFyzTGs/3aXSJ7wdEviJUHpEnaNqqfj7P0LVHUzfOUWZraBynp67z9UhTILFCYZVz0/he4JZ+IZlNW2iu8FHCnYw837muhHL6QG01Re0KM0/6BJ4LZcY6mn8QJqggdiJMIUji2BWIvkt3ECJgOOqQJM4hvBKurgpIS0Pge85EYPQ6vDpNU66uLrgaXzC+umI6m1IUBWVRskyXZGnmro/GFUouF7fGItupgsDqGmtcEHZTOyu3VCsKv4eRiqbdXETbkUKoduIq1r8iRXt6WY0SVbtYGlCtDLXVC+p2fKClt/6QUmFsm8ChnKhRWIFDxgiscifmtlx1H0JihV5bFowR1LUlrx1RfiOO6fX6pOmSZVVSCwiDkF6vvz6Rr8xE1oJqtZkuAmh1WHHdhFX7nZY/6MwamkbXFEVbDBg3VrfCPV8jLFrCoyePEOpFnjx9RFmmKM+idU23k7jywjY8+eHH+L8mKP5N+M7PfYfkgx6jyTbCOM2PQ40ZZCPxc+ci9JSiqUt8T9If9vEDD6MbXn3wgKPDY7dxhBE727vs7oUMOwnLdMllmtIbDOl3Ouhuj3QxJ0+nDAZ9Tk+f8ejTR5Rlztd2v8afe+3P8cHe+3xv4/f4e/6vM9+bs/jzhuTHPRbnFR1vk6uzd5lPKq4u5gyGPfIyZb5YkE4mBLZiq3MDXymmQlNnGiUg6UYMBhtcnZ0wX8w5PinR1tLtd6j2T6i0xVcB2hrSNCOdz7m7e4OtwQbnBxd0ej1XZNGOBIx1oFfrimsprg1l641m/X9teWfcdWZaXlVepVSmorIFttHEnYh0UTAZX+Kplwn9iP0nB2Ash5/uEwhHEDBYiqpAYTk4eNpmaRtEaxSKuyEX4wt+61vfpN8fkJYl8yKj1x1ilaLGYn3nKnQTAknWCunjOMJXkiqrqfLaObqDCs+Hsl6yzHyuJmMePfmITqfP6ckVcdSlN9iksSGLZUpdGxqdtdopjbWadDGnm3TwO91Ww2VR2uIhKJYFvvDBSpQn6IZdlN9hY2/Ixt6A/QtLIytUKPB9uU5tm0znVLlB2cA59XWJ1iWNAWtqDBVxp8P2jW2m8zFn56cURUa/10VoN6w9ePqUbLnk7PSE7Y1fYzb/ZSbThzzZ72PNp2ht6fUrekHM5tame94iIG4bGuPpnMl0Spa5UaVFrws2IZXDNzVzhqN3mc9f5PtvB8wXmk5PXOv1BCjRpv5o2qkBGNu4QleESG3QeU1aF0zOJzxFMuh3mVzMmFzMOMnPmV/MSZcps8mMJO7SSXw86bUCZkscRcRxxGsPXuXrP/V1/u7fPUIZxYfv/oSw0+Pbv/tdJpOxu1alZDqfUVQlTV2jWhxWe4G7Qz0WsVovrZtYSQu7u3uMun0ODw6o05w6qxBaolrJU5lnCB0QCp/K1Fjj43sJ08mU8dUYYwST8QLfj7h58y5XV2OyNOXifEI3GeOpgChM2rFk6xgVoi0Sn2+Vr/LYWkRYkfPjH/+Il1++j+cr3vrC67zz3tscHh6wd2MLaxuSxJkmg8BFWx4fP+P+/ZcQOI1zU7vOZNTxiOLO+ut6nu8iJUunAwyikKZ2WBpXKzlzIcYy6PW4ffsuVVFxeX6JsAIlPBcpWtSgLekiA8BoS+hHrS7ethKrz/f43IXdSnOyytt8XqwoPej0naur108oy9TRpgNJXZeUFWhraeoGg6U2TuTdWENZNDSLFCl8pIxcpIsVNHWD7/kEno/VtnU8tiRsKVFIppMJR8f7vPTgHnEYk5dLijLD4lqhdVNzOT5DKcVo1KPRDWk6pdHuBOkHgp29Eb1en6OjI5bLXutWavC8DlL5hGGM5/mUZUWRzpleTZz2YjhCBZK4E6EjTZEvMLrGiAYrVuJ9DaZEiAqhnDZHSs9dj54lCBS+v9Ir2LX2o2gALdrFgnWciO/7zgKvq1ZP5cCfGFckK+k700gQOz5caxJZkbVXjhvHllKfaa9fO7zE2qVp2rFnv9djMBwy6PfZ3tp07WPjNG4r/VdVVejWsWo1VHVNVbnubLp0Y2FfyHVnsCwL8iIjTRfM53MXj2QBJWnarpTRbhU3LYzY4tIopHDjf2uMG7ELt0Fp3aB8Sd0U2NY4IqTr2BmhaKyHNM5J5RA9LpVjNW5mpQuUEiMdAHgl5VsFR7WHcRZpThzFRJ0uVWYxnkfU79EIGJ+cQJ6TxDGDKCGOY8cCK5zw2I33QddOX+hGryttomN/gcXY1ejK6QTrqqJcMbRavaFV1nUaleTo6IBbt28yX8w5PDqkMStxv8H3FWWTM51dsL//KQ/+/m2e/vQ544dT/j9/9e/x8L9+g7f+wVsIK9GV26ACz6fRAVWVkzcOebKKHBuPrxiNRuhOw9Mn+2tZwb0X7iFVSJHnZOkSIRXdXpdG18ymY8bjU5ombx3Erjsxm824vLzg8OCA3Rs7/A/e+O/Te9jnP4n+E569fMKb3dcIwogszXn69BCB5uz0gu3tHTa6Wzw9OKBMa1QUMtp8gY2tAeenJU8fPeNnvmh54+FrHB+eMVOCssyZzSaky9ZNqSx+KKnqEm1q6qpCYjk+PuFLb36Jl158mbo0BEHrEG33DmNX2JoWd/KZeewfmOO3IzZWzj7tcCq6Mc65DVS108Y+e3bK22//gPl0ynw8Q1rhitNOh8aAc5MKjLbUtZM2WIFD37TmNtXqpFaGhNHGgDwrKYqSNEvxvYgk6babfchsMXddd6uZLxZk8xmh59GLI/r9hMYURJFPpxvx9HCCQLUOyRjPc4kV3rJwBhPlpCp1lbtlTirCIMJTPoEXEPgBTa0pVMXKWV+0kwGhBJ1uwosv3yPo+CzTJf1+n2U+I44SfC9EVw2eEs7A18Ybrp57WVduI/XculXrmqurSy4vL+l0Eh68/IAP33uf6cUYz/M4vzjn/OKcKI6x5EipMSYkTQN63WtOq+/7DIdDN7FSkdML1y7PM03Ttf515Rz2fZ9V7FzTNOzu/h6HB3+Kt78vOX4GL79i2vSUiqqu0LbGRVS1DstWwLzq1jv0hqDIC/afHvDJRz9hNOwxvpown8/RtaaMHa5oOp2utXCrA6UxhriVAN1/4T6LxZwk6bC1tc3BwSGX4ylN3SCFWneZrO/yhZUSCNWatMT1ryvpsRsbm9YI4fP1r3+dfq+3zo/+/vd/j9nUmSg8TzIcjPB8SVnmmNJNLIqiJM9LR9BoLEYXLJclYZCgpJPLLOYLPvzww/bnsm5/+0P65+cKu1bLLlokmLWG6XTK4ydPqKqc7iDi4Ruvsbk1oNtLENIipeXo6JBur8/Z2Rk3b+3whS++zsmzU87PLwijgKIoCaIeFkEcxailq0c2tvprmoIzcGh6/Zg0zSiKFXS/IU5CEJbNzQ1msymTyXTd+bftobGqHFVEqRXJQVKVbmL5eR+fu7Cr67q92Mza7hyGIRsbG0hlkV7DxmhE0xRIIYmjgDgOWCw1jcEBGpvajcakoGxqlsuMpqqRKJK473AG1p0O/JU+CbE+LSgh8JRc61uSJGHvxjb9YYfJ7JKLy3PKusSgaSrjSPFSE8URw+GAxWLBs5MJl5Mzet2eE/EHhrKeczU5wfMCNjY318LTKOwShhGj4RCQHB0dc3R0TF3VbAy7LjcVQ9NURJFsQY0GIVpHqq0RlChZE4S+28jaqCdta/JiQVEIkqTrKnrRIGTjfl4pCELahrczdihRI41FSeNUi63L1DS4xbLWNEbQCFfEOdiwu8lXWBKJ74oHKd0JR6yiqESbyiD/kEj2rNV1+Z7vtF/ys/9m1RJXLZfIdaAce8hXitFgxM7WNqa9MGUbfeW1xaXFklc1eaVprMVIqJuG5XxOmWaY2p1uy7JmfOUckXmWU6ROByNseyJqatLlHHzXTdJNgy88rJY0NfgIpOcjjUIb54bVKAfYRrjNse1qaaloTNMeEowbxbp5BBLJIivQVtDZ7BF7ivEiZZbtO1ds1zngdNOQlhVGuAzaWrsi3Q+dM1sYsKaiaXV+YrVQrbSH7YhXKYUtzVqDs5p9aGEw0iKUE137Ucit27dJl0uqusH3Aze2lhBEAcY6rZKhYlNvIP73UP77FfkXS370Kz9ksTkjmSeuWLDgKUUURmjdMJlMUFLxi8tfwGrLp58+JvADFlmxXguaRjObTTk/v+Kllx8Q+B5+GOJ5kmw5p64K6qZgPLnAGE0QBnQ6kTNgSWiM5uDokLKu4L6AyF0HVa1ZLDK+//0f4nsRl5dnRGEXT0aUpWY+yZlPCsrGslhonn70hGUmePLJp/zUFz5lozfkoNpHCsvO1ibj6cTBccvSbQCej9CmPUAapPI4OTnl5vYFb775JS7PpwwHG21h79yA5f+Ptj+LtSzb0vOwb865+t2c/sSJPiL75nZ1u6q6VbeqWKZFw5QECJBhy/aL5Qc/G7IBC4Ihw7ABGzAgwS8y4AfBkkWZNCnCpEiaRVbDpqpYt89bN/NmF5nRnDh9s9vVzsYPY+0dkTRZvAVIC5mIzIgTp9l7rTnHHOP/v79uCH1HaqWx+ZddqxHsi/XT4x20rcVpcZp7bcjygqarcB6c6xvWKDrnaVoHSkT13km6iOvxIVJcymbrQ0AHj9aGphFDyZtvvcEf//H3iJKUrEiEzWcVuUoI3qI1vQZSM6sryqbl5v4e482CupozGuW89vpDnjx7QpJELBYleZFzPWmwbkocFTR1S9da0jQmUoZ5WZGlKXmSoTHEOhYwrklQwVLkQ5aLmjiR+yNSGbt7G+R5TF7knJwfsWwXdKGiazs2NzfFMagVZdlgXSCOU1SssV1LMcgZbY25nsyZLRbrLFZxMrbY1lJVpRTukcETpGveTyuS9Dk3b/6E58+/yWzx71LkPyLPk7WRSeIGXzAom7qWTOje8CSYqr7pYCIBuvdj3Y2NH7Ox8QmTyZv83/4T+Pf/g2tCj5fqulZ0572URK+6Yz18PPSA3SRJqRpJGanLijpNCE7WEIWS78V5kjhZa7VX+i3BKEl2dZ4XzOeL9VqitSHLcpk0dQ6NFrmNl1EwXs4SK8nQaoFa3+/hxX2tlOLg4ACALBMG52effU5ZLnvdYUvbSoEHAYyiqVuOjk7x3hJFKSE4kQ96mEwmvc68/cKYdWWwe1nv/C+6VjgVbeR7v7g457PPHnHjYI+fffAxcaophhmdbTg42Gc2n3Dn7m1uHOxyNT3n/it3+ezJJ5wcnxInKXmSYxKJPFQqYjDKuZ5eEmvJqfVeEonm8yl17ciLEcUgYz6bM5stpKnRlfzar32HH/3wRzx//pwoWvF9+3xeHwi2w5gICSBJJXDALftEoF/s+oULu7UDLMgNs3oxB4OBuMRcIE8zLpczmqZmYzwEj/DrjKZ1tbgglaazls5aAoEsy9kab+GdYrnoREKvNIOikHsmSPyX7TrJFvTye0ZpXn3lFQ5uHYC2TOdTriYXZEVGnMR01rK5s0lejGWMOLkgSVKyImW2mBClskC3vsIoQ5xrqnJB66TK3tvdpxjExEbjXEOaZNy5c4OtrRGL+ZLlomQxnVGVJWVZMZlO6Np2nT+6ikvxqgXlcKElMjEBjbWe1gr7DBQmdsRR3Icu2xcQYR+IowiQUVhsInyfpxlcr3lwEp+lXEB7T6wiwOFdELyIAq9enN4UMaHPeX35AVn9t2xSqwXKvGjBrw0UcssYLQJ/pYQLF0UvAq1Df6KS2J0XTB9lXpz6fAhy8yoleYGto/U99sRo4iQmSwfsb98gjRP5OfpDhYjx/Lr9Ljo9Q1XXXF9foYyi7Vom02uargHdiU7TCh7FWkdV1mI1d+JOsu1KzxaEMxiMROKt9A1B8pHpXVPeWlobYJgz3NykDYpysWCYF9x7+AppmnJ9fkF1PaVsxCiRFhlZJqNxgGAti+mcpqklzN12cqhJYvm5tCZShjTLaa2Tbmzk6LpG3F8RYES8O9rY4s03X+f+vbv8we/+HooYlO+7mZYoiSjrJXkRc+vOAUHDxZ+e8fb/7gFXX5tz/O9c8Ol3Hv2Zwn+AZVty43d32ZgMUUinaRVe3rYtx4fPBDgeG4aDojfGeB59+gnLcolXjig17Oxs4RpLUKLFytKU6XyKC5btvd2XDEWaJM1Zlg2fP35GZ0uuL+dMJ0silWHI2RrdgCbi2ek517Nzrian3Lq/x2RW8oe/+wO+/q1f4q2Hb3Hy7Dk3Dw6YTK5x3lI3NYFYIvk0PUZHutXOOk5PLzjYveab3/gVNje2+yIKmrpbG0rkIODXvEi5VtqfF4U6rPZAGR9Z53t3t5bErdA7c5Wk0KRZweDmiMTEHB09x3k5EAWPmNeUALXjKEGCyksE/C7apfPzC/b2DRtbI54cPiUf5uzt3uDs7BIbLKONAWmW4FpLCA6toetqwHPjxi6/8ivfpqsXPH78MW+88Rrbuxt8+vnHhODJ84zhaJu0ULhOMb1eyLPlJWItS3KKZEAWJ9L17RyxSUhMSnAB30FVNVjnGUQxeZGBcjRtTaDhk08ndL7FZJrZck6SStfPKwtWU7karXvnrlNoE4gSQ1ZknF9dY7t2fZgfj4YoAj/6wfc5fPwU6+y6l6q0Ik4TOXTZmhv7/y+eP/8mZfnb1M19hsOz/z+zlGgZo7Vm9oug9oDvdbODwQjnOsplxXBY8sor/19+9KO3+C/+n5p/89865f7DESZSMnINTg71yoBW/UgXQj+lUH2sV1M1ONvQ1C1np+d97SdO+K6zWCs50qo3e6ywK0pprJVUixAUjx59zunJGScnJ8xmczY3d/jt3/ptuq7jhz/84ZpXR5BxoO8do971qKlVx2590JTXJ0mSvsAUxE2e54zHI2azSf/9iFZYItkUOo4wkaLtauksb24znS4orcgclstSDH2rdR/WU6Z1ssufcaISDbNBKemcXl9P+MlP3uNb3/o609mUi6tTynIpebCTC/Ii41d+5duU9YKbdw64dfcGf/iHj9AJbGwNAUVapDgHeTYgjmPSPOHgQOgB4nTV7O5tSNeuc5RVRVGkMkEKHcfHz3j69HN+9Tu/wvVkwg9/8GO6zvXpHpIbLs13B8FitLjFVyDkX/T6c3XsXu7OgLiaqqpCERgMcjbHS+bTCnCUiwofegjkcIjrFui+/+StW3c+NsZjdra3sa3HNlMiYwQmayIMiixJ8drh+82UXkiuCKRJInFNqsGHwI2DA6y3KK1pWsd4PEabhKPjIzFBbG4w7AacnHqct5TVgsn0kq2tbW7e2ufk5BTnW66nl4Tg2RpvkWQFeZ6RZwXT2ZzReIjScHJyzNX1NReXF32HpAFCH/Bu0Ako49A6YKJApxAoJhqnAjZYgu5BjzTge4t2cHhlCFpuSK+cGAj6G1T10WEEKXbbusN1HtdJdqUyMdbrNQZDMDP6pRNO6IsUeSRlrG2EVdfrEaSoELyJ752n2sjIsilrEYs63xf4q5OUjA2jOFrTurWJiEws7uk07qHPkYwWg3RFV26ixgWGm9t4JQ6uq6sL8J40SkgjgQj7IDf5KicQ+ixDbQiIqzhOI6I4Zry1wa27t/uvq4gS06d5CHW+bTvKZUld1SgXaKqaummoqoqqbWjalvl8hm1bDIGu7SQnsm3p6oa2rgV3UozY3NplWTZ4Ksqy5eJywmg0wiuDjhKC9TT92M24gG/kYOSdo/YB2x92mlY6V7mJiOII7TVOxcTFCFfWNMHgdITFYYNooO688pB7D+8TZylfeudtvv/Pvsf1pETpTCDMSlBDW9s7QsyPNA8ePuD9Dz9isWx5a7hL/KOcrafbfPK//Bx1RwoMrWSkVFWVvJ9KowvN890j/ouv/VX+Lz/9P1CeLWnbbj2Kf/78EBc8d27fxlkpmE/Pz9nd3Wcxn/DZ54+xdNy8d5ONrTFGKZquJctSggroSJHEEs/00z/9Kfym3FdpVogm0iuaxtF2Hq1S9nZukUZDbHVKNXc8++SMZbOg85rndkqcpzz95Jzf+rV9vvTOO/zkxz/mtVfe4JOPH7GYT2haAQWnaYI2GuMFHkw/Gjk9OefJ4Bm/8q3fwGgZiSj1QqgdevfbKrbvBceOlw5Eq2u1CSqcC9jWE0cZ3lV4VA/0le7ExeU1aRRTNS24JVXdsbe3xXQ6I46zPjfbEccRBwe3WDQLJtcW7zuyJEcTaBvL5HpK3dUyVrp1m82tbZI059btOyyXS2KVkG0WPD181j+bMU0asb2zycbGiJPFJW3X8Pnnj1D6wXpzXi4rprOGtNhFETGbL4TN5jyjwYjN0QblbC7JACYiUo5YJ3jr6ZpunYqRJBmuj6GEDnTHbDElySJ29rYo2yUHBwf4YLHWMyxG1MsrnFOgIhbLGcpF4hKtLK0XR3CapgwHBZsbI7a3xgTvuLq4oK5KbGvRRg7LcSwTiLZtabsOQt2/U6JHFmafY8VvrasaFSKG49HaHRtCoGlXpIA+wzookiSlaTx13WA7x2j0PklyxWy+yT/+R4Z/a28uo3TfErByQPdxP66XpInVoVX0bBrXieve+z543PcHew++LwBWejNBJ+l1Yoj3gSyTjt3p6THHJ6ecnJyxWMy5uJiws7PH/fv32N+7IdovrcRhvGIO9nuH99JMNOblglf2AAmrj7i+vv7nmkD0z0sva/H9hMQpySaPMgaFZDFHUYtC1h/Xo2NeLt5e/u+XnbkvNyrUetLXG+z6w1fXOQ6fPUMpT7EpQOS2behsx7Jc8K1vf5PBcMSPf/pjtvc3OL+6oOoa9nb3qWzD3u4exsSMBpsYk3B8dMTd+/d47bXXieOY8/Nzosiwv7vVx0CKNu/6aooxP0cpqMqK3/+D32Vvb4+/+N/5ba4uJ/zoR+9RlQ0Kg/Ji0dMKvIGmmfWNgF6H+Qtev3BhNxgM1jeOtbbHNUS9k88ymy75+fsfS8bq5pC2DrRdJ6yW1FMtFtK50Qmu7RgVBXO3xDtHU1U4Kx2qrY1NsjTDaE28Mg4ECYzv386eMeOYTWcswzU6DSSJYv/gJs8OnzKbTtEmIc0L5ouSzlm6yjGdz5gvl1gvvLe2a7iaXNF0Lbu7e2xubeK9OP/iKGa2XOCCQscx2nYsqiWL+ZKzszOOzk7Z3trFLObMzk/JMpmdBwUdFts1aO9JUk1iIlAe631fABm8Bp1EaKWx3tP5VijXIfRORyOOpt4xG5QWkIf3eNtB8AQvBoWuszgrhbIhIljBoPggmBmLdNCMNgQlhoaVucKFQNdrhVaLmES9RGsdXmRMj9gwKN/inUcriI1f6xjo9UdxbNZpGsH7Xi9R4mceZxvatmOlH9A6QqGJophivMHO3g4qjri4mvP86WfgA2k/zg1a2HVGm17nFhgUA4osE/ex0ijTw4SVEaSKEdTHquCW8Uwsjqs0JTJiUjEGso2M3TjGWiuwFPPCGWv6MUxwcqBom5aqLGnbligzxFnCvXsPWS6XX8CSBOcwu566qjg9PRU9juuFsb0Q1vUwZBMnmL54bVFI9FmGi1KcTnA6oWwlpzBKC7Y3Rty+f8Dm1hYff/oZX/7q17i8nPPRR59jW0US5bhgQXWoEIjjXJxfOmL/4CaPD0+5feceSTZkKx7QtZZ3/sOUd7/8FsfPn3Hv5i3u3L7DP/q9f0S5rEmTnOGvbfC3/je/w8cHn3J1fs1r8SsspoJiqeqKuuvIiozZbErTVOzt7XN9dcnOzg5xrIkMtJ3Evi3LBZubG9KdNJrBaEAUGTrb8NOr9/jTX/spyipu/MEtYiWn5FVRZ3SKUi1GpXRNYHK5pK08roYopOA1vobWei4OS06fzvmld0bc2L2FChFpMsDomIDw16zz4tJTYQ1nlWKt7TW2Cc4HIq3wHqq6pm0bVg59xb8qVOxFZwPoEwc8UZRQu1WiDygT0XYOZwNJkRNszWR2jUkS8sGQs/OrXuQ9ZjFfooLkmG5v73J6fIiJVow90W2WVc3lbEJAMRhugTbEScqzw+fYznJr/xb7e3s8e/5UEFEKfuVXvs0r9+7xwc9+xqOPP2B3ZxPnPMfHp2xubDGfV1SVI6BxQTSioZ+qqKA4uHFAliScqROG+VAc3ygG+UCiqTpPcAqlI0bjLZQKpFnG9s4+0/k5F1fHvPnOV7l1+4CTy2OyQcbp2TFt0wIGguHs7ALcgOFog65sca6i6xwqimnaFtt1jIZDinwlsvdsbW0SOstsOieKBCjctm2fEVz10V4v3sW4XwusteuM5ul0iutkCjIcjdjc3JTEhD7beTX2XJnibLc69HTs7l4QxyXL5TbPng6oqhParsF76dh11tK1LQ5PlMTE8YhgtKw91lHXDV3biYPfIdD10KcieLm3JPJL3JlN02BMxArVopRmY7zB1tY2n376CddXE7pWuoTeBa6vrqVwRZFlObu7u1xdXVHXlZAdwosoRa1fNAu8FynCSpa1s7PD6ekpbdsyn8+ZTieSJILIgVAa77uepfjCRRtFCdYKWkwpI38Wev3kKnmiL95e7ti9fK2nQb3+WxtDpGKcX2nTpPA7fH7IoCzoXCPsOqXEUR7FHJ+ec3R8ynB7wOT0jM465ssl3gc2nSfNE2aLBWcnl1jr+OVf/mUBvx8dcXh4xMHNA6qy5rK8ZDQcY4xhY2OTd999l9u373B2es5ksuS9997jm9/4Nq+++goffvgp08kChccoMaIICkJjjCZNhAn8r1hkvnD9woXdeDxeU6B1j+NYUae71oJV1FWJiRQ2d0Q6IRtk6EixnM4xQREpTXCe3a0tDm7c5smTZyzmC9q6xVrpChY95HjlPvPekUSJFBDrJVR+wqquKIYx+IDSEXXVCr+otmztbFI3LS4EBsMRTdOyWJbUTUtnHW1nUVryWbuuYzqdsrW5hffw8OErvPLKa7z2ytu0jWMymVDWE07OztfxLZPJHBs0i6oiaIPXcjrwysvDqMEbj9cap0RYK/XPSp9gxCkaFLW3cqO7HhrcW7W11sJgC9A6hw4yMlTBSkVPYJ0Iq0BpQ5YJlbyqaqy165GBUar/O/KxAd+/vp7Oih5t/VD0usbV+xyZiDIIs61tmx6o3J+Oeq2eDwEXPEkqLl8p4iO0ifpOWcAozyCL1w+4QvR4sVYM8oQiiyAylPNrYh2E4O1bfFA41RsqOuHxeec4763mCCtEvmZfkKZZBkoKuyRJhEGmhB2VxAlmlT1oPSbIz5vmOSYyuBAkzDvpEQdGHMrOOjQyDkiiiMFwRJxFBOW5eXCLla7F9IiTJIrXD1jXdlRV1SNkZOFpraVuRdDetjXOCRqiLJdobUiiQhzGKIrxFlteUBmD4ZA8T7i8uuLp4RFf/9a3eOONd3j82edyQAoRzhtWjjEZRYjjXGnDwc1bbO+c4kPGxuYeZ2fnXF5Nca7j4d03OH16TGZilpMZrunYGW9jW0ceZ+v1YDgasuW3GQ6HhCDRbHkU0bQ1lxclSmnyoiCOJB4pSRI55VvwdxyTVydUvqT4LGdnZ5uRHnL7zm1+76Pf47/+t/8Oswcz7v+/X+XGX7lNSY2JNV5FImXoAkmUMRxsEJyirjpcE2gbcfRqEmzZEgxcdSU/+t4HfPfXfovXHr7NvLrGmIRBMcaFkrp1OBeEb9gbQ4KTgiXJ5F4eDkayLQTBGSwXC7pOoMshvOQY/BdcLw2rWLv4eMkQ5MHi8Z0jTzOiOAGt1xzDECDPc9IsZzTaoOscg8GI+XRJ2VR8/tljbj64hbVCuO/aGtVr84yOUEg+sjYx3sGtW3eYL0qur69ZLBec+RPAS9eyz/7d2dxguZxT15L7OhptsLu3zcGt2yxLy8nxFehIujHOkKQprm0YbAy4e/ce1xcXZGnGcCBYEGMiBvmAs5Mz6eBFmqZrCEpwUk3bYp1o4EykuXnzBmdnp8yWM8abI/b3b3B2eirdL+u4upqyNRJn/9WiwnlP1VTMlhVxXPDKw4d8+UtfRkcRtu1YTGfs7+3y9utv8Cf/7Ptsbe2itebx4yfkeUbbNj165Ofk+SOq6lXmi78E4WfUdc3W1gt+W1nVJIuUvMjJ81ye8x5NVdfi+C8GhSTgWEkxkLSmJXt7f5Oy/F/wx3+0y1/+N6/pfEnTtHRdQ1m2XF8t8cDewf4XoLvrwPh1IsEq7acPFpR/MMasDWtyiXzGduIgvXf/PlEUcXl5zWKx7A/7EUEH0WjTcPPmTcqyJo4iTrtzpFMUvlDYAb3BUEb/w+GAjY0xw+GoH/k2a6bnfLHAOdu/7/FaChOCbEYOmZ7MZnO01gIAXhd1L8bdxnzRLLEyLr18rbS+oZ8oxVHcI2kalIaiyHBeUTcly0Upe3WkaTtLFCs++OBjiiJjMplzfHImbmfrefrsOUmScufuA2bzBYePjzg7uWR//waL2YLDZ8/56KOPJNkqivFtiyKwmJf981sQRQkbG5tsb+0SRyl1bfn4449Jk5Q0EfRZCALpN33X9eUuJKiX3td/9fULF3ZZmjKbTbF9Maf6bkbwjjSOGezfoCxLtIatrQ2chThSPYBRM97cQaGoqorNYkgxGBInBd7XBBsRukAWFezv3MAow9nJqXTmOst0WbFYLKQzFHzPQNN4b0mSHJOIZmuxnJMPMrrguHn3Fm++9SbGRHz6yad8+OGHVJUAYItBTpYl7O/dIkteQIO1iulsx+uvvsmXvvxVDm7f5uLskuOzY54+fsp8tuDs7Jz5bAoBjg6fy6KgFUYLS0oriKJ++VaST2uUCGuNUSLE96EfbQboY7GC73uv9Fqu4NE6QgeJMHNBRkSudUQ6YJSibS1dI85NmSB5uiDxYk51BBOwtgMDKtYSJBxWpy8R4GsdiIxo5lYGgpUTTBhvDtu1OGvpnMOpwAq0LUBiOVWpIF082zYEoHG+f4Dla0kGre9H1VF/ClZ0bWA03OCVt99EaYnJqRcLrs9O0EqRRHEfqWZlFB0CmdLEWUzUmzW6AB2gjaxwQTl8WBK8Fliq6iDIabfqFiysjJEltkYjfBNFkibCnOs7nHEc9yORHvwaRZI00WvkIq1J+pN9ZKL+/nyRAaoCxEas8ysYqVZa8n7jiNFAo2KzHhlIzF631sWkSSELmPIkiWEwLNAqEEWGpi2ZlxOyLOfOnfs0rSW0nug3Y46PT5lM5lTlgkh3ZLHl9u07lGXL5fWc7b2bGBI2RjsQIuq6YzKZUi3nRMpzY2+bOI2YL2a0rhMAeRZxt73Fg/N7PN57yt/Z+vsMPxjw2bOnTJdLvA6MRgPCzDKflURxQl01DIYbeK85O70ULtTXUr7/v/0TypslpjYMPx6S5zlRFDEcDDj6tRNm+1OSjzM2/9o+Xe+Od3VHHEV9dxrSqGB76wZKJVgbWJQVURzjvRN9agDXdlRlyT/+3X/KYJDz3X/tG+xuFWgS9vdvoC7PaM+v8NYSvJhwIiWZ1kFZdrcHLGZnHD79nLcffAnbJ5s0rsEGi/Mt4MU5jVln66421XVJ1x98tNJi1IgEmG2DRcVgu4ZExUQSKUDdNMwWc7qqIoojlM65vFowW9TcuHGTNB+ik5zZ7IJJXcozbzVNjWgE6RFCgApa0ElNoKsD2xt77G9PmU/mtE3NTB5kEpNw+9ZtkjjjZx98QN21JMWAi6s5npj9g4dcnNfMpw5CgXd9hxzWUNcsS/osTM/Nm3cZ5gO2tyWW6+z8lEVT9XIN+XjnOnSUMN7c4Or6mtliznA8YFnNOD0/IkkNWlneePUB08tLOhfwXjPMBuA7qnaKpSXJc8Y722R5weX5NfcfvMZf+u/+ZX7wgx+I/jNE7G7vkaUZeVHgQkddd9y5d5O6qTm7PGV/f5/WHqH0FfAa1j9Axw7rapRyYgSKIxrbsigXjNoRo1TSXKLIUOQZXdfQdk0PuHZ9KpCmqRqWiyV37/wtzs9+nY8+/Bp/7a/c4N/+t5+R65xpM0N1Ct91OATkbrsWZzS260jjBG87VPCyfvf3ll8Zd3zAkBI6OSCEnl4R6EBJkbO5OeKdd95iPp9zeXmx7kSKrKDB4phMF7z19hu8++5b/MN/+Lt0nRS8CnrpVM8XDTKODc5z6/Ztvvq1rzGfz/nkk084OLhFCIquEzC1aCt7nFaS4KzDtpY0y0jzhLKthOtYydfyXliCkrlrpYRVvalJy729QhWsRqw+rCYyirv3buOs5fzigmI4ZDad0tUdsYmJkoSmFASJ6oKQCLwGD13nefThE4Hux4qz4ynOy9Tr/PSavf09ymXF9dU1zw8PmU+WGDSff/qEk5Mznj59RprFXJ1fyuhcSSG+tbXJwY0Dbuzvc3BwwNbWlkwF22tmswXD4SYP7t6hq1oWi0qc8i70e4hwS68nl3gvlI//xgu72XSGtdLi171jb5UFmmYZt27epussZVWKGPH6nM43jOMBWV7QWisdoCywXJY4J63K64s5ziI/kJd2cV1VTK6vGQ0HZFnKYrGk7VpCkKxDbQQc6J2jqRvSOBLQYD5ge7yDQ5FmGXVd03Udw9GAwbCgKis5aRUZBHGcxFEimpeupq4aYasNpZvz7Pkh/+yP/xlnp+fMZ3PqqqXrWkws48DgQYdAHBlirSnrjjiPibQIN5XpTQVagJlKSJTiRg3SSUGtMCgK51Uf4xWkC2mkQ2NXEN0gou5gpE9nO3HXSRSqIopSoiSj6hbY3iZPz0TzfeEgliPZcFYF+grYq7WWeC+tMVphg8CJfd/xiyP5Pl++1kUi8nMEekMDrD/25ZOHMasukkLrmLpe0nYd29tb1N4TnCW4jun1FbGJyNIE7yzKN7hODAarLFWQkUnQhk5JYZflMWmeEiepZAUH0CGSU1DfvTKRJIYE3zPygpg+ADpbrzMQV/R3b3udR4+rgJXGKSaNU5I46TsehlX6xtpI4liPtFfjb3EfR6hIoSJJOFB6xauTUaA2mkpLFmHb1ZhITpzGBMEFdJKnefv2Q54/e8Lduw+pq5qd7R0ODm4DAiZVviQyDa+/9gaT6RmbmztUVcve7j4mSsAYojRiOC5wTcnBzX3K8ppqOacYFhRFRts13Ng7YMOMKaoMFHzcfcpnjz/j2eEhs8WCuqkZ9NgAax1RrNje3mV7d4/Ly2tOz85Z6iWH/8ETqpulFF6pY/qVKVM1femGgsHRiDv/0Wvo1qAjxY2DA549fkpTt2uNm9Exw+EY5wLlshIMjpbMSjnIC18uMjHlsuS/+ht/kwdv3Ob1t18hNgVRBqNBw8XpBVjfGyMkxk8FRRwpNsY5m6OCyASURgA6XjRVIfRaX7XCnWjUS8XcFy8lEVFKhPF127CsltCP/rTrtbD9fVLXNa7tSCJB31jrKJdTQtCcn1/y/PCYsqqxnWW0OepF7dH6gKiUGKqSJCFLJSz96PkxTe346pe/yuZ4k+Aci3LJYCgdlDgyvPPOu1xcnPPo0SOqtuL1N95kd2cfax137jzg7/293+Ho+TlZOqRqauizcvd2t3h47wG27qFASrO9s8v+7h5luaRqapZVRdmWJImMKH2w6MhgnRQYw9GYYhixKC+4up7wrW9/k/d++kOC6zg6fEq1XFIuLU3dsX/jBvWipak9ezd2iUyGtZ7T0wu2t7d58PBVfv7BR3zy8SPefvNNvBP98ePHT1guF9TXNVtbWzx85QF/8id/gtbgXMdyuRTAMGBMRDHIGQ5ztJEGxcptujoArtY2kXpotI7JckknQPXsuCynqmrStKLIPDs7/zll+Q6/8/e3+bVfLdBBo4ORcPuiQPfpB945+b77yCrby2tMpAlO0XQdRiH7oPLrLrAKfd6xWiXeSAbwgwf3eeWVh1xcXNC2LXVd9x1Hsz5Qgufk5Jh33nmHGzf2ePr0Kasy8gvr+EsdPK01W1vbXF1dCx9UMrUoy4o4Trl96w7WdmssTNM05HlOlmVEScTujR0ury6YTqb91OgFI08riXBTvQliNUmShpYcXlS/bidJ0ps1hlxcXAhTN0+om5iwCERxhHWSXa9NTB9+Ka7fvpvuvbzutgtcnU+JIoMPnmpZUy0qjg+Pmc2mnJ2c4rtAXTYs5w3BK2aTWY+p8f0+oKX50rR0Tcv21hZ4x9HhIfPZkvl8SZoWjIox9+/eAaf4+ONPmM/Kl6aSUpRb1/XrzS8+i/1zmCc8xqxaqV+MfZLuTyCKDXbeUZYdddOQG2nVBvwasirk+UveevOArpXP0zSNFGjJEBMZbM/4MWlMCC/GBNZK9qz1jpDI526bjtHmEEsroz8VEUcpT58e8uknn6NRDIcjsijHGo9ywlJr6oaImJ3tlZ4vMByOSdOcu3fu4pzjo08/5unzQwbFkCRNKauGZVPjehE/QaF1JvZtJaenJEmJ4wiHwuF6jclqeCxdNyn6InQm0EKtNN5qqkVH13Z4r9dama7pJFxeS16tiiPoc221kmgphcIBUZTivSIQEac90sT175NWfW6vla+pv9BeWONLXC8Gbnr4cLTStBnTFx/iMFrpHl7+d9UYX2vMXnLXrtxMq/GV0PpfFJhd10oQubc4Z9EqUBQJSRyJftBHdNr1ub0p3nkZzYZOUi1A3KpRSlzE5Inp42IcbdVg266P1VlNb/V6bCChdz2KJOoFyP0oOlIRiUn6hUU+Ji/y9ThE9xoiay2dbdZ5s5JlC67zX3g9VouhiSJMpCVdpc+k1FqvdZFaG0winc22qymKFKMss8U1Sklm59e/+TVOj54zn84ot3b47JOPKPIRUZxgbb8ZhYo0tigPtrUEFzg+PCJOU3wI1F3NxtaYfJiyNS6Is5j9/V2ePpmLU9dZgnMUeS4FQ38nX19d8f7P3ufq8qoXcTvKxZKqrIjjmNFoyJ27dyjrmsPDpywWM+r/SUX1oCK+TLj3v3+V4+o56t+BW7dvcXp6hrWW/HHBOz//Cu1ly7xbsLO5TZrF3L57E+8cZ2dihMqznCzNsNayWMx7wrshBHE9yphG0CU6xHjfcnp6yRtfepvIFITgGBY77O+1nB6foFVE0KCDQmuItOLWnRsM0y32buxgfUekY0IILzkrV4Xcv0ph12My+i7VdDplNpuhi4DXnRidnLgWZeQS0EZSU27fvktVWS4uLhiPN1nMl4QkYqBylFIMRgPJEV0xIvquivOeRCmKYcF8vqRpLfFixrIqefDKQz748GdcXl+S5TlplvCbv/VdiiLjD//Jn3J2dkaSiib1xo196rrh/PyMs7NTlILt7W0xyvgWbx13Dm7z7W/9Cs8eHzGfLno+5YL9G7sMxgNeef0Bi8Wr/ON/8o+wtoUgh5s4yWhbx2y64JVX7zFfnlNW19y9ew+lhNvW9VgaYzRNU2NMTJEnNAtLnuc0teP48JC6brh79x6//qu/zvHRMcN8wGI+5+DggMV8wd/+W3+LsixRWlM3FSEonjx5xtHRCXXdcnZ2ISPD+P8B1XeYzX6VBw9eY3OnRznFEU3bYHq3p1IyfXp5TOl8J+iWKCKOEuI4IU0zZrMl19cT2BixvfU9rq7eZzr9JX7nH9znO9/Z5fr6mqg26CiClSmhL7ZUlhF6tplzbk0iCL2GTGstY/3+GdQ9RmWVZrQyL2xubrJcLhmPx1hrmU6nxHFMnudrg4K1lqurK7z3vPXWW5yenq6dnqv9wjm3dqhqrZlMpnz44Yc8e/aM8Xi81uCvZTxarSMRhWUXrREyV1eX1F3FclnKCHa9f8j+F5Ram/9Mz2UVffVq35CMVVg1GODs7FwaR9Yzn8ypy5o0SjEYbGPRaIqsAN1DvUPoTVCql0Z5mVI1ndQOowGj+69gjObs5IyubUmihK7fR6fXE5RapW/IKNWzioETo9Ebr79OlmV8+OHHnJ2doZXh4OAmRZ7jnCXLUnZ2t9g8G1NVtURw9nlHqBf7wZ/n+sWzYr1kizZNLc4fJRt4lma0Ucfh0SEExXQ2Q0SKnjgbYJ20SbMso66lAxHHMYvFgrPTK6qqIgrSCYqTiDzPqOqSpqnJcwkQrqolVVUSggTNhyAi4DhOiSP9IporGBlNOkW1qMiKAdWy5GhyzPb2DkbFdJ1juahF85S1IkaPFGlasL29y8Z4g93dXY5PTnj67CmD0ZDlouRqckWwrG/a0XBIt2hBRRij6GxLluZsjDZJkoiqW1A2JVrHgtPASvqGflHspGmORrpwTenwoV2PL00kxYXzYlmPMxkF2M7hfGC6WNAuGhITo7xCRQmRV1R9q3nVUlQ6Xu0ukqunNMr0ZwLVnzhD76wi4K2jaVs6a2UMhBQIUoxZHCvHlXqp49frMLxfb3GrBW8lQF79nur5TN4HjJGorTzPSJKYPC1YLBa0TSmjMcR5uOqyiZhUIKDBKFBOCiBjhNEXKYbjguFoKCHNFirXguvwvT5P6/6sFhDeV/D9KV1s93WPCFjjNoIhOM2KKC8og4KiGJDnOXGaUlb1S1oIYTgVeSYPed8tcN7JadBK+LVtpOPQuVYEy6uP7wvmKIoIWuG8JcsSdrbu8PFH77NcTolizd7+LtVyzpPHT9nbO+DzTz9mcnlOnZXEcQrE4hj2FVV5ydX5OUkcUffjBBMnXFxfE4xGR5KwotQmjz77mCKNGQwKfvrJT2nbmnLRcHT0HK30mmc5n885PTmlaTqSJCZu4/4eEAD2rVu32djY4OzinJOTIzbuDDn6nx6CCbz9wy/xpn6HCa+x8Q+3eOvtt/mjP/xjDp8eEhnFjXducJIdszyesr+7zdnFCb/53d/k6PkhJyeHNE3N/s4+WS7ZlnWv6Xlx80nnqJ8aYXSCdZ7DZ2fY1lCVkOQp89kC20bsbt9mWU5xoQMPWRpjuyVxrNjcGjMYFNLt1krc1IvyRZan+pd16V5aO/tnQ5zsLbP5FKUCXdvQqRZlBEtT1w1gMGbVDdLs7d5gMplxcnQMuSzyG6MxIBtg3dR0ddXTAKR47LoOFJRVy85gyHJxwXyxpKpazs7Oee21V8nygiiSteHOnTu8/fYbfPjzn/fZ0o6u9VxfXdHUFa5z/OjHP8Fby+1btwEtBZqSqUC5WDKbTCmKHOcgSSd89NHHxEnEvXt3SaYx89mUEBxpEgs0G4HWe+sEGbUo0Sri3p0HbG9u8+zoM7RWPLj/gN3dHX7wgx9zfbUkNinTxRIXFHmccjY5Y7Eo0crw5Xe/TBr1nD40WZ/putK2rsaBF5cXLJcC3H9hnIj7w6egPpwbMxhuA5dy2ArS1VlpvZbLJYvF4gsQYNmfFMPhkI3xFsZEDIdj2tYym82EabeZ8tqr/2f+9P3/O3//7z9gf/81RuNDpsuZHHSN4J4625GmCdZ2NC8hqYB1Bvbqdl9N0LyTFKSVmjN4LxD3OGYymfD973+fwWCw5sK9zKNdNVi01pyenjIejxkOh7Rtu37mX76fV3q72WzKj3/8Y+I4Zmdnh/l83q/vffPDy+E5TVOKolj/aoyh+rzi4uycFUxZWICsu430B90Xe8fqORMZmKRg2fWftW3LYrFYy30kx1mtTZ8rjJEx4gBfmaVcn/hhdM80VYbYCC0CB0maSMHXBZRXFFlBp7s+e1lSU5I4Qhi2Hh90/8w7urbj6OiY6WRCuVhSFAV7e/tSDzU10+mCpumITMqdu7eYzxdM++moW3UYtUSaveAI/quvPxfHTvdh56PRmOPjY8kjTeRFnc+nWLvSVUEUS2QTQV5Y511f3NUMh0MGgwE7O4phvsGNnT12d/b47NETBoOC8+W1ZFrmWX+jSuC9l09IFK3CkEX/5DuFjg14IzmrcU6pOopkAB2So6pj4eigSKKMpuyoS0tTO0gjdnc3uX3rDlobLi+vefL0Gd55Im0I1rO1scXkeiriTB/YGI8JmaOuhf2kW8XO9jZZJl2EzUFKVCa4YEUL8dICIIuyX8NKnfXrN1ApL1otJW3dJImwVsTnWitMpEjjAt96iq2cnY1tXOvoXCBow6KskCiqXnvQV/pyopRTXRJHWNsJDoO+6etF29XWjTiKlCZO0/4UE6Rl/YWwataLzTrEGni5W/xyV/CF8DasxbCrsWVRFAwHQ4gUbVMLXkVBcI7OOcDjQ0tVLftC0FPXUkwNh0PS/sHL8oQiS4iMgD69t3RtJdTu1vVW8mjdzo+AoHWPRgx4LW7k6KWxKL3jzOiIosiFxQjMJpcsZ4ZiNKSzjroRR5nWmipJGA6HwibssTC2sy+55vT6JJpFmjzLGQwG+CA/l7UW13Ys6pI4jXn9tXtcXZ4yn10wm11z89YNvvaVt5nPJpTzKYdlxcZoi+V8wtX5OQqN90Zc5TGUizNM8ETA1ekpp6fH3LhzixA6ptMli+WCNDW8+/ZDPvzofd5+/XX29vYICJtKDTV7+3skeUxtawhQ6Jwiy6jLhkFesJjPMIgT+MbN29y6dbs/BCnu3b/D8n9YUj2sGC5H/Nqj7xJ24OD+TV596zVcCPzGX/gteW8Tw1tvvMHh02ccHx4zn8y4e/sOX3rnS/z4hwm2kbSF+3fuU+TFWm4RgvAFQ69xXGWFyKTMEJmcjz98yn/1N/4eZycLHrx2n7qcMJs6YhNwzhAlEVVdk4xS9nZHnJ6ecmPnHkUxwJhY9D8uUFZVL2QW89Pqnv+XlXdKISkoKtDZlvlstj7cVW0rOlzniXuzD17Yjo11/OTHf7rWrZ2fX2GtY3Njj65rpRPXlAzHBaComgbrLU2vn2psy9gFRhtbnF1M0UZQPFXTEVSEiVKyNOfVV19hmBc8fvyIpq1YNQfu3LnFjd0d3n//5yynM4osYTwYcHJ6RoQmTQuyNMW3jun1FOdliqBNjIliZPmXde3RZ5/RdZbNDWEUzpcz0ZJ6yJKUuqwoBjEHe3tcnF+zsbHBr/7qd9ja2uTnH/6cjz76iMWiYbmYspg0BBtxdb6gaTyRTnj14aukJuXHP/gJw+GYy8tLsr6IaJqG+XzO1taW7GV1S5qmzKZzqrLGu4BJDUmcovUZSp9B2KG1X6Vq/i44Q5YNSNKU5loixJqm6TmkrA+wUosobOfJs2Gvv4vY3d2DIHFaddOQZc+4fes/4dmzf4+/+3e/zV/67/2YKDoj6IighGIg2Wke1Ut4NjZGnB2/uMtCEDRPCL2poC/qUEr0wElMnuXrsPnT01Ourq44Ozvj8vJyXdy9XJiuitbr6+s1bFeKOr8+xL/M7lv9f2cFLbZ6rZWS6M7j42OuLy8wxrC7uwvIoVcpxWKxEOAzvVmw15JHUbRu1PjgZBwbwhf2ldUJTgVFU3fr7y0EpPPuEUmGlYxcFL05QTrZwYnrVJt+vO76zPEgvNigPN5arHfM2wVLrcgyybbWRlNkgvRqG0vb+tXAaLW79a8jPa0BTo7PMEaRJTFbW9t84xvf5PT0jOvrKcErtrd3uHHjJifHpzx58pj5Apxr+8mS7EWqlwH8otcvXNgpjWiTkqjPsvegPAFHnBhqK61IrSKWiyW2smRFxnSxYGyG/U1v2NjYYHNTTqKjYcv1xZT79++RxBk3b4r9+Pz8TESjadJDDV0fDSLaGm3EVWOtR3eKtvVEKKJEMb2ecTWZMJ0tubyYsbWxyc72LmW5pFzZuaOCSjVUyxbvxGVX5CNu3rzLp59+zA9/+ANm8zneBK6vr2hry907d7BVh29ERB9sRxrFNN4RxTHZIGF3Z5e6rolNRJRFWO9prQhqg+/wvr+HCbS2pWtbjJI2s9FRn8IgBZcLHmv7oGSt0NGLkWcSR2xsDLF1RwiO8caQ4XiT07MLyrrqY30ksNg6uxb0A302rKAeVic7a0Ukar2Q3dX6++z/DdJxWunM1qcf59bFyurB8i8VfatfV4XgKuFCYJbSca0rhzaGNEnxKtBULV1jMSomjjO8bdcaoiSRjMYsz6irligyJHEm2o7+h5HTrJYF0lkJ57Z+/UyEINMOrVcF7arB3+OHg8CfnZXMX6M0kVHSUSziNVxYa9+PzTuSWDA+qzFrFCmMFhew0f373TVY2y9CvdFCa00apQyLlOEgE2ByI5FrzjuWiznvPniXtl3w8w9+StdVDEcZv/ytX0LjePTxhxwePmdjvE1qIiIcDtF+ERzBdsSpIU9gObtkc2tEWy3wXcNomFE3FfN5h8bz9a/+EpvDgvn1JWnyNs5ZDg5uEOmILMl4+OA+iwc1J69KR+MvfvJdbG7x7grbibYnSeT12d7aYTqdcnJ6wrIqiQrDe9/9U4IJvPHDNyg/mbNsSybNnMvpBWXbMp0vUB7eeO0ed+8e8MmjjxgPR9y6e8Dbb71Ja2tc6Lh77x47W9vsbu4yGo+4PLlcx2eF9Zq/YmuFXmetSbKcN17/EsFF3L39Bns7B5RLx/XVgrpaECeZaEl1Sl11fOmd19gcDxmPNinykTC9kA6Cs33yi1JrRdC//Fp1HF6Mr8Tg8YKBF4J8XmmeS7GoiHAOlouG3e0RuBLbdRAMrgs0lWM2WTAc5rz68E0+/vRjmrqUNccJM1Ncsooi3yCJBzhruLiY8ezpKYt5xaAY8/rrr/Pmm2/w/PQZzw4fE0JHlqUU+ZAiz/n4ow+ZTq955dWHnJ6cMZtNmU6u2dvdQ2NYLCrm1ZzPPn2GNgmT6YKudZg4Q0Uph0enDIdjmsaxs71HlhY0tXTJlIr67naB7TxGR3St49nxM0zW8pWvvMNP//Sn/UhQMCZaa6I4obPgvaXIBhzsbHLrxi1+9pOfsSxLyrGs86+++qqs1X3hNZ/PQSmqqpGIyLrFWt8D1BOMidHR50TRJ3TtPifH38CYv8nF2QWvvfomaSaOyxWQe1UUOefWhxj5evScz5q2adna2mZv7wYXZ8csl0sp9vb+AdeTv8jZ2df56U9/lXe+8gQTS1b2C5nLavLhSdOsT8qICV6aJd51a7mL8r1uGUUXxDmbxDEGRVu3vP/++8RxvI4Gdc5xfX1NURSAjFhXcpOmaajrmtFo1CNU2i8gR152x+rQmx3gRaHX57/P53OKvODmzYN1gRJFEScnJ2sIchIlfUdUDmWriEyhLayZD/0eovqx8MqUJPpuQa588RmU+U6vm+1NflppEhOLJKmT1yhNU0bFiKLIxSHb1DRdTReqvvvdEmkpFqWxlcjeYTyDYoSzUJaN8E1t26szon4ZCsKctJ0EMjjHZDKl6yxpmlHXp7z6ymvcuXMXrQ0nJ0ekaUwIVgr6IA0apUxfYP/Zk4GXr1+4sBMnpKUs51TVAt3PqEFYak1TEdAEL64u7y3T6YzZfErdbBDHhqIQe/h8PsfZEy7OJ0wuZ0TA5sZ2/8PWouXoNz7J5FsinbpIOlpqJXYUedVytgTjsa7gydOnMv6NE5wFjaGuW66vZ5RlSRzHfSs4JopTbt68zZMnjzk8fI75wQ85Pn7O1dUVII7GWc/7ie/eY3dzk/nVlDjWjAYDHt5/KPmdTcuDBw+YTCY8evSI+XwhgljnETzCUhIj8H0q0AvAaZSkvRjckMQxken6qCAZfXrb8+V6MqTS0LYNdVligqZuAl3TsCiXVE2L8x0uhJ7Lpfo5PVjX0bmWKE7RkSHyglNwztG0Dcpo0iwTnIpSKB/WLX+AsBq/rrVyrB/0VWEHsHrKV+Pa1aLatm1vUPDrqBvb/2zCKJRCva4anA1SwAeD1imYQBQFTCcB4s4qBsMNirwgzVLqusTiyEwO2uCVaKWUjsSFqAVV0ntPCTKHpRdG9JKNFdhSHkiJ35UFJoRA6xqmc0dUx2RZRlqkgtVyHdootFH9mLrF4wkuJujQF+yBgIyWZQzQE1oUxEaTxQYVHG29xDYVKgQMsLe7xY39bd5778fEWroKd28fsLu9wccff0RbL/Fdi8ZTLxdEGjo8dSMZlMYEuqpD03B5/pxBcYfgGiId6OqKejknizR7926TJ4afvfdjtsYjtjZGXPXPS5alpEnGRx9/yOGXrrCR48Z0l7vzW3zaPqJcLKnrlrqqGBQ5uzs7FMWAk5MTprMpQQXivYgyqcCDfd7y5NNH7Nzeo1rM+cH3HrNsG7SRLvzXv/4Ok9k1v/8Hv0tsYr76pa/wa9/+Fb73/e/x6aNPOH9+iUZx/859Htx5QN3UzOdzKYo7tz6IrDNcg0aMFDG7OzdJhwV53x1+9ZV3GQ3HvP+zH3Hz5g7FMOHw8BmnR59hrWcwGAEGQ4LwtYTNaPsRT28R4hfh2MmhiPXzSJANSThdfZTQ6iQlkerSHUhy6tpSLhuuria8+trrZOmQ+bSmLi3DQcxssiQ4g7OKKMnpXItzlrZTlJVlNCxI0iF11XB+MeWDn3+KMQXf+MaXKXLNcrng4uKU5XLWrzWiJT07OWU+mbC3d8Crr75OpCI+++wxeZZy/+49lvOW68vHuA4uz68Zb+ywXDTUdUsbHNfTOffv3uX58Rk7O/vsbG2xt7PDD773J2ikO6IAbwN3bt+ibuZ8+sln1N2MeChZrEqt0Fpy+CzygnpZEXrAeZEN2BxvcfzsiIuLcwbFQPI843gNzE3ihN3dXQ4PDwFx/K8MBCtupzjgI9Aw3v4vuTz5NY4Of5miSJlMJiyXSwajEcVATHgr3dmqexXHsi6MxyMGgxFZlnN1ecVsNidJMobDIXk+oO47ZUmy4P79/4j33/9P+el73+XGrR9wcPtxnw2sZI3C453FG02aJmyMx+R5ymIh+jgbRKe8Xpf7NV+j6NqW5XzBKhlolW27GlE6J9MmecazdQd5hTRrmobRaMR4PGY6vZa7+KW1flUc+l7usPp7WZYxm804Pz/njTfeYGM04vj4aI1Gu7q64vr6+gvM1NCPHMUs4L6YLhF6tUN/yb6pJATA6C8g2L5Q3AWRMa01zX0bel2cOk/j3Lrb1jQto9GAOE5x3oG3NG2N7zxN12AiTZ5n4JBpV/AkUcp4Y5ONoWK5XLBYTmm7Fht0HwcISksspHfivD89PeMf/IN/yN7eXm/ACTx69Amz2ZyyrEjSiDSL+3F/he0C4EQH/os37H7xwi5OVpWyiCNNT7MOOJq2Is1SmlpcsZLjOmCVn9c2LXkuwcDFYMB0OuOD9z+h6wJ7OzdEvJ0XLObVmt+ktaKzXd/W9EgtsSJKC7w4TVPiRGN9y6IsaW1LpFPAE5kEMFxdTimqjtFggzTJ8T7QNg3egYoN5bLCOc+nnz7i+PgYraFpaylCSk+EgCyvz6+Jo4Q8ycjTnJs3bkrEl3Hs7e7yza9/g9lsRl01vPfee3zjl77J588+5/GTz7GdJygpzGIT9SHvvo/iEkiv0ooolhxRbSVZQiP0b9MDgkEs1JpAmsYoJ5iS4ALLquyj2jpQWkbXoQcIK0UIrteSJaKhsRblrCQH9LDprm2JjCFNZIQYnJxm/bpLJ23ztfvViPNHJrVh/fAKT6ntP8YQ9bPPOJGv7YKMaJpWhOOt7Wi7FqWjl06DirKqWLlr66rF2rbXTIn5oGkEtlw3HZYOliXWW5I0oRgOQcmYVQwrCh/oRw6rp04WJd9vun25iu45dCEIBZweOgwBZzuq0kGRY/TK/t/3/HpdhbfQtQ3eWblHfIB+rGD6RI/Qj7uNEcFuuVwwn81E8tDb/u/eucHpyRFdW5MkEbfv3Obb3/4Gx0fPKZcL6nJJnsbU5QI/HJMYTUgifNcJrNp4tJIT+3Q6QZvbjMcFZb1gOb3G4BkPBxjv+eC997h5sEc2HHB9ccX3vvcD4ighiVOW8wVP02N+/NVH6E7zmz/4ZfaaHT7l0XpzWHXNbh4c9LmJDqMV460Nzn7jgvpGTVIn3P2jAz4/eczdV26SxRFXp5eYLMO6ihs399jaGnF49JTr6SVfevvLfPMb3+BqcsHP338fbx3Pnx/ibeBg94DryTUnJ6dMplM52WsZBa/KrPDS++qd58nnzyjGI1xQRGlMa2uUtiRmSBIVRJHcu1GvAysGBU1Tr4ZfBN/nXfYmh9U9sb6R/iXXqtsAvcMNh3UdratpbUeikl7n2p/SrRhBtDJ4JxnJzmqydMiw2ESToVWGswbbKsqFJYlGRFpA5d6GHgRvOT2eUI49zspSP5tWXE8WfPvbX8fZJZPJNYvllMXiWjSUvmNna58vvfsVUhVo64o0yaiqisFgQFVXRCZmPp9xebLAEAnuJES0rYMgI9pgG7ROyIsh08srtjfG/MZ3f4vPHz3i4vKKvZubdJ2jqcVgVhQDqlpGo+kgJc0ikjTlrbfe5sc//glZNsB2rYCIiXC+obWWgVJY7zi/vCSJE5TWOO/QJkVpRVlXAk7PEorhgOWywuiIJFZrIsFKZxZHEZhAFV2jVIdzKSFs9WMwkYxsbmxSLkUrLokMah2ftbm5ycbGBnEs/MOus9S1jIHzPGc4HFDWJdZaUlKGg0uGg4+ZL97lyeNvsLv/DBUJEH21bglsV5z14/GINE1YLKb9ZKBHLHkxLq4lAUrAwW3XyuHde4KCPMtoGtH0SkEEk8mUrS215vStpitt22KMmBMWizkvM+NWH7Na+7SJ1vy8NZzZOs7PLzg5OmK5XKwP+LPZbF2AqZ4yELzv13lx864iKoNXvfxKrjRNe+lNig+e4WhAVVVcX09o2+alhoMYSIKVjPGVxk6McjK9ajuL8x6la6qqFORKueDW7VsUeUFZW9rQEOmYqq5o6pZqWaOU5LwHLHGcMRzM0PolaZORFJmVqVEr8E403GiDs5ajoyMuLi4YjUY8PzoiBJGXbW1tc+/BPV59/TXiOOPJ42c8e3rE4bPn4h34b6Owgw7djwtX65izHlRH3bTEaUYInu3tHaqq4datWygVWJYLXKhYlAtOT84YjcbCFjMGEzwYx6ya4BToOKd1jtZZlNEIDF7acrofDHa2IcsMSR6TFhHeWIINJLE4JY2P6ZqGuhQHUxyntGVHYhxpmhMA2/V6HOhjYyxJkvSn5iAFg1c0bUPoFDjF+ekl3gvPT6mIq+sZl+eXKK159913CMB0NmM6nbC1vcVrr7zGk8dPqGY1JlJoHUnHrZM4G40mjgRKqLSRjp6xRJlHtwEdol7XhjisTERTNdC3hJURR+UqLDzoPqcwisQEoURzoZXw1oosIdaKNEtQRuNrh+9Es6iRUPFIBVxb4/uOaAgBH2S0KThVhQ6Slxm0wgZFF5R0t1D9aF5MBmjRCangBUVhDJhITjJRgjIxaIjziHyUoRNF2zVcXJ9TNkuSTASwbV2TZBlJlHJ5eSmLguq/h/6Q4YNCxwk+aOaLhpGKGWI4PnlO0zQEC7GOXxgYVS8bCAHVJ1OsYmhCEG1fcB0K0Wb4VTHrQp+yAV3dCU4iy3uxusLEEUmvz2u7Fu001ohxxAY5PUY6Fvel0phIinLbWeazGbPJVA42Vj5XUJanz54Cnjg2fOWrXyFOEj559ClHz58L+sXE+K5mf9f1cFoN3jIqUoaDHGsrrqzgJpz3JHHMxmhA1dYo7zBOExuF8YGd8bYU+JXnxs4BR4dHRIOEcl7z+H9+wvKgYu+TLX7zZ79ObS3XVxMZXdSV6FuQ18n5ivFGSpYr/Nhx/BunAOz94SbupEaHBtyc2ze2+OSTAmcjRoOU73zzHR48vMFf/+t/SBwp7ty8weuvvMLHH3xMM68ZDTao5hUExcHBLXyA6/mMNni80TK2V26t9wQt6wcdRkWcn5wxWDQ4H9B95yLJYnK9zcnhGSG5orMNO3sbvPLGfZS2DHJDZDpUcGgVM51MaKsatUqokC3uzxjHyglCxOAKpzy1q2l9h2Vl+AjSo/OKzdEm21v7nJ5eYpQcAnUCG4Md4jgjjjJClzJIdznYTUiLnCzbBtWQROLctNWMpmsJRATd0jYNTWNRkeF61jBd1qg0Jcs1dTPn+OSEtl6QpmO6ekGRbpGaMfiKql6wvbNFCPCjH/8pPiiUEfOaooIgiTZxkuFtIIkSgjJs722zf3uPyeQKrQN7+3uMx0P+yT/9R2il2NzaZ7YoqZoFdef55LPHdN2SLsDB7i63HmxhopxAwmRS03YRnfU4K4H2IWjyvGBzZ5PZ9RwSjdOaVkESa4g1s2rBo2ePKV1Dg6NRDq8VkUlIY4mHk7U/EmcxAeU7dsbfY3b5hKp6lcvzf50o+j513TEYKpmw9BGGkp4jHcDxxiajsRR1646Wl5Fa29U0bUWSxIw3RlRVRdd2DIclt279FT599O/z0Qe/SZy0fPOX/976QI6V9KYiKyAEbNcJWiuSFKDOiLwjySJ2d7e5uhQNpteuj5QU0DYo0j5v1GkjB2orWsOudSRJymCQr7XPq8t7z2AwwJho3RlbQbqVMn2nMBLZDwatIoyOmc9LJtfS5PCuw9r2Cykeq/G46vee0B+8V6DnLE3RRnO1WKwRQJLgsMFoNMJaS13XZGnB9tYuXeuYdJYkTrDOMRwM2d7e5Pz8jOA9w8GApqppmoq6buX11ZHI2J3Hr6M6PYv5lOA9y6UU45ujDbResCxLrJNiMGCwTiYjy/JyvVdqpSRH2HTk+YCiyEjSFO+E8tG1rchy2g7nPHXbEScxeZGzt7dHNswpNgckSQpBM9gYsLO/w+n5GXXb8t/KKFaZQJxoyXUMyTq6pW1bZvMFi2pJkY+4d+8OR0cnfYfIk6YxxaDg9PyEW7duYkzE9fWUv/Dbv8WjR5/x0Ycfk8SGWzfhYH/McrlkuRRnnyQDdL1IVDo3zlmUjsiyBOv6WfS6PQzWegiaLBVnWRzFLBdL8qyg2Bz0GjEJeF/MF1xdX+Gsp1yWpKl0lKRN2xC60J8aFPN5iUITmYzFvOL6YsIwT9nY2ODqfMJf/2t/g/lszv6Nfb787lf42U9/xuPPH/cFXCIZsN6uDRwqkqJN9eMIraS9nGUpIQpgkdGSFSBtEkVMribEkZDpV6HAEs9UijbH0ydA9No2+lOV7gG5xhDFMS5IJyfJM+nKoUgT6RpId0hcUkKzT6nKWrqGJpFsQq3QkaF1VkCKSBQWTmzjzknRrJXqQcCWKAr4hj7yRnQDoDCRYWNzkygy1E0jLj/bUWQZUWRwViCbTSMxToPBgMFggHNOWuNIt9CksjBrpRkOR4ASfESQIqprX/DvbGf7Im6lz+iNK0Eg0wIJblEBbOgI1q+7kFGPW6mqCmMMW1tb6xixPBcafV3XayfeaqwgeIIXo5ugwlr/iBLhe+ccUSKLU5Flwq1SspEd3LmFt473fvIey3mJ7ayw8kxM00gaCEEOQuPRiCJPpWvrgnSIA7SNcOCapub88oqt7e3eAdmSZzlRFHNyckK5LNkYb9JUls1RwuzOBZdfmWNaw9d/8mWU11xeXHF9NRGwt44IwYv0YjZnZ7DFeDTkajLhg69+xOG3jolODHv/1y2ePT1kXs85OTtmc3+XrluwKBvu3HuFd999lfOzZ5yfHfLG6w94441XOD054g9+7/c4fnbC1778dSIT03VyEFsuSz5//Fg6dsH3rbnVsVaq+NXaYIzC247J9RUhSPJJUAHmELB04QofX2JixasHtxkMR4SuYTySWCDfO6ibWrKS/1zH5/457Cf+RGsHnSLP8t6dLugmNTLc2D9gMW9o6o4sK0hNwu3bd3utX0yWDRgUI1kjTUTon7mqqWm7jrKumUwmXE2vmJZXXFxcYJ3HeksxSNjduYFWMW3Tcn1VUleB7c0DppcleEvwKaPBHl2zZBIa6jpiNp3TNCneD8jSLa4nHZNpTRynRFqLO5CIJJHXNh+MyPKCi4tLDvb2WFY1f/93f5eTs3OyNGW4sUU62KBpTynbjlm5IM8jiuGAW3fu8vDBHofPn/HRR59wdnZJ2zqqqsXojLJswEXs37rJYDDm+Ln8fAI9FzSX84GLq2seffY5xhimsznLskL7HgCvQWlFmqQkaYx04y3OBdp6htZ/E/hfM5//GpubYxaLBVvb22gNznfCsMwEUZTnOcNRQZYlENQa7bEqhrp+n4wivXagrpBRe7v/FKX+Ez786H/Fo49/mdff/CPGG9dy9/pA27WyLnfi/uy6br3mTGcy0oxiGd2ZSK8DBNYOWtVPffSLvPCVo7ZpxFhRVVWvHXthdluZK1aF2Opzvay1iyJJFlpN0MbjkWBsYpmytV1L6BsnL//9lS7bGIPpmwhFnjPe2CCKIln3nWMnTanaFkAMdsMh0+mUsiwZjUbrnyXLMjY3txgOh+uJz8OHr1DXFRfnF1y3EzTC1WzqmtlsRprlAJTVghAcITiWywXWtusxcQha5DwqJksLfAh0zsrkqDc4reLcvPe43pyBcVi7oK5qBkXGaCjPaxc1dG2Ds82Lg6cyEDRaRwwGIw4ObhLHKR9/8imz+ZzryXU/zQJeNE3/ldefIys2E6FrURBCIG1jnLPM5wvqJuLOzZvcu/cKiojj4xMm11cslnNu3z7g3r17FMOc27fvcH11jXOPKIoMcNRNyfHxCYNik1s3NFVdM58t2Bhvy03XtH1US8Ba0aMopek6izaQJQlBg3MS4F7XDWmSsr93g6aR6Ksbezd48623KOuKk9NTcbuaiDge0tUty9mcrmmxbStatD7fT/uI4WDIm68/YD5f8Pz5EXUprp+97S1s3XB+dM7p4Rl5nvNv/Bv/Om+++SbPnz/n0w//AYv5krfeepPv/uZ3+ezzz/jDP/rDtVbEO0dwEKkIGzxWifjWO4u3AR0kJ9Ab0W+s9Dgr84PkAAKd7RODVS8mXb1G8vDaHnSplRbcRp9NWlUVSnYUIqXxxrNcSl5dUQx60KSc0DorhUuSxjjfB11rDe5F+9z5IJl7PUcp7ouo1cPs+wJTafDe9CMQTZYV7O7ukWUFdSMta+9Fh+SD7w8Iiqap0VqwIILAKQXv4AOLakkapBDUWrIa5/MFTdOSJvFLm/sXWUCy6GmUMesiWGvhyqVJAj7QVI10W3kxgliJkJ1za2fcy7y+1dcRx7SMENI0XWtZVmJr3+fbrhhVSZqite71cUbYV73u6mtf/SU+++wTzk/PcdaRxCn4gOu8YCuCjFroO7xt2wpKKNbkxYAbBzf7cX7genJF3chi7r244B4+fIXDw+f8/u/9AW+9+RbDYkwcJ+gs4vH/+IzqZsODn97mOx98E7Wh+eDDn3N+eYH3Av/0PmC9x/XspkBgWVUc/fcvANj44yFb9TaH7WEPkz1j59YWrb3GOs/GBpydPuHo8pS33rjP7Rv3sW3FH/z+7/LeT95jPNiiLGvKsibLMrK0IEkk1rAsl/1Y54vF1mrk8wIvFFNVIj0ISNKIVoLdiEyEVTFpErO/d5PLywltueDm1iuAHEIA3Motver+/oKXMAo7kljcik3dkBQRSRxTNR1tIxrQxbzko48+oVzWNE2H7TytSfj8s8c9QiOlaTqMTkiSDJRsrmmW9ViGQJylDIsRSZxyI97n7bcitFYkWUI2SBhv5mxvbuC6IdoFlvMZWxsbbBQ3wAVu37zH17/6DaplxaNHjwAo508YZLeId/Z6IO0Vy/qKoUlkc/IRbee5e+8ew9GYyi+YLUrGG1vcufeAcrHg448+JB9vEBnDvGrwQdMFsEGRFiP2DjZ5+91XyTLP0fE5Z2dXPD885c6dB5ydXTGbHDGfLYXRGAzaZFxdz0AZojhD61g22M7RtI5lWTNfSnfsejIjKCN7Y6wJWkmnxoDXcthyut/MTcRg+BPKsqZt7xNFr+L9U6I+N5sQSNOUnZ1tkjghThKyNO1xRSJJmfWyilWhYa2lquq1Y9L0Eg1jAnu7/5SLi1/n4vJX+OmPfptv/+rfIM/FBNU1NZIL7pnPZ6IFjIx0gDrLKqt9uVyKg30ltHcvSAtJLAkZ2vQA71q+L2stcZys1yOBqKu1Brxpmp7GoNfP0MsUBGNMz44M7OzscOfO7bVmD0Vv9nHrYnB1ee8xkSGKJV4uTVLyomBje6uX2Uhhd3d3l8vra66vr1FauIEr48oqyhBED7q/v49SirIs19/j/fsPaOqGtmn7nG/FeLyxXrPiJMZEiuVyJuZB25DnGXme07ae5aJisagwvf5S94VsHBmUitZf33mH6/Ek0lzxaKNobENb1yznC8ajEaOhuOt1vze7II7atnU8f35CWbWUVcuDh6+QZwVxnKy16pLV8otXdr9wYXd1dUGWZbTtcN1KlTe/YjafMByPOTl6zt7uTfa2t6mbGoJ0A46OjgnA55895qifuf/jf/wHgGJvb5fFrOL6aoIP0h6u65bdHSleurZbnx5WG2CWZpRlzWC4RZ4XTOdTqqqhbaUDsliUPH78GK00RTFgd2eX7a0tqqNKaPwoXOcYb4woZ0uqRdVrXzxRpHGdw7dO3jwHd27dEb3Esu7dmQnvvPUOzWxO09Q8efKE3/j13+Dt19+iyArefv0tfus3f5uLqwvOzk85OjxmNl2Ii5J+nGckdiWERrJPe21Y27Z0dYvBkCYZbWNZzJfgEd2NhizP+21GCtwolqo/BNDW4Hrxs1IKvCBbvPN0vYNLG0Oe5tJRq0WbkKUpznZ4PGmRMZvOKOuaDGh7Dl3nLW0jblG0wvoVz1Ai0PqKct2WXgl7IxP1NiXV65hiFBrXZ+PaztO1du1UI9AvUl6SO3rxsFKBKBIhcJwIP7B1Ha6n18sYpO/SutCf/mJs88I19QV9SH+FAF3Xd/e0pq5EQxMpjXOipUiSZL1QK6XWYuk0TdeL9+o1N8YwHA4xRhbZ1bhG8lLNWrxsO7HUu87TOeGQeSST9/LqijhVKA03bxyws7XN3//oE+JYM51OZGHVMYOiIEtSsiTHdpa6qWibFq1jRqNN8iLh/oMDXnn4EB052lb0dkQR1rXMZ6UUgCbi+moqMUBxKqMVDN3QcvhlGaV++UdvcuvGHY6envL02XO6HipqnRTyPsDV1TUdHVEc8fTbR1QPasyp5t7v38U6R5xlaF/RWcut27vsHQxZliUPHu5wdvocbTRf/8qXqZaO977/M06PrtkYb3Ln4B7j0SZd69jaHLK5uY33gbKs1nmQRuveBfsCyfOiuFPEkcFGEqguAyrZJCMVQZThojEm0pSl5emTI7S3RF/OUPT5xv1BaOUw/+L1xfvrC3+yYospjXOyjvkQSNOEsi7pLL1mWADwV1dXtM0KQm5Qsbh7h8Mxk+kFbWPRKmFzc4s0KQjWsWwbFkiRqrUWh7sKtFic70jzBGUCSWpwoaVqSrI04mBnm7qq8K0hT0fEJsa2ET/58c/Z2d6nKPYZFANGw1t87au/IcaKOGY6nXB6cgwoLi6umE4mNI3lzbfewns4uTpk2U05PDzk88fP2Nneou48KkrQUczl9RzrFIuyw/vAaLNgtLmFA6aLkjxN8T5mY2OPV197h6Pnf4TWBahG9N4h5uJi1iOYRN7ig0wp6rbFL2vQCXtOoUyCJ6KzrQDXY5GrOO+IgiJ4YZsFZcSdbAL58HuYy2OsfUAI/ybe/8e0TUOaSPE/Hg7Y2th4KVVGZByr+61pmjW/03vfG0HkILkCA4t7NhDHC155+J9xPfkyn33669y5/UPeePMJ+EC9WBIBaRJzdXVNUeTkebrWXK+0a3WQpJHt7R26rmPRLdddtTiOZQ3Wqi8Mo7V7d1U0rHhwq+JttWbleb5es1br26oTKc+X7Ge7e9uMxkNm8ymhR/ugXzS3/crMhGBalNGYWEbTcuhKSXNZT58/f461lslyzny5XBeby+WSrmsZj8e0nWjj4jjupzf5uqhr25af//xD/sJv/RaRiXn06adUy7KXWEGaZEhogiNNY6DARArXj43TNJWxd5+NXbUNZdXK/tW/fsaoNc7Ge2nMdHQ9mN6jegySdF411bKmrRqJnyty4iRG95IRpcQstZhXXFxM0OaQLCvI0kKIGcYQaP+Fa8u/7PqFC7vpdCbagM6S9p2FEDyz2ZyL80uuLme88cbb3L/7OsNig08fPeLmwS3u3L3NdDHn4vKSy6tLvPO8/vrbLBZzlsslaVKhfK87U5pyWdJ1thdAagJKxn8950b30SLeB4ka8y11W8kmE6Cfx1D3rCKjpDu0mJc0dYvrPDposjTHIF2nWEd0TYP3Dq0jXn/4Ghfn5ywWNYvFnD/5k39Gkqw28cBoOGRjPMLHMYPBQKJj0ozPHj1iZ2eHd7/0Jf7SX/zXePL8GT957yf8/h/8Po1tIBjRCvrwgkvjFLaxWCcUdxknSrRJ27ZUZY1zgSzOSBNhsNmeObe1tcOiqqRTF2TE63r9gOlHvKuFZTaTRVBpjUL0Y0WW0yDE7fFoRGQMZVcRJQlxlhFZz9bONspE4qhKEuq2RfdRKyB2c92bBnTvyIoQGrrS4s4NvbXJ4/rWvugxrG1XWx/Ohb4lLSzCJBE7v+5BxKtCDuhHsEKvT5KYrCiI0lTGXJEhywqiaCnO5yghUgHbdOsNf7UQ+74QBXq+kV9HBTkrMOfghS236oC97AxbgbdX45bFYkGSiAMvz3O01l9Y4Fd/N0mSfpS4pK3b3ryh1jm1omsxNF1JnES8+86X+OjDj1kulty+fVPYVEoTmYQiL9BKsZgv6Jq2Fy9HFPkAUNhORNI+gG1ahqMhw+GA2nZorbi6vqBrPSenJyilePjgFbY2dygXFXXZ8PiNj+mSjtHlgHfP3ibfzfnk00+5vr6W99RLLmKSphhjmC9LBtsjFkXNs//ZCb4I3PlrB9w5usvn089puxYXArPFktFmzt3723z+uCLPFUeHU778la/z9NERTz57zuHTMy5P5/hWc8oF+AStE7xYlula+1L3QPc8qhc4hhVeIfQdOWMUaRoLvV/3wnMdCMphEdho8IHHnz0jTi13bu5z5+ABHi0mqq6lLCtB5PTaOBmvrvSZYf3vyx0Kax0m1qBgsZhzfX3NYDAgy3KqpuwPC6tNuiUEhQ9WMqaxWNvQtg3LJwusDXhvCA4uLs6JjDC1jDFrfa11cvCKkwSVGZSBJDMo40lSGUFHcUQ+3kYpOHp+zOGTE8aDTcppSdu0ZEnC5uZur38ygtvQEXlRkCSZuLsTMQ1sbO+wsbWzFvkPBwU3732dpDDUdcVyPgcVuH/3Ic51BGCxrLm4nDCdzjHGsL0zxoeStrW8++6XuTw/YfnJM7Jsm6uLEu8zBsUeVTlB4QVL04jAODIZ2vesyBCwQeE7j6k7nNeSFKJjOt+howhvZNrU2oBB+JZZJq7s4CWPG62JkmdY+xB4SBIXzGfTPux+wGg0JEmk+6K0lg68cwTUGui7GhWuul+yPymKQlEUEh0pcgxFZH7O5uY/4vLyL/Phz/8ir73ynxGbVcSi6yHxLyLGoiiCZpUvbWmqljiOGPXwasGUeNJUIg+1jggEMhNRNy11s9JshfWId/XMrAqpVZduc3OTq6urLxSxq+dOaUWaSfdytbZLB70fvQaNRrSMK5OAXslSVEDHgghoneXs8oIkTVCxITKaJE3YiORrVlVFmm5xcXFB0zRMpxOchbbt1q8BvMC2NE3DxcUl9+7d5/mzQ7qmE0NgX2hGScTde3cwRvHs8Em/NkRMp9ekWcLkuqLIC9586y2enxzz+MljrPN0nWgF5ZAe0zRdXwv1TEsvTnmCWqW+iy7dSd+jdQ5CS+oUOhJtp0KKbGthMpkTxxk3buTs7u7xLH/2Qo/4z8V5/lnXnwNQ3GGtR6mItrXralVcSvLNNZVjPl0CimrZsrezx62D2zz74Y/4+c8/xWjN7Tu32BjvABFV2WA7sQM3TUsUxVxPltKFimKWZSmhwdb3xZ2ceq115EUmhYSWoPfpdCHW6Zc2z0Ex5ODGAffvPUCjaGqJfMrTjI2NDQiOq7NzgpfCqetatsebFGmObTuyNOb+vTskSYoxsVDnlyWDImNjY8R5tWBRzXn9rdck7ktB0J7PnjwiKMPTJ884OT5jPNogH+Q8P35O09SMNoYsqwVJmmCMYjQoiJOItq371vAS3YtRh4MhXWsZFRssFyXaKMpqSZKkol08uxC9CJIV6LwsLmIVD7jO0tQ10+mUqH8wrbUyfrVO2FBKUS2WNG1Dp0Qf17QtznsWS8lyVUqJThDf29PF5Re8I2gRqWs0kZZxxcrL5Pv4GaM1vbRJaiklrrLhIGdzY4sQNN6LWSFLM6IoonFNr4HrN2y3Mjr0EU1BFhgboOv1KEVR4JxoUpq6xVknp/R+RCKO7eILI4Wg5bVaLWhpmmK1xihN17SsfpgkSdYntK7rGI/Haz3LevHuF9XVQrn6nKtFcxXHI6c+s+7gCixTNggdSXxbt7Rsb22yvbXDX/2rv4PREl2zt7sncoRG+HGT62tiI/FyRZYxHo1J0hHXkylHx0dMZxfcf3CTtpuRZSn379/jej4ljiMRWAfRuXSdJcsKbOe4PL3g7OSMY3dN0JC6lJvJbc7PLjl6fkyfqorwLOWNFWE52LHnR//ez1g+rNj8K2O++jtfoaxKprM5u/vb2EVHE2rOzk/45V99nbsPcrzr+PTDxxw/W6CJMSrFVppm6anLlra64PJ8gdYReTZgPN7C2k7c95GRQUV4AU9dbayr7oOAYyXOKk9TApooTbC+lfsbBUHGZG3TUdVL4rsZaTaE0G8+bUfT1L/QGPafHz8551AGJhPptu7u7BClisbWDMcxZVkBDh86jImJE0XwFudrgu9QqhenR4bQdQSl8cFRV0u6pkFrRVrkeHp9VPDCRIsUUWpIcwO6k+QboxiNxmjVMpsYHn32iGG+QR4PWJYVi9mcjfGQzp32fDaDMTEr11wUx+TFAOs9WZ4RRzF4SdcwSto0URyB8mR9bNJgkPejN7m3i2zM668eSHxSCDhfg64ZbUTMpyWPPztlc+MmN/ZvEMUJmxv3mU1L5tOa+bTm+PCU6WxKVzdyCNYNta/p2ob5siZKYoIyXFxPBbdkA4PRRv/8Bqq6w3lFQiIHzThHxxG2XdD6gFGWYvi71OVvcHH+LW7d3Kaqzrmxt8vWxpgsiVFBxp2RFmduWda03Yt1II7jtZbOOYd3q3VLDHDOWbpOdNdaK/a2/ksmk+9wevol/ugP/wd899f/GsE6fGcxWjKD27ZlMpn0jtjQd/9bVF+gTafT/uARGI/HbG1t0dStyBa8w7p+4hDF6+mKDy9iD192xq7u393d3XXO6+q5WjtbtRywl8sFSSKcvcViLq7WIBMZ5SW1RXlP0msM5VCtqdoGH3x/8NJsbG9y5+5dppMJ08mEnfG2jEm7bi1JWi6Xsk4XKYPBkPF4vAaVDwYDlsslW1vbfP75E06OTynLmlWGrYDFZf29e/c24/GQYpDSthUhOLZ3Njg4uM2HH3zOs2fPaZqKjY0xu7s7XFxeooys0dI5HOG9Z7lcvnjG+4aU6qc/um+cmF6yJB8XqOuOOBUNX+h61p6GcllzFs6lwfTqa3zjG9+kLEsOnz3r3fi/2PULF3ZaiWjZdivoXkunXQ/3HZOlA8pFzQc/+6gnpTts61EhQquE2aSUwGKnCd4QRyldF/BBkWU5Rud0nWVyPZFuiJb4LKmQHSvOUwgi+iwGiWTAjhJa23JxftUzb2QRV/0Cs1yUXJ5fkg8KtDLcvnWHGwc3eO3113n/p+/x7PETbu/f7AXvJUmcMLm65t6de0znE1599QFpmnHv7j3iOOX4+IT5fEGaRYw2JKeubJY8fvb5mn+XZTmTacnZ2QWT2ZThWMZNw8GI3/rN3yLJEn76s/fY29/l9OyYy8sz9vZ2uXXrgK3tDSaTKc+fHRFHCeWyJk0yfvXb3+G//tt/h8vzS1CQFzlt16A1klrgoK5bulaMAavNxfdg4SQRZ61o5hpCpHqxsEObiOClbd96x2J9owZm8xlVJV0nU5Xrz5umqWSz9u5AfJ8jqKToW6UBrDZWE2dotR5YyT8BoihBm6iPh3E9Z3BACJK7K3pCwLE2KayuFRy0sx4d96Oy/lpp4EIIQv3vnVcvd1NW7snVr6u/3XWdOP2iqE/ieJGRuBqnbm9vy+GAF9q8lcj45Qd99T2s/v/lIrCzdt0xRK3GdQrbn/y10rz66usslyWL5ZIokuJL9SHheTrk/OwM1zlGxVDcZErTNg3aFAyKEa88fJWgJPC7aaecn53z5ltvsmwqfvaz94HAt771LdKk4LPlU7quZjadc3p6Tqc98zvynt843qNe1Dx/+pyqqvGItkRrQfU0XYf1ntHmkKNfPeXily4xh4bt/3yb3bv7/ODjH2Kto2oa2q6l8Q0f/Px9/kff+m2GI8Xv/O2f8vjRJdsbhnt3H2J0iqFjkHlwDZqIxawiSXPyvEAp3ZtGhClljMG19gv3xmqzUkr1G+IlRTGiKAaUVU2cRERG03U9wDoYgveC4wkK7xRRlKKVjEilM+B6/eqfXdm9gHavRv+ik/K9Huk7v/FtPn/6iNpW3HvwgPff/6DXonbE2hDH9JmRChMbtAoy4lGatu3WOBTX1VhXYjDEseT/Nk0t3R3naLx0IzEaHXtiYwhK4UmoqgnLzpNlhjSLqZsKYyCKRTIRxR4dwXRy1b/O0r1L0pTLa0WHZmdnl+GgwLcW20nGdNe2pFFKpGPSXGgJos91sgeEADqlGIx6SYbDh4Yks5iTlqZtmE5rBoMBaVKjtSVNBxzc2Ofu7RzbeOJvJf1oU1EuFtSVSArOzs+4nE8oq2o9opvP57z11juUVcV0OsW6gI5lLJxkqRQkmaQcdX6B8z1MXa1XKwZFgUsHRJFmYzxaJwFpLUiO5WLB6ekF7Us56uv87dU64GVfErNBglIa72us7TBGkcTvs7f9H3Ny/h/y6LOv8s2v/220QtJ4XsJIeS+a4Dg2/WFQZNZKaebz+frQ+41vfIPBYMCPfvjjnp9pCL2JbKWLXWmaVwfR1Sh2df9aK5m8o9HoC5rBl+/xruu4vr7qCROOxWKOUkhnvP98K7j9SnsdQmBndweTRpydnbG3v8/m5qaYgOqaqmmYL+aU1WL99ere+NB1HYHAeLxJHMeiF1eK7W1x9a9fAzzPnj0j7XWCSZL0OfQdJtJcXl4wmVyRFxl5HlOWC2IMt27dpCodz5495dNHn7B/84DNrQ3iRMbZ5xcX1FXNfD7j1q1bRJFhMpmIFpIARKCkqDP9+F2wZdLR8Ah/13aC3lI6yMHcmN6RW3N2ds7O1ja3b97ktddeo2s7zs/O/sw15+XrF8edhLjnI2UYlXB+ISLuuqn702QgRALFGI83OXz+nMdPDtnbOyA4zWiwyWBQMCo2eP7smLquuL4Q0GLTWnZ2cnFpXV/1mAk5bVvn8UGJ9kEFXPC01rJYlmRFxqJdSkKAMesiQxowHtt2LOYLZtMZSRxTZDlf/tKXeO311/Eh8EfTawZFzte//kvkec6jR5/y5MkTlHK88sqrfPzpx/z0J39K0zZsbm7wta99jfF4xNV1ydn5EcvFQjIzT0+ZTKa9YzLr28ZjsjyjcOIKvf/wAV/96lfpnOX84oyDGzcZDgsmk2uKYtiPJj2LRYVSEW+99S7lsuSTjx/x6sPXGAwGKAV1XeEcOAvVopOWrxZ8SrAe21oirXFtH56tZCyhAhilZQFIxQiTZbnoqyJBFnS2I9IpaT9ebOqWJE2J4oimacmzjMViDkQksYyv15tn71gWRlCzhn+G4InjiDhIV1cKGLWGR6Z5RpKk0n3olrjQEpSjrCuMBuutFJ46SN2mERzLylxhVg5gT5LFbGxtkOUpURwzHI3lYfIQXOjvtRYVxSgjTlGNwnu7HiMRArbt0DF4pemsjMS89zRdC0bjrAOjUUYTnF/rT/55IXPbttjg1g43tNzHxkR4vDioVjgMeoL8qvsVGdLY8NZbb/JP/+k/kfG8D0wmU5IkYTwaE7xlZ2ebcrkUaK7WLMtSCm2VsjHeZDges1hOGI+2+eTRh3z62UcU44Jb926RZClf/upXeOOtN3n65AhltDjsFJIfG3nqX5Zi6bWPH3J+fMbx0YmM35V0YGUc0yd9eId/BT74dz8CYP//tMfXNn8JR2A6n5ENB9RtTQiOyBi6yuHrjMvnjp9+/wxXa9oYllPHsIhIdU4aRdRhTl03tI0j0pAlAyKTsljWzOYl1iqSKML7ptfYAb1LPKgXZisTieRDDjeW2Nkejq1RIYLW9zFGCnQCXQo+IQSJKnEB6VhrOZWo0LeeV2IiwrpOl40PQIkcQYMNluVyRlrEDMYDxptjyqoCAkkSUde16EpjGd9qEwBL0LKhqOBJE402Ub8xdARfERmLjgJx5ARl4cq1ScqpgO88m+mIODeCXooMo1FCEsWcXV2wv7vPxnCHpnRY5QmhZTI7Y3d7g43xkNnVgiSS5ydNVu76HNKcna1NsiTFth1pHLGcL3j+7JDZ1YI0yZlPxdW3GtuBgJ83xtucX06k4xNpMA5MR1DSlYiSAa5znBydijax88RRgVYRGhmZGhP1GrJovdlv7++yf+dm32WU93YFEBYwccd8OafrWnGSK7BduwZdnx4+p60qXNcxuWiYXdY4l7KsXuPevQSDYzAsUIiOTjrXgJf8Z2slxlEZ3eO2ErrOShHVi+q1Fq0wSuOD7dmAMn4s8v8PRf5Nyuov80f/7F/na1953E/2AnGaUjc1jXNolZBmmXD7XI8DUxFJKmtcmmUUwxEHN29x5/SCydX1moYQGS3Z2z3qqWkrYdGmLww4/Sx1LaPRRq8myaz4kLIGW4EfV0sCHhNpOtvS2pZYxcSxRIStmK0yNZJftze3iLII13ZkScJwMFjvPVmeoY2i6gv2VQEJopetSmEw7uzsMplMSdOENEsYjYaE4JlMrkmiBGctbQg9FUJLkaUjinyIMdJdbLuG7e1NBsMhbVuvqQdRHMvvNR1plvHdX/8uG5ubfPrpp5ycHHF4+Jzj58/Z2t7EKOleCgHCoEIQSL4S2YgMZvuGE5KooQBnHVkWMx6OxE3dtWJGbBzTqxmbw03u3X0gQQX2peyy/6YKuzgq6FoIPqKuHW0TJKjWipi965Zsb2dsbG+yubPF1WTC7Tv3mc5Ljg5P2N7Y5q233gQCf/zHf8jJ6TFplnL//n1GwyFJlGKUksrfSuvSuYC1QWzEwctm6CxNCzoKeORk0nYdaNlkg1t1kcAFi+0sVVlxcX7Jzs4O48GY+WTG6ekpF2fn3Ll7m1u3bvXhwRHWOkajDY5PztjdvclsVmJdg4lizi8uGIwKPn30EYMiJ44i5vMFi+WM4WjI/v4+3/3ubzAoBlxeLwDNn/zJn7C5vcVrr7/O5dUVnz9+TFmVfPUrX2Y+n1AtajQR3skoaDze5t7d+xwdHnF0eEqW5IyGYz7+6GNee/VVkjjl2efHaB9hm/50qOlvWiOSd2VobUu9FFF8sFay7VzbFxmeFonTadtWcCHG4IInzmNpfTuHjqRgct6SZjFZltA28mCqXuMmi6sIWAnyYIvrSTqnAScC9VhjYR0R573CEUizdC3sdr6l7Wp8sLhgaZuWznUycujEFWx01KdBeIJSBCWIF4Xvx2o1TdcQVI8U8fJ3IxNL6DgQtGzUfjVO6NvlcSSjTtNrBYMXN3Ga5wTvBUcSi/NuWZZEcYzuR7yDwWBtlHDOUVWVuKVwvftN4/HEkXQuWiumIIPp0TCaqAc0K61QQSz+RZGzXC5AyevtPeR5IagBJa9f19bU1lJWFXXTsrO9g+0ajp4/ZXO5SZRoinxEUYywLuCDRLRJZFBGWZZcXJzTti1JEjMcDNnZ3WU6WbKC8FrbSd7k5RUaQxqnWN+7e4PQ4IvBgOW3K2xu4bli53ift3/pS/zuH/weZdOQR4bBMEUZYW9VU88nP73k88+mlFPPeLTJIN3A1gqVZ8QmI4sj2lRRl9ckJgULSZRjTErbetrW45zBqdUo1b7UuX2xqHoXuLyaYqKUEKaYOJZDQ0iJohTlIhm52643IMQkjDG+QCvprnTOin6tr+Wky6f7Mf6/aNENa9mB1quNvOPs4oTv/+h7XE0vmS5mPHv2FN8L+Pv9tO+0O9EAaotJYiJtKIqEzY1N6rLm+bPnRLGnSFNQiq6T7qox9C4/CwSc68iLHYqhoe5qfOho2xrXeLq6I96M0RgUrj+ctYBlY5SzMco4Tyx5IXDYLBmSpmNu3roHccrBwU2WswXPnz5HhYBKUnbHGygrQPamaQlW0B8milBArAIbWczlcsqiXJANUoJ2RGmEihTKaJIIVHCcnZySRCl4KJeCdYpNxnAwFtSGkUJXxzHWdlxOVozQRGptpUiTjDTN+vcAvLLirBxka03tCmJr33qXSAt0uV7C//Fjx/R6wGT+Fq/ll2grhXjXdSwXS6plKdFduteSNS1eG0wkHf8ky0SvGwLWtQQsHkvTCqi4aUratqbrDVlRErG58Z9S17/J46e/xL3773Dn3rlgpRSoKJKvrzVL67CuhSgmNzFxkoprVyfkRc6jz58QlGG4scnlxRXOezrbYYMj9GN/HwTZ0bYdWZ4TxTGdsyRGXpcVvH1134um9kXS0ErWPp3Pefb8OaPRiDhNcEsxfTjr5D3VmjROSKJICl+l8NYRKfm9xWyO1obbd2/LzxFHRBrqaslnn33WF+ZiXJzNZlS2ljSQwQDdpzGNRkNG4yGDYcF8OqepSuLIrNdk0exLokuWFoyGGyyXJd7B3t4+dV0y6bvT09kc3+vmxuMxeVZgdEwW5/z6r36HH/7o++Adh4eHTC4vMZEmS2KaJiDKm9DrZt3alCf6cQXB90kUHt03XGKtsT7gW9ljEpNiO894NJZ83QCLxfIXLdd+8cIuyzJxpPbBwDdu3MAYw+XlJc+PjgkY2rbj5OSUi4srXnn4Kl/5yleYTKY9wycmjpP/H21/GmxZlp7nYc9ae95nvnPOmTVXd3VXD+hGoxsTQYgURTgsQSIZhsQQbYpi2PIQZIQi/MMRipClCIeDkkmaAQYi/MMMEwbDACmTBgibhCEJINBo9ICurjkrq7Iy8+ad75nPnvda/vGtczKzu0EVfnhXV3Rl5s3Mc89Ze+1vfd/7Pi9ZtuIzn/ms2MGrgtVyxZ3bd9jZ3hOLf1ESh105NSuP2hGtN8JkoKor/Fqq41WZUZaOBN5IZ6ptzaYNGkUJYZhQFjXZquC7331z4/K5des5bt+5yXO3XyBNO1ycj1nMVwz6W8ynS4xa4vsBt27d5saNaxTFijwvuXLlKrdu3WA+nfL+e3dJ0y4HB1e4c+cOe3t7rFYZi/lCNDlFweGjR9R1zfHpKVevXqVpao4eH3PuDBqtqSmKwpGwfVbzjPsffsjNGzf5kc9/kdlkyhvffYMXnn+eyA+IoxjTyriorhsaDFo1NJXgQpQSPlwURVLYefI+rg0V1pkGJF/TOZWQrNi2aGlNs9FTPM1sW8fSRGGEH4S0xsjJT607qqI1Mca47o8vN5LbUJW2mKZxPB4faAlDnyCU4qYsBFkDEAURxpPNUmuF77pmSivatTtorXV6eo4Km8gyYDNOaJzpx5jW6fbY8JW0+81KSdpJawy+ExQbIyO0dfRZJ5XTurWWNEnQKLLVaiNoXhPbN2M4T17P2r0liIFA9I/OCWtd/kzoBVI4tnKA0VpzcXHBfL7AGksYizY0jmOBonY7lGXFfLaQ02Wnw9Wr14mjmAcfPWAyHlOUBVeu7ZN2EsIoYGtrKNzG5Yq9nX3Ozi5YzOYUWeFczQLOHg1HRJ85w6aWtEjYfjRitVrgex7dbkCv36Wua8bzKWVdUZQl12/d4OhLj0HDcDHkR/e/wnvvvc/3vvsGfhSQrVZYW+P5klqibcSHdw+pSkO/15VOnC95nKEf0RpFEIRigvIkYD7wQnZ2trHWslquNvqkTRfhh1xPxko10+mE1WrJ7sEenhehtbzvdQV+khC2itlCCuQkSfGDEKU9GgN5XjwZR1mLss86cP+4SxzMmrqVxIm6rjg8fMR0MaEocsaTscDKkbM8WIJQ0DTa89GeJgw94khMCy+++AKmNUzGl0SeT1kU5EXJcrZkZ2dPAOSNFci7c/BqT5JtdKtoawGw+iQuOq1LXYnJRsaGlrqpXDdpiRcoLA1RLM7dQV8AsF6UcvvmDc5Pz1lMZsymM6pK6P5JFFK3DYQeVWmwpqGXdrlx8ya+5/Hw4wf0el22kz7Wsxhq8nKFr32quiHpiOt8tRpjooQwiJgvzmnqFk8FnJ4KN8xa0L7sM57vUVctAQFxmEi2ahCKk9ETiUeYxrQINH2dGOH7AhrGCvNRGSRNw6SyVyLduSxboeqCJI7A1sxmM5bzBXEc001FlnMyPRPN2lrnvO5w8eT/zy/ONlo7yXWWddLpdrl98zae94CL8QlV9RKXl59G699lNptvimNPO6i9kSTVTqdDP+0QuJ9TgU/a69EdDphnK4pVhhfHmKamrUsBymuNsYqqbGmd8VApRbfb3TD41trg9Z729Fpfj2st0jnNsoz333+f1157jW63J3uWk8qs70HTtjSuIPQ9n+l0yjJbUtXVZhwsCSQFq1VGmnbodoSFOJvJpGJvb5/BYEieFxS57POLhRhwptPphiFa1xW0lq2tLeq6EZ2dbcEqPM+nKEtx8NdCPfB0wGAwoiwr8rxyWc6G3b1ter0eW1tbVGXGbD5ma7vPyy+/xPn5KUkak+cr0ihlf3+fPM+ZTRebKQEo158zAvVWUlwqR/hoGotpLUvHJjXGcnZ25hJ9Vrzw/B16vR69fo8bN278a/eZp69PXNit9W1RFON5AUkSu4eVYbHIsGj3phZEEdy9+wGj0Q7b2ztUVc2Vg6vMZnPCMOArX/kqx8fHzBdz4ihi2N9i0N/i3vvfpcwrdobSJvXcYlg7ajbtfCtuzLKsWC6WQvh2AkVPSRcp9AM6aY9+b0QYxLSNRSmfy4sJL7zwAq+99hp+pPACTVE0jAYp1njMZivGl28zGA7pDwUCqpXHapmLo7AjkNCHDw7ZHg158cWX+PjjB8zncz744B4nJ2fMZwvu339EWUgEVpTETKcztO+hlSJNOjx48JiiyCmLhlW+FLilWpDlH7Oz1Ucry/WrV3nx+ef4J7/2T7g8O+fowSGgqCstG1ggHDKFdg8u4ek0tSAvmrpGR2ojpl3fYGiN9ryNG9P35eRbtbVjCsU0TSMalyiiaRriOMb3PKqylBNFGLrxlrcR/xuzzu5rnY4jwLjuibhoBRQrQl+D1pYkDfF8RVU2lEVJXdaivzMy6tLak9E68nBUWruIFjYbotqsUfk+15Tz9eazZu35vnbjMTFgSIdEYRqzeW/MUzo4+f1QFSVNK13Puqoo88KNAzI0aiNo9lwUWeQcosYYtnZGYoCppeM1nwvZHGMxTYsNBDKtXYzOk2gf+f0ffXSfbrcnxp6tEQcH+1R1SVWWtK2Eg9+4cdN1QnHRPtLN9MOQsi5oTInWBkXLjWs3uHpwlQePPiYMI27fusPpyYUAj7XE20VhTFvlrJ7LMJGlu+jwXH6LN+2bxFFEGEUEUURVS3rC6cU5SiuKbsnZbeHWvfLwVeIk5jv/7bfZ3t5B+zLWEZCyojSGYKfLcl5xcT7FNB41htpr0YDSHh4eRknOcF3LiK7b6bO9tU1RFExnrpBQ2nW4fnDfelpn5/seYRgQhIF0YOuKLF8RxTHWQByFpJ0+2rMslnN63aE4S93aKstSui/ft97+B50UuGgoV7oFgU+WLamqkjRNxPmt3SgeMLSOs5XI6rbS/Y7jEK08kiQiiWMOrhzQlBWTyzFVU9Nag/I1fhhSrVai5VHiTldYd6hxhTBKip3EZ2/vgLOTKd00xTSGpq7Y398BDZeTM/xAobyWxhT4QY/BsIPyLJ7CaWA1i8Wc2WSCqQ1NXRNFIYHxqcqSOgyIAp9uJ+WlF54XScf0kitXD0h7MUWdUduKLF9yenGMpaKsFMYENO2C+XLOoDdgZyelbVqquiHLxLWutcdyuXDTHUEnNbVPaWeSMOJGb1p7+H5AbQ2VbSWbOxIzFBYp8AKfumqkSx+GBKoDagb0UPRRIHidphEpQdXQ1C25KfCU7INPGhD2j10W62Ln+y9rjDNvwdWD/z0PD3+R9+/+G/zMz3j8m//mS3zhS19isVzw6OiI1rbMlguKqsIPAkbdHsZFdhVFQW0t48UcM51uTC1F01A0DUZrKtM6Z6xIKUzTbqLPBoMB4/F4A1hfj7GfxZw4DanLL29by+HjI5arjOlsDkiEI9Zs9sKiKPC0FpduIpzWqq6xVhIssIrZdM5sPqduahamxfc0Ozt73LnzPArF6dkZadql3xuSJB2SJOG73/0uZ2dnPHjwwCVImc33nKQJFCVeXdM2onGNI0loOTw6IgwDojji8PExkdOBNq0l7XRQHmzvjLh9+zb9fo8HDx4ynpxRViuatmGZLSiqjJu3r5Kkcui+cvVVzs8uODk5Y7XMmc8XtE1LWReEUYDvR4R+hO+FT5oYYUqapMyqGW1TsVwuWS6XTppj+dznPsfVawcbxM0nuf5EhZ0Udb5oooyhbeW096UvfZn9gys8fPgIrUSPdXR0zPvvv88LLxj29vbpdLqcnJwwHA2IoogrV65y7dp1Xn31VaIgYTZd0lYG20IUxHhK0zh2nTFPTglaSWbf9s6QKIqox417shvXQvcI40Scr/0R+7v7m+r5+vUbbG9vO8FpyyqXqLOPP/6Yvb1dHj064uaN26KXC3yef/5lxuNL8mKJFCUBadrFWsUf/ME3GQ36XLlygDGW8ficsjiiqhuiMKaT9tC4QsRaLs7P2d7ZppOmjC8nHD0+wfM0eZkLiqKRcZZWPvkqg6akKUu+9QffoBOnXD24wuHDI+I45XQxoSprtHLgXAxaeTiEDk3bUJYFdVXL2DWXU3S325XN3hgXqdRQtw1GS5NaiqHAgZ4L5zBtnR5PtA+dTseRzh1Pz4mB3QvBep7woXxNEIUoRCyttDxktNMd2VYKqySJ0FpRVSVlUUrr3ioBSiqFr32Uknxf6fzJhqmVdO6MEW2ZZS1ub5jNZptNaZ0uoT1vAwwOAnnffF86a1X7xBWGc4wFQUBRFG5EKqJWzxe3Uxg4oWtrKNeMv7p22spIbtYowvd9MjeuMUaKQtOK9rOt5TOCtVtWwqXhCTKjzAsePjhEe5rhcMsVjYLdWaklvhc6vd2Q+XxBnsvBCqvwAxGGG1vR63coq5zRYMCPfPEzvP3Om5wcn3Lj5i2KvKTIC05Pzhj0R2yMLd+3iXfSVIqLKEJ7CtOUWNvS6cTse3uEaUpwNWKyLQai1e9l/Ivf+i0uLi6I4wgv8IjiiKaSLmXSiUmjIVcPDrj77u+iTIBtPcBHKR+s50YX8pm2rSCQfM+j2+sxnU558OAB0+lMuq9uM392z3ryXhpraJoaY1ryrKasSrxQwuCDKCQKI3rdHh2T4Hkh/d4WVw6uEQWxTF4V1O6UvXH1PdOte/IUf9o0AdJx1p6lrVpa06K0rKGO7tCYBrQBT6E9txYQ7d/6PvOUJ53twEMpzfHpY8qs4OLiBK00/a0+82yBl/msiozWKJEpuAOMdvGMVVlS1yVBGD217hSj0TZnx3OiKCGKUtK0J3rUcsliOSNJHeTbAx1oqraiKHOM8flXv/s7XJyeM76YEGiPMAjJFbSN7D2+git7u5tx2HI2JdQjdraHbG310D54QcjFbEIQtlT13CVB5KxWU8Kwcey3mq1hj/F4gtUtuq5YLTP29g84P58Qhynz+RLTQGASQi+SPGwkekxhyRYrirrGT2KitIMyLU0pGrF8taAsS8qq2Xzeylp6g99gPv3rnB7/LMUr/1RykQvNcDAAxMiiVUPgB07b+sxS4Kd+6qf4q3/1r/7A8/Rf/It/wS//8i8/s06eZi52OvcIgzOK8nnu37/Cl76cs723L4cSrTd6czwB/XpW0zbNpttWVqLVKsqCqizJV5Il3u11uHKwz8nJMR999CEPHzzg/PiE2WS6MYGt75nA7XPraYSY8Z64vY0x1KbFC0RTls9LxtOZk4w4QDJgfTHntcpsjEzGGMIopHWn8tAPaVvD0dGxTH+wzCcT2qam25VCLo5jsDJab1tDHMe88MILdLtdvvGNb2y+97quxSxWFBwePwYUvh9itRgErZYuWtsatJuSTecrmkY6dVb5DLdGNMZwenHB/tUDEptQmZLL2QXHZ8c8ePiQPF+xv7/Ll3/0i2TZktPTY8JEc+P2VdJuwvn5BX7kMZnMKYuaxtaEXkIcJ1RVje87R7jLZB+Ntjg5O3b3XknbNlxeXvLBvQ/odJONOe+TXJ/4K01r8b2ATtrl5q1bTCYTqrLks595ncl0RhjG9Lp9JpMp4/GEJEnpdnpMxlM+/7kvsAYHzuczfu/3vs7h4RFJEnN5+ftEXodu2kMp4TMp5P/rsqRw7qbWiLMoimN2d3cZDHvSLkVtTqK2LVFRRBCIFuDmzZu8+vJr3Lt3j9PTE05OjqiqgrOzM9577x06/Q6taSnLgsPDQ87OztjfP+DOnTucnZ0xm81RSjMcbFPkNXU9lsilbMnuzi6L+ZQ8LxzbLyGKOuSZtILjKGI0HHFycsJ0NhPO2WLJv/rd38UY6R4Y51wzymx0gZ6nqcqMYRLy8OOPuHpwg16ni7aawAvIl4KACQLpJBgjObkC+pVOGUpy9wI/IPQDbONEs+tukENsGARo6jnh+9qZad0NXBTFxsG5JpNLjJve5N1FYUzT1M7Z9oSBtH64KS0e3TVnT/Rwa0dssDGOtM74YVojXC7tHojKB4w7eWsZGTgjAtaK1k97NObJQ7xyMTTrUcL6Jt5MbB2iQ3uCiOGpDa1tWpcl6GJ5rCUMAnHHKpfx6v59El8lf5cEfXfZ2toCZISxWi4pimJDcE/iGM/3aeraIQEMxkjRYq2R0HmlNoy7OI751KdfJU0TPv74PllWkCQxRV7SFCXb29usQ9TbxlJXsmEmqUfV1iwWS7Rn8X3odhPGFxcoq9jb2ePi/IJsWZAkPZI4YWu0TZp0BC3UPivW9bVHHEZEYcx8ISPeqm0pW0OcpIRJwulXzjG+QT/0OP/tMYtjCQ+vqopikUsIed3gByFFkdP//BZXr9xC8Qd4niKOErBaCjvE/LAeaWktTMF1VNvR0RGnJyfC9Pu+Gez3F1brDoqYj7IN500VDv9jGpSC1UpRVYWMufs9RqMdtPYwGJrWkBfFptti7dPpsD9Y1G1egzt0WmvI85UkpijrnPtWPnv9RLIny6rBWM9lFHsEvka7nw+8gOVi5tBGNcs858ataxydHeGFHlmZUzdQu3g/PFwiiwYlvEzVyAFJ2YYo7rM12kHrh0RRShBELJcZUdTDeIYWK0YjbQmimN6gT1FVLJcn1OWJiPLrljIvqawmDmKaqqTIMwLPY2dnh5u3bnJ5cUl/0OelF5/nvXffpW1q2qYmy3PSfsT44oxGlcSRB16LwmBMgdY1bdMyGu2xvd2jLJeErYcXQFllLOcTfE90S/PJBIzGtzXdtI/v+6xWK2duCri8GLPKM4Y7W6RRgFI+dVXhex6r1Yosy2gsNK3B18Ig84N/idb/PlW1zfnZS9jmQ0GFDDTwxOXaNkbep++7rl69yiuvvLL58f7+Pjdv3uTll1/m137t1zaHTRAXaRSJFCGOG55//v/Au+/9Hf75b36awfAdnn/pQ2fiMRR1hR86ZIlSUMuYNvB9Gdd6nmSdDgb0un2iq5IH2+10ZD9uDQd7+/zP/sP/KbZpmIzH8h6sMs7Ozzg8POT4+PiZcICnU3WeTDS0ZJ4GAVr77O9t8+KLL2KMZTabMhuPaV22qyRRWDCuiQDowMlPDHh+QBQlMh2xhihKWNUtdWW4/9EDQaUAppW0iizLnOP5FS4uLhiPxxhjhLEJFMpSVuVmJO57AX7goZQ0UgSLZSirRqDBQUzTVqyy0sGcY1pjGU8mwtdzZrrHx4+p6oLhaMDWzpDp7BLtQRhr8mLOYLDFy68+TxB5hHFIf9BjPJ5hWkBbRqMhs9mMqi7xPZ/VSiZ2SZIQO/i0jGlr8rzg+Oh4M635pNefqGMHMhob9AfkWe5iuUICP+Du3Q+4vLykaQzb27vs7+1vXJdrjd3t23f43vfe4O233iaKI6qqpiozEh+0DVjOc7SWMapWitYBAY1ZYyNE6zBfzGlNTVkVTrxtCcPABaq3eB0B084XCy4uz8iLFVtb/c28vNfv0Ov1uP38bd5+5235vRam00vGkwvi+ArL1YL56Rmj0ciJ/Ru0Z9ne3qLXHVJXNYN+j+vXr3N6cubcihHjfCpja2PppCnXrl4TanaWYVrDoD8gihOKqmG5WlCWq42uZTob09qa524c8NqLz1HkFafHR5wcnTO+uESjKVY5ZVaQpB1M3a6fBNR1xXK+RHnuQbRmc7mxqd60zteCV3GyBoHEEQnYURIerDXyPvV6rFMWPE8ArY1p0c4231qLH4XYqiEvi42uEaVcF00UQ2t3oHVic6U90Tr4Ib4XibTLOQuVFdjrk/+2m3W3hkwocO5R6TR6nrfRsjwNIV4XZ77TpQCbjos45mAd8fYk+mxtq1xfiraRU2AYhoKQKEs5Pbo/bw3CXXfrZrMZ/X5/4+wGMUKs9X5RFLFcLgmCgF6vR5ZJRy9JxFHdNA37+3uCi4giPC0jIsnAZZPJ2lYVcZzQ6fRJ0w5V1aB1QLfbY5Vn9AcDtF9z57nb5NmCIlvR+Jp8lZFlOQpNnhVcPbjJndvPMZstwHoC0PYV1Y640LqTlDIruXJwBdMYHh/eZ5UvaVE0yoO6pvLm3P3J97HK0v1/9IlnCbYvcXXaWjytSOIY36sp65qd7S1+6if+FEePD0XAH0SCFneg6nUGY9uazWHEGEPpxrJ1IWgFyxqD9IMAkqfHsEpBnMSAS45wTr+0k7oRnJhZZJRviON0835LF6DZ6GDXf/YPnf3+sMut/zzPpEsbNCgPMb604gK3CowrYltarDLgidYrDH1M25AXK3TSESyJ6+LFyYCt3S2CKCDpxGRZRVvVtMihxDQVfuthTMtw2CNMfFarnNaI+W17OKLb7RMEEtOW5yVYRZJ0yLIFdS0xhHEYOvYazOcrorCDpwX7M5tMCbRHlZeYtiFNEnwFga/Y2RqiTEvoa/7Mz/5pBv0+77/7Nr1eD9NaZtMplxMJaH/pU8+zzGZcTs5pqQkCiCOP0cEue7sjDg8fcHJyig4TlBbJw2qeEUcJtlHs7R4QeRFt7XP71h3a1vDgwSOMEemOF4Ts9bpcvX6A73uslitsUxPFHWZVgWkqgiimLHIx7NUVdfE2UGJMlzzfJgnkuRSGIWnaYTqeACKD0T/ko/+VX/kV/tE/+kcA3Lhxg1/91V+l3+/zH/1H/9EzRR2wOXy3beuSau6xvfX7nF/8aX7ndz6PH32DOI0co0/htw3KE6MGtcU0lkaJYSaKYvJlzuRC8mRRsmbn04mMfIFhv8eN/au8/vpn8F5+UrS1TUtZlWSrjMl0wqNHj7i4uODevXuMx2Pm8zmTyYTFYsFstaRVbA5wN27d4s//3M/R6/UkZ3y5YDoe8/jwMSdHR2RZhqc04/GY5WpFUYtJrmkbiqpie3eHKI7xvYBwGMhBZrmkqmryXLLC5/MFQeCTpmKIWWOw1nxSaQCFBDOfk5NTKUitSFHqthHjlLWCf1GQFQVZIfd+ksSgQ4LQ49q121R1ziqr8PyI3mDA7L13mUwnDEcDXn71Jfb3d6jqjNt3rrNczsRtXVZMF2PC2Of6zasUWY32jlgsMjAaz5dDWr8vo+TpZMLunkwS+6MenW6H4+NjLi7OHbar4eHDh0RR+Mn2G/5EgOJqI5J88OAh8/mcTqfDcrlisVihtUddt2RZzte+9gpXDq7w1ltvc35+gdYB29vb3L59i+eff56yLHl8dEi/38c0ltlyAcYnW+VghGGn1cZ2ttmcPd+j10t58YUX2doeMp2NmS5m3P9Y9EKh71Pl5eY1P3jwMYv5jP29fbr9Dk1bEUY+3e4Qz/M4PHzIxcUZk8mYqqo4PTuRxTqboJRmMhm7UY8I3be2h9y58xyDQRdoiUKPz3/+C8ymc3w/4N69D3nnnXfZ2dkVYfnlmCAM2d3dZcs5KuM0Qfs+ZxeXoAyeD3EQoD3FYNDl5u2bHGwPODk+ZjKekq8qslVJEqUEqiUPSsJg3cHyEN6Wda4fJQgEhYRGG7Nxd/rac8WxEdOgK76UcS5Wz3OmAnERBkEompRaCOVJkjpnlAIlVv41CFk7TMYaxmitc3Zq6TSgwBO3v7TndYBRFt8LiVyXpnVFuWS5a6xpMDzpeEiChdl0Q9YmEOOQIVY94TEp5eK9tAIDYSj2einiWvnzXIoFVropyP/wPW8z+gdFFAYkkcTVrON5nh5FrLVT2oE6167GqipJkuRJp85F3pSl5B6vUyyKoqBtBMURhSH9fh+w7O3t01Qti8VSuEue5CPKKXUpyBGtHXzT4PuKTqdLrgsuLy8lisezdLsdPve5z5CtxnzwwV2OHj/m9OyMG7dvsr2zy3KxYnwxZmswospqgiCkk3bIvZzJnxaG1AvfvAWFZWs04v333mcxn5GVOUZrlB9SVxXn/6MZq2tLgpOQ0T/f2TxMlefE165bqbSM6H/sq19ld2eP3/7//jZaexv4qHwKygnQnxTGWim8MGQ0GjEYDCjKkm63y3w+dZ/jD2rdni7sADzviWDdWItGS/qJknF9s+Y50NI0LYP+0P3R4vBsnLvxTxLts3kd2lKUBXUtzkicHi4IA4wbDRkr97C2Gj/wNi5ti5XIQyNpMdpzPDCtWGUrWtsSJRF6VYhhKa82eIpA+3gerFZLgtiSdiW1pTWKxbhla7RFGEZ0uxKqPhlP6DuDzvlFLukFYSoUgCCmqhqqqmbQSzg7OpPOfd1gG8N8MqXMJbQ+0JpOmjCZThhtjfjKV36Upq75nd/5HY6Pjnn51VfZP9hlPDmjqUVjGkfSDfZ9TafTAyw7W1u8+MJLXJxfYI3w8C6nMybTFWEQs5hmeAQEWgTwtTGEvnSAlsvMPZck+xtge2ubTpwwnkgnSVlLIDNwlLUkUeQkGNDQom2wEfHKXucJ6N7C9vYWi9nMwXEbfKW+fwm69SP36l//63+dz3/+8/zn//l/zrvvvvtD10ngXPdislJcu/ZrXI5/nEePXuCPvpPQG7xBYwWVpANPEjJ8n5BA9K/BE2bbusMVhKGTouScn57ia8ULzz3Hcr7kN379N3jvvXcZbQ0ZDofSkElEL9btdRkMB7z4wouS5lCJVCYvCi4vL6R73jbUxvDo8SO+98YbnF9c8NH9+5Sl7H+dKMLTmpu3bvLpT70qzSBfDlJ5WTJdLDg5OeH07IyL8SULhxAbDAZ0uj0CP6QsaxaLBU1TO4h64gxTffm9p2dMxhM815nudrvs7O4QRAGrbEWSdvD9QCIr8xKlNXkuUiPTGqazueCbgLyoKKuWMAowVrNalfi+5DOfnp4zmy3Y2d3hc5/7LLdu3SAvFgSRJU4ivKBHnmdU9Zzp7JJOp0+/O+Ksncj96/SGZZUTRh5XDq44g2HD7dvX6fcHFFXDzu4ubdswmUywVppalxcXdDqdT7znfPLCri6JbMRisaAsKwIvIA0Tjh4e8c6775N2e0wnMwaDAVEQcuvmTR4+eMDZ6QmnZ48pm5yyzvG0Ynd3l07awbSGxWxB1O+i8SmKGvDwg1A0U610yhQGrUT020lSOmmHbJUxm0xRnqUpc2plsZ5EbvmBYjK9ZDqbcTkZ8/j0GGsM16/fYJGv2N7aJoxCLi/PyfIVi2wh3UZbU7Ulh0eP8IMAZTzKMkdrTwShreIPv/5tLC1aWV791MtycvVWzOYTlssZUSQ5ilp5FGVFXRu09hgO+9Rty6PDhxRVQWPE9u4HsH+ww9b2gP5gABYefnyPOi/pJH08PxEnaNNQFC3Kc3w2hxhpHbvPw8OPfAIlhPiqKvF9nzhNNq5OqxQoH2UtdSsCe+37iFzIoPBoqla6ZVpT5hWL1ZLWGKpGNGISmC4YmrXGROj0mlB7OGuE69WBUQ4MLJ+o66y1NG3DVmebTjfB0rruq4xrZYLlQpZdt0W5ws4YIbn72iU6KOPQNwZtDX4oHTblObOIFkegRcYAvg9BoAkDGUvLNy/vhXJFq/ICjJUxt9USZed7PoEnJ8jAD/C1L0kbngiIPU+QF0pLuHzaidAKkjCi9XxsY8hWK4qy3ORGRmHEoDfg5s2bDAZ9iiKjKAqKMqetxRzhaUtR5C6+LCEOYzwVEPqQxCHDobi+Fgtp5y8WEw4fP3LGFuikimw5JVvOePvNtzg7GxOHIYtJRuJXZIuax6sTXn75VZqi5sGHH7O1tYUdeJtaKVutyMol2bLg8OiQ2SLHIoVWEg+gY5j8Tz4GDz799deZHM8FJFoUeGlKnhVgWkwqDywdBURpyj/5p7/O2+9+hNExZevj+QE+3qZYVsrK4cfz0FoK6jCJ2d7dIc9zRsMhx48PxbG9BmU/Vcw93cGVSzrSYRBTNTWNNeR5hSprpKOsCIIWrTRhFDEabYk8QknHbH2/gdOpPvMQV8/8t7XC9JSoMdFOLpYLQV7olrqt0EYTRAFo+bu99eGkrOjspWxvbXF0eETRPHF6p2mCZzy0VfixplhWzFcrvNAXbV6oKesMmTZLhFoUeBhbU1QrimkG1sPXCUVWsLU1lMOPF1EV4ljvdVKUbTG1RZmANO6wPRpJwVHXtFVDlmXkVcHl5Zit4RatsugoRFvLxfkFSeijPMtkPuXOC8/z+S9+md/7va9z7/4jZsuS2TzjyrWI2sD5xYSGgkeHj8jzOTqAwJeO2Gh7h/lyyWK1Iu6khEXO7ef2GMwyPvrgPkEUkcZ9phczfA+aqsLXDXXdkmcZQRhijGBF2rbG2Iaj4xPXIRdziu+vpwYebVNT5kvSJGG1nKOMtynWjG0pm5KiLmmswQtDOoMBRVWjfEXzrzHS/OzP/ix/42/8Daqq4vHjxzz33HPcvXv3ma8xxkCLi5KzYAy9zl1uXPtVHjz6BT784D/g1Vfu4QUXmKamXEr3iTAk7Q7wrGG1mBH4AYup6AurunIHb18SOMqSMAy5ev0Gz925Q1HkmLZhMV9yfHTCG9/9nmui+CSxSEsGoxH9fp/hcMhgMEBrzfbOPmmaEEYhDx4+5Oz4hNdefY3L6QStNPcvPuaDu/coVkuskbSf0dYWURQRJzH9/oD+UIq3O6+8xI3nn6OphRqgkMKU1nB0dMTO9i6Hjx+zmC9o24aqrjEGLi9nlEXD9Rs32Nu7ynh86WRKAWgPFYTE3R79wdAl+vhEaYLv+bQGeYZZRJbkOnimNSzmCyeTaDGNZXwxZjIZ8+DhRxRlzmeef5nhqIsfgi1rjk8Pyds5aRrJczTU+LFPmEasihXjyVhIHn4g/gQa0l7MtZsHHB+fEEQelSlpbEnT1mxtDeh0E6I4oK6libFalRw+Ov6k5donL+wSN0oqigKtPZIw4fJyzPvv3aU1hrPzC0ZbW1y7eo2P73/MtWvXHIV6ifY1q2IlQuow5PatO7zy0qt8/fd/n9Uq4zOvfYHHhyfygoJQQsidyLIqcwdfdB0RLPc//JA8X7G9u8VoOMCaVm7IIkdrzXA4wA88yqpgr3tAFEWcnZ1xdnFOY1refe89Z+1OyfLlxv05mTxpW4+GQ7QKGF9MGQxGrJYFeVbgeZpOJyFNY65fu8nR0THf/vY3aI2M6nb3djENZJkI2RWSXbpcrggiOTVN52Na2xCnAVeuHnDjxh5NU/Hg/l3KopJTNj7KlpKPqXyKomI6nVM3DQYP37LBcayFyXUtXVWc7iyKZF5vrUU5TYNzGbjt5ymVkEUeiu6hJDWVJkk6WCVmgKoSZxGRYxg97R4Fh1NRm/FY6/Aka6MGyuJ5irqqqauaTjelk6bgXLJV7bR8GjztuU4KYM1aUgcofM+XCXRbyzg5DPCUIUkjB4guBJFj7CZbeJ23F3g+3U6HOI5d1E6IsY3rJrqOo4K2MbSNwXqWIl/ng1ppjTctrRb3bFnlaA3LtqGpS9K0QxwG2LYhTjv04664OpuardEW+wcHxElMVddEQcRwMMDzNOPxJefnZxRlTpom1GEgENqmom3rzThxjf8wfsD+3g6epzg/P6eqCgaDPnUtpgalWpqqplAtmIbZXFAAvlb0ewOGvRHdtE9dwMnxOVf3l/R7I/67e79Prz9l9amS4p+W+LVH9c9q/qh+h/HFmMePjyhLST7wypq8hTJvaP5lTbAK2f1wn4XO6cQJXqdDFEhoelNXoJTrlMHX/+APHOrHHTY80ehovCedtqdchuvRexgLQHu1lE6mgJ/txvjydFH3/Skl1sqo1/M8glBjG9GJir5RmF1ta1Ceotfr0e10NmunroRY//T4daPZ/D6N39O/gvv1uqmomwqlNXVbYj1L3dTUpiHuxmyKQCNa5t2tHbrdHh/l97Gm2NzLTduQZSuasCaIQ4xtObs4Y3tnh+OTUxQQxQFNY0jjlE4c0hkE6LgVXVpdYSpDbT3yrKTf61EWkmxgGis4nSjk4vyI5XKJUoYoSjnYv8rDhw9I4pDnX7jBe+/e4/Hjx8RxzHK1YjaZopUmDmPBrQCdXhftexvG2ffeepvTswt+/Cd+kus3rnFycshkOiUIAkaDHepCutmLxZJlscJiiZOEZDtxbLQly3zF6cWMKOrheT6tkTB2Lwjo94b0OgPyRcmgN+Ti4oK6rjcxWt1u1yG3CmcIS+WQGISAFnan21M9rckd7Nvz72GqbVarO/R7sFguuJxccrB3QJwmwlNV6+nEDx/Rf/Ob3+SXfumX2N/f5+/+3b/LBx98wNe+9rWNMxJkBLpmSVoj0xWtam7f/L+yym5xcfkT3Lv3v+H2rf+UyXSMsTIS9sOAq/sHhEHIZDzGxrGMnrWHrzVlKfKF0WhEG8cURcE3vvENjo6OpAPe7+J7MqUZDkebfGVrLdPZjOOz8810Yp2AAXDt2jW++tUf44O7H/DOW++Q5TmdvjAwm6bh/PyC+WQsjQKlCI+OCKKQIAiIk0RkEKH82Pd8Ij8k8EO6nS69bp/93V1efeVVXvv0a6xzty8uLrDWMh6PybKM5XLJzs42LmgKY1o6nZR5tmA2X1JWLfOFmB/7/b5zxFvKrKUqauqmRjvUizWuQaA1gfZpldy3FxeXNEaKyZ2dPbpdgSCvtatV09Aai1UaPwjxtIf2hBhyMZ6QZTmdTpeqEPi5sS1BkFDVFXmRESeR7BkKDq7sMp8vee65m1xennN6egZWYYykKX3S65NHinlaRiBFgef5JJ2U5XwpNPemJfDgzs1bjPoDDg8P+Z3/7r/n8uICTym6vR54apOV1+l08H2f+WLBZDzm/ffeQylxIXaS7sat2DS1aMWslTRaJf8qY9DWsj0ccv3Gdd544w0novYIPNHa9XsD5p0ltFDmJaYxXJ6JTi0MQj792qdZZnPSQhyfJycn9Pt9Op0OnU6HXrfHYrZiqmdOkyWpAjLzrqU6N5Z3336H05MTrlzZl8QCK4XGxcUlxkCvN6BuxMqfJCGdToryJGUh7UZYGo6OHpFlK6etiAjDkLZStI2l2+ng2ZZOp0dVtqyyjMpY/FDazKssI4ljVpkQurudroyyXTt+XXytmUfrh5/85w8+kKxjWTV1u3GVtqyzWluUtfjao3b4G2Xks9Fa7OsyOpIu1hpm6ftuDGocz6uqaFvDcDAkSVKyTLSSYtZoRZzsJvHWSNfWKIPQ9iwohxNwGBRjGrzQJ04i91AXuKtydnH5/GTzDv2AOInJVhnZMneMRBn7+drDekbGxNqnLEuUkrQBpXE3IAShz/7+PqPhkCBQMs6zAv6NwpCt7S329vZZLVdUZUOv2+PeRx8CasOImy/mLOYLrBG4cbfbIQiu0JpG1n3TbLo0URQRhqLpW0e1NU3DeHLJarWkaWqiKHDdap8oDkmTAfP5mIP9IXeee47J5FwCzNMeSdzF9yUZY2u0xYOPD3nzzbe4/dwLfPToAriAt4FflXHUN/je//AG8b+Awf+ux/bZAR+pBygXCC6GAHGHa08OGwZYzOZYPIns8nxQHmtK+/evzbU71/M80jQlCCTesHJj7fUDx5gffKA+AanKP3Utm7lVUDUNdVMTB8kTLZ82VNU6feLJa2mN6CxZO2L/RJelqmSMJAWUkS5v5NMf9KlNLRnPTivYGstsNme5lJzmIhPyvhhlvA3OZ2t7m+VqSRQHdHupmG+KCq3FMBHFwhvc2uvReDmNLSmrmrJs0FZQHZ1Oj6qqUAqyXAq5tm0onGb2hedfQKP44P0PREbQeCwmOSdHRywWIsdZzKbM5zMO9vbxlCTQ5G5k++KLLzOfL/jN3/x/8/DhQ5q65erVa4wvLnjzre/RSVP2rlzFUjJbnjOerhzHUQ5t+XLFBMV0OiUMAm5ev8Z77z/g6OgIjOBNlos5Win6/R4vvfAyb/3R+/I96hClLNlqhiBxFLPZAq01aZpu1lSSJMSxgLqFpZY6J2hIGFg8+3Vm1Y9ycfEV9ve7tOcnnJ+dcuXggG5XnldlUdJxmuQfdk0mE/7G3/gbaK35K3/lr/Bf/Bf/BS+//DLf/e53n1olbLrLxpjNXhDHlts3fpXL8Y8xmf4YL7/4EyTx/4devyc4HqXY2hpydnqxiRlr2xqUfG/L1YqyLtnf3+ell17i3XffFb3dXPBjq+WcuiqZzWZEUeTA6OkmSiyO441WOUmSDadztVrx8OFDN8UrWa1WjGcTmXa5Z+bGRW4NbVGI8aMsWSyXBNMA5ct6tsYSegFhEJHEKUkcE4URscvnjqKITqfDyHUPb9++7fLZ1SYu7bXXXiMM5Wvfufsu3gfvu/tOPtMrV65QVRXjy/HG9buOefRd1Nn6cK+1h6d9cR+3cn+K7rbPdLpguZzSNDIhKsuWsmgZDCI5AGIJgsghx1rSNKWTBkwuZ5L3W9cUVcnF+JKyKgmjiNZKCtOrn3qVt978Hvfvz+l2ExaLSPTQGklo+YTXJy7shqMRL77yMr20w6OHhxR5iVVw6/YtHj18xPbOLrdu3WI2nYrwvttlfHlJEie8+uqr7F3Z58MP7/O9P/ouWMWNazcpioL9gwMGwyHLee5O0iFrfhg4ukXj4LptC21LHAR4xNy6dp2DK1fZ2d6l3xdn3/n5OXmRA7kLTm7Y3d3lpRdf4ujoiH6/z9bWFov5gvPxGXVTcuvWrQ2rR2tNVVWcX1xQZiKovHQLQUSyDWEobe0P7t7lwcOPyIsFq9XSiR1LBv0ReVkSBpELRc6YzSYsFmBpsLohiKEoGuy0IE5kXOB5Pk0r42itfPK8Jl+NuTybki1yN96RMPowDEnSlDCKhIEWBCRJQpqktI3ZLOa1XmNjV2dtPng6x9L175w+rnVatdYYWtMyWyw2cOLQFwbY2nn6bPbquk/xVFfDaa2apnG6SYWMPwIRzGvBWpjWUNclngOpCmnLSoSYywlWSokxxDSukBIXcJLGBIkYDbIsp23rDRdu3aWRHNqIvd1dNKIlalrJIcaIa9dYI7obtIzMGkFz+BrqsmJ3e8Sd27cZDIfcvHlTGIt1QZYtyfOcJElQSnFxcUFT5LRlyXQ8p9ft0et0Mal1+pKKKAzxR0PyLOf+/Q8ZjYb0Bz0WyyXrTNqNocMYcY15HiAPJRsbymJJnmeOdO5vTpG9Xo84CigKnySJKIqc5XIpjC5k9F5VFXlWEgQlnU6XLMuZTKY/9N7XWtPv91FKOa1L80O/7rU/+hxqx8XFWUPdQhT4G/h1VdcUZYGxBs8PUF5I21Z4vgVal6bhPVOsrf8bcBrbHoHvu+K3fXbkauyzfbLNurSbJamdaWbds7ZPkfTXEG4sbG1vk8TJZn0XebExufxxGrs/7udFbyhjzLWIXbnXse5Cbzp87seNS0upq5pVlhFGEY3DWBRFsQk7R8moPo5DtIaizNz9HlA3Fa1uwbPEaUyUdKmqhvlkjq0rTKPwvZB1ukhRrICW1sj+27YNR48f88KLt1ksMoIgJHeYFU8rruztkcYRaRiBsdy+dYvpeEoaRcRRn6tXr/EjX/wSy2VGWcj+Vhayj3z00YecnZ5y57kbBDrg4eMHdHohgRdR2pI4TTG2dYL5LoPBAKUUJ8cn7O/tUVeK+XzFaDCkKlriIOJgb985pBVhGLFcrlCOayrrQQLcu93Oxum5BhSv+WemcgaqAOI4ctgl+ay0UsSx7OlVLV0wpS1+4NE2Hr1e948t7J6sBcOv//qv87f+1t/iy1/+8jOF3Tok/gmBoGa1WtE0DaPh+zx/+x9x7/5/wNvv/sfs7XyDppYc64OrV5yGuObmzRv4fsB4PHWj+5SmHVI3DXt7e3zpS1/i8vJy4yCt64ZOElNX5UY/XJYldS0A5pPTU0nvccDiJEno9/v0+31Go5G4XR0z9PLyAh2IE3m9b/mhsNeUG3V6vgC3rdMrgjQS8iwncya6NOmwu7MLxlI54PDT+JU1KzSKYvr9PmEorzvtJAyHA7rdDlevXmP74IAv/+iPia6tLPF9j+VyyUcf3ededg9f+5vox6dlG07Vi0KcsdZCUcxpTUNdn7G9PWC1mvL46Iyd3aEYoJgzmczpdFP29/bQKqCpC5TyZXpSt08VkWJUmc3nVE1DFMcug1ywRGHk4wce2lOUZbGRVbXtJ9f2fnIwipL8yLTXpdPtsFrlcsJw4xWs5ez0lOVSNFmnpzIWeOH559nd3aXX63H1yhWUsSzmS775zW9ireXGjRu8/NKrvPXmew7OGBHH8aaTIjiIdtN5EbRGw2hLAoAnl1N+5As/wu7uLqvViqOjE9raULYVyip2t3d57VOvUVUV9z+8T5O0nB6fMp1NKeqM1gp/bGdnR/RNDk3hex5Z29K2hqIQJprSCs+T6mWxWDCbjDk5eUyc+GxtjyRsGCHByyUbZNNUjrcGURRQNpVjDTWgfXr9ROKRypog0GRZyWq6YHwxpywMq3mOsoowCLBYWu2hc9nA1w+kdV5n1dS0Ves6GIbvD6KXB+WzBd3TIF/fkza80gJBrZuGKJKRZaElS9U6hpd2SRZ6PcJ8Zvzlfk4/WUCeFxBFMctFQRTFQvVHcAEbpIW1VFUpnbq2cTEtJb7vOF/KOE1ES93UKA+6vQ5JN+Lq1Wu8//5djG1cUe07R7YUlLFDjcync3lFa/0e0m1rjXVjTLCt/HdTGwKluHH9Cj/xtR9jNBqyXC6xbSWj8LpkNplwfnbGtevX6XY6ZIsF8+mUrdEW26MRRZZvOgTGWmzbknY6nJ+fMZ2OASH9LxZzLi8vieOIJInd2mncKMUJzJ1bU3uauoIkkTQNyahd0ul2ZI2VheMEw3XcgwABAABJREFUSiTZ+cU5ZVnjKUWaBFxcjAnDmDSWMPDDwyP8KHn2lleKn/u5n+NnfuZn+It/8S/i+z7//J//c37v936Pf/AP/sEmu3F9BVXEfLHYjFw9rR1r0BCEIb7vQYk7ZFiappLkEhQWjac9jDKbURBIAVpVlYNMa3q9nrgzjXDpZN2IllNp4SOuX/vT69taGbPYxqFttHqmA2dZF4LScQpcBqnIF1y/z9jNGn8Wd/Lk+v7ibt0pbJqGsqrACtJIEEdioukOe1RVCa1oNbVS7O8f4HkisfDCgFWRc+mwC1m2ojccUNQV/UEPi+yP3V7KxcUFSguU2Nia2hRUNkGhMXiknS6KFU0NWgekSZe6bLC0tKYiin1WqxlNUwnLDUVTG6IgFV1dPufyQnASrTXs7+3T6/TxPc3uzjYvv/Aizz93h8nFmKsHV3jw4AH/5p/9txiN7vO9N96h1xvyh3/4LWbTc5TVTMdzVqs52vqYRlNkDUXW0BhFr99hOpmwM9olz1dEcUSadLh79z3m84Jhf4sk6jE3GQd7V7m8uGB8MeNzr3+WqhKepTHGuftx7LNoA1231spe7zpCnudJRrWvqWtD4EvUWV08MUt5nnYxfxknJ8fsbO+QpjG4sejTa+KVV17B933eeuutZ9ZEksiB4ftdsa1DTMVxTBQF1I00Gdq2ZW/bcPvab3J8+hVm85eJwn+H0fDXWC2XYCwXl+fUdUlrGqqspixzlsuMe/c+BAXPPf8Cu7u7ggobDDg/P6eua+aLOZ6SjtW6G742oW3SJtxhZI2+ms1mrGMUjTEMBgNu375NWZUULkVC2JNuaqKVmFNcMSdQe715T5/acTbpPKHLJMZKl33qRvab551SeFpg49KBTQApHsPQJ+6k6MgnikUrOxoNUSqm0+nyhc9/ga988SvOPCUmhbOzs81Ebjqd0VaG+XyOMbVDo+C63bIXtU1DVZfkeUlv0GE42iHtRKRpgu8ltI3CtBprBC6+Ws3J8xLPE12tVVAWBWVVMtoaMRgOiJKEIs/xfZ/RaMR0Ot3kz4pB7v8PgOLZYsGDBw949PARvvbZ2dkhCEJmkxnLxZJrB1c38/d1SzeOYx4/fswsW1CZhk7a5Yuf/wLZKufo8JgkSWibhuPjE+bzuTDDXDUuC8nlfVopTJrW0GLwI5/aNHzjW9/ACyOuX7/BcnGfjx88YD6bu9FVRBQl/PiP/yTDwYDf+d3fZTKZURTCLZI81AajRNewvtG1cxkJc29O4Iu2Jc9Kmd93Y5q65nIxR1nhag0GO7z80ksEQcD9+w84ObmQ4GssaZqwt7dPv99l/2CHLJvzjW99nbJdEoQ+QaCIopSmXomT1VratuL8bEJZNHSSAXR8tFVoLTP/1lpax/Rq25bBYLCJdWqbFg9v031YbwxG1MGgvE3Lf/2QWnchPK0xTkjaGiGRG+wGjBhF4ZMM1XUEj3u/1kXSxk+qnObO065Qb8Ti4flu7GVJk1T+rsZIOPdiLuLYSgwz8jm1FMWKpCuu3MVyThj5WGUko9frSnC7B3VTorVFKSMwV20wtsH3fNIkxfdDFosFy8XSdRCf8NE27GOt8LUSlpWnGfS6XN3f5cd+7Cv4QcDp2TGr1Yob0XXG40u2BgOiOBKTiutM9YciWbAKqryktQWe73N8ckJrDQcHB3jaY9Dvs70zonW6qSxbuTGQxvM0vX6fJOmglGK5lFF7EIgeQ3vSIfADD6wRd5WD8Pq+pqlhMOhx7fpVjDEsnZP2ypUDDvav8d033iDPKjpXhyglI875bP7MPT8cDvn7f//vU1UVf/tv/22apuEv/sW/yC/8wi/wne98h+985zvPPpha6cppzxPdoxbxdtU0BL6mKGWE6BmNsWCtB56lbRqsVVQW0jjc/FnrtIc1bNrzvI2+5WlThHkqWeWHXfbpwkw9OXyssRJaa0lU8eXvljFUinYHjsYhbiQ95am/Rzl39g/5u58eActrNO77tBstK0oOScoqJF0CojRCodjZ2eX09FQQNp48gPIiJ0kT0SelKcvlku2dbba3RzRNRZrGGFM7CUSA0pbaVpR1ji01s+UUGkFBVW1LtzMgSTpMLo8Rp7h0/GazKb4PnU7C7du3WcznlJU4QctCiukoiuQB3zRk2RJPQZFlLDyfKAiZTacoo/j5n//3aA18743vMR5f8tWv/gQPPn5Aviopy4ama+l0uszmc6bTOWXTslrWdHRE6HcJg5qd7auMxxc8PnpE29YkUULuyfiubUVLGvg+rRJaQFFmpEmHtBMymayw1E+6po2irqUwWD9r6rqmLEvhTq4yhqMhYRARDUNMW0PTMsFgrY9SqTsAe5vD0/b2FoELuH/6+qmf+in+5t/8m/yFv/AX+N73RM6ws7PDL/7iL7Jarfhn/+yfPfP1xrTuEOs7J7/sq1Up8PYkOuP2tb/FO/f+z1xc/i958YVHdDrfJYljdOCwJy4uLQgD0jQmCHy350pH3HvqNdaug7z0NIkreNeTneaptaqV2qQerDmOVVUxHo+5uDhnPhfT5AsvvIDyfUnHODyUTpjcgJvmjxf4ku/qeywXy013cP39Wyud4tVqRRrH7oAlnbqiKDZrT2t5/1VRbNJPjJNLaK3x4zmlle9hPcJdJ2CEQUAnSkki6db2ej329vY2EjHfD/BsSF4UzBZTxpMLLsZnLFcLHh8dcnZ2SllUKE/h1VoMDiokDDq0NeR545pQFt8PKcryyTNHh5v9onUH8jiOCd24e7nKMEaxvbWL1gHLRc4bb7xB04gU6ZNen7iwa5qGh48eURUlB/tXyFYFUSAk/OFwSF1WTNwYybaGMi+Iw4i2bsjyDDzNbDbjO9/5Dtev3WB7Z5vQF63Mcrkky7LNKVhrTRBIcVXWNbUVXpXvaV545UX293c5OT3m8ckRy/mKk8fH1M7xo7VmVVacn54yGm3z+NEh3/7mt3jzzTdl8TRy0hgMBgx3Dji9POHFF18kSZKNeWK1EqNHURSkoy51bRgOhzRtQ5pGLJcS25IEPmkck8YxbV1TlyW2NQSeR6+/RWMMJ6cnjMqSXk80C0kaslot8SIZFwSBduOQCtNW1K0hy8UhalooSzEseEEgMT2hT6AlxD52o79ut8tiPsday/bWNrY2G6eq7/uiK3J6LeOMDevrGdfg97n1y7KUXFYtRpa2qvFQVEW5YQf52qO18nd5gX5GM942LZ4nv7coCqKwQ1XKa4kiRRwnYBVlWW00hlqLUFRZ8AMP35MooO3tLaq6oKgywijEYtClbFRhFDIY9Fgu51R1ifYUSRIRBJHbDORBm+f5EzimkdH6WlPRuo1f8CfyoN/Z2eaLr3+W1z/9KtZaPvroo02RsVosyJdLyjSmP+qTlRlVW2FLiw40aZBS5hVFIckpfhgQhSHdfo80STg6OiLtJNy8c4PZbMp0OiHPZdQXxYFr1weSI7hc4nk+BwdXyLKcjz66T+Brtre69Hs9tCed7Pl8hjEtURSyv7/PF7/4Wa5c2eb8/IzSjRuMsZydnnNxccFotIPvSSanVv4GCbG+fvInfxLP8/iFX/gF/uAP/gCAX/3VX+W3fuu3+Lmf+7kfKOwa02LKAgFga6dxlPFnayxlkZPnGb7nAxrPDzFNS92Kg9S0lsCTEf+a+bcu8Na6svUhoanrzUhYxj6S3fr09TTq5Ol1vsbzpGlKnCROeyfjLwL5urQjhw7PHX5KJ+z/Y0yP/9pr3eEz7iCklcaKpwfcr3meR6BFE/XZ1z7D4eEhd+/eJYojmT6g5d5VoDzNfOnin/opcRxiaUnSmG6vQ123eDrAWEvdVuRlRq00eZ5jKo88a6lrj34qjMQsy/A8RWtq6Z55Er93fjZlPhI+52Q8JQwjNIooCOm5AnsdrRf6Ica0LGZTVquMoijpXeuzv3/AO++8w5tvvkUQRHxw9x6PHx+xuzWCtgTj89GHj7gYn9DtJShtyJcNewdDFtMSTEAnGXDZjqnyhqquCIMYa2ZMJxMO9jsi+zm/JI5SFqsVZVmzv39A3RSEkUdd5xRlIQc4D0D2zSAIROs9nzObzQA2XaFurwe2ZTqZ4Pn/d3z/L5HnVzg5+Wni+OONdlhkCl2X322eWR7f+MY3uHbtGv/4H/9jfvu3fxuAV199la985Sv84i/+Isvl8tmFYtdoFLXRpYnWzkj8XBQz6L7B1d3/C4/P/ue8+fb/ms+//p9S1TKd2oDiTUNdle4glNIau2FmFkXBarXa3FNay75oTbthfz6dPuF5/jOGifVkpSxLvv3tbzObTUnTZMOTtZ7w5JarlSscrSMMCOwaoDfos7OzQ1M3vPfuu07LrBzhQVKizs7OGPb7DAeDTfduLf95kmPrEwYRxliHXJPmQ9u2gqDhSdLFei9YLBasliu0kXQjqTXkvUnTVDqXSQdfxXR7XeI0YW/vgP2DPcq65PNf+ALz2ZwsW1KUOePJOdP5hMU8Zz5fUpY5u7tb0jktGwIvlM+mbiUtSmvqpiVWEhBggDCOKdzBwrSGbCEZ2IP+kNc+/VmM0XzvjTepqx8ugflh1yfv2E2nrrthmU4nmHbMfL4QdplVxDqkacWJ6PvCdxuNRrRty3Bvm/PLS5arFb1uj6auuXrlKmEYOsCj7xhj5onUxBqXA7kG5CriJOTKlSuSOoGMD+6+9wFe4DtdnSwcz7GGOmnK5eUljx4dslotCaMI39diW69yyirEc5DlbrfH48dHLBdLZvMZq1Uup7+iwBhLFIcM4j5xLIJc0zQoa0jThF5vyMX5lPOLU7SSm2Nre4eqqbm4uOTo6IjzyzO+9+YfUTcFWZ6xO9wmDKX7UpWG1bKgqWSDF5ZbiKahrmrKosa2wviq65rSGoxSm7ivPM8pXMEByI37jCNU2HR6rVvUa22PfWojkZtXW4dGcekRnrvhJIrFkxxPpTddvLUAVdAjotVZdwTrukH7HotsQbbKiIMudVWj0HQSMam0btydFQVGWZq2Qkj9LVVlKHNDkefMZsICUlYK/NYakiQSoDKW6XRG09QUhWQ5xlEkHLTWoH3h5NVVg6c0vi8Fk2kluUJGwWIOqSvBUbzyyot89rXPkkYhx0dH1FVNkeebE+xyuRCquRuBd/s9ZPGKc3a1WmFbCKKItjWbolVrTdM2VFWJ9iWQOopitra20XoHY55EuE3Gc0mHyDN6vQH9fo/J+II8X2LCkMm0ET2baVgu50RRyNbWkBs3bnBlf5eyyHn/3XcpqyVt3TIabaHdAWuxzNje8bl+4yaTyYzL8ZT5cvXMPX94eMgv/dIv8a1vfWvzc+PxmMViwdWrV39gj5DILrvRUvqeh/V8iiZ3oF0ZVfnaFx2XFxD4Iapp8bwA3xlbNlFwrnOMlg5Xa41zhrYUZUlZViKKt61b47LWN6zDzV6yxhpoN7aWEZGnPepKpB2elhznIJIM7GtXrzpIuiBOijzHNAJ/xUpKDBs9zh+vr3N33KZjZBFhNp6gelCKMBDWV7bMSIYJn3/9C/zzx79BWdbEaYqulUvAcK7stmW1WnLj5g38MKBuGlDi5t/Z26WqGtrWUFYFRJbGNrSlmCJm0yW2iomiLr4foD3t8DsWYxuatmZne4hSlsMH98V1uB27LoqMovIip6xEnqGsBqtoatHjTS7HNFXDc8+9wGc+8xqXl+d84w++7gDfARcX5zS1mHbSJMbzFGcn50RJjCLAmoZuZ8R0skJ7isVyyrtv33Xd+IjRsM/k/sdYo+h2e9y6fZuHHz/m+PEpg/4WUZgQhh7T6QXdbkzbhuT5EqVawjgkjuUZoLW3KWRmsxmr5cqlPiiiIEEriZ30tEccT+kPfpvx5b/Po0c/x6c+9SuC3uqIo18mFp5IPJ5aBm+88Qb/9r/9b/PVr36Vn//5nwfgW9/6Fn/n7/wdfuM3fuOHalWVgnW2NVYOx6Y1wl1LOgSBx9bov2E8/3Hmi09zdv7vMBz9N9i2kCzvqpI9IyvkPikqoihhOp2S5xmPjx6zzvJeH5aUNbSNpEesky/W/0qQkWRor1+gMYbAceGU0vT7Q1arJZeTCX7oo7vepsO3fhasE4eElLGg1+9z7coVJpeXNKWY6bLWUhUVZV1SexVbw6GTDZmNrEhegnI/L7GUAc4s4jApTdNQujxxz/PxlE/o4heXakmVV1RFQVVUkv2s2BgmgzDEUx6eF8szMZCEIu1Lh73X7xKGIZ20y2A44tr16xIJqA1NWzvTz3pkPafMS7KV5FG3xpIVBToMXFddu/AiF8ZgLPnygnxV0DYtSZLS7w+5fesODx8e/sBE5V93ffLkCSOpC0VRsFjMuXnzJnt7Ozw6fMT0YoLu9GmaljgUGnSv26PMCrI8J4wiynnG7Rs3uHb9Gl4QMJlOefjwIZP5FN+LyauCqq1lhBN41G1N2dRoBaGS5IBQe2SrJVtbfbQHW9sDvvClzxFFCY8ePBSAZ5oyvZziefIhn56eUVWV0Kx9TVUX5JcrTs9qzANojKHIa27fvk1R1FyOp5RFKekSRc3cdcLyPHO08cQ5bQw0hu7WkF53l0ePHnB8dEmnmzo0iCHtDkhS4QiNRgPiNGA+nzHaGzDY6dG2LbPJnKqqWc4bbKsIA422AZH20X69gWX6gU8YhTSmEVadUowXC5qqwjQNtTuVFHlOU7XOONJKQac1yvjQtihPig9pb1jRJxlxkTZNC6155sYG2WhU67RtRs4ZjWlJkkS6MU2D7x48rdNSCEDXJw4TIaL7lk7YIcsLAuXTTVKG/YE7ZYpAvKwbhL/fYGyFsj5VVVI3JdlsQtPUWGWpfUtja5QyeMpQF4VzJlW0lcFXPrPxlLZuqfMSGkWtJY9Pez7WgjVySBGxtYMWK03S6/HSC89z4+pVQt8yOT9lejmm0+mQRCE6kLHGZDqWAgQ4O7sgy7KNY2symYtjygtorZH3xRriToz2FXmR4fmiF2vqlulESO5ZLl3r5+7coZNGmAa2Rlvs7e4QBAHT2YT5/JJO6otZqCNdkzqvuXb1Gq+//hpFtuLhgwd89w+/zu2bV7l56zqBF5LnFUmScuXqVZar+1y/cZMoSXl4dEwUp/zIj36F999/nzfefX9zz3/729/mO9/5zjNFy5//83+ez3/+8/wn/8l/8gN7xHK1oEZh21a6I1b2PFOJw1FrgY/XFqzSeGsTrMKN0wPC0AdtaW0j5h1raV1ntXnKhKAQ4b+nK8nMXK9X+xTEWknHcD1SQkFrkWSNxmw6Bb7vuzg8izUtQRhxdf8ABdTu4TefjGnLEs+t8c2fydN0ix8unLfGkq1y0dson8bUYBQNFu1rsskKhdxbH3/4kH/yj/+fZGUBKkDjoxrLcGtAa3HZ0g1hEuJFonFu3Gi7bKGyllq3WK/BCxXa9wgCj9aUxH7EuFxg64BWW5JOj6KqqNoK09bMlzMMLYOtIW3bMByNsFiqpsSPFEr5aF8TRjFNY/C8FGwAVth758fnnJ+dcuvGTX70S19kd2/Iu+++ybvvvolWAbdu3uGDux8SRyHnlyfEcch7H3zE8dkxg+GApI5kNFgsKeoCrS0vvPgcSdLj/OEFYRSxWpU0tWU42KI/GpE3FbVvUYnHaH/I3vY+bS5Tl8V8jtIeYRgRRwmtO8gV+ZLXX/8cZSmj1/lsKrDzpqWtWlQEy+mSqixRyhIEMaOt32Uy/gvk2Q3mixcZDN5nd28HX/vIhL7FBTI++dyt5bd+67f4rd/6Lf7L//K/BJ5Ecf2wy/M03U5K5MwATd2416zJqpqoKvE8nzC55ODg7/Hxg7/L3Xv/HvsH36TbeZ9O2iWNU47KmtFwRJykHB0f0x+OSNOUq9euysHXtPiByFxQT7BVRSFxemtDiUwKPAEvb05JUnBqrfCsR+BHpJ0eRd3QWks36YgspBDjmyvLsMrgaZ+2rcmWKx589CHZfM6oPyAfrSjygrasaalpjTyX9nZ2adrWyYmMpHtoLXxPT6G1BRqMVVjboKyHH2jStI/yfaZL6cImYYS2CmUsbVFRLjN5b6vaSZ9aZuWUuqhIkxTP91E6R3s+QRQQhM7wQctiucACeVFQ1yVhKM7z3d0dOp1EmkeBTyftsLtzDaWURNV9qma5WDCbL8TRX1WkqWE4gqbVzOYFnnvOlkXjnr+S2V43JaNRD63bH7puftj1iQu7z73+Oa5cOWA6nfLhhx9JCzMMuHXrNoNun/nljKrKiF1I+WQykVFs2zKdTDeogtl0hlGQ5zmPHj1iNpvj63gz70+SBK018/mK+WImbVRwB2VLlq0kVmQVUpY5P/KlH2FrtMXDh4/Is5y9nX2+/c1vo5RiPJlQ1YYoivjyl7+M72uOjh5TFDlgaBVs7+wy2hpJ8bbKaarGLVwtMEEjGp44DojjhKYxbiThMT67YLFYUZaVJAQ0wn/Li5xHjx5y/dYtkiTixo0DHjz8iLOLJb6vGW4N8LScJJbLnFDHVGWLbRWmqfGMom3tRjuktSaKIxHdYtFB6EazkWSXOgSCxRJ4AZGKsJZNN8paaJqWoihplZETfNu6m2OdVyp6H9s+O8dfpzzgPoO1+L9uGvyqlq9fuxG/b8QrRgvrunSKohC9FMBoa0tEvJdj6rp2C7jG0xblTDNJmhB4Hr72iEIp7pf5kqpSKF8eyHEs6Q5lXWCNjA585UsXoWolxcIqZ8ZRElNmBSVjW+mYKIvTs2hefulFbl67RlNWrOYLPN8jCiUk3vOETxQEIf5qRZKmeJ4vNHPn5kpioZmXRUXrG5TyN9iX9eY4HA7Z39/HDwKOj485PDzcjMtlFCRdxUW2Es5RFLG3v8tnPvdZPv3ZTwHQ7fbopF2CwKfbSfjw3l3ee/dN3nn7bcLAh7ZiZ2ebXrdD2Uib3/dCt5YTrl3rUdYtk+mEojznsff46Qrl2c/RXT/zMz/D3/t7f49/+k//Ke+9994PfG3TNLRKCua2aSAIxFXs+QJyXoOgRWAno3olRaDBUpUlVVjQSVMHIm1oWjGzoMSluLW1hbVs3IJPO7qVFS+1cs5I7XA3YgZSLq1F1vf69B86jtYay7B2kPe63Y17fONo/QSj2B/uilRkq1xGMb6PR4CxLZ4CU1tKW2Pqlsa0rLIV7733AV/72lfZHu1y9/136aRDwrBDWdeEoWZ7uEdLTZr2BJNiWppWzCiWFqXl4Ob7Gi/06HUTIKJaVXQ6HWpiylUjIyc/cKiiYINHKoqCsiyI4hhjDfPFBO3Ju+oHnnMhCqfLuPfSANvbO9jWyp+pNB/d+4gP731EHEYURUW306EsC/wgYJEtUWGfRZmhQg8v9mk9mM6moCTpqNtN2N8/IC8qjIHL8YTx5YVoIZXHfL6kwaNpDN2eODVv3riObj3efvNtPO1RlhXD/oA4ijk7E3lNt9vjq1/9Kt/4xh9ycXFJkYthbp0CE0ehPIybmiSJERzS75Okv0+2+mkuzv9Dblz/P5LEqYODz8BYtKfpdjoUZSmr0HWaLdbhU+Terpuapm5cuoraTEy63R5xkkhh4SYlWuuN8UbSVgI836ff+w5ReERR3uHjj//HvPap/xNN0zCdTV1KTsAqEzdpkiTcunWLvb09Li4uNoaRdVFjrMG2Ir1Zj11lDTyJTnyyN1jpHjt9o1AsRHMXBKFLR1AUZYkxBuVLksrTRqamEWD3dDrBVx6j0YiVv2Q5XwojtJFM5PWzb62xW+vngKcc8y1NI0gSMcGEdDt9giRima/QWm10y41DJLWNS37R8ozUG9c0tKbBNtalCClsq2gb0J4vZkEt5bvnaSaTJXm+Qp9oTk+P6XW7kn7he0g8Z0QQyn21NRqRpl26vaHgvHhiAllrG5VSlKscWuG6drodHh8eMh5PCIIYpX4wi/iPuz5xYbeztS3h4Ab6vT5FXpKtcsIgIAojgiikGtfUjYTEn19ckMaJtL5NiKcUDx48oG1bsrLgxZde4sUXX+Stt96hKlrKsiAIfLfgZORYVw7Ai3X2dMNisXDjRxGTF0XOh/c/ZDFf0Ov0mM6mLFdLWaxWEBqf/vSr/Kmf/mk+fnCf7e0t7ty5g7EtO3t79Hp9zs7O+PDDD8kWGfkq5+LiQhh93jqbTR5OWmka07K/f0Bbt2TLnCCKmUxnoD063R5JJxX3n68ZT865fv0Kn//C68wXY7J86dxXPp4KWSyXVHlDQ4lphHDfVEa4aI3aPHykrW1ZLBZkeYb2w01nLI7jjd5IKQW+6BRkoa41RtZpnZQQ6VEoN3rSKNDSSQDghwjRN9BXpEOAlgIqCkKBHSvRq7HJWXVjhFa6IqY1YHE6OvlsA//Jg2R9WpQxnt3ceKIJEudi2wqoJUk6RGmIUYY4CYUj5QXOcOFt0gCUkY6OVgFK+4J5sRIsb41FW+kNrqf/naTDC8/dYXdnh/l0igK6aUKZFwwGI9cxm2GUoCZGw22sskwnU4Ig5M6d27K2s5xPferTHB4+4vDwiLquNnDZsixZLBZUVcW1a3Kau7i4AKTTvAaq+r5P07asihX7B/t87atfJYpD3nrze7z9ztsY0/LC8y9w49o1ijzno4/u8fjRx2SrxeYU2+2lFEUGWBEZK0HMVJXwBLNsicEjLxqWqwxlFGH4x7uufuZnfoZf+ZVfwfM8/rP/7D8Ts9P3XW3b0mIEGO6cdU2z7tZpNwJV+FoOG+sOm8bpaj1P5ALWUOY5eVlhTYvvNKVJkogI2vdcNJt5qrB7ep2uIcXSjW7bVjZlx/haX3IwiDefzXrcY60lSVNQisjhEOpmfYp+Ukh+0ktMBxV1VUu2sS9dHk9J0sEqy2nKmrKupCGSwKdf+SxZnnP/3seEfoRtAqqiIu32GQ13yIo5vhehtaWsC3HsVbl0sT1FY8RQE8YeqJp+r8/50oGObZdq1YLVOFkpTSsuUkE9nWHaBu0per0O0+klnicHs9WqJApTsB5aBfR7PYyVvenYyM5TVi0f3rvPYjYDpYijiKaxLmnBEMURjTZ4acj+jSskixQ/9GWknml2trYp85wrV/bRnqzJugFjPG7cfJ7JZErdtPRHI5JOl8l0QZEXXJxf8NyNO7x4+zkOHzwijhIuLi5dt6fZOKxv3brJdCoFkLisJYaqqmqUajZjxDRN6PY65PkKaBiN3iFb/SRF/mmU2hNiQpYzcznhvW6fF24/x3Q6BeTQEMcywep0O6SdAa21XFxcSDKLK1Q8T+LjFIb5YkHfyTrEgAe+ez3L5cqtX4O1Dbt7/4DDw/8tDx7+FJ30W7z8ytepnNNWzDYlFri8vOTll1+m3++zWq02RcS6sLCt4KPW2rS1eXDdXXz2eWBd0SmHrk7ck8MoaoONQQngOQgCrFqnCdnNHrEB6lc12SIjCkMG/SG9bo/5ZOaeBzmz2Zyr165SurSMtS7waYICyKGmrsuN/rYsC4IkEi2t5wkNwfNk33e6c+NGtW0rGB75PkWuIPds7SD4YGhpWpm8iT7XY9Droqzh7KzmcnzBbHIpmc7GEEQx2zs7eL7vijg4DI5I0xTtaeI4Io4iPPd+DQYDfE/G13GQEAWS1x5FCVeuXqfb67Gzt894PP7Ee84nLuzauqEsSsq8IIliQk/cLf2BAIlRM6IkIUkTkk5Ka1r2DvYZDodkec7l5SX1QkSRl9MJjw4PSZJELMBKPjSt5I3TTt8lb7B9RkR6dn7Ow0cP3UM046133iaKYy4vLrl25SqmsWRFRm1qgshnr9/j5q0b3P/4I77zne+wt7fL1avXaJqGk6MT7AFUpfCMOmmHqhQ3bBiENLWlLOVBm2UFSomrpq4ailyQHS+//Aqr1YLJbOJOWh7a8zG0tG3D+fkZH330IcYYlwMKcZQQhynTakkUdKiKBmUDAj+hbOqNmFq+f7HYi2atFiSEsVSluG4bB6ytKrGnR2FIoKX71FjpUqy5ZXVds8b8SooHG1yJXQvv9BN2Gjz7AHNKPWnDex5RENJUNRbjhL8gZGE3Y7OCSvC9ADxFGMSOxSW6hvWDeK318DyNp6Xg8rTnxl3y91pjN7Eva72gUL59qrLGNHZzumqb1v24RSsPtHQU1jyqtS7IU7LB9Ad9rlw5II4SHn38EE9B6Hn4KOIwopOmm4eC8kXHknY7hGGEH0X0+gOGwyEPHz7k7Owc3w948OARH3/8MXGcsr+/T5Ikm65clkl02Brkub29LS5a97lbK27qr37tq4y2R5ycn/DNb/4h3/rWtxgO+/y5P/fnONjb4/L8lHfefhtjGuI4YLWSETYqII4Dut2U5567zdf/8BtuQwuoqhrriu68LCkrYVl5SqNU9EPv/T/1p/4Uv/zLv4znefzlv/yXfwDfsL6W+3OC0y5OOELTiGaxrirwJbPTKvllzxctqYzsPYyBpnExgq3kHFvTglv/SmmiQIweCsENte3TY62n1qlaJ1DIzxtjxMhhn3TA13uLHCierPc1cimKInGsulB289Tf9SzWBzfufbJfPXvfuK6NUVRlg60b/Fg4dlaBqaBaOSC4H1JVNfPJiv/ut38XpTXLeUkTQacXUpaWqpZ4rTDVDEZ9ut2IqspYLuYSD2VatK/x0IRhgO8rUMYB0iOWnmGZZeS55eq1Gy59JaIsBfvQ1Dl63mJtQ7+TMNrqc3T8AD/wqeuK4WjAiy+8yttvvcdsJoBiYy1lXdO0LXvbu9y5fYe2tbz99jvs7R8QhhGJgcdHJ1RVxXBnm5qKvClZVjlH5yegYXd/jxvP3Sb0A44fPOL4+NQ5wxN2dvYBQ6fbZTJd0VpLnlf0R7FETi0espwvyFcZDz6+T+2mCb7W1GVNURXYtiWJY7rdLm+99RbGSNSVoD3k+2ubmouLc7QWWkGeZ6xWS3Z2drh9+19S5F/i8vJHeOetv87OT/4SVd1QFCWmhX53jVTynMaxotPtMOgPiJMYqz3yVbY5RAAbvIdMMgy+X+IF4tRUjioghZh24QCaMJQ1ORr+v5hO/wyLxdcYTz5NFL3pOnUF29vbLFe5fCYHV0jTlJ2dHd555x3pTLoCK45j2lq0ZpJeVG9MHd+PylqvdTF2SLds00Fz0qcgcN1oXyQvoo9l83Xr4q9tJbpSEDKCmxkMh5yfnpHrAtMaDg8P2d7ZZmtriyzLePTo0aaruDYHtsYVd41Edo0vx/R6lv5IDuNrZ/uaW2jdNM6IJkPkPXadlW4xxj0zrdAFsBrbQttahxETrW4QBqRJxPb2FmWVs5jPKMuCLMvxvBVlUZJ2OnQ7Pdl3dc10PHfrKiBNkw0Iui6qTVfSU5K+Uze1o2aIgTAKI65du/5D990fdn3iwu7DD+8TuA9Fe5442IKIq1euEfghoR/S6YwZ9IfsbO1gGsNgOCRJU6ZTGcXGqYg4l8slVV2ztbVFt9sn0BFFUdLtyINlveh9F4Iti6vFqobjo2MePHhAELn8u7YhROJ5JtMJg/6AKA4Ii4Cmaej1ujx8+DGXbuRXlUOsgcViyUcffUS316Pf73N8fCwMKDSdpEun00HpgMvLsWj0osgBUeHyckye5RR5yYOHj9Aem9gWpUFXmtoUtLbh5KTg9PSU4XDIzs42i8WSk6Nz2sajrRWaENxJFytYE2NqGX14nvCtsASIAzSKQpT3ROS6tqevIY5yA7norroV/RFKCiIlf6ZQ7mVcoxRuRKU3N/b6WkNin6Ab5DHlhBO0taQj2FZwJS2uEHM3nsSDaXEDKg/dkbGk74uVf72prR+u653D8zw87cT3FrTyROOHjIJB4bv4mSRJWa0EgFqXhqYWNzEtYD2sG0Wv3YeN0xO2dYvv+XQ7Pa5dvY5WivHlhLooicNQ+HsWyqKkrVviKKLb7VNUJVkmHZLt3V18qzg/v+Dhw0c0Tc21a9fcGl8RRfFmc1zjOtafVV3XKFdEaK0Zj8diNBoOuXLlCts721zOxnz993+P8/Nzzs5O2doa8dM/9ZOUZc7v/O5/i2ctBwc7LJdzJpfnzGZj4jjk+vUbfPmLX+BHvvB5B2k2BH5IXTcU5YpBf8je3h53731ElouEwFcey/b7XHo8Kep83+cv/+W/zG/+5m/+sXvE+RdPuPIvn0c3OAOFfFby2XoopYWM7wk0tqpqOZBoh2moGtG4+TL2qMpSCjsXLbbmj4mYPKdpm02RZazjK7I2mz451TdNs3nQrKG0azbUOuFj/fkoJVnWg35ffq9DnaxnsM8WdE80dlLc/eB7IgcnTZblrBYZOrB4jZI4Jc+jNRbPioPVtz5BFOMHAR9/8IjGNBRlRVUYjPVoLRjVYuqKoMV1scUYVDm9kPY8wiAQY0qg8QKFaSpx19Y1Bwf7NPmChx8+YrVcyjjT4Yakg6TQngI0q2LJ3Q/vklUZO/0d0KB8RX/UZ7g9ZDxZkOXC2DQGtnf3uH79Fn6Q8OjwIXlecnxyyu07txlsbfHg+AgV+RRtSdRL6A17ZHXObrvPa599jc989jO8/vrrKGt5fO8hv/7rv06el7QtHB8fMRgOuH//iEVWMJ3PGW1rtmuLr0NCPyDwfPZ2dsjmhUu3EXxW4EdcjsdEUcTXfvzHQWnu3btHkqT4vhXziTtYSdJC5OLsWlYrSVWIogCtCpSaIqPGLZqmlb2nrGlqQ1nVpL0u3nRCYypaY1isVvhBgB+Fcmg1T4wATxdMguQRVEmzziRWiiiOiEIxYOV57lz5zmVtSna2/2+sVq9zfvFTHB7+Ftr7DpPJlLY1KO1RNy1TZ3wMguCZ58T6X+X7G43qE7esfuYAY9YcOv2E4ajcOHH9nAZ3YGtawkCKl8Y2G97kpqPuDrCtA4wXheyHV65coVhlFPmH1HXDZDLe3K/b29sOQJ9tpEdaa5SWMawx9WZ8ba11LmiL8tcHPb2JIQN5RvnKsSyxTo60fsqBsh6YwDU9nKxo3b2wlrax1BoC32PY75PGIZ1uh8PDQ8mGLTNMW1OXBVGY4HlCADHGECcBCkiiGNsaMZxq36GXfKyxNGXD+OxCJivGsLu740bYn+z6xIVdkeU0vk/TtJtW7vnZOZ6SjL26aTZW8aquOD+9YLXKePnllxltbdGta8pKskA7nQ69Xo+dnR1u3rzN5dnEbbCN69SsO1WeE+c70XRbU7YFeZZRNSKGjjoxj48OefjgIYP+kBvXrqM8TZZnwmFrW+HOlBVhGLtOYZezszPGE2ltLpcLEdJmKzyt2d8/4KWXX8IPYu7e/YAPP/zQMa7URv+kvZo4ke+9m3bo9fusVsuNxrRtLcYqtA7Aeng6YrWsWC1FZzab3gc0kR/T1BaMB0aC5cu6kPnI5obS7kEk0GZTNoAQ/tccLU+L00ugou5UYkok2DyUHyuFQTRl64zNdVfMIq7Qp296eHqci/NciCtU7PWGtjbux9LKb61sAOtuinZ51ni4wnHNFko33bo8zza5ny2tGEI85zxuLZ72Ue6EpRzQNgwjrl69SpLGjC+nZCspwExrUFY7bR1iAVYWq3FjWnkCa6XZGm1xsL+PspbJZMJiOmVvZ5vnbt8h8Dxs29I2LXEUy9guaahNS9M2Mh5RiuOTU6YOlSAHgMSdhBNmszlN0zAejzeA4jAMqeuas7Mz+oMBvV6P1WpFVVUcHBzw8ssv0zQNv/97v8d8OSMvMnzf58tf/CIvv/wSdz94n7feehOtLP1+ynDUoygcSLOt6Hb7/ORPfY0vfu5zTC/HHB09JooF3nx6eknTtCwWS3Z2b3P79m2Wq/fJsjndQZf9vV3efO+JeeKnf/qn+Yf/8B/S7Xb5a3/tr/Gv/tW/otfrAW7U81TOpawVcaQb69yjCJzUd522siywGK7s7+P7AdPplPF4Slnk7lQNt2/d4qtf+xr37n3IO+++K6Na5Ykj1pdxxaOTR6xWKzlZu6Luj7uMkU63HwbozdlBbfRF6/W+ftgGQcDBwQFpp7OBqOZ5vkm5+JPHickaXMwXlHmFb6QQiwODH0VI6IaHR4htwNcB2npUhaybKIndAc0SxiEtNfiGZb7g4uKCXi9CiPQe1siDqmobtrb6Akz3DXldM58tSAO555IkQHvSxZtOpuA6+6+99hqT8QmWiq3tIdlqymI+YTgaMBgKDmK1Kp1xbcjlxYymbUiiFM8PiOMOQRCzXGXkRY0OQxpjOL28BN+josULfObliiu7A6q6piwLbt66ySuvvkJe5Hznu99mOVvS9VP+zJ/9c9y7d4/Dw0OStMdqVZLlNYtlQd1aVlkh+7LNSZMOg06Hna1tTvIzirxwzklF4IfYtuXK/gEvvvACd+/dE+ZiVQnix62FMAyJ4ojA9zeGniDwGY0GDIYD8izDWHfQ9mM8L3SFXYWnPVZZJp38OCYvxTFflCXT+Zwwjul0wh+YhqzXnsVKAej70vEzrRR1seivqrImcI7ojdZMKTqdf8Xu7i9zevof8903/ld8+lN/zUWBdZkvVpvJT6/Xc5OdalNYbQo7/I3rdV1sbqQ9sOGg+u5w9LT+T7hvvoyN7RPQvee5NI/KbKYQ62fKumGgtYbWMl8sWC0zqrLaJO64G2fTaOi5Bsxq9eR7Apk2GXc/F0XBYj4nCGImkwm1aTajbq3VBquGCz0QqLS/eU1rrXnbagS9v54YObeylamRtdYZZsRwJS7rmJs3b5AmEY8eB3KQW+W0TU1VlgRBvCnaityw9FekcUqSdGjqltqX2gevobUtBtnPq3odjgBF9ex++6+7PjnHrhaHpe85yKcWUWhdNShPS1SI77NYLjk/vyAKIvqDvmzm1nJxfsG9jz6kaRrSNBVMhJXQ6cUsc5W/RxQLSX+5XImA1fHXrMNwGCs6Jk+i8Ii6MUVZMJvPWC5XjC/HxGHEarUi8AI63Q5np+ekaQdjLI8ePWIynlFVEr8yGPR5UBTs7uy6zsgZ21tb7GzvMpnORTvR67uCVnHjxnUmkwnz+ZyyrCirDp7v4QcRYVSzdpzGfgdrnegaRZaViGbURylLGPrMp0u6Ixlr51kpAehOxL9GYwilfE28sdISbgxlWWHcn28dm01a4T4oS1PkLhfRI007WCs3iTEyqmzbljiJ0Fp0Blpr0SU+pb1Ybz5PSPtueGtxLDiDaZ9EP2HELIGyKPf1npYxmgAzUxaLJR6K/mAgN1Tb0rjOneVZmr/WmqoosL4iQGNRRGHMaLjF7pUdrl27zjvvvcPZ+QU+kWOZycDYOvcW1p24MFLkIYcuX3tcPbjCYDBgNp0yGoxoy9Ll13Zo6wpjpahbt/49X7hJrTFoz2OxXJKtMsk/NYbxeMzZ2Rm7u7ubNvtaU7fe2NYd1vVm0lYVaZry2mufZm9vn7IquXv3Lnfvvi+GAwxXr17h+du3OX58yDvfexNsixd49HodklSMFacnjxmN+ty+c4sf//Gvki9XvPG9N0jimBvXb/Df/87XOT294NatF7BWuwd8QpKm7PoRN65dZ3tra/Pep2nK3/7bf5urV6/SNA3/9X/9X/Nf/Vf/1ebX33nnHf7SX/pLTCaTZ/eJppGRJ7IZ+p6HFydoN+Lf2d3mKz/2FZ5/7nmOj0/4gz/4Q+7e/YCiKHj11U/xs3/6ZwjCCKXcZmvEuYdlo/2RjTJ4Zm2uuwhPuxuUO5ELq1BvPoOnu6dPdyXWxV4QSGyep/UmDaBpmyf3wdOHnU9wWWOl89QYoCVUAW1laZShbSxVUUvKhVKEYcze/h7zxQJrNJ72wVPkWS4bvmroDmOCuIO1sk+WRSMHSDzyfIVVhn6/h++HKM9izJLT0zO2eiPS0KMoV7SmwhhnUGlasjzn5s0bGJPzwb13sNT4nmV3dwftQRgG7O8fMJkIK9LzPdJuymwyJ4xCbty8yc1bt0jClAcPHpMVJUGcULcNxxfn6MBjsDOiahunw7K8+eb3aNqaK9euoICT48d8/OBj7t+7j6o9/sy/8Wf5+Z//ef7xP/4ndLp9Hj065Ox8iu/HVFlOWLdMJjPy5YJet4+vFI8ePuLo0QmTyRgcESAKE6wVpEWe55ydnm2Ki/Pzi83h2fM8wsClnmy6V9IJHY8vybKMa9d+g/Hlv8XZ+QtMJrew9gO3bgx5lpN00g08+kmG8Vpv2mwg1VrJoWe9jo0xhHEgQfXu59YGB9lbodfrOsOQ5MhKowF2tn+N8fjfZbm8zXT2s1y79tv0en3yoqLXH9Dp9xkOhxtY/XrSs17HT8uf1n/f+rVvsqnd6FYp2bvWqRnrpIq2bUnShDAIyduGOIlpbcsyW2Js+8w99vS9s5Y+1KVEmPU7fYlBqxfuILHi7OyMTqez0cqtNZBSRMq27mkXTVbkwqnzffwowE/l641DTlWVJKpoZ6pa793rAnKttbPWOOOepm6FziENPYNtpTMeRxF1I+bOKAwkNk1rbt+6wWw+5ejolDwrkcz7ljCMUMrDWmE/FoWwFcuikDonTdE+tEjhKXEMLZ6nWWZzxtOLT7zn/Ik0dhpolBZShnuDm6rGCwO0J10saxviOOHVV16lk8gCf3x4yGw+Y29vG601qzzD90Nu3bjB9miLx49OUAo6nS5RJOPYtSasdqBeGelolKeYzuagDP1hn9Wq4OTsguUqo5OmLJYL2rjl+o2b1FXDcDhwoNyA4XBEXTVMpzOSJOX07JTL8ZhXXnmZn/iJn+Tw8BEffPABe3t74or1Q/Z2dkmjmOPjY7mpmpaqKGmqmrapWK0MdV0QRYFrkRsWizlVk8npz2XQ1kUFLcJQqwxBmFIXc7JVga8DJyYVF08QQJJKqHtVtbQG0YR5nhgJImi13HhpnDh2YEDg+5SFLKTaNoRBQBhGkvBgXFdPeRLa3NRoFYOxlIVzP1lE6I7eaOBwo1qDoW0FbmydS7CpG9q6IXInTfmU3OVu3tq0tE1Fi8UrxJHUGjaapdZpLJSCwJM4mMCHOPKIgoC5mhFHCcq0DsHQY29/h+s3btDUDacnZ5us1zV02NBiW1fkuVa6Qkk+oRKTR3/Qo9/v0jjd29ZoRJrE7GxtEYQRs+mUtmnQutxsfKnX4eT4hOl0ShTHdLpddvf3qJtGMlTblsvxmCRN6fX7aK0ZDUdSRDlhb5h22BptYa1lMhmjlOVTn/60MB1nM+5//LHkrDYV89mSF557jtde+RTf/ea3OTk5ItBglKUolnR6t0g7CfPFGEONF2huP3eHoqr4oze+S16WXLtxUyDJThNjjGQRn48v6fQGfPq1T6O9gOPHR3z86OHmfs/znL/5N/8mP/ZjP/ZD94P79+//UAPFOu9SIwxFrQV2q7GEQcTrn32d1197TfJO45BrB7ucHT9iSc1nP/Uir7/yAtoL+PD9d9C0aOUMOwhuSSnLarV0mb7uH+u6uWqdDOFMGVowBaETKjdW8CKSQrxJI2atgxNno2Jna5vA890ZzVJmBXX5JE/y6euJ7m7zM8/8uiCIVmSLBaaWdYnV1MWCMBIOWF0brMLJXCJGW3tEnS5FXXJw5YCizhnPLjE0NKYm8GLiNCXUEaq2BCjSIKSzt09e5Bha6Q6gMK1ld+cA27acPD5mbzt1uuGAKPQwTYa1DYvZitD3CP2Eqqi4OD8j7fgoryaKAvxQ7qvesMdkPKMBWlNzfHbE5XjMrRt3uP/4IcP+iDuvvsDx40M+fvAh+BZCCNOA3pboxgQKPGNrbySZt9mCb3zj9xlfXrLKVlR5SbVoefO7b7I72uXs5Jyvfe3H+ejeA6bTBU1dUlQZvtZMLycEvjhOaWWPms8XVFVLU1eOWelz/dpt9veu8M5b71PmJb1On6ZuCHRAJ00FdOs0eFIANS4tQYqdPJcIzU53bQLwKUuomxaUTJmatsG07v3yNGs4hbLInmpasC3KtPiOSBB6T+LMkm5KED4Z2cmkRsxj2vfo9no0Tc1yuaCtDcaINjpNx+zt/TqPH/+HPHjw79Lt/AsWi49Z5gWlbVi1FWGvQ24aStNgPeF7uvPSZqS6PuysZQoAZVXR0IrxzB3elXN5o8TR2TaNM1UO8byQKDLsX9kX929bMplM5BC13hvUOrFF3KZhJEac1lim8xnrwUprWs7Pz5jP52xtbTlmbE+K5LoWv7FBZDuB4865fOWqrEBpPBUIYqgt0cp3sGQkdk9ZrOei4px71xhBXymkQx6EPm0pJk6sg6A7wIEf+GKWDALKKqOlpj/qgoa4G6M8xdmZ5MevlkuasiIKEgJCmrqSLqmTmpnWEg1jGltSV5XT1RtQLVp7TKYXzOfPHqL/ddcnLuzErCbjulZJJ8bTEsfU1iJWr4sG0Fy9epVer8d8KuBHYwyDYY9ON6Escwb9LleuXKfbGZItMudws6IfU4ra6V5En2RFKwUYGbBRNy3dXoeDK1dZFhmz6ZwojgnCQNAVYcDu3j7nJ2fEcczu3i5Hj4/pdq/j+VrE/kpO4rt7A77wxR9hd09Oyb3+gN29fR4/fszdd96ncSNmTwnT6ujRI3F1egrPl+gz35P3IgwC6lro2J2044K5PecKlUXhO8yJryI8FVGXDa0nFbpWkHa7JHGKbSum0+km6eDpD6K2lqot8KxPbQIwlkF3iK81y8UCrKHbSYXaHYR4fkBZVBROs7ZayWse9PtMp1Paxmw6URa7MS7YNSrCjV5lYCv/KOV0e1jH+BG9nu97G5qTCOUtLRIlUWQZVVXR6fbp9bqAezBqJ8htW/KipqkyMBHWSB6s53mEnmTFdjoJg0Efz/N4/+77FHmJNThqOZsn7FpvBWsIpHa+Dk3o++xsb2GxlFWOtbC7t0db11incYmThDzL8HwftGKxWpHXNcs852IywfM8ttuWvhrQNA1JHLO7u8s6cHq1WpFnGfu7e7Rty/nZOcYYut0uaZJQlgWL2ZTnn7uDxvDtb/0hFijqyp1MFTtbIz7/+uu8+UdvcPz4iKLM6A07KK8hCDRow+nZEd/5o29z//59PvXqi3zxR77AfLlkmWX/P9r+NFazbL3vw35rrz2+85nr1NRd3bfn230vry55Oc8UNVmkZAoS4sR2HAOxYtiWgwg2YAP+YNgwgnxL7MRGRk+JICFANESkJJKmJJJ3vrz3sufuqq7xzOe8057XkA/P3m9Vk6LdBOIXKFR3VZ1z3mHvtZ71PP//789se5ujk1M++ugu9+8/wHuFc4bWNnz08Yfs7R+wv3fAYDAkTEKa1VMNh/ee3/iN39gQ8z/rI+g2oX5j6KN+wjDkxo2bvP7qazy8f59333mb9WrFcjkn1RBkEQdbY3YmA6azHSIsqQ6okRzYINBs7+7gnaEqC3Ewogh8r2NzKN9bg2T19d6Jtq5rNCslBoqqbjohuuj+UBKTp5Fx/c5sSxy6yKHGdx3lP2oM+wfF5X/w9/VqyXqxEFg2Gm8UjTEYg+iV0bggQkUJ81VB0TTsXTsgTAMODw9YrBagDecXR0ShoypXRNGEMAjZ296jGQ555733ufncHXZmOxydPUGhsMZhXIvCkcQZdS0FXFPJ+DQKQ4piwSAds7ezz2I5p6oK0iRjONSMZiFB6HHKoONAZBDGs8yXWKNYlznrYs1woHh49IiiafgLP/RltrZ2MBg+eXKPxlSEWUiQwHJ9JUaNxvCFL77J3Y8/4vLyCu8zTNtydXlBWZSEhIwmE4Zxxvd/7/u8/sbnOdw/xBmPRhNFCXEYEAYhlxeXTCdjRoeH7G/vMJ7MiOOMUEc4GwABs+k2r7/+Fqcn58yvViRJhnOOoiokItE4WldTliVNUQCKJE3J0pTeBRroEB3A1k7OZPqI5eIWF5dfIor+EQSSTBI1Ada0DNKENImoKolhS5OEKIyIQk0aRyRxiDFysI10yHg4IklTglCzWC2fpsR4T1mVnXsyJEsSojgmihOarvjrg+EPDv4b8vx55vOf4Ojor/PiS3+LIHnIeCvDqgCVRiyrnFVd4kRCKZoy5zDd9dsXdv2v3v1qjSUOo40eGyfaYx3Kgb6uK2xrydIhSmmGowl7eweUTc4gyzg7PZUmQT/Z6fYUD11UliTVOO8EGN5NJLxzFEVBXVVUVdll2UqYgbVGNO2ADsMOgQNEHhM42toQhhAGMc5AXRmybIRSSzyOsinY3pmxs7PD1cU5pm2IAt11vhXea9KBmKiKMu/Gr91eiPAuTWvQHf5KR6AjGfk6PMYrBuOUO9PbeA9PHh3z8OERyni8sXgXbwpcayzj8Yi9nQPW9Ry7sljbCpBfKbQWSHIUP3X0/w89PnNh15pWIoO6tmxrJcNQRyHeGMqq2YxDmrpmfnnF5YXcqM677gQPx8enDIcjDg9lTFKWc4q86DQsAQrVcXtqOblYJ9ovJe1RJTwEZltjbty4RmUazq9Oca7l2v4+k/GEYi2jWWMN5+fnXF5ckiRZ1x733L59my9+8YscHZ2yvb3LrZu3ePzoMR99+BHHR0ecnpxw7+49VvOViB3TlMFgsLGDC43eSuEDm7FN02VJjoYjwlB1wnWwnaYh0jEBmiiMCZQEuI+GA5arBc4YBuMhO9tb6MBzfnZEUUgSQBg+xUP0Xa62bUALyiIMQq6uLkVAHIeEXcSE84a6sawuzqnrGtNa1nlJkZebm7fvjPZuJd2NCp/tTvSbmnWS19u7afFSBPbteN/P3+hOgoHEIIEnSVLiICQINNPpjK2tbfI8p+7yGfsCzuMIOgv4crnYjAG8dezt7rC7u0sYhjx58oTHjx932jzQ/bjNuc7g0W+2rhvLuq7A80z3trl27RpFURAoYU9Nuridh5/c6244TxTFWO84PT1lPp+ztbW1MT5kWYa1lk/u3mM0GnH9xg0GnVmiWOfMr65YrVbMRhOMaTk7OSUIAspcOg1hGJLEwib8nd/5HU5OT5ltbaG0iJzTNOXmtWs8efyYe/fu0dYN1rWotWc8TRmPR7RNSVV7Lq8uybKQL/3AmzjX8qu/+hs8eXzEtYNDppMZcZqyf+0aV1cLjJcuw2q1knvZGPb2Dtje3iKKY5L/MKY+bLj13iFvfPMVll2QukgP6g5LEpIXJauqIBkOqX+u4fJnLlG3FNF/F+Fa3433lawTyoOOeOkVQRx955tfoywK1uslbVNTFgXeWZqmZndnh8dPjgWOGgaCbwmEnzbIUpyzLBYLcdr2GhhFd/CAPgtCTv2qS7OR+B6z2axc5zqTtAvrLDoIMB1WYXd3dyNHsM9oov6oxx8cy/7Brl5RFDRGMnR1FBFGEUEUiq7V+40gvW1bxpMJwq+EF1/8HM63rIoVOgwYDDPGs2wTmyd5wmMuLxqaxnF1uWCYjQmIaUrJSLbe4owliRKG6QTTeNaLHGtCbOuwrcKGEEUJlxcXXC1OiOOUwShlMAwpq6Wsy4GmLCsuLhacnpzSNp6yKOTgG0dEUch0OmZ7ZxtrLbv728y2ZlxenaFCKbjbtmY8HnN2fsHZ8Qm2aanznKvTM9bLFU1dE0cx2SDl2vYeSRjx1uuv8/Irr/DO936fKi+YDEdU9ZoAxWA4pKxLikI0kHXdcHx8skGJCKBaNsPlYklZlp3MRRymsv+UglXq1sIgk1gp4ZjGBFo0ulVdE6cxk0nF62/8Bl/9nX+Jjz/+aa4f/h/BzfHWUFcldV0yHks6QVGUZNmA2dZMEo+6vNbBYNAVfYrhUBJ4Aq25uLzg+OxUUlE6+LmxhqbIGQ0GDFJhxPams94I0baGJLni+uH/jtXqLR4/+dMcn/w8hzf/Pq9u/9ekmWY0GNLWLc7YrhsEvkt0kI633+jl+tGwaKU7HapXuNhCJ92R+ydGBQFt193UOqSpay6ulpycHnF5dcZyebW5P/rRbj/m1YFIZiSZx3RGAnl+UaSxRgnhAORaa9tusuQ3329zkPce0zad6STopBP9+i/4lPF4RJomnXvcix67O9ipZ2Q6SkHU5eo+q/nbGEAC6e7XZYVpLRZLkopztTUVZbUmTiKuHe6hdUCaDnj1lVf4xte/zd2PHmAaQ0hEnMTCOuxcttYZkV4Fjjxf4Z0jjiO2trYI1xprm//edejZxx+jsDObD1xrTWDkjWhaaetbL7wiHQSYpuX8/Jz55ZW4WKKQwSDl9ddf6/QHBU3dUpVLrPHUXesR6BgzHbTU9dR+j1IepcUqHMUBQQjz1SWT2ZTROOXBg2OyJOT8/AxNRFk0OOPIuwuzd9XcunWL/f19kiTh5ZdeJgg0b//+7/Pw4UMeP37M0dERy+VSnIzojX6g5yD1FzzwjGbBURY50+mYJJU8xTgScON6vQIUaZJJhm5Vdi4qObk03cY2GGYMBil5viZfL1ivrrDWfEpn0aNfJPsOhsMBWkcEiFg/1iFaK8Yj0ZRcXl5hWktVF+AhzWJhOrV2w89Zr9cbzlpXrW1ulP4G3BR5nQZJgYyquk5Hf/NLG1vh+26F7wtfcSxWTdW5QRWT6YTFUkZqeb4mz3OUtwRacg17fWaAEo2gadjZ2WE6nRJEku/Y53f2+pj+UKBEoLVxR6I6zWC3CIzHY5x1rFdrDq9dY2drj/NTcZ62TU1VVaRJRJwJOHu1WrFYLER6YAzb29vEcUye54LmsJbjx494+PBRx4wLNtqui/MznBMsjdaatmnI1znT6ZS93V3quuL4+BiUYrFYkA2HjLzf/IyPPvoIgKquQDl2B1uEkWY8HtE0Bd6FhNpz47mbfOUrf4KPPnif5fKqSypJme3s8uDRMcY56ralMQaCgPF4RFnVrNcrht142NqW6C9F1K807P3WDm8sXuLo6IiyLBl0jjqAQTbg9PwCpRXj3V1WP7bm8n9xiX8siBlRDsi1aoyMTKI45IWXXmQ8GdG2wqCLo4imLFgtl2xvTYm0RinHyckTAuXJkph1WWK8Y5ClzGZj2la6oaIxki6xUoCSQ5/ywaaoI5DuP8i4RkYuuhPG+44wL93oMNS4LoB9NpttFvmegfbHefzBQq/uMi6V1pIU4S0E0kn23WXpraVBxrFZmvLxxx/x+EmICjy1KYkTxY1b19k92EJHStihcczW9jYP7j+kbS1FXjMcj1FO05SONA5QQUiRF+S2JY0meK+pi0u0T2krS10aAl8TxTHGWM4vzplupcR1gCpbjJcEEescVV2zWq4wraGuJJbt4OCajAyt4Ud/7EeZTIasVmvyfEWShCRJhEUC00Wr2qA1HD95gjeWel0SB5rJcMTs8Aah1iwul3z8wQekWcbtmzf59mrNO+++h20aoiBg3RrCWAqksqmEV3d2wcnjIyaDEacnp5u9ZGd7u9PIXWKMQymeRmkpyUntnZ1RFDFIU/wzo0mtdRf9J6NS5WEyuQdAnu/g/QRrapIkJI4jPFKo98H0w+GALEvpIeVhGDKdThkMZM1M4nRTxPWOThnFyXXaR99lWbqR9YxGMu3oHffWWura4+xd9nb+XU7P/7dYO+PR/T+Pw/NjP/8PGQ1Hm/1KBxqnZF/t4eBB99yiSMDAPX6p34eNa2jjliiw3edtGY3GqFDLyLnD91RVLabKSElqULfuPQsT7/cWHahOaiFyC69ECkSoxUxoO9V1BzT3VtJBApR01DsMmBTCEUGgMa7j0fXxf0AUhRs0y3A4oCxzojCiLGvydY61HWotUJ0BLCRNMk5OTjbFbn8t9O5lj8Ma4QkaZ7C2IYwUgXbUdUGcDJlMRmSDAVeXV4Sh5tbt66xXBavLivF4wtb2jDRLmC8WtKctcRyzsz/bHCySNCWOww14WSJIP9vjMxd2cacDiKIID+zs7DAejTg+OSFUATeuX2c4GDC/FGNBFGiGgwFJHJNmGfPlgpPjE4ajIVrXnJ6eooMEHUpeahBoemhiVZZdJ6nBeycfoHKEUcBsZ8ILLz3Preeu47whyUJeeOE2qJabhzf4J//4t1le5WTZhO3pFs4YXn7pZba3d7lz5wVOT8+4vLyiaRrefOMtvvPt77JcLjl+8oRP7t7rCP2djtA/dc/1nbr+OWodEHZsLtnEZfwaxxFFviZLUkIdUawKqSdch9swDmcclZEsxMo02LYhVLJoLK6uyPMFzjVYZzv8iWxW0jWzG9uz8xbXOgIVYHNLrTQq8OTr+SYNQ6lAxKTBU7F4HEtu3rNC8jSVbhPBU0xEb5HvXVjOg9JSeAedlcLYpxl+3govzHWJDqHWneYqlNN53VBVNfudw6r/2dbK4hEg2AYVK666Q0G+zvEeosBvgqY1sFgspBvTPZxzHQPNChSyKzoBgd52bLUkTfDWUhYFV+fnXJ6d8/qrb4ibsKqJk4jlakkYb7G4umAxnxNFETs7O6Kt6/Ak6/VaJATGoLtFVnnXZetaAjzj0YimaiirSjJqddiNZEKCTne3Xq9omxYdyUm5rEpWqxW7u7ucnJ5uzBa9yHgwGBDEIltoMYyGA37uZ3+cP/tnfpHZbMZv/eZvMp0M2dsZs7W1L6Lk+QJFwHg0wRo5mGVZRllVKCUn9/V6SbQfMr42Jvc5s2LKarXqIgRXm42wrmvSJJXivetqZIOse58VYaBZrOYdew6saQl1wHCY8eqrL6MD8M6SJjFtVbKYLyWKMEl4/fVXqYqco8cPqco1eCNKOCX5wKPRkLqp8Niui9YN/VWPYpAMI9t16XqdjlegkMi7oJMS1G0jxTJSABjYnNCTJO4ODF2axh9ief2zjRP/LM6dZANbdBJv5Byb0XCHJgq80HmU98zncwZnCcenJ4wnKePpgFWxILGa0VbCZDoiiMBYy2RrTBDKtWjaltVyTV1blAtQNsA1oKKQam1YLhbMRlMilWFryLIUnMLWlrzNeXz0PrUpmM0mEDQYZ6ktKK2wQF5UlDTUTYu1Dh1o4sGA5597AVCcHJ9y+/YNHj9+wIOHD7k6v2S1WlA3RVcYiXt5uVpgbUuVF5i6wTth08VRzHO3bzPMBjx5eMRikGOMZW9vl9U679yLjjRNCNYBUSz53nlVMhyOyPMC5RxN2FDXNVmW4ZyApvd2D3AuIF8XzGZbG61Sb67pi5mNm7/73DYw6+5zXS1XuMag1CFhWGBMRprcofbfEfZlLBrhQCvSLGE4HBDHYdc5Ep2etRLFKKY4T6AE+2O68WIQBNBJkaJujR4Mh2SZuOp7c89wOMQYI5nUXrpPxhjS9Nc5vPavUNVvcnn513ly/5f46m+8xU/9+ICDw/fQqrfAqQ7loSSBRz/FkfQFTG+mcNZicNRlRaQTHDJW1UkEgaKxLUoLm7E1hqv5FcNhymCQUDfRxpjwrNM2CAI5ZDmFt11Bh+CpsI6qM+ep7jVrraF7LlEYdrq+ztDkPKorvh1dk6E3MWYxcRwiKBlLF2MtwPIOhRWGEUkoRImmqelzndM03TR2+mLLOdfl3mo6fCuBUjR1zeV5TTaK0FGA1oqmrcnPVxRFRVk27Oxu8+prr5AvDGEYMRqPCMOQxWrOepUTRiHJKJQIs0B3ODgpRGUM/T9C8kRrDWEcb0Knh+MRr73+OjqOeP728+xu7/Hw4QMCFdBUNUTCFdrb3WXv4Brvvv8u3/3e9/niF9/CWc96naMDQxTZjfMmiiSUuj+FbMCigVT0QSgOmMbUjCYZcRJSVCXT2YiXX3qR7dkWt27d4KE7ZphNeOXlV7Btw/7ePkEglPnpdMqHH37E7u4u86s5pmm5urjgk4/vUazXDLKBZFS2Lc6rzcLec+P6m0t0PZLi4IyEul9dXIj4tm0wjSGOE0zTboS9Ho9y4hgNAukiWGPEcWMNpq5R3rG9PaNuBIwptvbuCurayv0ISrI3NbY7iVon+XelNeR5QVkKVFmivBoGgyGmdbStFAr9ovCsGyoIezDyU+xJX9wGQf93Mpp31mJbQ9idyOJIeFLWWVCqi5vxm4tMQo/F1t930/oucBBo4jDsmHdO2tRKgrbDMKQpxSWdpilVU3eAWtt9Fh6UwbSWZ1UITzEtXetea0bDIYNsgGmk4AlUQFVISP1wMGS1XuDwjKeSo3lyeoq3jul0ukkpWC6XXFxcMJtOiYIAZw1pHBPNppsi1znHzZu3uLxc8Pjx466jyObnCzjabRiJZV2JSPoZYGnbtgRKuGrFOkcF0gHd39tjNpviVcoLd+4wGqVcP7zOt775TRZXc37yJ34ab2O+/rVvs7d/gLKetmpoy4ZGlQxHY4HXRlLQTyZj5osrbv7K8xxNjgmt5vPfeYXcrjbPpX+vjTG0VnSnoygk3Uq59yOfAPCnij/NX/i3/hK//947fOMbX+fuRx9hraGpDco5tidTJsOU3e0tzo6PKPMVcaSJI821awf8wBe/wNHjx7z37tvkqyXOGqIwwBpHFAZMxiOOTyQVQXUdQeelYy4Faregy4cveqQkpqwqQiR5pf/zYYcz6aUNiaSdozpBeH/99J9Rf0/8waKuv3f6x8ahq546Z4uqRCdR9x72Yu1QMjWBwBkR2HeHnUBLjnCS6M6pblkXOWWZ4TA4C41pKOuCi4tz5otL6qrC05LEKcNsgG0MpjZEpGiX4JsIU0qCBy4kiVJsbQlHoj1dLC64dmOHV2/eZlVcUjZrGkqcb7HAfLWkKRpcKwkmDsFQyWE34MbN6yRpzIcfv8/bb7/NeDDC2IYwCkSn5y1NU9K2jqa1IngPQ9IkkXEXMrK2RsD2L9ye0DQtr3/+DY5PTnj3g/cxzrDoQPBZOiCKYvCKyWQKozHLy0uc9UwmE7wXtmi+XnP71vM0tWVvb4/WNFxenm3W9TiON9d3/+hRIM5Kh9cr3x1qqq5h8Q77e/+EJ0e/yOnZv8jNw39C21wxGCSdBswShprReEiapPRj6PVasEbGGCaTKUmSYVrLYjGnrCoa83QipDu5xnQ2JR1kFPmaIjebw10YhmxtbRHHMcvlEtMK0zAMFXH8HsPR+wTRgquLv8rDey/zb/6r8It/7pLX3wy7fOxuS3lWPtOt88+6xWWa6TDGUamKOM7AWDxIl9c71mUhAPhQE2iNdY6mrkkS3TVszOaA2hdIfXE6GYxZr1esFzIla21LWeY0VYmzYv6RAljMTlGHUQlQLJZXm2ZLnwHunCfwnlDLVENrTdN2jFmlujg8SX0xxnB8fIoOFIM0IUA0wQL+XzOZTEjT9Om0rMfUdG12txnbxgQBeGU6SYiirpsuj9fRNg2laUmTITdv3qScijavrhuBTHjH+cU56zxnWczZ298VMHEUYIykX/WGns/6+MyF3WA4ZHt7m6qqKMqSPM/56OOPSZKEw8NDJsMJl+fnDAZZd1NYHIrL8wuMEx1AoPTmhF7XTXcaDymLEpBMu37D61u3MmaRObRThsVqzicP7jLZShiOUs6vLjq8RIbHsLu3w4/9yE9yenyFa2Wc45xE3ty9e5fd3T3W6zX379/ng3c/xDaW9XpNGIZk6dPOgxRMdtM+/4OLt7h82HS9wjAUkWdZonVA3UWz+O7ucdZuXFZN01DVJVEkZOl8vSZQghRIkphsENOactPV+lR3gm6x6S7CMAzRgSBQ5Kb0WANax2Rp2J3iNHGckSSpYFUCt3H+LhaLTbejaZoNh65t201nr9/clQokRN176dgpJbq8IBANH1KI9J0/X0HTNrKZRhFV3YCXrNQ0zWjOLzfaoh7vgtcksRDDx+MxaZwQBJoylISG/b09PnlwX55fFKND4ZxlyVCMFN2JFuvEvdvpAwMVMBwMGA0lGWGxmtPUNXu7eyRRzMnJCToSwazDc35xwdX8irwsiVSwgXzGscSYrddr2rZla2sGXacujmOBSKcpTV1jmpoizzfXVJqmEjGkFM5ZVus19+7d2zCg8F6KkSiSYqJbXONECmYCx3CcsbW9zcMHn3D7ziHf/97b/OzP/AQnRyfcv3efpm65OLtkvWx4cO8+Nw9vEgcRbTFnvVjjasswHUqMz3RClnWRR8MhFxfn9PLEi4sLtgczetbgs+Hlznu8giRNSYcZxbZAP/P3Ctqy4cXnnmcQx9zY32e5uGI5nzOZTkiiiNs3b3Lnzh2KPOfWjRuMsgFVmfMv/0v/Ivt7u3znm9/g6uqCqi6YjEfU1lG2JVEYdFpT13VqZfTvnaU39cBTLqNSEMaxBMeXZadnk3tXa3EY9viE+WIh2tLWMJ1OmU6nskEEsqD2WqY/zqMv7Ky1rPI1gQ6puwOsdU54k6EU+0mYYJzkrmqtGQ6GtLahrJYUVY0JaggsOhI+ZxApgbObhmW+oG4rgrBH/Vi2ZzNOjo+ogbpymAYCF4GNhZXpI5IwJQwC6SqbCmNKhuOEQBvKZoXxLRYZb3ul2N3dQ6uIxdmCUKcsFwVF3mxQFF/60pcoijXXr1/j4uKU1WJFHIckOsBhsN6IPsvVaBeIwcZ6VKjJy1K02cdHjIZD3vr8DXZn+5ydX3B0eozFc7G4xGtFYxrBbkUR63WBc45r1w5Fwd1a1vM5SinSVKC+aZaxWq3Y3z8EH3Bystg4+L337O/vozoZRN9I6CcUSZqIeS0Kuv0hRQfymb304u/w5OgXOTsXY8dwUG5Gec4ZwlC64lHYu1yFf2k6B30UJYxGY5z1lGXFOl+LfKEbfQZaMxoNN4XbernEO7vp9PVryWg0Eu1aIwWZ7e5Vhycb/n189E/I5/8eVf6L/NrfeZXf/71/gbe++Ovcvv0+yvfj0d5s5Df3eV98BQHgHK1twQlmyzg5QOlQY7wjryqCSAq2sippmwblA5I6lK6bepow9PT7BtRlxdI4xoMhWZywXCy4LArqTvIRBF03T05tMinqCu6NTk9L8SjFl9z3cZyQZWn3/kXy3nej2X7S1ssjyqJEKWiqigAYjYYkiRRTfa55r2v81IHN94kaWqY4Oqa1FUo7fABta1ivCzE+BBFJIoaZ4XAkEjTX6RW9E9NFGHBxeUHZ5EyfTMiyhCSNGQwSmQwaSSb5rI/PXNgNxyOuHR7y5PFjlBLYX9M0bG9vs5jP2ZnusL21zenJKXEU4boLuKprlkXBzt4eZVngveqEo54iX5MkmUAikY0RpOqW0PbuQ+03/W5zHA2HrIs1q2LO8ckRaRqztT0jywZMphOWqyVVXfHe2++jlerMGY7xeML1wxtcXFxyfn5BsSyoy5rhcMh0OmUymfCFL3yB4XDIr/7qr7JYrYnjmPF4LALopnk68gkUOlDdCSqlNS3Wtp8iWXtkjOycLGzWSmeqaSvyfN1x5zR1XW1GDWGYUBblRqj+aWaW2ngC+rFB21YdeqXDsLQO5eTCDQIxanjnaRtPEmtGozFRF3LettJ5SWLJ1fPO4buTtOou6H50KzoJi/ZiFomjWOLLOm1b28qNL0Jw1XU/6DYxJZxDY1AqYHt7Gx3qzWjCdOiKnhvVNi1VucZbSxGGxHGCNy23b98mzbKN7kspEefjFG1lMW1LpCW30DTNM0kEElU3GY+7E3olbmAr2aV9bNUgy2QB04p8veZqPsdaQxKnmwzj5XJJkiRcv37Y8ekcxXIJio7sXnH9+nWKomC5WGKt2whxR8MhWZpukjaG4yFZOuhQPSlJljKZTUnShKvLK/LlklCJ+280EGZdUeScnpwwHA75wltfpK5zdnf2ePzoIVk6xDvFe+9+gLIarKNcFSjnqYoSbwzOWNq6IRlFjCb7qO7kurOzw9/7+q/B/5xuARSuZC9a7rOJZdORTS8ZiptWdkl4/533+Zv/8G+QDVOSJGY6GbM9uU38wgtEYcgozRiPRmxNp7z6yiu8/NJLFPmK8WjIa59/nauzM64uLymLgsl4zBtf+BLpeMqTs3OCUApq0YOGnZ7Td5nQMnbZAFC9jEt6ZE8/QlGduaaua/C+O6womraF1hB5z2A4ZDwZd9w90Rr19/0f99F3QCQgPaSqFWEc4Y3oiYwxqEBhHARB2MlWUubzOca3ncPaMJ5N2NodM54OWKwWJFlISorHMUxSwiggyxIinRGGWrAlkRzqmrIANN4G2FYygpUPpLM3HKIDRdMUrNZXXF6d4MMxi+UVLnC4wBHGIZPhCIFcCCpmfjXHtELOj8Zjht1meHx8xHx+SdbJHawxGNegOlTTOBUZw9XVkqIsRXtrXYcggsRFtNZy78F9dnYOiNKYD+9+iAo0dduwznMGoxFhELK9tc0nD+8z256yt7/Pk4cPuH37Nh/kOVddoe49G6PTaDQGr7j/oGJnZ3cT79cfoopCQOCB9uR5zs7Othh1lgvSLKGqRYoTUtHUNdPRd7l28Fscn/wUx6d/lVde/I/IBmzistbr9QYMX1Vi0DCt+dRBqeeVOifvlVdsOs56QzDIyfNc1tc+P9vJ77PZbAPutUZcm20rkpd1sSbUIXG8Jr7272Hq/5LF2b/Pw/t/gscP/2V+6Cu/xhfe+ofge1kDcmDv7pX+OSgFOgigez/ruqKbkYj2vet6x2mK9WIyES5pjbUNSRYTxZEY7bRID5yzKCXXe6w1VV3grCOKtSTTBF3MpdMoz6bY7A9lfVez76aJ5s91jQfPaDhga2trUwRLV10O+VmWida5bbtmpYxyjZdMatPKv0E9NQ32+22/HwdKoZw4erUK0EqD6piuyhNEASqIcEakQXGc4p3s12k64Nq1AaenZ5RVjQ40o9GI/f19Qh3SmqaLnCxJ0gjvJ6L/9Qbv7R9aY/6ox2fX2GUpPoDGGRyeqpHC49rBAQcHB5SlaIM+eP99FDDMBlIAhCF/4k/8ALv7+3zrW98iSVKurhZ4J6ORMFTk6xWjwYDxYIA1hiLPKfMCnCWQib6MPXVIqEJCHTG/nNP6itnuFj5SLNYLHh094eT4AuXOWC9rHh0fEWspbpqmpW4sTW2pKoNpxTXmfcVyucJ7z6uvvsorr7zC3bt3aY0hIKCpWpZ2KRuJojMLSEC2bB4i4g2CjLIsNwWg8wrlhFVEl0nqOjSD1nrTTpeLNWQ4HHUQ5c5xHIXg5etVd5KKAiCIsHULXuGsnHq884Q6wjSltOR9QFMLj8dGaiOa7R1QussstMZQNw11JJFGdV0TpQltRwD31mG8oak7lhvQVDVJEjMapCRxRNqdapNU8jCVssSR7nQqmqiRCzqJY1ov3Z7Z9hZeKaqmom5rmraC7nPGwnQ8I0lClosrhlmKDgJGuzP2D69xdHosY6Fusy3LEhyESP6iHo6wnRaj12Yo5cmylCSN8TjqpqKsBOSaJBEEnsPrB/gA7j/4hFWxZjDKmG2NaZsWU9UEobTcF4sFdZVLq380Qoeaoi5oajkgiFOwxrU1gQrI4pAsGct7kQg4++j4hDCKuBVn7O/eoG1kw50Ox+xOZyxXc9ZX55RFjlZCK/dYwmTCajmnatf80A9/iS9/8Yu8+/47PHr0iLt3P8ZbeO3lz3N1LnqqV+68xPbOLsdPHlOW4gjXYUZRLtjdPmA6mbC1vYPWId/+vd9D/QUpjHbmWwxMhFaOOABlWryxWEU3miwZT2cMZzM++coj6llNeBEy+8cTzi+O4cJLlzGOiMOQa9f2eeO119jennD85AnOwu3bz7O/f8DW3mvoAE5OjvnaV3+Xj+/dxTrH1tY2X/nKV3jzB36QdDjm7GrJxXzBo8fH3T3l8Ri5bpToc+gdfl5O+01dYZ0h0J1b1snmJb56gVQba0h0RBJHNE3BrRefYzSdgg5wxlEWNW1t6N2UnyV54llHeV3XrK7maA9xIB0OwhCnQHp0Ck8AXsZXsoi3OJrN6d9pRRBHGG+pmjU2CHG02NyS7hwwGmSYWsZAcaTRKmBruktVNtTaUJUGTIhxAabSaDUAJ1m9KlC0pqY1FV5booFGrRXXD69zdiFA1CAIaWrHfHlFnTfk64pQRXgnG5Oxhq9+46vMpmPKYk0aSlJIZdqNeSUINFkWEScDJtOAYt0IvNUaCW9vG4bZkCRJyKZDrr9wm72q4d6Hd3n/vQ8o1iusbRmOhgyyhDB2NGZNZSLev/cO6+WK8WBE4Ssq36DrHB2EOGN46cWXWK0KPIqmsRwebnF2do7W4aZ4cc4QRjGtrcnGMcNpKqHrgUWHinopXUXroW4KVODZ2/97HJ/8JOeXP8ebr/+3xPExWmnmizlHR8fcvHkLrz0X51dUHR4ERFuptILA0diK1tZynQYRYaBBB0Q6EseltdjGduB3NlQKYy1quSTLMlnDq84R6kVXaq0lCgLiKMPjCdMH7I7/A85O/yrn57/A17/2i3hreePzvw5OgNOdwmdjkrPOydgzjHG+lWaLMZLWiO26eZ5IRQzCBO0c2nu0VzgLtnHEo5AklI6axqE6jl/gAgbZiL29fcIw4KMPPhDTYaIJI01TG0INXtlncFhSCKTpANO2hG13KFMwG02o20YmLLEcdKRxIVnYQaBpmlKuNWOxraPt9rK6LcWtrqSQ1CqQvOVQdNEeKdpUILWHVsFTXa/2BN7ijcc3HuXlsw21xjhxr0+HEzwB52dXnJ2cMZpsE0UJ+JJAaYbpkIMdzTAecH51Tl7ktK3czysKkiQWjepnWHv6x2cu7KIOoOoRrVLTNGRJSlGW/O5Xv4ozjiiMqJpOXB0oojSRC81a7t69y/b2NpeXkqOpkLHWcDjciB8VMrqw5qlBQIGcE738fRBoCVUeBTw6vg9agfKsi5y8LBiOBswm+5yeXLGzWNMUJToIiaIEa1zH/5GOYBjFJMkArQNu336Or3zlR3n8+Ijf/M3fwjuJOAK68HQJNO9Hhn3bWhhdMorN83wT8BzoqDvxN4RhSFVVVFX1tAsH9Iyavq0ueYAFravxynf8uk7/5jpMQ2MxrZxCTCDZqN45kijFtA4dRAwGE4bD8QZj0nMC4zgGK6RrHQQCme40D95aGRF2LeceBdB31MbjsSziTUMYKNbLJXkAo9Ggi7mR90bhMa2Rrh3NZqxhWgMeOfkHmtYYmralqiry9Yq2aYizpIssC0iSlMlkynQ8ZLVckqYZy/Wah48eU5YVSZJ2719IGGgiL8iVPjqn181470UH2GXl9kDipuMkKqWoqor5/JKyEbjzcrmgagqmsxk6UJTdawuUOKzCRHR5i8WcG4fX5KTdnSqttWCfhkpHUSiuXgVFXnF8csJisWQ8nrDu3LE727sEqjsMWcf88oq6rEit4S+jGKBI8oIBnrOzcwajlJ88XbP9W19n0cxJZkOs8xzsXyMLM37hp/8ULzx3B9M2zOdLzi+uWKyksAt0SJTE7OzscP3GdYbjCd/+9neYD+ac/PlLlFf8yY9+ile3P8fcXWLaBtNKeLb1nqZtmQRj0iSh9jWf/Pn7+NCz/w/2UU8EsSMFkKUqDYU1FMWatz7/BmmW8uH9+4zHE6bTCbOdHYajEY8+uce9T+7z9jvv8sGHHxPHKWVVy6+yYmvvGofJkKqxCExVjBm9+Fv195RXHSpEXPVlnlM2lZRO3ZgLT6fLk04uXsZegh1QjMYj2XQRR2xZCUqjl+79sx7P6uz+oGTDGDlEtnUjWduhxnhLY1vaLs3C2hbnDdaLe3iQpoQ6xtESOt3hpVpUDXVbEYYJq7amKEpGyXDT1Y87VIUgWkT+0tZmk59sLTSNQ6kQrWO8V3jlaF3LYDJERxqrPLPtbbLhgF21S1kUFEXJ6nLFIB5SlpWMFpUnCsDYltPzFSpUtD4ligOauqKoa5ouy1Z0Vo4gsKRpyvbWiGFmuLy4oq6jrtA2zLZ2BJWyt4/1jvOLCz7/+c9zcnyycUTatsWnIXmxIs5iVvmS9z54j1BrLnREnRfdUF7SkKazGZPJhNOzC3QYcXBwQBzFG7lPr9UVCHHBeDrk4GAfY1s8nul0IhppJF2iXx+vri4J9W+QJJ9Q13c4Ov3TvPy5/4q6aViu1zRdUk3TtsJiqxvCSNIiwlBYbL3cyHfsRWdkLJdECV5BU7cbg1gYSqLQswgSY4S5uVwuJb7K9hMTv+lo9Tq8uqyo6znR4X9IHL/D8fH/im98889w75M3+Okf/z+ztX0FwdPc5Z4i4BWC5wkCPA1NY6jbliASB21bt2AhjRMZp1e1wOdd51ZVSjR9qj9XSeSjwqEVaK0YDBKuHe6Sr3O0gmKdc1HNxWGsZUolWrtnsssVNE1L1VSkWcadF+5Ias9HH6F1wOXlBRPnGY1Gm667rM9SkI1HIy7KSnBXg6G4jnVIFGvSOMZ6w2wy7YIDGsq6lslLoBikKVEYUdd1R8qQpokzjtZ5kkij0RjbrQdekCdar1mv1sTJiCDojIOtYbVcowPNbDrDOKFvVGUlHe+2pUkS4iT8Y00NPnNhVxQFzgrvaTabMb+6IkkSqrLi+OiY8WQmtH7AB4ratORFQRRF3PvkE4y1wjA6O2O9XnUFyKBj6kgXqW+zhqEmTTPCMKRt+0VVXtRkPOKtt97i1p19vvat3+H46pSqrrh+uM1oNMYbRZVbrl8/ZHm14LjI0aHmYO8ay6slDx88ItQx3kFZVHgLe3t7jIYTlosV77/3IfOrJcPhiCwNcD4mTRO8l5HKxgYfglKd0zJJmEwmG01aURQYKwtr7yTuizqQU3zU8YwEyuw3/65pNNbJ2FkrcYzWZY21UjSUZUVtuhsHaLtxUls1WGNBC61cxtt9O/1pdE1rzcb11Nvbex1dWVVESYzSgXSbsqddyDiOsUY0DmEYdouKuIGDQHVhzVKMSWEo4emlr6Xr5MX5LDo1iZDxztPWchEHKpAcWaAoSqqqwJiGtq6pq5LRdMTDBw85Pj6mKIqNjd5aR+AD2ARj+81r2wA3jd0IostSCmzTObVETyhmjCiV8fL1awcYa4i0Zr6UEPCsa/tHOmQyGpHFkkdompatyZRcy2ih7cwQ1gr53jQNNoqJ0xTvpHgeZhneWS4vzri4uODgYI+9/T28Fy1jGmiuhxH/+qrgLxcFf8gLdbWG/+b/g/l//V3+Z8OUy5v7/K0/98NMJjN2pjvcfu4WV/M5R0+OOpRKwDovSNOMyWQm3WZn2d7e5uHjx6zXS07/zJzyWs1z5S3+9OLnaSYr7n14l7oWkC/Oo/trJpaF/urwkvKgIGgCtr+/g20tqnuyGwOUaXHWMBqJkNkaw86umD/CQOMclGUNPiCKMjyaurWwzvnGN7/NYLJD2Tq2dw8wpqXuuItsloSn+ZQ4EVk7FIHyNLbFVBVREuEaI5u9DjFdxnJVSJ5nGEBbtyjv2dvekdEKUqwXRdGNffsf2Pf7/uhHX+h9iuRvLE55lDNEaYztDrx4K0LsztQx2x4znozQMXjVcnx+RBAoTNPS1iVetYTjIet8xfJqwXK4kA24ExgpwBrpsherNctVhXMabwVyi2/RShOFkcgQIsGvDEYDzi8vUKlnsjXh6mqOaRrSKGGeX8l6laXkyzXbOzPWqzWtbQgRJMv29ozJZEi+WlLXEkAfImkCbWupqhJF0THLHLFOOjyROA69E/d8WZa0xnH347toL4euKAyFf2Ztl0YUkYYpu7u7HJ+fYb3D1AYTyOuOg5DWGNIw4dbtWzx6/IjTs1MGfYe9lOKv1zrHccx4MpbCbjwhy4acn58TxwlpOiDP15LX22m8gE5uUjIafZO6foGT09e583xKoB3GiNY5jGLapqXtul+Be8osXK/XcljujRpOulkBAYNBinGWRSfxkPVMo8NgM8oNw3Dz9fJ8eoF/b3yT97ZtDePRuLvXxAi0vfU32d35Pnfv/fucnr7MV7/+V/iTf/I/J+qKxrabhvS/5FCrOtas9OuiUBIz8rzcNA3kfsk7woGM34NnOt1ibgC6FCPnLE1bMlSiJaurAh0psiwhjDR9Xnr/HJTSWCtr5NXVlfAxEULH9vY2Fs8qzymqknxRMRhNNq5WYJPXDZBlGTvb24ShJkCyy4Wr59jZ3eHy6oK2G9Fba8nzXDAz3jMcjhh3yJmLi4tPmRBN2+IrA4HgpeI0FpMEouEfjcYMh0OKoiRJEmpbbQ6cdSUcx/39fbz3rFZLvG+74j/aSNU+y+Ozd+yiCK9lHnz92iHvv/cecRzLhj7I8EoJF0dBXpXoIGC5WggzB8doNOby8pL1er0R5w+HQwaDAd4LiK9HayyXK/L1uitORNjZL6i7u7scHOxRNxU3bh6SzSSTbmtrQp6XnD654OxkwfXD5wR6GwREUUBrGsqywJhWQsWNIwkHNE3L0dEJ5+eXfPWr39horwaDEt1ldcoJq+1unE4n4EGpp5TuXhTaayyCVlrAcecM7R1BG2ZSGDKZTAgCObEbY2ibPkhZwIs6CME76R5a+d07iT7xSvAIvYauLGts0xKkmqIoN/qRqqooy5Isy4jjmKossa15Rp8gN2/TCF4lttlmRGy96JGqphYre92KKcF7bH9KcY4wjGTh6bApslgJa64qG4mCGY1Z1y2BDhlmQ9qmBSenUts6snRAEocoHJPJiLouWK+WbM22uLx0KKXJi1JSJown1KL7c7bGWRGkN42h7nAHmy6KNHQ/JeDtXY79oti0DZPJCB/A4yePyLKM6WTMOs9J4xhnpOOnA4XWkZw68WzNpt31pJh0i2xVlDjrSKKY9XKFK2vCQIvwWsF4kKHFEUReFsRRzHZdEmpFtlrw0/MrDuqaL11cMvKOZjLGdbb8oMuWDCMRJVMWDBcrBu/c4y8ay1dffBmAb377m3zw9ge8++77jMZjwki67Uldc34lTrIf+PIXMKbl+9//Hj5SFD8uxdKb5Ws8l97kI/U+5xfnbNzqzqNRpJGAlZum4erGnHZiiFYR6fczlma+qXk2i5yzbG1J12QwGPDG598U3WCoaRtDGFp2tvdYLtbs7x2ys3eN+XxJUTZ893tv87lX3uD2nReJo5CmEuZjXhSf2sz6/0b8J6KBUZJKgTVor/HW0DQtOk1R3YjeYdEBDOKApjHEUcr1a4dSHBq30eMZZ7uXpTa//rCZwm9eNzzFZUi3Rk72SisCwLYe5VoC3xW/9K5z5LQfeAIc1osUxdYN9driVUM2CBnGGU1REjhFtSrQStNWDb6ByjeEKiHwAUmcEGtL1Vhca0A7lJXvmcaaNI1AOapaxj2GAq0UTVlRNxXrqyvmRmQNw8GIm4fXWF3NOdjdoi7WeBzjcYq1hkEaMb84Z71eyZrWKpzrnIqtRfuAOEqpXUNV5aAc08mE0dY2oDqJQ8PWbIfRcMzO1jbKwWQ8lkNFEAjmojNH7e3tMV+vcGcnKK9prRR1bdVStTURmoOdA7a2tplfLbl27YCL+ZzxeMrp8TGL+ZzGtKRZRqMDdtJdnDMMRwP6bOjDw0PwcLIu6GO3lFJdesyApqrZ3/sbLBa/wOXV5zk9/wFms9+iMRalNa21ZMMRg+GIq2b+KQNS00j6yXQ6fQYvItdxkiaEzjFfzDc68x5DEkWRGLSShDRNN4fcHtHxbPe4aWTqYsZjkjiWRkxViVTEvc3tW/8O9z75j3n05A2+9/1f5Ae//Fu0bbPpbvWg5KAzzIm2FcreJf7MAScIRG60YTYG/fulwQfQyw68x1k2Gsc8X7O7M2M8HmJMQ1WUxLEcQpraPnUJhxGDbMje3h7Hx8cEgXwOBwcHvPLKK0y2Zjx89BDjLGEUUVb15l7sHdB9HSPrvkeHAWmW0laVII6cxXvVadAHXM3nmLYVc4QSM5VpG0YjKRDTNOXatWs8fvyYumNgGtuCDzCNkzSSSIDtKglIooy2MayWK1DCurWhdG6DjrUaak3deobDYVfEdlOzVm32q8/y+MyF3f7+PuvValO4DDpmXVWWbG/vUNaGMAoJtYjiV5WI+kPtqKqaGzduYq3l8nIOSMegP9XKphV0bkq9aW2KWLs7HXlpyWotNu/Tywd8/PGHtIHoLuIkJFADklsJtvHcv39PhMIKkiRluZiD8kymE2wrC/vObJu6EGdX7wSaTCabjkDbNqhuvi+OHsUmxkVJoLe1lpOTk00iwYZ559jo2tpu5BgEMnbtC7w+Ms05acmv83V3c8sv2zraxlAVcnIIAjEwSL6qlqiZMBIHb9vS6IYwiomSbHOKyjtX5mg0kqIg0BJ/liQUeY6xhsFgSNw0lHXFcNw5Po0hShPysqAsS4IuyiVS8rwli09u7K2tLfJ81XUoLAJ1LbuuWoC1jvV6Tdl6hqMxaZJ2iSISIeO6jFetpYDWnb6kN9BEUSJat9aIYLUrjvvCMo1SaMUplmXibFZd8SQ3Rb1hKG1s60pGvlEUkQ2z7u8d29MZ4MV8YVviUKMGmYiMrcW0lqYCPJv3ve4+2+3pjDgMCYOAxhiGRcEvrHNeurwgOxl0WkcR9lvnqJuGkQr46fsfoREMTuKeZjh+8LkX+Ie//M+xMJ6bt57j5q1b3HruJndevMNifs7V97/F9v/t/8nO3/41rj86ZTsdoQdD9BCenDzm8dFDDtV10jRj72CH+WJBlMTcufkc+we7/Ppv/CMeP3lM9JUBpy9foYBfOv1TFEXBfDGnrirR5WnpFjilCDtDzWq9oh6IaywsQ1SH8ejdcf2oKFDi3h4MJFMxTRKW8yX3H9zn9q3bBDqiLFqSeMjLL79BUVvee+99Hj5+zMd3P+H/8n/9f/D2ux/xF/7CX2RdV3L999zEZ4qrXj8aKNF3OWMIvCdLQpQzhN7iMEQYcC1xGBOGCmMaAlOjHcQ6JO3QRHTQ6yRJRCDedWGc+3QBJw+5fp/9o/7ve6OMdZK1HeoQbxqUbwm8AW+x3uGNAaPRWLANKId3NUkAdWsw64ZAW8Iwg6olI2IYJNBaGd+sc2pXoVVMqAStoFpLRIBTnto5AmvBtgTWoL0l1h7f1NiqJAs149ke1/evsc5XUDV4ndK2NdZ7trIR1XJJqgOwLb6tSKOQvemQs9MzqstLri7OCKMQFWpM5bFG1qtQh0SBRhlLgiadTLm6OCMNZ2gsOpQuRRgnvPi5z3WdfYXycHJ8wuOHjzbcTK0Crl8/ZF3kzBcLQSeFmjiJaasa01iUDdBBhNK66/6XFFWBVwFNW7Ozu8uHH33A6ekZu3s7mLahaUQPlaYpg2zI9tYOe3sHPLh/X/h1TtZTFQboMEYpTTYcMp2uefAgJy+2uLq6w3j8mx2XE5arNaPRlNnWDkVRY1u5X5pG5Dn9AbOPEJSs6558IDFStptg9RKgPgmhP5gvFgvqupFJhVObYstYh9ZQlXKo6LEy/c+VveoD9vf+E+4/+C/45rf+DLdv3WNn74POVNYbBQLCzljX6yTnq1XXHf4087Rt2w3EP1CyXxtj6YkO3vV4HNFdG+uIooiLywvSuMs6r2tGoxFZtqYsFkJ5sI7ZbEAYaUajAS+//BK7u7vMZjOc99x/+ICHTx5Ttw1105Bk6dP0jm6N6Pd055x8nTF4a6iqgrgzU0RhQBRJUTkc7VIWOetOhpMmCaEOaGvbHWzl+w2HQw4ODiiKgrMziY0MowDrLU3V4gZeplMpaBWiEOdwFMUyag7EPb1argi18E1lSuAYDDLhIgYB5o+ROgF/jMIO7ymKgpOTE470k258KuO6G9dvcHR8SrVeC6trMGQ6GmOaVk5ZXlq0psOHJElMoDTT6VTC6yPJWwOp9OWA4DsdmsSOeOfASnvy7OyUZT4nz1eQKOJWQn51GLG9s4VtYb2uyW7dICTi9q3btI3h3kf3sMbzyd37tK3ttF0WY5rNiahpmq5AGLBer3BeQIV17buxY7DROAwGkjnYM8d6Rk/bttguH7MvCvtx0XAompios9xLm/apjRvl+3tbCoDG0VYNpjYEgRSLrbO0yhIgF1ekRTDtrccHfsMF7DUcz7b7BWcSyO+de24wGuJcRmaHxFm2uamHw6E859GI4XBIlZdoJ3BTjzhJDw72mU6nLBaTbmQNVVXStqbTM0h7uyhLnJcFPo1TnLEyhbJyovfdeLdtW9brnKpqaOqWfF0Kh8/U5EVJXVe0rRWTSSvCbNsUaK8JtZwojbGdhkGKO4kWesrqe+aiBqAoc66uLgmUYjaZ4IyhNQ1xN75QCACULkGiqWpGwyFVXXdxTTHeOZq6IQ4lI/JGWfBvP3nCC037h0epf8TDxjHr/X3ygwMefOlL/D0aPvj4Y65dv8XP/9BXGI0mrJuK7917yPzqhPvnZ2STjF8JAnTdsvObX+Xkl3+eONIQOGbbI1pTsr5aorRlPBmgw5DPf+E1Tk5OWCzm3L59i+ylKV/TH6JQRIRcza9o6ppsMJARfqdttShaJ2OJ0jac/dIlADe+ehO9ehpF9Ic6acDNW7dwzvHue+/xra9/k+3tbW7euM1wssX56SXWKvb2Dvkzf/YOO3vf4Lf+yT+hdQHWeR48eMjZ2Rmq3wy7jaQv3qEbf9oOQYREiI2HGWVpMG3NaDTm+sEBy+WS+/fvY5q6Y3RZjPJYp2mDlMlo3EG1peORl0XPtuZpx25z6Tz943/mkuk3nQnTtGgNLQatPShLoBwBrrs+PFvDAUkAvq07J3JNgqcpK6xrMEgSRJutGSQZ4Wgb7y2rYgW1wRtDY1ssLfFQ06wKXG0JXUAYRqSBp8GilSG0NZFpyIgYBAEDnRK0jsXjE5I0hnVNZgOm2RjnHTvDEXc/viud2rZFtQ0BhpO7d1kvl6RJygBPYGQUGbmQJAzxQScnqUqG4wlZKprc6e3rVFVJHA548aU7WBVy8/Zz7OzuU+Y5cRhxenbCN37361R50cVNyZ4wGo04fnDK6dkp6XjIZDrFOUcVlpjWosOIJIi4uJxzen4usqEsZbI1I4gCnr99h69+/ausi5x9vc+Nw1sY03J8fMTNW7d58YUXee+991ivctbrgqKoSJIE5xEeZLvoIglLXBKwtf118uIm5xdf5PB63IXCizvVeUjTjCiOcabdFP/GGKpKANlJIpmkddWgtSKKNA7J3VZORg7iJI1Ju1ixPpO6L1j6Ze3p7/1hI8C5jp3Y7QP9/Slf+zV2tv4TLq7+XX79N/8yP/3T/xlxPBfdKRvEnRSVUYTzaiPHCaMQynrDXpQOvTyB/h7qC7sgELU8z5BGi7wkTa+TZQn5aslysWC1zEkix/XrNzk9vRSnaNtwcHDA7du32d3dY2dnl/PzS+7d/4QHDx+yXK1Is5QojmlMS0JKnCabBkBfcPZu2B7TNdzfZz6/ZDIaUZY5WoekmXA9Qy0d7yLPCZCaBS+g9Lap0TrihRdewDnH1tYWy6VE1k0mE1b5Em88YRjTNoa2XZEmY4JQESUa3zWI8KLZ3tnZIV8XMtqu8o3MKI4TBoOM3d1dnDf/43Tszs7PNxdiFEXs7+2RJaIzevTwIcoHtFWNbQzD8ZjDa9c4Pz0T4WFRcnJyShTJiWEymXDj+i0ODg42XR0BByqauqGuKuqmFtYL0q3rL66yLHn7nbeJB5bJZEw8jomSiLLIqcqWKFixWlQMBinKh+zO9phOpnzvu9/n8vKKlz73MkdPjgkCQxyErJZLjJUP3RmD8xLB5bF/aHPyvh//CMokisTlJO1tsUn3ESTLVU7TtJubqL95h8MhgRJMynq9RtASvTtLsi2dESGtD+n0GhCGMVEoTD7tnn7fHivQJaSKU7QocN0IrW3bjW1ba03dyKlRobriM9p0GqMkJq8r8qIQPUKHgkiSBA+UVQmtEwOBFjFv07RdLFn8jIat7kwXFq0jkjjFN4aqkjGz7060vtNERVGMDkIxQmjNZDLB2ZYkCckGgy6nWEasZVlt3EH9KNk6B07hrIwQmqYlDB1BIOTw3pKvlBwa3DNdsbZtGI4mzGYzms484UwLViCqchjxIsAPFD4Qir5SHrxFK4GJNq3ZmFLquuLPnl/wuabFhCEn06ksopvi8il53Y5HlH/5r+CCADedcfHaa7TWUbUtrxaXPPz132A6mRFFKXle0jrDr/7a32e1POf84iGT0Yg7f/4X+cG/+w957W/8Xb42iXnfrLm6PGM0ThlNJiRpxvvvf8B4mHFw7RphCN/73nfJBilb12e885OPAPix/Ae5UR1w0h5tYM6j0ZCmbvE94sDIIrl8I6e52RI0Ade/fYPa1USRBKXTvcceSOKYH/uxH2c6mfDeu+/y0YcfcnJywmg0ocgLym7zbBqD9wE377zIL/3F23zuldf4P/yn/yn37t3fgGTzqiIvcox9qnkTHfXT9aGPsYvDkB/+oa8wmwyYzy8IvOPzr75CXZZ89NFHPHr4iLzIubi4YDFfEEYDnr99m73dXbSSgtJ0nXbBF3Xr0CYv75nF0bPpXj97XfbjWNXjj7zaZGWGGjoDJB4vIeCzGbQt3htaW0pOKw7qBlxLlmlir6gXOfE4ZBDFGNeyqFtigi6WSYTbqrVQt4QeQi3MuHI5J7CGURwxGyaMk4jQOvaGI6IYTs4esbxYCWe0aZiMxwTZANNUuKzilefu8MmD+6wXC7ZGQwZpjGtassGQtq5pjRWNtfIEOpHNNQ5parn2XVkxmW2zWi05uL7LfDHn+q3rJEnIfF3QNAWXV+cs50syHXN+ckpbN4yGIznYO4kBfPzkCGMNRVVy+3MvsLWzzb2P724O4d4Lg3Sdr1kXOet8jcXiNbz08ivoMGQ0HrGzu8toPObWzVs8fPSA4WjIm2++SV3VlGW5yZPtR6B9ZN1oPOb1119HAY8ePGQ6/S6PH/9zLJfPcXGR0jStOLcRM08UJwyHI7xpsZ3GuTcO9pq9KI6Jo2STiBB2mdGYp2t9H4XWpwb1mj9rO2NaN9WS0S1IQL3AtvuCpt+fZJ0U4f90/N+iteb0/K/z67/+v+QnfuJ/z/aOxLI95bZJg8A2pmtqDDYGgn4/Ed11z7yUA33bGen6JkcYRmituj3HY1pPMIg42D8EF/Bx+QmRHnSyI48LJDt2Nptw69Ytvv3t3+P8/JyzszOqRrSGBIrWGTI/oG1lvJ4m6abR0ncR+2lN27ZURc5wkHWhBRPiOMSZlijSDIYZZS5A+CgOcd4QRyFFvmIwGHJ+cc5oNN1cF6enp1grmuXhcMijJ3B5eU4URHgLRVkyjxaMxlNUUGKVJ80yFIJNGQ6HXQSdOJPDMOxQLZ2eMYrY2z/c8PU+y+MzF3bnZ8fS1Qo0oR6wv7fHrRs3+d53v8fHH3zM87efIwpDlHeEOkArKMsu7qSjL3skimYwyBgMs66LIhdgHKcyMq0LGmNk41fiqAqUIggDkjRga2eLdJASxDWZGqBCyYG9urji5Pic6WiLxWVO03iawrKe59j2Y9575z2UD9jZ3mE4HOAzj6kddVOSJBGz2YwkTZlfXT29MLV7euNFXYpCKMHSzpuu6BJB6mq12lzk1jrWeSGankDSFvpf63wto61ItIfWyziyNS2BAus7e7v13c+kgzkHBOppJJhCNk+c8IF6mHKWDVBRtNH09cVnn3NqnSVJk67oEwdjksRdhquMbQJNpy+UoqY1Nda2XcSZo25qeo7Y5eXlRtwaRRF5r/mwvjs96m4TVNgO3BlGIVVZdtoHcVMb0+LyWtI4RgOSUBPHKVEkiSGOmDAKscbTtI2cGrUIdgk8IQHDwZAwiri6uuoWy0hcZQ7apndD951EWayqusZ0zysJM1zb0piWum3wShEGAlNtjSyecRKThFp4R0mKbaW717YNjXVkWSYFdncYWA6H/Gc/9sPcX62oOrinCqWIzaZThrMpt+OI6WTGeDxhsFzSNlYMK6OI6dYu6XDEb3/1q4RhRBCCcZL8Mhg+TzYc8Pgn7/Dmb3+dwdkFL779Ie+/fo2dgy2iMODFl15iuVzx++/WaB9weH2fDz96j0A7dnZnRK/GfONLbwPwrxz/ZXbdjHW6YDadEUcJ4/GUNTmNsVR1TVU3tM5RfqHBjh2DkyHDByNym39KC9QfZt54/XV++Zd/mQ8++JDvfvc72FrE3HVZcXp6Rp5X1LUhSwcs12sePT7i4Po1ptMZL7/0Cqdn54zGY5QKuLy8pCxKCQYXjUa3+XSLlEIkHtYwGo8YJDG7W1vEgeeDd9/mtx7c59rBHm+9/io//1M/xmA45O4nD/jd3/06g8kun/8TP8LB3i7emg5mLPeXhz/kl/D9Hz5b5/lOzylPBLynLSs0iiSKca4lIBCNX6DIwpAsk2toPB6zPcww1rCzs89glPDOO99ltV4yGw45vLbPZDpk1GnAZrMtBsMReb5klozAB2TJkLb1rBcl5+dXUDtG4zHDwYjlfE24EzGdzri2v8/h4TWyLGO5vOK1525z6/YB776Xcn5+JhpdY4nikKIouL5/jZ/52Z/h1u1b/L3/799jPp/zxhuvMUwTTC0onMV8QVlWRFnKqiw4my+5mM9ZLJY436K0x5iSy9NHoBRnxxXGWS5Pj2jrhnXZcB4n+CCkyCuavKQuazwOi8V4Q5qlhM6yXi95/uUXSEfSNX/8+Ak60ASRQjtNgKapalpjWOc549mMy8sLtvf2ONg/4Dvf/i7GWrJBhnOWy6tLQLG3s8f8as7pyamYycqKq6s5tjMh+Fb2sixNeP+99xgPhyyXC6L4HxKG/xpte43F4qdIkrc3Rb33glTa2d1GK89yKWk3s9kWo9EYHQrqSmuJkXKdxi4IAgaDjLJ66rjXgeq61WqDzYrjhLYrtp7ChoWPp7WMFvuoPRkppkIoQILuBUpvGQ7/Kw6jiKOjf5vf/u1/k5//hf+Cra0ztA4Bj7dyA9hnNOX9/+soxOEoq2LTkLFeY6zHBw6CSLAounNtG0sYioGxKBrOz++zsz0jSwdsbe9TlTVxlm0O4VL4xHzrW9/ivXffkxGvtZvbT0a+EkHWUyB0EAp0HE/VNjglBygd6k47W23kNXjL/t4u0/EezksHrlguMXVD1E1tcJ6To2Pu3LnD+dk5s9lOl+wkMOKykyyB6ELzfEXd1gg6XQurtDvMVrYhUNJsaKqa7dk2N64fsloumS8MSRwxHGQSqYrk9ebrNUX+P4Ir9sUXbmCMZTVfEXhLvpzzoG25ODsTon+WMQ8DicGwNeeLM1QsHRlTy8VTtQ2xjjg/O2K9WvLlL30Fb0ChUSoi0DGoCusUaI01MvqQIGYnxPVUc7G4oLYrjCuJMonJKYuaxXyN9hlZNsTaitPTI+q1ZbFYUKzWTCczrq4u2Nvb5eL8kpPLM5q2JkkydBQSJwlRkkqHwkl8l5x4PE1jOuFliHMNYRAIPiLQjEYTlJICrHdkxlFMqOV0pIKAsqklbkV2fVrlsIHHBVJc9OJvrRRYj20tbWtJEuk6eecJQymW2rpBKT7Vyu91Dnkljtx+RKy67uDTXNinN733AT1yBbqIo8ARRqMum9DjXNu5akOyZEakOmp4NwYQ3YoYNZyxVHXb6SO7gi4IJF/WSWd2PJmQDTIWyxOMbSiKNa2pMW1N4C3KGxa+oWlLPDAYTSibBuf7GLRSTrSh2jgvAwUoR21kZNvaRowjTYXrnGZBEKODWPSRXfcpCEQ7ogNFuVqjnSfwjgiIswzb5TdqJ4WasIwU3llCHMoZgkAWe62lcA+8I0Tx/guf408eHbG9WPCv/eZ/x9892OefrpZ8HGnGW1uEaUJEy8AanNb8yA8/xxtvvcXdu/f41ne/zWy2DQvN1sFNdDrg0fEJe3vbXJ6cMpqmbG9NGA1Srq4uKErJzVVAEHqyaUrdFFy7cci8mPPu++/ilOHll1/k4uKEi4tjbj5/QBSFHGfHeOWZuBE39S6hcexNt7h6ckWgNCCwzUCHtLaltopm6rn4n67Awa1fu4U9bSmqHJxDq1A0ktby5htv8Nf+2l/j4OCAb3zta7StYTIaUecVx8fH3Vgn4vOffwuPYl2UfPyNr2GsEYB4VfL883eIkgSvNI1xrFf5pnMuHX2H34xjBWWiA8Xrr73G7vY2l6enzC/PGCcpYRhwefyIby9O2NrZ4q0f+DLP377NaLyH0zE6ScS4ECpCpzpMihLZANIREY2d6+DH/mnB16koJC9XEThPpANeuH6Da7/8F9g92GO1uOLs9Ii6WJKlETtbY55/7jYfffQRW9MpN64fEoUBu7tbTCcjPn7zTVb5kq2DPXZ2doQ1hmi0xqMRcZIQhoq6qrq1YkgQhJjG8/HH93j44DH7B9dI4piLi0v2d3fZ39tjOBiSpZkgi5ZXbO9M2N2b8tz2mMVygbOwXOYslleEYcDrb36ez736KpdHR/zYa2+RpAlbsy1MK27NwWhIFMVYBO5b1RWlabn78BMePXrAcrXiaj5ntV5xdn7OaDwiG0YMBjNhGm7v8ODxCffefo/WB4xnM4IAdBhABOEgwoceQk8aJbS25eT0mHW+pnVSyNy6foMAzdnxCVVbyoHUGS6Xc4amZbkuGI+mfOfb3+GTTz5huZhj25bT4xOOHj3m+eee47kXX+TWjdsU65KAU7TSKK/I0gFpl+sMlmEiB8p8vSRfL3E2ZDy+5PLykLa9zvbOUMbMiSYIJJ80jjXD8Rjn5fNziHu7acVgkQ6Gks7BU7nPZDomSeOuyyuxlnGcYEyLMQ5vIYkSbGJxvurSdjxgQQUEgZdgeiXaxDiM0UoLNqZpaYOQFnHtKu8Zjf9bDlXA0ZN/i1/71X+DN9/6p3zpy78DoTQgQqWhGyP22BVjLXGWYLE0tsb4FjQ01uFwxIHuYkgFBu+t6OTxop8/O70iCBSndoliQVG3bO3sUJkalEcrcZNeXlzx5PETrOmmNdaJaVZLNzzOMkwjevt1UTAaTgjCkNZ7StPQeotTDo8lTSVWzANVXXFxZWibisMvf6lLFwmoqor9/X3iOOb8/JzVSli3ZVmxLuR+6/m3TdOS5yVV1XB2dk4ySNBxhA4jWZ10S16WmB7X4j22labPYn5F0O3VgfKEAcRpShpFaEW3xsFqlf8zDFv/fyjsbNtgjSHPxelo2pYkSiVg3gecnZ5RVw2hjri4uCAtEgikrZgkMYEWfYEzltnWhCwZUZUFcTLcnG5AMtbathX4L527TesOMoqcCkuoTIXSFoOhrltM60hTKerCIGI+XzOfz2kLz3Kx6JpGqtNxGc7Oz7maX6FDzfbOFqPxiMViwTpfb4T7phvNxXHcMd1E49C2DWkabzqOSsnpRU5doj+I46fFVGsMOpYcXPfMhxNozXA4YjgYinup6/CFgaZcF2gdbka41ggsUkZ6mixLP5Wx21vgVRBsHKu9G8h2mIB+Rm+t3XDeehOHMd2JOAk7Uvtw0/635umJsEdd9JFjvRC31/L13LqoC7Xv417kM3aMRyOiOJKxLp62FRPGYJDhbcs4GzMaJqyWSFpFHNFYSxiEG0ag0MkV1nbjUeeouteTJMkzWq+ehfj0pOOsdFidsQSRFLOjwZDV1SXWWCJA6YDJdNKx9mrapkYpjw77Ra3nKwnHUAeKKEvAK6IgJAgVx4d7fO2N1/nh33+bG6ucf211j78C3A8192rD/+mGaHQq4xmOx9x6/jZ3Pvciv/fd7/H8nTtYB/ce3uPHf+KnePDgIXEUkaUpz9++jQ5hb3eL3Z0ZDx4EFJcnG23LYrng9OyU7a0p1jo++OBDnPfs7e0xm8349je/RZIkzKYzStXw7Z/8GB/AL5z9KK+Ud1i5NcrDcrGg6cb2OtRURuLmrHeUb9Q0L7SoRjH+B6ONzudp8oNlZ3ubf/5X/nneevNNPnj/XZ48ecxiMSdfLDk7OiVNB7TGynhCK4q64uO7H6NiyXZMklgYXElK07m4BU9Rb8aZcks/Y1rozFXKGY6Pjzk7esTi8oy97S0O9rb43O3n2ZoOqao1cRxSVgXH771DXkNpYDzdFjG+6h1oSjq1z/6AP/Ihw33pIPgN5uGFO3eI4hCPxe5t8dYbLxMqxzCLyBKJENyZTJmOR+hAMZuOSZMQZ1tevH2b07NT8rLk4uiI0WhMksS4tuV0vhCh/2jEeDJheXXJu99/v4uWUpRFjXeeR5/c70bKNedHxxxNpxzsH3Dj+nWeu30LdiY411AuV2RhRLq1QxSnhLdi2rZmNB6iAsXV4yMuT89wdcM6L/n4nQ+4OF8QJwnbO9ukWUbVSJdsNBqxtb/DD7z2Bj/6pS8ThkFX3F2y6vRQXnuy4ZDFfM333/6A08ePuFrkDLd3yJdznnv+Ocma7iYiYRR2n7e4dFfLlRi7moa2tTRlxeuvvE6oQxbzhQj1nXSZl4sVk9GEg/0Dvve971FXNU+ePKZPqGmbhovhiB/9kR9luVzy4MGDzbrYd6GfEgQC8lyiora3t2nbmvF4xJ0XvsHf+dsvc3Hxpzm49jeZTD5hNBoSxREKTemk0wxPHbFt27Kzs7NJNnLYT5EF+pQEkdLI19Z1zXpdbJyUURSLia7bG3pddRAEhFG46Z7XTSNQ406OEiUJiXOSHmEaHJ6mqciy/5KbtyIePfzX+epX/xyPH7/KT/383yLLTnG9YeJT5jUxUuow2jBiJfHP4qyM5XUYSHHpAslG1xF9gkPbNMLJQyID0yRlZ2ebux9/DEiSR5ZlnJ2eUlXVp1y//XrTG5Wslci1i6urbkwqcZdPcSviSozDiOFg0KUKxXgvHbez01PG4xG729sUZcELd+50aJKCoijY29vDOtk7TduyWCyeauq7fbZpW1YXK2ZbM9mDnSXNMooOG7a9vS1mKaTT2DYtj5882eSRT8bj7vvJfj5IBiRpyuXVFWma/vesP59+fObCLs+XmNbivSQLJHFEXZUY0xAqCUb3iMPnauG5uLhktjUjzxccXD9E64Dlck7tLS+99ALehWglWiVxzWXIRt9uzAYbZpyWmBG8xRjRZ2kd0xpL09ScHl9gWoc10Axatvf3uLxY0hpDNhgJOLiq8HjyomC1vk+er9na2WK5WLG1s8VgMGSxWjLdmgGKq8tLAlwX6mw6J5OmbZuuuJCqf76Yd7Nx6diEOiSK+kBxWeSrusZ38WOum5l7j4wpjGU0HGKNuEkVCuNMFwlmsU6gsDoIhTulAqJIE8VSZAZak8QxTdvK/wcBUftUH9g0zUaT4b1Hmy4mphPSRpGEk4vrNpAoraua1WCw2bB7fk5Tt7jm2ZgkKSh9xxLrmUGiBenD0wOybIAONB6JjDGmxVgZAzdtLe5jr/G2IRjGXUGsiJMIC8SJGGzSNOnGJzLa11pGyqZtcV3B+bSI7HlTUpBWVdnd3I6qqojDYINO2Nqasp5fEDiHbw3rxaIzXtAR2bvxRze+tU60PGVZ0tQlURwRhrE4yEJNlGiuqpy/+eJz/O6tQ758dMoX33ufUVnxBWN58+ySwFj+44M9oi3JMx0MBqjOrey85/0PPmJvb5+mqRmPRuzubJMXS5SCy4tz2iZnuThjPr9kGEBfdKyWK6qyZIFoxHAwm8ywxnJxds7u7h4//MM/wle/8TUeBI958oIYIH7+/EcJJLyQ89MzPrn7MQoZ4TsPq7JEBTxjWpBH/5mHWjoB/YJ969ZN3nrzTRSefL2iyNfcu3uXkIDFlYztHh8dce3adarWcHF5xdtvv8vB9Wvceu62GDSqhrKsuPXcc9Kd6jSj4qTuMij9sw5VGXw45zg5PSUK4Oz4mI8+/JDRMOXBCzd4/ZUXUcoxHo/Y2t3j1nPPcbksuf/ohMFwSDoYSiKDFjld0wpU9r+/qPv0o9e6rlcrfue3/ymPHt6nKNcEyonOcX+HF567yf7eDmW+5vjxE5yxTCZDnn/uFov5Jffufkier6mbmrKpmc1m7O3tEYYhp2enPHr0mL29PW7cvo2xnrv3PuHRw8cdiFjcu6LLjbBdlFWWpuzt7vHWm2+yvLzi4ugJTblkuZzTmpqmrdne3mJne5ednT3W+ZJ7HZesyAuePHnMfL5gtV5R5jUXZ3PqpiWMIhnHebcphAejjOfv3OLw+iE3b1znyz/4J5jsXKMezbDW0NKSpBk37xywNZxydnzG2e99l9X8gpdff53XXnmJd955l/PTE05OT+W+8xbfWrwzqG6SYFpJ4bHWcnx0xCgdsrO9zdGTI/BIEkzdsn3rOeqqZndnl7ZuONg/4NHDhx2U3TEcDAlQfP3rX2exWKC1ZrlcfgpRIofXgDRNxDk+vwIcX/nhHyJNr/gHv7amLLdYrX6Qvb1PEP20JtQie1kul8I47Q7cZ2dnxHHMdDqlbmqWqyVhGLK7u7uRyvTczaapO7etE9B+I9dlmrpPpRj1zzOKItlvnGOxXFIXsv4lqXT9kjhmMp2iQ826yDd51VrDcPhf8dxzhtPTv8L9T17lN//Br/Cn/tx/2jVR6k4XHXXpFCLt0WEguvM0AksHzvcYU2OM6vLX6YTqbNz23jqsb1mbJUkSMx6O2N/d4+En9zc61TAMqerlRifeawt7U1OPtZJ/K9KjUGsi3eW9o7Bdg8JZR6g127MZdYc3ME2DDhQPHzyQPeaZcXU/FcuyjCzLaNuW+WLNar3aaLfH49GGdde2DVUtmnutNcv1iuFkzGAgVITWmM6cGGCsGH3a1tCaluVqTRRKIV/WkgbSF4FJkmwyjj/L4zP/y63ZFsYYRoMRWoWkyYCL+hJnLdOdXUbZmLKqWRdrBgOxACdpzPHJMYGS1mbdCOg1Gww4O7lkd3sfkA8uTQVT8WwIcRiKmF7I5QCesmxwFy2ty2lMRW0rVHDFjcObvPjCC2TxgLZ1rNcFEJANB4yqMWFHIa+KijCMKMqSKErweJq2RlVSSLgut3FrewvfGuLuAp7Npp07VjoG/XgzXOekabopbJ5a0v2mjeq8l5gwHeBsr8traVtDvlpTdbFgOtD40MvC56yELrfingrDGKegrgTBMl8tqKuK8WRCEGqKquxyBhXWPNXXPXuqeXoCZfM8e9u8wJUjsjahKHOiKO4CrFsGnSnEDjwBUugGpTihtA03ej5TNxvHVpKkG9u/LMBWGHajIU1T0XZ4AeesdN+cAWeZz+eslpe0TUU2HFIbS+s8Ya8VoStKdZ9bqtBeo7oCo3+Nzy5ym25jFH2qg9jrMqKuYE8DSeIIQ00YaFrb4J0niSPazo1JoGisIQ41baBkVGsVPtR4JQVA61oul3PsZMLF/j7/6No1/v5syvbpGf/Ghx8zaBreCDRfeOMNJs/f4dat21w7lOzZ49MT3n33PYqi5LU3XsWalrap+e53v0OaRsy2Rnz08Xvs7kzIkoDVaslL1/ZFOgBYPHEY8/k33iQMNU8eP6EuKkazbWbjKUmc8fb336bKK/xESiHlFZNsRJBLobS4mrOYz4nDGNM8dSETQBAG2FCua+UUk9EEfyYLYBhorPck8YA3XnudvZ0d1qsFF+dnJHGE8o7JdMLJyTGrfIVTirPLS9794EPqxrBcrfnok7tM33mb1WrF3t4B2WDI8y+8SA8yz/Mc26GS+i5K/1CBQhNglKIoSmKtmG3tyrXbNpTG8L333ufhgwfEUcje/gGf/8KXuHXnRQ4Or7G7v48OQ+hkFlXVdJFFPYeL7rD2RxR6nZFDKbnmq6rivffe5/LiBGNKvDMkYcj56RH3P/pgczheXF6igO3ZlN8JFW1dUpUFpjMDRXFEvrXm+NHRRtTfNA3rqyX3P3lM0bQ0dSvjrk6wb63HuwJcNx4OQ5qiwrWGD8KIj9//kK2tMfn6gvmVRF4lSczWbIvtnW0mkwl5nm8yNy8uLnj06BFFUYCHqqpZzgXB1NYNzgsWxTpL0zacn15wdnRKksYc7O9xeXTOjesHHdXghNKIwWswmjDa3uFLb73JW1/6EvOqomhb5pcXXF2cU1c51jSSVtO2hKGwOam1uFyFR8V4OKIsSm7sH5KFCUePjzqtq3wm08mU05MTJpMJ08mE69eucfT4MThPEicM0pT333uPhw8esrvXXTPPAIl740GchOR50ZlhZPr0la98mePjU3b3/gEPH/xlLi9+icPDv72ZMKhIkE+9Q7ovUHohf7/nLTsYeo/0qqqa1WrdsRCrjSHDmh72K9dkHCebg3q/+T+LNlmv15jOyGe9w3YFXpql8nl1KRtPJzwl2eC/Zme3onz473B5uc/8apvZ9JzWiBa7P0QTANpjOx3l/sEORV4yv1hIDqiyWGfwKFqjCLvUmH5tVoiJTmLRSq7fOKSpG46Pj4iiiGnneG57Pl53b+kwRBNsplBJnHTEB7rM30AK/taA61JLrJWn21ESsjShrkqSOJTGjFFEOuTRwwd4Z7m6umKxWJDnsscvl8vutSvW6yV9/uxoNNgY6i4vLynrAucdo9EI2322aZoSRRHr9ZowTsgyKWrDKCZFpk9FVRHgwTucd4RKPsvFYsHu3t4G8/RZHp+5sLv78T2c9VRFRRQmzCZbtI3kqY6HE24c3uQb3/omdduwt7fPeDwi0AFVXbNYrFgsr8iylDhKuf/JA5ra8/Ln3qAsjFDQ1VPNFNC1etWG7QIOHYRY42gbRWUMXgWEWrLw1quaR49OsLVE1ZRFhVKi6bp2eMj8as5sssWTR4+ZTSUrMNABKoCLywtGozHNBvRYYVojYNBKPeNScRvMh4w2ww1JvX/ufRs9DINNZp+1liiOGQyG5EUuLs7WgFcMBkMmkwlNVRN22JJ1vmQ4GqKQNAYdhiRJ1hWEposXkY0k0LKwqSAgSVO88yyKJcDmdNO/nyAnGRU85bn1hap018TpaZ3B1M3Gql71YEkg0F2wdVNvmEoOj+mydMNuLDCdTlFKGIVt21LkkscXJ2HXsZOFpiglfFsrD9ozHQ0IlSPPFdPZlMU6x5QVg0G22dB6Vp100QD8plP4B9v03gs/KUqj7r3wG11EoCQwfjjICDrN4jCOmYyGXRd5zGq1JE0T0jjajKbbusJZw3CQ0bQ1Ooq605yltZaybdC6hUCRJCnDwYD1bErmPO3de9Ra87tf+gF++s//EtPdXeFkec+Dhw85Oj7m8ZMnvPrqa3zyyV22trZ4+PAxH37wAUHguP3cDbZnU0KtWC7m7O/v8Py332a8WLMaJNz90qu8/LkXuHH9hiQ1FBXrcM3ezh7DwYjf/qe/g7OO/ef3+cd/6SN8BL98/DN8afE6xhuUA9e0tHXN8mpOUdbUxmG1xitHEAUs/wVhI86+OiV4FNA6Q5wkBA5CrRgNB8RRyO9951tcO9iX51FV3Lh+XUCzccSrr7/GcDQhSQdcXS1Z5yVBqPn4k0/Ii5zZbMb+wQHn5xdsb29vpAXP6kykY9cZKFAy/nEiiK6rkmVbMx2PODi8RRQFpIlncXVJYzVFXbPMH3FyuWbvvQ/Z3b/Jz/78bnfNyrhqvlxRNS32UxGN3TWnPl3YdWCdTTSZUqobm60JtCZSEdYqvILFYsVqLugEXA9O1SzLiqosBIGihbQfao33mqvLlfAxO/lEGIaURUuTt1jV53zKocWjCALZdAMn3ZUsEzB2WZT8/ve/T13VTLfGVM0KUJjWY40lThKm0wlJEmOt61yMetOBuHPneW5cvwFecXF6xWq54uTslDCKGE3GoJQ4jZdLWueplg1FccTZ2d9nOh7SNlK0OmUItCYbjgjTjFufe4kf/Ikf59qNG/zj3/kqJ+dnaBxpHLI1m7DOC9rAg3LESYj1TwPZjXGYpiXWEUkUkTerzsUZ4q3gitqm4eT4WLo4bctyscS2hkiLwH40GpEmCZ978UUWqyU9pupZo4DWIa7TL3vvsK77LKKA1fqS7Z09Hj4A1C6j8TYX54/x3m3ck0J/iDdrcn+47uVBwv8UVmZdN8znC9artThNu6SGnhLR40yMcZRlRZxGm8N8vwb3LlrnhJ3pvaM14lC2Hcsz7Mxp/SGpZ4NKgMD/m2vXRxw/+df5R7/2r/IzP/efo4PF5t6z1tKaGkeNSMMr0kEIKmK+sOCkS+dcQxjGMt3Taffau7hMK7Fo4hYOuHn9BovFnGKdkyXphsValn0cZ1/MxoRRsulUqkCRhElnBkwE/+W6FA0n43vlIU0SolCzbCriOEQh2LZ8vcaalv3nbnX7u+Hk5ISiS8+aTqesu9CELMuw1jKfX3WMOcNsNiUv1qRpzDJfcHR0xM1btxiPRpvGyXQ6ZbleQxAQhCG2lvE4KugKRk0YKFFferdpzPzBov2zPD7zv3QtNI2hyGvCwOGNJtIRg3TI5cWcSCf4TWi9LHOz2ZaI1C8uGI+nFMWaMExYr0oUMUk8pKmLzQvo6dl9geC9RFspJNMQPNYArcNahVOglWY0HhNHMe+/9xGuNehAMx5N2Nvfp6gqrt+4IRqdsmEynTKZTtnZ3ZXQ7SjEWLFpB1qJs853z0EHG71YFIteIYrlLbPGUdemc+TYDduuP+l7b/A8owNCSUQIXdHaWdOjKBYEiEdmP6q7cbsbX2ktI+sOEhklMWmWgPLEiVjZrXOEcUSaZXjnydcF47FEl6xWq07nmEgbvXuN/Ql0szGpLufVNCjLJhqtrmviUSS6NOvo9hDCzmzSNA11UxPHCbbjddW1nDS9l+6nLDYRWEUYajkddifVsiwAj7Etpi6JAk8cKtGw4ZhMxkRZ1i0+buPG3VyXzqLwz1xDTxdS59xGl9EXuZ4uZg3JCJVR7BbDwYDL5QmVNcRhSFnW7Ozt0jQVURiQJgnWijMtiSPR1cURaTLAeUUUpmgNZxeX5Os1Y2Ph4opR3fBDtWG3KPnBh48ZGMvf+Zmfov2Lv8yP/OgP873vv01V1zx89JDvfOf3WOc5B9cOSLOU+/fv8+jRQ3QQcXhtn7ff/h4PH93lR3/0B5lOM6yp2Lt7nx/9B7+LHaR88Ff/Em/9wEt845tf55MoAu958vgxP/jlH+Lk6IT33v42H33wETdv3uTD5x9z77VTpvWIX/no5wgTjfEtWoWdg01TK0UcRRR1jlWg44g0CmEm7/2AAcN0yNn6nLIoiHQIXjNIU97+/e9RFWt+6Zf+OdZLGW3v7+9xdnrK3v4ut5+/jdYR4/GMg8NDrJVO2EsPHnJ2fs7u7i5tN87f398Dj+hxOoSB6zEMG+QJmy5sGIY0QcA6z1mt11RNw+c+9yKXq0tOz+a0RqFVilOKVd7gzy5ZFRIU3o9XHFBWNdZ3t+X/0ALZ3T9SYILSos+LoohAewgimrrGtn3+pyIIY0Ktsd7gtcJohUqRZIAA0kQMWIN4gFKKqdY03f3Vtg3GOeIsxSvhY4ahwOEDrWUDiyIC1+V3OifsTC9FTlPVGAxVVxx4H4KOqFtPOc8ZDh3D4ZhV0VBVa4KuKGnuH/HkYs1sOmUQpeTGMS8qxpOYNBCxuI9TbFhTd1KOqjEsyzkPj04YpBFRqCUaTQdUjcernMtFwcVixY//3M/y3I0bzLamrNZrnLPkj5+AEjlEksZ4rykrcePiIQoj8vUaoxM+ufeJsN+8J4miTk4QU3cu/DzPWS6W3Lt7V5iUZUWWpqRx/KlpzLMj074r3FMRPv/5NzGm4e69DwgCxWIxp2lKbt36hHffXrNc7PLO7/9PGI3/A/K8IE1HXXftaXpAb7LriyprLN4haUOojuEpGbPy84PNstcjk5QK8K4z7T0Tb/ksILwv7DwiIfEAqqWsSpI02SCY+oKo/zoB7leMxv93BqMvcHX5s3zza7/CD3zpP9q4Va1pAYtXNQSKbKQZZCNOj09p2hVRlKK1TIKUyNukALSOKAiEGGElZrFqGsIsZTwc8d4776AQ6QB0qJagR0W5jdSmL2KtcwQqYG9vD9X9uVJSOxRd3FefRkNncKqrijiSETbe46yhqSviOCLUejM6798/093LdV2jAkVVl5t9c7VasLu7zc2bNzg5Fb1zURScHB8znk0I4xgP3BiNWKxWUsCFMXl5wXyxlNx2D0maMUhCvLNUjUD1g1BvIOe7u7v/Q6vQ5vGZC7vZbJfLiwvSGEIdsbO9T6Qk4FzriDQd8nM/+3N8dO8jlqsl1jpWqzVRGPPaq29w4+YN3n77bc5PT7m6uGI0mIEPyfNqc3HZnsnW4SjEpi0fhvMe1QVbGywGh8PQGEgzx2ggtm/lJJB4MBiyt3fAd3/veyyWC4bZULhQ3eKvAplxh1HYXfQCtZXCTi6gMNSkiSA3oqhnzUmkWKMMbStIkqezfXGPQmc6DzRPg4shDOR06PzT3NRnT8PeS3h995LlNBFqvIGyL1CiEK8ELyKLnbweVIDxDmueOqv64q3/7yAICLRwj7wX7R7d+w5i5tBenLPD4VCgxFXFbDaTlnRVo0LdfZ+U4WhIWMv7lyYpPk4IOg5PUVa0jWE4HG1GzyDMHmcFu1KWVfdc3CYLsqpKFuWaMBSjyXAypcjXojeq68371J8w27aVkOneKWmfJpr0r0spxaiL/LKm+/sw7D7jkDiKSOOEvd1dTFWhvCMIJB94PBp1Au4aHYjeLkkS6aQ4TxKntNbTNJZJFPHTRc1rZ3P+VNUQKQgeHJM5R+g9Pst4/L/5X/PKr/xFbtx5nqOTE9555x3KsuLhw0e8++57LJdrGZ+s1mRZxMXZBWGYEMcR+3u7xMmBnDBXK5K24Rf/zm8zXaz53i98hf9uO2X59ts8fvSYLE0YDYeMRxPKvOTu3Xs4a3n11dc4OT7hnckxNnTsVFMOL3c5U6cMEjEemdbgrCFQisEgJW8agjBEpSm6u+agO3x1Wpk0y1BdFmbb6SY/97kXGGYZ08mUn/npn+Hq8oJHjx4ync2kQ6wsxs4ZjMaEcUwSp7z8yst87qWXKIqCh4+esL2zQ9IdIJqm6VzMWrh/m03X9zNS6ZrHMdevXwc8x0fHHJ+eMZnNiOKAq7lk78ZhBDisqyHQNEYxGk/oxDobJILvUlGeVnb/bK2d6pA+vbYWJF87SRKcCkFDGMU0VYMLW2zTYl2ADiNUHBNEAVoHJCPhMYZhQJbEjLIh1/evc+3gGtvbW6xWa87Pzzg7O2O1WjOaTpluzXj55Ze4deumYHuSpNMDt5w8OeL0SJJx6qJktVrRNg1ZmpI3Jau2ZL2qePzoVCYkYUgQeAbDjCTOCBKLzpquwIFl2XK1PuPh0RkaMS611pDpkNP5nMVyiXWO1oJBskJ1GMraEkVYBa2VbnljLZnyxEmMaR2LywUPP37AG196i/1rezw6eoKxd3B4Ts4vuJjP6bNC54ucKInxxmK8xfmAoi5krG0DIQcMhmIoQ7Fardiazlgtl1x1QvSDvX3Oz86Io4j9/X0uLy4lctC0m3Wk75A+HfkHvPvuu7z00gvs7+9x89Z18nxFUea8+vopJye/xTe+9me4uPgRRuOMosiZjFvKsv5UodUXUqOuo1N1qSrSNfOdwaL+1HN45mrr9iFpDgBPNaddp7jnxvWdO+uccA6R/a2sKsI83xyue1QIsOmKS852jVLngKeuRzStIk5lP6nKCo9FR55AeyazIbdu3qBpCqZbQ5yFLErJ0oy6lMaA66ZYRGJk619AGIbcvHlTPtv5nEGWoUMthfhyuTEkRVHcBRpETCZjrPOslqKNPzw85Oj4SNzDieS2V1Ulr02Lpt87yX8OlJLOm2mpyhK8I8tS8I71uiQvyk1ObFEUVFXFZDKRPPdn9pi2bTk/P8N76frGscRr9j/bzT1hIk2SPi9bBRIJKqbDLqHKyt6dJpIBPA6mLFdL6qZmtVpyK7zNbDb7jNXaH6ewG+7R5BAHrRRaNiAejRiOpxub//xqwTAb4yycX16CD3n11dfYu3bIar0mzy3WxgR6iPEhrVdUjSXUGU1tUNQ0XWpDZ2CRCxGPR7ICZ1s7EBjycol1LVVTUFxVRC5hOtxme7bNaDSmKEsuTheM0jGjdCIB4YS0dUWlBANinUcFIa4rGMNQgQ8kyquVExa+ocPTkgQhYaC71rnbtM/l2uyKra6D4IwnjmJQiqbNCbTkyDamZTKeokMZKzsrCRWtb1FIVpzXQYclkYXR+adxLMbYDfZAGG4alO9ONArQBDoU0XdZiaNUCVdLRSE+UBgnLlnbsdlUtzEpo9Ad4sE7hQ41WTYC+dPupNbikRFrXuSbOBuhDoRY70FpVBihVYgBCAL+f7T9eZBlWX7fh33OuevbX66VWVlbV2/Ts89gNgwwAAYYECBBUjTARaIkSqYo2aaCEhWUZIct25JsSbDEkEmFw6Gww2FRVliCFsO0JBIySBAAB/tg9u6u7q7u2qtyffnWu59z/Mfv3puZ1dU9PaBxI7ozK9+7991377nn/H7f3/f3/XphRBR3GYw3WKUFFtnfOYtSUnoa9IbsXtrm+OhQdL7imKqyrA3XUJo6YRCifmUqRPhVAjerJAguqxKHlBwawrGnfXrDPl4YUNqqRh8CqrJg2O+j0QSej0G6v501BIFPtkwk0MdKyUyJvIbWiOafcVitMA7CLOFffHOfz53OCJrZ1gEYzPY2ptdD/ev/Grv/47/AZV+I5vO3brO+vimLbVmxtrbG48ePWV/f4Pq1q5weHVJlBddfuEoYBmhvC+cqnuw/YjiI+aEnp1w5npH1u/zdq2v81q9/lb29PbCKqqhYVDP6/QFlUfDSiy9xdHDEcpmgBh77L0m5/tP7L7OcTqm8jO52zHSx5GhyRJKJy8f6YJO+icgtOE+hWnMn6iaanM2Ndba3N7BVSbpaMBxH/OzP/gzP33ye5XLK9WtXePJ4n1e//V0ePtrnynPPYxC9sl4/JEYzHAzlWUxS0mQhx68qet0uo9GI1WolXKFKFNt1fR7N3FND3igNSZbgBZoXX34RpWE2m+IFHjtb21RJyWqxZD6bo7SHQuOpGE3E+uYWuuYJGmPI0hTlhKyPM4iMhG2bopog7ywJFQ4mGpTvsUhWpGVOt9dB+xq0h3EK5QWULiM3Fus8KRF5IVG3Q6/bIQoChsM+3U6Hna0tXnn+xdqlRzo+t3f2Ws3MuNtp54M0LRiNRuxduc721haz2Yxup8fm9iVMVZGuEspCNLSqomCVp4gbt8f9+4+5ffsuq1VKkibMFjnGLfHDgE7cIe4F8gzOpyRFJiOgqkt2/T4m8JlM5iR5KVaAypc5REMcBsS9Pv1ezOT0hOVyjnKVOIRoTaUVfuChCHjnzbc4OjpguLWO04rHjx6xOJmiDVA6KlORJTllKmL4cRiTZAVa+Shn2gTPD0J8T8rIgR9w+fIug36fhw/F13PQH7CxuSkNBJ4mL0veuXeX/nDY2kOZRtxcK4y05RBo0MrjIx/5GLduvUocdakqx2w6w/N8PvfZ3+Mbv/+TpOlzZMnnwL4G1lLVYsDGlPUaIc5Lcdzh6OiINMlw1giXsCioKhnTzroagVPNaON8n3+jl+1rTej7eFqTFgXJatWKEcteogcrbxc3iiIX0n6eCQ2nQSebwLMsK8qiJI7/C5bzP83h4XN0uz/I2uYdTicnLNOEqK/xtcJUOVHkURQrUCVbW2NWq5TIDwgCD2MgT0qcEdUE7cpae1BQx91LV9jcWOfWa6+xmM/rGMORZwXWUkvOdFo+oTEO5XtQlQSdkJvPP0ev12UxnzMY9Bn0uiKDZQ0YS6AVcejT7YQoHGEowuFZlrJYLuj1B/T7fSbTBY8fPcFYofa0a4W1JGkiXPQgrK+VRodR3TRREoQh8/lMOOx1Q0dZqzW40HF8eMzVa9fp9wfYvCBbrAhqTizG1U2aOa4fE3ci+nQxswKoUKqi1/tDECj+ya/8DEBdfy8QdEq6IleLhWTBUUiWF+wfHmKtV5vnhkxP56IWXjj8oEOvFxCEERZN3Oni+xF5Llowq9WSqs6YlNYNWxKtFaPxOlf2rtPthjx8dJfT0xOccrjSUaWWtf4mu9t7JKuUfLlkPpsRRx2Ws1T4cVah8FG1Ppdzik63i0JjK+lIdQ6U0ygnC7YyDvIKaxVx3MPzQ9KsoCyrFq5vsqQwDFskyRhba64psaIpDc6VGGtZrZJaQ821AWwjrSBoiK6bOJrOH+Ht+FrjB4FoIFlRr/c8D2ppBS8I8TzHcKjbzMADlOdRNciccmK7FAYEUSSdjNTG6Q58JTy8KI7FbF0rbFFIgOZpjCnqoEpTVabOKsWbUMyeVavD1CCR1hi0F+BHPbQX1vzEoOYGKgmQnCHJSubLpXAngwhjFEVWElhFf9RnfW2t9ls15LkgNWddsCIdEYQCwwdBcA7lC3FKkWRpDdHX05v2GI/XwEmQkGc5OOE32ErOWfyeLMYUsm57EkAHhKA9KlsSFhX/ytsP+OJiRd7rsT8a8bWPfwwbBAwHI9wXfhB95Qr9zTW6r7/BaDzm8ZPHPHjwUHx6Qyn9rFYr9vb2CIKABw/uMzk44OMf+TBFWfDNb3yL55+/zsbmOqNBnyuLBT/za78PSvHVP/2TTAchx0cT1tfWyTMJXjwNWxtbrBZL9vaucPDkkMPDQx59ZsrslZxh2uNn3v4ilzbW6cRdFI7H+4+YLmfowMMVjqJMMFWOVL0UxumzxhRhR5CkK65fv8rO9ib9XsSXfuizfPLjn+TBvfvcu3OfydEpv/R3fonbb95mVRm29p6TTudI19c4IIg6WAvvvPMar7/2GmVVkiQZzz3/Ahsbm9y+/TbL+aLtHnfW1cR46ue2aZKxrJIVjx4/5FPdT/CJT30CY8TaUBvY2tqi2+2ytrEpVAg/JM8Lgk6HwXAozi/WUeRFnck7PAUO8ZptsPRzPRuycCrh60rnOlilMMphFSRZRlnVhuy13V1VShCSWQgM5EVJVThMCeNRQBj2GY832Nu7Tq8/5HQ6YzadkdfIDkAUhSxXK/Jc/EDFi/qI+XzJ9evXuX//HkdHh1RVSZokkuQoRbfTAesojKFEOhR7/QHD0Yg0r3A6pLQlRWXxsFhdkTuL1g7rKSrlKIucUAdYT1FYi1UaL4jwQ6hshjVQlQaDQzuIwxjjfEoXkFYaW4L0UVpKa+ipgGSVslrNefj4AX7c5UMf+RCB1iK1VVhcKXOM5zSmKJhOTrn54ksEq4QsLYkjhad9ulGH5WKBUlrKdNa0lI+mGjEajej1RNJpc2NTtPeKnGo25fj4WFCvmqajPY/KmLr7X8Z+GERoHXD7LfEkPzp+wp137vDSC1+m282Yz4eU5SZe3SAmlYIzsmYjayLrRNJWNJRCkGLnmqVPEm0Uzp3ZcZ3HjRt6T7cr5vTWCLfMVBU2EM09SYJq+TA8nBHR9qIoKIsSlMOYMz4XDa/aWDyVoNQK5/rs7n6SK9c9Tqen5GVB5aWUZUplSgb9mMVsSllkQEmRS9MB2qB9h1Iybj1t8TAoKw9wHEVcvbLHo0ePuPPOO3hKKktx1KHb6aO1TxTFDAaDmg6WsVwtmScLOt2YjY0Ntra2ePvt21RFwfpoRLfToapKcBZT5nRCj+2NNba3NhiNBtx5x1JVBVVV0u32uLJ3haRGtKNOt+aBJ7V5QkQQCq99Mp2wd/kKG2ubHJ2cMJvPapDIQFUK11iD0uKyZJyrZcwCsiSlKkt8ZFz6aHzPpzAlgedTOenQXayWtWh0F0sf87Dk3r13iOPgg4ZrHzyw21hbb6HdTieuuWF1dF9HplVVURrDzs4ub739DscnE+7cuUOn2xXNOCVIR3cwQGuP/UePmc1mWGNEUd5UhH7AcDBEj2q4uUaYPA9GwwF5kpKnSxbTBdkqwwL9/oDQi8F47D865Ph4Il1DRrHKU/L0AE8L4lSVJctFSp5VaDz6vQGj4ZD9J4dkSY6nNJ728WPp4mu2BjZv9XuMtJk3EiNNB1Sn06lLhEUtcFt3xtZ1+qrp3ASyGjJ3NWHV1n9XNWR8XkPJ1QRY3ZRU/TPNvKakG4ahCDciXLOy/jxfC4fPVEYClXpRaiaNZrM4KsQCx3mawlY0QaYfR/QGfVC2lmXRlKUhCNK2HGCMa2echoTreaKmrkH8KuNYupPq8oZ0hwm0XRnhwAS+T1GWZKuEIs+J4hirbJtFKdX49coirD3RbHJFhVOe3GdjcXgoLWr74/Eajx4+aMvWzokh+/raOlqJWr01YnRtrZDwHYIMUTsQKKXQTqOUL1wY8ZPnc3cf8WOLFYt+n1/443+cXy1y/sFv/CYoiKIY/7d/G88XiRrfk5+NAXhT1iyKovWP3N3d5eqVK2gP+qMe83lFf9ihqApKU/Dh567z4//N32WYFvzDrSF/z3Okac5gOGI2W6K0R56VbG2ukSY5Dx485OrVG+19We4V2MAxKLt8JH6BYadLGITkpeX4RMQ4tSdcn+l0QZrn5Ba8jsKqoO3Gc4DSPmWV8uabb3F8uM+f/tl/jE998jPMpzPu33/Mr/3qb/A7v/W7aDy6vREmF7umwWBIt9ul0+1y+fJlrHUcnxzx7e98m3t373Lp0qUa7S6ZzaYsVytWdSLl6g5o5+pAqn5mGlK5lOstDx48YHd3l70ru7z5xpu8/t1bzKZzet0+V65cZffyZZbLhEV6wqjfp9vtSeCvaxJ7SzyXktGZuj9nn9n+g/Z5VYq6JC2d72WZtefelM+0EmqHpzTGKMIgQuGJvVouKEqZVwx6fZLlgnv37jE9PW2lh8IopBPL4pUkQuqOImnQms1mTKczptMpd+/dIVnVXe6eh1fPFaYy4jur5LmMoi5pkbPMViR5TlYWFFWFNpqiVGgNfqCJ45DhcMjJyQnGWaK4Q6/XFxvBeqHWvgRClpqIX0FRlqySTMZSWeEqg7IWpQqMlfG/XM3RniWMAoabAdYa1jfGRP0Odx8+YlVk5IXFK6VknKaplN7iriSn9fVsOEmNLJPxfe7fv8/e3h7rGxsUedmS/8MwZDafcXBwUMtQnTVfWVw7j2mlUJ5fX6uIJ0+esFotmc/nTGdHRLEmjmNm89fZ2/t95vMvM1/8ebT3G6DELadBdsUhSAKV+XzOqi6JAjRWX826cWY1KVpwzebcGWqstX/WzFDLhTVNeyIForFWt9/r/JxTFNIwKDQ+jUKjtS8ItVM4C77/Dt3uL7Fa/Wl+8f91lR//I3+bIKzoD/vEnZiySCnzEhMHVJUhDCM6vS7GOkajIZ7yOMkykUvyQCtBP1WzZtTfc7lcMhwO8TzhlfX6PdbX1/E8TVL7hK9WWR0I52ir6fW63HzuJsvFgqPDIy5fvky308Uag6kSqrKgKnP2Ll/i2tUrrFZzyiLHOel4Hgz6opKRJDx+/ASlVGtBVpZlKyWjtWY0GnLp0jZ5XrKs5cHCKMKzAkJ5vk+332e5WrR6s9ZBWdvIVUYaXYqiwFcaX4sVJVb43r72MKaiKkuq0sPTfcbDIZ24Q5HnzGez94zPnt4+cGD36ndexQ8CgprwGdRCns454igk8OWBQmlm8zlxGBIFIlZ8dHTUonxae+RK3rdayIRUZmXr/2itpRtJK7bv+/hhAFqy016/Q6cTEoQel3cu1eVKTb8/xPd8VknKapVgnjNY61itlm2G5Xm6HsQiMCidNI7BsE8n7tDvDCnykqqsyNNcsp6yJKxJ+cZIt1KaZCRJ2mbNTXDVkPdb6Fs58tzWgYR4ZmrPg6KokUxBDcOaANqvO910zf2TQW8ExVONT2cl//a9liTaWIY1yJVzUCkRniwqQQi159UlWAtO2rKttRg46zq2IizrlKNYrkAnNSG8RtSUw7qCIk+pygqlpIxVFCVK1QghDbfw/HVQbSmh4YlkWSb6UTXkH9Qq23E0wq8nvtAPiLyQPM+k1INlVQtCen7jv4kEd5XCaFd3oEkTjkPQTZQmjkV/KEvFz9Iai9MeyvfodLo0DiLiHOFqgq+pF3MFru4O1EqCQC9E6YA0zzC55U8tExTw3WvX+W3tY1TJ8WTS6gB+v5vv+9y4fo3x2hjtaU5Oj+n2umxubTL0FT/yX//3fOTbt/jVtR7/240OW/uHDEdD4qhHZSz9Tpfd3Stsbox57bXXWV9f5+BA0LqyDiBBSOe9uINNKyCkKKUkI8GDwtRcnMo58sIQBAYdRQ2hjEajcb6Y4WtLZ2eTT33yU1SF5Rtf/zavvfo6+/uHHByccOPGc3TiLtX8tNVAbPihVVXxu7/7e3XA7RiPxzz/wgscHB4zGAww1lKURTvhNpxcal5bU4ltEoUgCOrmob6IK2eyGJzOlkRRl9xYHjw+wAu7WGN5tH/I1u5let0eIOWvZkHnHH+z2Z6WWZF9LNT8T+scSVIwm80oS9HiqyopwTX7e75Xd6v2GA4G0qy0WFAWFVmyZLlYsZivSNKEF56/hlVgFMxT4f3oRKM4ZT6dMp9NKauKXq/H1uYmabZOmuVorUmynOl8SRxFRIHwJ/M8ZzGbMU8TElMRRTE3bjxHmhVSPs4y0qIAe8bRdU6a54ypWN9Y49L2DkVeMBwO0VoCp/l8ge8HBEFYN5RZXGVw1sMtoYtjMBqxylKSdIVWmlgH+GGMMRXL+Rzfs2xsrbNz+TKlqTh5ckKJwWGIOiFxTygtcbeLUYonh4cMx44o7OBp0fScTmZnsllKETXBcBgSRxFVJcT45XLJeDzmypUrF+5vExg1PKiirspEcUhVlSwWC15//XWZL5H3pFnK9vY2JycTuv1fRKkvkabPU5mPU1T3RYOvTjo8z2uTiOl0JoR8zpL4JshrmiBkbletbpvMq9KEAKA00pTmXPuMNPtJM1F4Tg+2tgKrZbfOGjFq8eGa/oOWLmDPMzV/7BdZrf40jx89x4P7IXHvPvrQI+77xD1phlnpFUEkXt++7xOFEZ1OhyiIwVTknZz58VzgA1eiPAEiut1OW/0SSTHhXk9PJ62CglKK+XxGlhXSxOZp1tbX+PCHP8xoOODRw4dcu7JHfyCyN8KK0ihlCAPFtWt7jEY9rM0oq4y1tRGAUA+SjNlswmq1rO3dvFZ0uOGpe77m+vUbOGd4/bU3WK2OW03VBrgQdNiyvikuMWlWkBeFuE6ZitjvUpYFZZ6hwxBrDVkm/EZbaamUhSG+f1Z1Alc3c5Sttt4HWkM+6Bt/+7d+h8b2Smtdd43WmjmBRxho8lLq4XlREHe6OCcGuFlRe6bVnTxSOqk7BKRu0XIGtHM4U5IlRtTbAw/texS5R5mnJJFPpytwZxSGdDo9FrOldJp4Pt2oh/XlCRj0BqAsRY2m2Vhuguc12nkB4/UxoR/yyosfFtcK5bVWYFVVMhjIIE2SFVmW10bxEngtFpJt5XlOlmWtb2pZliwWM5bLJcvlktJU4NUBS91daktL6InFi0ZjCoNyitKWpEkq+nR4BEpQCU97qCAWHSJl8epgsnFaWK1EgsLzfZTVWKdQqsIPhAwu2YWuJ4QKz1PSNeaog08pc/R6HcJuTLJaYetJrqzLEKUxFGlKVZq6a1kkAbT28X3pCGwmkGZyan5STx5pmrbdwRJg113CqvbjrctsnhfgoetrLrY3EvsrXGnrkpZwAY2zKKcIPDHqDv2mCQYcjtPTKdPTKelqJWUH6zDGsjbuiwNCWdWljtpr1FrR1VMaEHFspTSeH+IFAegAR0BhMtLirGvOOsdwMCSqfZCftWmt+cIXvsDXv/71Vvzy6S1JEtEuWh8xXy4Io5i19THbO5f4ib/363zi27d4sxvx7z+/h8HR6Q4oCoOx0rk4HK1z48bznJ4cYg0EfsSD+w9E9PR5xYMfmxLagL909KcYhV2cNijtc3TvgCRNRMk+imsqhCQ11tU9n0pfWASNqRiNBnQ7Hv/cX/xnuPncdd56/S2++utfJUlzeoMxg/GYwlh6QcilnV3innR5bm1t4QcBD+4/4Lvf/S5raxtsb29LqWx9jVWW18GtR5IJ6iUSF7pdiERfTrX/9n2f4XDIxz/+Mfr9Lqenpzx5/IirV69yerri4aMndOIOWV6Q5hmj0Vju22hEf9Brkw3h0ZZC4TiH1L3XfW3+3ohYF0XBfD6n2+0SReK0IlxeQQK73S6bm5t04i5pkrL/ZJ/lbI5z0uXteZIoB4Emivya2lC2KGK7UPs+fhBhnbj2PNk/5PDoGK2lCaqyMo+GYURZc5bu3b1HkiQUzlJpTa9nyYtSfDXzrNZWq4SioDxcbdtmjAhza+VhrMU4hJdqLas0I80LwoZDaKVsrbRopxWmROU5l29cw49j3nr1Nbmu2sfzY/zAYVxFVWZkeUmWFawHEds7uxyfHmO0h/V8KiNanj/wA59ka3eXX/uN3+LR432iIMYpR6fT4ZRpPTal6cDzfTAi7bR/cNB2yud53jr7jMdjVnUHZeOko+o5Wyl11i1dk++TNKXX6zAaDpktSjqeNJGVZYF13yQMj8jzXVarjxBFb0g3f53kdrtdhsNhvbBnNUVGtUFXM0+cf85AKhPt71qjPdXqazbn1kgCXRiPnMlQUc/pzfWR4E6sja1tkEDpwlXKw/P8GsSYovUMa4dodZPR8IhlssJVljyRBorMZShCvMhvEU+HcJMHwy6+VpRJBhU4Knw/IghiBsMe1lU4DFneCD+D0sKl9urqQWNUUFWWfr/H5z77Gb7whS8wm824sneZ+/fv893vfpder8e10VWyPEUrQ78fM+h3iEKPtfGAZLUgzyyLxYqqMiTJktVqgXWSuMRxTBzHrSrE5uY6H/7IK2xsrPPaa6+SZimrNKEwFUEYyJqrRbLEOstgILI/1ckpRVVSmorIk+pjU43DObqdDsvZjCLLhR+pFOPxGKstyWqJrSqSZIWtqtrS8g9Bx245X7S/q3rgqVpeAmfQytYdYVJXXi5XCDesJvdj64qW4Wy8CpdNubOOHwcyuqzDUIsbFjJwlzWE6/sy6IMgwA8C6ZTzRH5D42FrAVFdQ79FWbSD2NQDRWtN3BG7ksAPiIIIv5ZJ2VzfoNcf4AeiPh6GYS26GzEcDhkMBjRWVs2D3zxUTcZUFDlJshJiZVVhVO04AVRFRZmWbVdfI7w6m89av9nFYkG322UyOSHPixrZEASucJVMtUp4jr2elF8mk0lNLJeBhlZEcYRqOkSdpsgz0jQR/SWl205CX4srhO8HeErasYuylGwPh1NKfOw8j7KoOXIoyrKqAzqfRrOpCezOo3agGQwGjEYjjo6OKDLpflKqFhymaaGvyLNMzqusRNAxC7AKyqoiDFUNl591ElfW4lQp3LdAbMjKoiDL5F5U1mGrUkrRNefd8wN2dy7T7fbJFzNszRczxmKNuGnI+FEozwNPUzpHlpcYW5EXKVlZUTgJegGChw8ZfPXXeLR3+alJuR7tWvNX/spf4d/5d/4d/s1/89/kr//1v/7MZ03KVwaLZpUWeEHEpctX+PDBMR/92reZe5q/uT3iuKjoxB20FmTTWim5WKNIkoKHD5+Qphnz+YLT0wn9UY97f2rK4kbOF1Yf4584/iNUeYEzhvnylOnpKYvljCRNhCouwoW4GgF21AtcfZ69fo/NrTGjcZfP/sDH+cTHX6EoEu7dvYPWitPphCI3BFEAWuHHIWubaxwdH/P667f43Be+wEsvvczpqaB4aZpy+fIOw7WxeMWmKeO1NaZzOaeqLtkrXaPA9iyoaxazLMtYLBb0el2uX78qXX/ZCs/3ePHFmxRFwWqV4HsKU5V04ojd3R22tjbqTFn4vE0nYdPpejaOn7UpWn5D/T7rbE1dibBW0AUZEjJnpomc52wmpaHVaoWlkgasel/fU2hP88Ybb7JcLtrFu5HKCEMpr25urtPt9Xj8+FGNoDdTqHg2e1qTrDIRWY9iorjL8ckpYRwzHo4ZDgcop/CVx6DXZ3+5L99VSaOQ1jLPjMdj1tbWAHjnzjtkVcEiTdna2mL36hUO9o+oc39cPT+Kg0vdjakUQRTT7VkuXb4iOqFFSWkUQaAJ4z6dbpcg1Ny//5iDkxM+/8XPsr2zx9HkBOVH5KVha/MSn/zUJxlvbPHqG29ycHgEyhKEkcg5AWEQthUCrXRbFs4z6VTe2NgAYG1tra0qNCXQRpi4kbgIokiAW3dGmdnc2CCMfIbDPju7G4zGPQ6PD2QsYjg6+C4PH+xw796XGIz+S1ErsRY/DBmPx7XSwJyqKtFKBIybIdSM5eanUoowitpAs6nSVFVFWif+DXLYoEzN3BiGIWEUo7VQp1r5k/dMVBTnkbxmGvO8t4jj/44k+Sd54/Wf5Mr1t7E4er0YZwuW6ZQ8SxmOpTxbFHkd9Ci0J/zMKPTZ3FqjG3aQcCEgDHptt+hg0Gc8HrG3dxljDLP5nNUqFR3YQGRTsizl6tXLfPrTP4DDcPfO23iex+HhEW+8cYvjoyN8bwdjSsJAE0ceH/rQK3z60x9jcnLEwwcnTCaHpJkhSVPCIKIsc6n+KdWOd2NEYDiOY/auXGE8HnP//n1msxkOWbOKsqCyRs6/FkVWnsYLAvr9PqtVSl6V9ZgOMc7S6XakaSNNWBsNKPOUB/fuowmJ4wjlHMo5iixjMZ1TVgW+9imqHFN88ArQBw7s8rRoB5nWCs9X+Cg85dd04iZrqEUU61ZLCX6kTOasrbOBtqeHJrhrh1U9j7YEbePEvLcuweAsRSnWQVUhPV04aqHIsM7ca60gHAbhuoGQhJ07C8aWC0F2Aj8k8kNU3R3Z6XTFBquWLmk4bA1C1ut1sVbM55sHSCmxDIMa5arLWjIxiEE2gB8Iz2zQGQrfKgjqoMi1HIlGMLghRadp0vJ1rDNU2rW6RWeefRJY2sqhdf3gW0NRVmS5uH5UVcWg36ch6OZpTp6mJKuVNE74PoURUVVbSO0/CEPJzo1IllRFhXOq5iJ5YnWmdM1JKltuSDNJNpMMOKIorIPfvL4fguYZY2pu5QCMZbVa0u10yZOUwAvwg5AkzzHLJb3usC5dmPo+y3UoKkNRpQRBCErQRNNkocbgqporY0V5PI5jrl69xnQ6o6z9gak7lKRUpqTkq5VopnkeFkduLFlhmc0z0ZgGbkURH85zPr+Y85lXX+U/u3uHv11dhM2boO7nf/7nRWrh0qX3fNaSJGF/f58b167T6/UJAo/d7ohP/tJ/RbRY8v8edPjt4QBTGcadHn4QsUpS0D5h3Ed5ASeTKatlhnNSmo6iiNNLK17/gUeE1ucvPPqjqGVFFIekVSnBvi+IgPB06hKD56GNxbpSkA+l26fX8xSX9y7xw1/6HJ/71Ec5PLjPa995jfk0ZzY75e7dO4RhTFHl+C5G+YokTXj77bc5nUx549Yb7O5ebuUBrHMcH58wnZ4SxTFKK0Zra5RVWXegm7ZErurGG92WYl27aCVJwsHBPi+8cFPKkUnKk8eP6XUGfPSVl1guV+zvH9LrhAz7XW7euMYLz988Ry9BdLyKop23vp+tOZcmQUuSBeE8pNPpcunSLkopkpUEdoL+W1AGrcXSKQoihsMBW5tbVFXJarEiz3KqsmplLKyzLNMlDsvaeI3hoIe1ijQTSSCx8JOuSWMtxyenJEnG1sYmO7t79HoDKmuYL1cspnPm0zlBGLCxucH6eMjp6ZSjyYTKlES+yM30+302Nzc5OjoSXpb2SNKU45MJV69cZWNjm8nklCRJWSVzijKDSjTGrIWoE5MVFfcePMJkOb72GK1t0u90OTk5JMtSev2IUAdURrF/7zG90Rs8//LzTKcL7j56xI3nbvJjX/4yjx4+4mQ6papKRqMhpnKt7pnYQnVQTqoCSnGWaDrIszNf6UZuqllvzvPbBIErxdLR94k7EaYyrJYJi8UCO684PHzC1qU1bjy3x4c/+gpvv/02zllefnnC3/gPHJOTl1itXiaKJng05XdB9CVYF01Q5yppvqnXpmZdaby3o1isB6U8Ks90I+pbVRVeTRc6vyY0wvp+ELYqDucDuzOajIxbaSqUalpbnlUaiY8dw9H/AdSYRw9/hl//lT/DJz77f2XQk6qGLS2VM4xHYy7tXcLf9/CnU3wffKUoke7oKqnoRV2SZUJV5qJLi61lTaasra3Vnu8drl2/xnK5oiyFLjCdznjhhRd45UMfYblc8g//4a9RlNI0JGCKdB57nqLbiTG24FOf+gRf/MEvsFxM+dY3v87x8SFaKcJYumDTNGvvSTWwIqRc0xV6vZ54+fb7vPXWW1SV2Hbu7OxwOp1zcHRIZa2ALFq0Zv1aiBoVsLt3mXg2o7NYkqRZPRalUjafzYiHQz76kY+wmM3JkoS89qsNuxFFUdYJaofxeMyTJ49ZLM7Ate+1feDATulzLdYO4aHUYKtzFb6ufRqdqweIDAYAayqg5nc1B2gZWe4cgld3uLXqBcLtkjIhNKrTzoladGPfY2v9NtG/E+FDlPRcOSXBn5SAzyy1UKKj4xxUeSYwslIop4FTKfNoap6ZnNlZ04G08Qe+j9fouukz2F7O0baZrhf4VNbi+VIW8JRH5EUEfij6eNqrr6+QxfM8q5XlxbLH2KapQr5TqWybgUpLu3QnR1HI5tYWcdyXLLDOMP3AxwsCsQCr74t8V8A6yqJs4nAJHOumjzCK0J6mrAxZnjGdnlIWGaYyFEXJcrliNp0zny/E6qkWeq4qQ7crJS1Pe/U5hrz88sv4vi+l6zxrS7EgmWSyXBEEAVmag4UizetAX3hxoKSEVDceNONFobC1X6TvCxm8+cwkTShTCasFxXCEQcB4vEav12O5WFAmq7ocXU+qvofnazxf9NKU9jA4UdI3htPFiuk8xQ97eKHHz29vEDnDTyxXxA7+yCohBs7OEq5cucLP/MzP8Lf+1t/iz/25P/e+z9r6+jqf/exn2djcJssyXtrZ48d+4RfYe+s2f7vf4d8e9fGNwtc+cacjmnw1aurVnNM0yUjSDN8L8D2fqB/xGz/yJmm/4NNHL/P8d7Y49g7Z2Fij8XzsdroMBn3CaMEyEY6l5/mgKiySlDjtcFqSqVAHvPKhF/jQS88zHvf5hb/zi7zx2i3SFdy794CyylkmCYPhmOs3rhIEEafTKUHg8+EPv8KlnV0WiwVhGHLz5k3ibhdPiYi1F/hkeUnc7eCUoqq1F62tA62aEqKdiBE1TUdRGNG91OX552/i+wGTyQnf/e6rIqfT7TMer7G5scmNG1fpdrvkWUKaJGxvbRDUQVNVl+3OOhObrsTzjRNPT5AX/1lVgjbP5jOcrUiztK1iXLlyhX6/S5KsWC6WOFWhMFhXAT69Xpf19TW63ZiD/SllkYsum1Ktkbyrm3k8z8M4QxBFxL0us+WCqixRqhZcrudgT2nyvOR0OmNtfYPtTpc7d+5wejyR7k9rCMKgtikc0O/26PcHrLKU6XTWlhCLPGd//wBjLHmZoz2Pk8mE8Xidj3/iRYIolidSW+aLU1bzFWWWs5gv6fbEUzPNMso0w0MakXrdPpWxLJYJWZ4yj3w2NjeJ4wHf+tZrTGZzdq7sMhyMKQsj/LjFgrDbJfA9+v0eh/tH+EoT9oO24aAqRcy5iCJZS+oA/fR0wvHxce2uIHqdy+XyQqUhiiLyUlxu8nq+8bRHhWoT/L0rz3H77TeoqorDw0N+4LOfpnKGyeSE51485sMf3ec739rl0cM/y0svv0mZGrDip17W5+b7vjRtxZKk+r7Xun00uqZBEDAcDYUr7QRRkmfBJwzCuiNatZ28DVUhqpHGqiwpijP7smYNbdayJpmhniMb5xRlJXlXSig8nl+yvvGfkGU/zL27n2Jn70W21u/jhxJwusLVNmgLoigm7nTQyNpSkEtlrB9T5Tn7B4/wvYhed53BoM/h4QGLxVKaLLVHv99na/sSnucLTaI34OrVa6yvr/P27Tv8/u9/jdPpaRugn+nFNg5WFcNRn+dv3uT1W6/z6MFdaVrwfDY21ljb2GG+SDg+PpHO1KKkqjKWywXz+YIw6BDVfMw7d++SZVLlGg77lKYgy1OWywWVEfDDDwM8W5egrdwnr5absVa0NxWQZSmqrviVRc4PfPrTXN3b47/8hV/ANKVXJX62xhiKvCCq1Tb+UCzFzgowEpRZq2RyQfh2VYOwSWiGRA3qbG8nXA3Z/axtXPgBun1vU9aqa7IoEfeQhbnuupLg0IKtpy3bAISC0ZmmvVuBp89KKdqJ60ELEDrpAnI15mzb4ygcDmVs+32bwd5slXE1KvfsrblaTSB5bkkQpM+d98w788iVxaRWsId2XwkmpfyN79fBr2sP7Pk+URhycnCMsaKH18DDnq4DSk/0q4wp0dqTsqWqu6VqHokfScahlK6rUKo1IB71+tDp1efs2s+31pIXBUVlyXORgmm0xTpxzHg4IogiNnd2WS6XgkwWJTefe4Hnbtxga3sLVVkGnS5+EHB0eEhSawMtFgtOTiYsVyvm85mUr6pZXSqpM1Rk8tG+PNirZNXyHoxzOA0WgzUVcRiwsbnO7t4ujw8es7u1RVlmpGUqwTIyphUeOF8CfQtOexTWscgMpytDVnl0Ah/tPDLP8b/b3uCXI8W/d7I8f7Pb7f79+/zcz/0ceZ7z5S9/+T3HDcB4fY2bL7/I137va4RByM/evsXN3/s93g4C/q2dHVKggybwLGiLcRVh6GGrjCJP0M7wzjv3sdYw6Pn4nub+Z2a8+uWHDMse/9Jv/in8pSXeCJhOTjmeTMgrQ5obiqwCIxIfSmmKyoAOcU48LJOP5iQfSVBO8UO3PstLz19nOZ3w1du3+NY3vkO/O6TTkXvjjMNXmt3NbXbWt6RclOfs7u6wsbHO0fEBDx7e4+r1G1za2eDw6Ji1tTUGox7D8ZjZfMVoPBKkwZ7dGXm+wXNK9KKcWGcZp+kP1/nkpz7BYNAjTVfMTmf49WS/TBcssyX7Rwf0egM+8pGPsv/kgLI09IfDtownXforlLN4zjVMoTp8PJvXlDpL5oxztUOFzBWL1ZLJbEpWFMIzMyWqKkmTJdaU+L6iLBKcEYkd2xCcrKvLThGT05nImZQpFSXKeWjlUVWWAIijmOGwQ+CB7zl2tjfwPMXJ6ZQkSURmqJmjtSMKPbQXkKQ5YRCQlVLuN1ahdYCzmjw1mGpJr+cYrQ/Z2dxm1B9Kw4v2yNMU6SiUao2pHMp6oh26WnHr1uuEUcTLL73EzesvYuuE4d7de3SimDTL2NlYJ8sSytLgaYdRJVY78spilU9mDHFeEURdikXCt79zm0Va8LFPvILD8Pqr36Hf76NyRTfwsJ2IU+VwVYGtcgKt0DXSKgukoFGLVYavIFkumNdyKOLG4zM5nVHW3p29Xg+ttXToBwGRH+BwIsjsBYSxJ24f/T5pljKiw9HJEXfvvsN3Xv0Og/EQowybu9+Ab+2ymL1Mr3ODoDujKgy+kq5/VxkCrdH18Z2S5opOp9M6SBRFiefp2rO85tAZK92elTgfeXWZyzqD9iTwDOq5P89z8iJHtIDrLtlz67Kq93VO6DYWWps+p5Q06tVVLimwvU6393dYzv8cb7/1R3nh5f+UtYFBL32qDF5/4zadxxE7e5fQnlBblLOEsc+gG6NRFKmmM44whWM4ilnfHBI99LF0Wa0SKmtJs5zD4yM6nZi1jXVQjtVqwf2791guV4yHMiYXS6m2CHXBZzAWmaDlMqEyJb/ze18jTVbs7uywuRngX/JZXx9zcHDM4ZMDkiRD+8IjLCtLkuZUxmJsQlHllFVOGIVts1dZVRwcHjBfzEWjMYrBViSLJdY4ut0uptsliqPa51tMAOIooBOPCQMfrOWVD32oltdyfOUnfoJvfP3r3H7zLZbLBOcUURQQhzFlXqJ9jyjq4Pl/CHIn78pQ20DOtZyOszfTBmbyVt3k1OcmRnfx/Weh0LkfquY2NK+7Zy6az+Iz1YwgcBf3aZo4nHIo2/iJNv+5c5m31LodNXfQXSSzyivPOJn2O52/YOez/cZozLbB25kGV0PSrlEIdfa6EjKaNEaYBoE6y7qqoiBbrXCAcboN1MDV7ex1YGoNti4TNpnteVjeC72WgygPiwSFDenzAoGzRTPrb6Z9LJqmfUtZx8r3WM4WDGvvWGstO5tbHBwcCG8wL/DQ3Hz+JmsDKbNeu3K1LYs13JckSZhMJkwmE05PT+sOqbqkC5RO/GprDJiyqliuVpxMTjg9OmSZpTgsW1ubvPzSS5R5zr1HD9nd3sI6cRmwztYoRy0Zg7T7O6WpnCLNKpKsIikMBg8fjTOO2PdRaH46LemimH7hk/D178JTnIgGnfpem1Lit/nqa6/xyUuX+OjXfh/rHP/30ZAi7uLXjiEoah6Qz/b2FvuPCwLfEx0soNfrAyWH41P+/p/4Nkpr/q2H/xN+cO3T+EMHGl6/9SqPDw7AC1A6Qtz01NmEz1mJAaDoFbjAEdmIn/rsT/Kh+EP8N//Vf87jhw+YnS64fvUmJycztre3WSxWNMKoGjEr393doXxUcvfuXY5PTog7XVbJkjSVTnlwxHFEkqSEYSiogxPbvgukcGgN3rUSy0Fbi3l3ez2Mszx68pgnB/tyH5tyE7XHMA2ByAGWwbDXXv+qLk03JVhPiYXZxUXx4vPdTm9KkMQ8y8hqZxUP4bKK1dCK6fSUXqdLN47Ik5Vo81nT8qfEvL3k5OSk9rAU301rrfg117IUYRAxHAzxtM+9ew/Q2ieOulze6ZHlOcvlimyRAKptEnDOkaYpvV6Pq1evYa2YjLvKAramTRhWyxVpnnL9+jV2tnd47fXXePDwIYOBlLDK0pAkBQ4IaxHjxXxBkiSkacq9e/fg6jVOT08Zj0ayYBdSyrq0vY3DtAktiLH6YrEiSaR5J8kqel7EoL+GcXDn7QfEnYgXX74JaExlOTx4yOHBARvrWyhr6Xe7OCPCx1opgsAjCiO0Fk/vLMvpRgHj0UikUTyf1WrV8riU5+OcyPGEYXgB4RI9zrMZfz6fs1gs6Ne+0ovFnIODfbpxh8nJCfPVku3dbwJ/jPn8Cnm2Tn+cEAYBzkm1Z2NjE9+XgKEoC0pTtohhgx4qRa37KbQhYyrSLCPLsras2ul26McD/NJvueDNfc7zvBbRPxuvTcOEJCZamuua9bz+2TQRCee6fi5MSWlKRus/T1V1ONr/U/zGr/5T/JE//l+haumP0fqA3CScHJ8wGHUxVYl2Fd1ORFHlVHnJxtomN25e583X3sYLPKwz9Po9giCWEnMlPr9BGBB3YpRSzKZTTk9n2MoyGo3odmLCuMPJyQlhGLKxscGNGzfo9/ucnp4ynU/q+UeSqiePnzDo99hY77FaZdy/e5/J9BStfao0xRhHEEpCJbI39l0lcc/zWCwW5HlOHEf1OinqFrYyJKsUfW5e0J4GY/G0ZjQUxLVTy30FgYzDhw8f8rGPfpStzU3u371HVIsfm0rV4U4d7McxF/Ruvsf2wV1lz21toFUv7O+Oq85PgFL+arZn84/de/xOG+g83Zl2FiipZ7z/jMDsTDN51xm3oi31CuJ0RiQ9Q8yafjvb7ttYtpydpTtDF99jO3+e7w4+1dOnfiGQe3o7j+aJl6xrb3zzOWcoWh10Gtp7ZGsybIOknedZtOdqLc4aDEV7rDMOhpTZzwezbWt+fbut8lDaaz9DXAFksfaf+Ny7e5cgEJJotlpSVRX3F3Pu33mHB3tvszYeE4aNRdvZJOV5vnSbWVujjdTWbXIuxoGylo4XCzLp+5RVSRxFaAVVumI1PUEBL7/0Eutra9x9+zbDwQAFdXu9aUvuSovThvCZNU4HKOWxvrHO2uUuN7yQ0kBZGaosJc6W/OVXv8lPJwX2Z75C/lf+WdzP/fPvCuw+8Obg0vYlPvOxj/Ev/uZvsrZc8t/2+/ziYEhZ84GamGRjY4O9y3ss5lOGQzFvb7r9PM/jaLbPdz5zn5O1BT8y+xR/zv40VZhS5rkIOBcVeVF7JfuCWpe1GbmxMsI9z6M/GBLFXR79iTcA+MzyB/iR3R/B5Andbo+qMnQ6HZIk5eDokKzM0L7HaLTGcDhG+R5eGNAPYl54/kW2ti4xm83xfJ9Ov8/+/iGL5YrZbC6SRQ6iqEtViQuIROxnOmDNpKO1xlPiDIBT5EXO/v4+nW7MapVSFBW+H2CMwlcShGxtbbO9vUM3DtnbucRytWI0GFx4VlsNsPZpff9JVdN4csg70yQVVFFp9Dm6SVWUnBweoTc2ubS1jSlKZtMZyvNQKDpRzLA/oCxLlvMFSbLCUxLYerpOxKyjE4eMx2t42ufo8ISTk1N51rWm0+2zvbPD2niDbJWyWi7bQCQvck4mE9I0ZXNri62tLbI6UICzTlKRChH0yCElQd/zOT4+Zl3BcDhkucpYrFZtV+nDR4/qcmDAo0ePWR+vcXp6yunJRMqFSjEcDFhfW8P3A9bX14miiKOjE6IoZj5b8uTJE6yFNCmIoz79/hqeF3F08oT7d/bxdMC1a7tc/shlsixnNU+I/BXKOnpxh6OjY9JlQRjGaKXxPYtBeMu9OML3pDzpeR7dXk+03Mqq7iIWWkun02Fra7vmDlc1b8sTVMxI48p0OmX/YJ/ReITnGXZ2L9HpxGxubbKzt8u9Rw/x9Qa+X1FVHpNTi7ITBv2h6I0qzXg8RinhhzmQIKfmSjealk2Fo2n2SFIp6aep6KhJKVnI+92udJs3PNMsy7HWtNQomcttuwafpw41qN15vqF06XqiE+p7oHwsFuMyuv3/G0X+ee7c/ji//N87Pv2D/zGXdwf89M/8JPvHj3nrnTc5OT2kKDLiUDyMs6TCVoY46rKzs8P9dx6JxqIviFiRmxZcyAvha/d6/bab+fDgEE/5WGMYDAb4vseNGzd48cUXeeGFFyiKgjt37rTyMaPhUNY4LFsb6+zsXOLxo0cc7O+zXC7bipPneaRpQlgnP43RQFHk7fVsKENKabrdHtqTxqiqMsLjrjvVnRNP3qbz2vc8Ij+g2+1y/fp1lvMFvrHMZjM6cYfRaMTde/dkzo4j4qpDVuQiO6NV61gVRRFF9YfQPPGswKQJuj7ovtJE0fw8H5hdgNQufpaS4OpZOlLvFTRdeG8j/lNn04J0XfiwC0Hj2SuNidbFzz23Zx34nTvVZ0StF4PQs33fdbxnfJenu6POB3e6vk4KQcYa2LG9Jw3i6dxZYO0c1DmFQiiEuDo8dU4EKo278MA766QDob2E5xc7Cdwag2m0xZqz7y2kaYOvNKYoSasVSyPWO3J8V9MYNQ/u3+fJ40fAGXm4yUhBFmStvQvCm6ouF1rrQHvSNVaX3suqIi/FWqfIUrRSxJGIa0+nE4o85/LuJeazKbPpFIV00mlfeEm2XaJ9tAoh8Im7Q7z+AOuF6CDEWEcI/DNf/fv86Okp/PAPYv/6v8X+a9+uOYF/sE0pxfVr1/jw430+c3BIHob83he+yJ5SHB4eUlUVYQDDQcAnPvEJBoM+79x+iyxNqKpITK7znNVyzsFn5tz5ExN6Zcxf/saf4s7kNrPTKdop4m4HpX3hvs1PWSxPKStHlhVkRVmLPKtajFyTXsqYvyhWZD90+kW6V/pkxuB7PlkmJYyHjx5xdHRIFHfZ3NpiOJBF3GHwfF1j0YiX8/YlJpMpr7/xBvv7h5RGtNhu377N+uY2e3vXsMZSYSiKqr02bVmopgpoXVNDsCyTJb/ze7/LuE4SBsMxYRSLZ6TJ6+x+i6tXr3BycsJiuWB7e5vhaNgmMuLTmbVZaGOi/t736yKOZypDVZbsXb7McrFgtZyTp0ItcFVFliScqhMuvfwyZmuLLE3I8owwitnYXKfX77JaLukPevieJtB+Pe4lkZLAY4v19TX2nzxkcnyKcwgPEUVVLigKw9Vr1xiORxhneXyw38pcVNbyaP8JqzTlxrUbvPLKK9y9e1fE4utGrAZFyrICYx15LsGRpwOODk8YjQxbW1uEcUy/36fT6ZClKQ0vrLFA9DyP6fwUUxkC32dSlpiyZH1znSzLCMMQ3/dYLVf0ej3W1tbI8wqHJvQjPB3SjX3GgxKnK44OZkxOTsiynI995KN88fM/xN077xAHIbHnESqFikTtQDpYBfHKkxVuOKJIU9IkqRs8UrrdHs4p/EAR1Ilklqb1XCOcba1VrXNp0LVmXJIsefjgPi+/8jwbG0N2Lm/S6Xa4+dxzjDbWePveXXb39rl64yF3bt/g7ds/Trn3y+hdhe+LcHgYxigFq+WKk9MJcTckjmNalYTZTPyRnaPfH4o+YpqT5YXIWaHxgxhrRWMwCAKhHCyTliMqjRCiGqFrf9nzFCChHdGO82bObZ6zqqpwgYfWkSDdtSRLGL/G2vZfZXr0N3nnrU9QFH+RH/2pv8VX/+FXUYHQlHzPp0STphm9jni34kOeZUxOJqytrVGmrpXqaoSlq7Ii7nQZj8dUVUnmlAgOG0tZZSxXK4bDIS8+/wKj8RilFK+++ioHtZSN7/v4gabb6fH8zZt04pD5bMrR4TH37t5jVgv9aq1Js5Ref4BSIsXV6UjDRIPMnb9Ogs6J6LyxVesioxVkZYXnBZIYZzkEFoNrAYr5dMZibc6w36dYCZK6WCzoxDHf+uY3mc1mBDWdyikBLnzfbycWv6ZffdDtDxzYXYhh3MU/PANw4kJw9q6A8ClS4Lljv1fweL602fLznkL0nHNtWVACbleXl5pTlmXmWUGVhB1NmfkMyTv3bd6FuJ29RhtkNfuc1yhSvPt6vvs7Pf23cwjZuWCxDRwvxK+u5c7ZGpVsP/v8fuc5i1A7L5yVutrj1efeonMXvqtrA0zthMvmAOUUTjsxXsbUKKEME2urFh2TX+T+Nyrk8nvtoVcjkmX5dLn6/KbAVNjCiSVUfQWLsmA1n1EWOZ5WdOOY2emEPE2lrR1YzKcsF3M6cUDga5SV5hFrHZ4f4azGOeEhzRdLbFbgvABXq4p/5nifz7zzFtVgwOyf+jMcPn7Mf/u3/zvK7yO7enqzxpAlCc/feYe4LDna3OTSj/8EP6EV0+mUxXxBWSYoEjY3N1kuFxyfnEjJpEagi6JE9zR3/okJKPjSnY9jv5vy2/d/m+V8SehHjMYjULDMUsrKEYQx1lmKcspiuSIvSpQfgLH4UUQxKsm3ZLK7Mb2B2TUcHh5yfHwCTon3sasYjIYArNIVSZoBmjju0emckhcVYdzh3r37dWabczKZUpQVRVVRFBXj8QZZmtc+xOJP3Ahhn1fO13XZUxB2eWI9zyPNMg4ODmRk1C4jYdjF1yJ63ukOQPncu/+IR48eEUVx62ABteBsbSfWonfnE5pzKHf72Q7RYHR1IGgs3U6XUX/AYt5hOT9lOptKJ7+pKLOUbLVkY21EVe6QJgnjtTWCIGA2OcH3fXa3t5BOfeG4aq9xSwiIowjrnDRwaY2rzNn5YiiyjGS5ZGNzjSAKKevmE+oxUlQlJ5MTyrzklVde4fr167z++uukdXBmrW3pEP3BkGvXrvHw0WNmsyl5VfL4yWPGa+t86MMfZvfyZcqy5HR6KguzEVmhpnwlJWlDUlX0+/0WSSrLkoODA9I04/R0SrLKWFtbw/cjTAVK+yxXifB3C0sYB4Ras1gc89u/8TscPj7ix370R/niF36Qb379G8xOpwRKEXdjtrY2xULNC3j48CGl79GLAzwvYG/vCnfv3xcusBbbxiaY1b4vScfmFmmaMZmc1GOtNkAzBue0BBx5xmq1oCjnrNJTNjfWefzoMaONNXQYcPnyJaJINNiKwpGmS6yrWCymLJcZUdhFK480LVgtE9Ciwwe0GmpN2W65XGJqT3NQBKFwAf3Al45x16BK1ChSM89f1OILdGMXRk3REfpCUUultLqj9fj2zjUkeLWGpTRUGILo62zt/jWOnvyHPLz3WX79lx2f+uJ/xKW9kDD2KbOS4+MjunFA4Cs6YUAQBSinWCVJzf+Wda3b6XBkT7DWEndi9i5fpjfo8ejxo7YB7/r16/Q6PQaDAWEQUBQFt27dYrFYtDJbQRDUDVaaycmE+XSGs4Y8SyWBSlf0ul0R8F/OKfKcbq+HUopOp8Pu7i5RFDGdzkX6yopAsKqbSBrVhOYa1qSOem2TNavIMhJVO1PEEXGnQ+D7LOZz+rH87pzj5OSEIs+ZTadtcKu1JqibLnTtoJOfE53+oNsfqBTb3vj3+df57QJC1v7h3YHZs/f9XqjgRcTvAkL29G6uKaGefWozYb/7orn2/c88r+9RiD3/2tOonQQ+T73/XcHl+xz9XMDaoBZNCRYcWrnWDk09JdWg6pJV/fXqHpezYM42KOAz7kuL7D11Lqp+sZFgafpm1LmAU77XWSFXyRsufk178djNRyklgV5zFo6LVmigaj3EmpsoKRa2FHTEVlX9sGjm8zmmyBl2uzgrVnZFkdOLA9Evq1Eq7QfoKKYqLWUh9mzGWpwxWCUoxqcnJ/zrr34D7Wlu/y/+Gttf/lG+83f+Nk+e7L9HcvPBtqqqmN56gxf/wT/AoPi7z73Aq/cetPIxVVWRpkt8T/TPkiRh0O+zvbWJNdKNmWdTvvvn7nH48pTnjnf5ua9+if3H+xRlydHRMXkm6I1T4EchQdRhffMSURzx4PEBy1UiZHI01qsYrvd48hN3QMHo3pg7v3yPt4LbKFfWmawiqu31gk6EsQ7fC+l2+5SFkcV7dkqalcznS9Eh9H1E33CEqonJWZ7R7w+ZL1esr28wGo2ZTKZnHFDPwyiN8sT43FNy351zaN/Dcx6+8Sly0TW0Rri2SimU18ELArIC9g9PSXKD0z5Rr89wOG7Haes88FTy9CxE/mwEngV8geexWq146403GA6G7GxvsHn9GvPZmMnxMRpFt9fF15puFLG1udkGbMfHJzx69JA8L8Rtp9sn9CPpnq8FWoPAJwsDev0eG+sjbFVwcjKhMu5cUmfI87TWfgxrgd06gXIOqgqD4vT0lFu3brG3t8fe3h7Hx8fM53OCIGB7+xLb2zukWcZouMbm1iXu3b/PO3fvYK0gDo8ePeKll1/i/oMHzGutU+dkMTw6OuLGjRvcfecORVHg1WPXD0RcPU1TTk5OSGpNvzwrSZKU8XidTtTl+OSExXIlE4B2KGUJ/JjhYI3SRBzsH/Ctb36Lr3z5y7z43E1upa+xmk3pdSKiMMDU313jMEVOniWCdHkiORXFgsIslit6vT5KKfK8xBrH4eEx/d4AT/vs7+9TCfkU4VvlOGxtdbUiNLC9IwixQziL3UGfe/dECBpEuQEl2pjz+Zz5fAVuicLDWvlcVoZRnRQ1Y7BB0ZI0FUHoSkRxO50ug+FIAsw6cHYOPC3BhyRAHr4npdQmAWkkTax1dfPZGQjSdF02yZP8dxYEUjernT0GjjD8fXYu/6ucnvyveHDnsyj9L/PTP/ufg1tyeHDIYrUkjkY4K7xnhSIKYvKsZDabYzNFvz+k0+0yGAzIshNGwxGbm5tYZVlfX6MTdwm8oG7Ikut7ejKhPxozHA5RSrWc7StXrtDtiqVY6AVtZ2meFTjjcEboNVWZgRMbuoazePXadSnXPn5CkZeUhbhUdbtdIi+oveZFTk1rRVA7euR5UXcySzhlfY8sT4WrZyyB77M2HrO2vt5eX2staYMer1b13C7WmFlZoK3F93yMLSlLGQcNWPNBtn+kwK65uW2N873e0SJGdfBiz3XFnvv7s/f9R1ghBaZrw5Mm9mlML57mp8HFcqrDvTuQOffa9wrsni4Xt8d2jV7+U/ucL6U+dfQLi8qzSsfn0canuHrvtRypp36KXM1ZUKcudDY/+3PPn7dq8hd1rsyrVBvEmqdL1+eOLQvjxWM2KMq70WJ18eo72g7ptsSshDRrqhLlrCxq1pKnCc4arI2YzU7JkxSsazu8Az/EOIfyQ5Tniy2YbgJxi6sqlLIMK8NfevsWo7Lg/k/9MaI/9tO8/tprvP76Gxj7fWDmz9iKouCl3/otxrMZD7o9fjHuMnvjjfY6yIRr2NrscHBwgHOWwXBAFEWUZe2/23PMP5YC8KHZDfpFh6VWQsJVMF8sSVYJRVUQ9brE3T6LRGQRjo5FFNtKRI2y4r2bXF7JvT3RfP1Xvo6/sHz+s58kijpiBZRmUi4xVe0TOWZ76xJ5VpCmOaBJ05I4mkvpoy7fCvfVo6g1HJXWKM9nOBjS7fY4Pj7F1D6+uunWpvZMVqrt2nPOMR6PmC+W+L6URpJVKiNNexRWY0rH44MTtK9QfsRoY5u1rR3CuNsigY3PaDPG3i+ga8ck0u2unCMvCmbTqSCnec5iPqMXr3Npa4PRoA91+TpZrXhwXzpnu30xpgeLc8IlzXORcQq8kEYL1NoKP5CS0ObWOtsb22xurhGEHkmaCeqtvBqhq+pGGnHkKM9VTBwC5GutKcuSKIrY2dlhc3Oz9S7Vvi8l2vkcYwzdfo+rV6+wc3mX12+9zmK5ZDabMZ/NW4mJJjgoy5KjoyN2dnZ45cMf5ve/9jWU54lUkZPS0sHBIaenpxjjqMqKNE3qRCVlPBwThV0SrUS2J/RRWlEWJdpXBH5EoAOyJKMqSrbWN0j2rnBpa5NFInqWzobSVaqg3+sQ+B5ZXqG0dC+WlWG1Slp0Upw9DFkmki4iOdOVhg7Pww80RZW1Vk9lKej1tevX2N5e56UXX+Rg/5DZSjpuwzA6e2aVqhsyRNKiaBwjrJLqhDOiHVnzs5oSbBNwGVf7xWqfMIyJog5laUhTaY5QSBKjlcL3BLVqUCyZR8XfWwJGW6+FZ8mS7zfl/kYS7Ow/qQJYqsqiAkGuZBiJG4Knf4ubL/4llot/l7tv/wi/+P/Y5pNf/AWs/3pLoZHGIJ9ep0vghZjCEoURlaXlA+/s7DIardHtDnBIx+nW1hbWOFaLFZPJlCITmsRoOGQ8GlGUJUmStOtrkiS1h3uHzbUxvW6X/SdPePv2bcJQ5GGsca08GGiyoqhtUn3eqZOQMAwZjUatt/l5fUOZa1QbPHteQBzLz6qsKKqiRQ79IMCUFWmSMhxUUtWyIqe0WC5wxopeZo3INfuJnWgpVcU6qf1Db56QL3cOgWoaEL5nDNa84Qw2/t5hm3s/QE/O5dwbLgYB79Hc0UKoqp7kng4yWxzvqf3OfecaKGx43OrCB50FJBcCxadQu6e3M7CutlxrZE/OKEU0GVb74efOtS21PI20uae/3/n/q/aDHYK6NdelQdXUU/s+fdzzJfbmepyXrWmu1dMcgfNH005deINz1KbU1IO+cTZp0Mbz460BxM+ObK2lzFOwFY1Fk2g9pXhaYbGskkz4NLY2TfIDMUlXGqV9KlvLpCDZtqcVnhLdxs+fHPDKYgYb64z/1X+Zv/e13+U3fv1XOT05xnkR77VZa3nrrbe4e/fue77n2v37fOXOHUql+fc/9BHmvt92IzcPubWGvLQkWUGWJkynC5Jlgqc1ZZlz68/eZ/+lCR/JX+CvvvNPcVg9RLTuNM89d5MwPObtd+6QrFKcbyhMziqbUVXic2odkt3XWdBx/5j9l/bBwaVf2qFIc37zq7/D9HiCUpZ0VZCkch1za6lMxXK1z1u3H2KtcIS00ljn46wEJpag9duUrj0P7Uk5NQpFj5AadSvzCpyqZXs8wIKmlqcBPI2nQ/auXeeqF0hgaiBNM9Isp9vro7Rk8Em6Igz8Go2ouLS3h9NnvpxSKnSIHMSZ5uOFcuyFSkHzLMrfktWKdLlkc7xGt9PB9xyr5ZJksWQ4HBBGMZPJMfv7T8izHBX4pHlKHIsjgrWWg4MDCXKVxtb2XK4mGVRVhXUVp5MThv0ha6N1ur1uLdJqpFnE1UmaJ0LFa6OhILlFIQuzc4RBxO6lXQb9PuB48uQxq1XCxsY6nU6fk1MRi06SlKIsmZyesL+/z8c+8XG++IUv8tbbb6Fq0v47d95p9TAbncE8y3jttdf41Cc+wYsvvMC9u3cp8qIWOx6wv39Qd56CsRXGVjWaUuIc3Lh+nc2thEePH7NcLVC4uoPdMBx0CIOA+WzBN7/5LX7o859n59IunW5HPI7TjAcPHnB0dIjvKbavXKYqDXmW43uKNEvJshJrLL6WcrbnB0SDEL9GXEU3FCmlhSHWFDjORKyV0uzu7nH1ynMk6YIscxyfLHAaZstTwiBtNTeV1oSdGKcV0/kcpaQk6azGWlUHZCHWin9pWUlnvmtAACvd6b2az1iZirwO/pTWLcUGVIugN6VB6qChqoo2eHSu7jwNQnCuRehaceb6WbAWNFbE3p0lwGufBwW1BqZlcvyAlz70HxD4Pm+98UV+7e/8Zb7yJ30+8Yk32dnZQivH9tYm165coRP1uHf3Hm/depuTJ1PCULzTBVFWTKenrJYJvV6vDrgipqczlvNlzV3WWANFXqA9j/FozHAwFF6scxS5BEqLIOBLP/IjbGys8//9pV/i937vd8lNRYUjjDs1clxRzecMhyPyLOPo8IgoioijHv3RCKU0WZZiPa/m+RmUV3uyt53kPp53tu4p7RNoaeILQuHGFXnJcrmk1+3hKUizjCQVUKFB+5WvpVs7CLBOpFf8wEN7Hkr7WPeHoGN3ERFqULgzrId66mmDnHftf/Y+mQef3vc9Pvd7BHXvB04615T+mqBB/lNNsbF+aJpa4kU+3TOOd+536fhsMCoJMtpr9D0D0eaXZyFSrdyqXDTdkF0bNA1cLQztae9ckHcuxDtX0nyak9Zw4p71rVpS+lPf4+LZPeP7XIDaOEME6j9IgNhEwOc/+lzwhwfnrOUkZlX1+Uqg2wa2T30vpRRW2XosyKJiqoJstUSZikALYuNrhdaOIPBxWMqqpDIVkedRVBXzVUrYkQfeFIY0z2venyzwGoOzBZ+dnPBX3rpNNuix+Pn/DXZrxN3/4TbzxZLCKHr9tRr9efeDWFUVf+Ev/IX3VRHv5jkRcK/T5UEUUBkR2a4qsbrwgy7GGYoK0txycHgKTnPv/iM6UUgVl5y8NAcFn0w/TLfsEASh+CMHMXHssev1ub9/QjFZENgIV2gorRD0nSbQAdoPsE6aYB7/Y0/IRznd2z3GvzrGVuL28dprd2s9ROHwaM+j0hpLiDEWU4nf4/JkVZeDAhTiViJjon4WLRhrQAkiKsKjXbCKMi+xVS1Srn2U7+NsjqHp0lZYLTyYk9MFl/eu0OmN0F7AbL6gW6MUSZajPE13MKYTRYSBR1Vl3Hz+hdruT8bbYrmSkp2uXXFsVZ/7U8+Nc+14bMSLNIpkuaTIMjwgWy3J0hW6Rtw0jl43ZrWaY6oMZ4vazcUxPZ3Q6XTYWF/HGsdisSTPMrSylGWBs0bso+qky1nhxS4WC04mJ6yWSd1FHKEQDUcDbGxtsrdzickk5OTkhKoqCcKA7e1t1tfEI/XwUIIseZ4cW1ubLBcznCkxpYiSV8ZgjePWa6/z5R//Ml/64S9xeHzEbDplOBiyvbXF8ckJOBnnzjoWszknR8dc3tnl8Mk+q8WS1WLJk0ePhUekNVUlDh9h6NcBh6YsLfsHB3R7HTa31uj0Q7IipaoKsWLUPs5plouE3//aNzG54Y/+1E/R6cQ1d8wxHg7RynDfVcynE4rCYJ2lMgVFWmAKsEbh+SGmNGRpSlmUtdF8yXK1IC9zsjIn6EQoPAJC4SE7CIOY9Y0dnOrg+ZrX37jPk8dH5EVOGEVsbYbouiPVCzzCbhe0h0HWLedsnYcL700TYCpFWUBVCoptUaAEVYujmDiMwYIpzprIvJoD5nkBjSOQOFNIE0Ojd/c0wKH1mftPg841qHXTDaqUo3JlO5v71quDd4eztl4DNc5YjvYfcO36v08c/8+4/dYP8+t/9y9z/cp/i1q/hVMObXpkK49+3Ac6FIXm+HhOVZZ1Z31Vd/PW/rkG8mVOHHfIVwW2BK0DFIoyN5wcTXD1vKiUotvtijOLUySrlIePHjFaX+OHv/RDfPaHfhBCj29/+1tkaQpa4wcRnu8YK49ut4MxhkGvQxR1CHzpqi7KAuWkuz3wfDSKyllJRoyU1ns9qVgoJch4EIqOXa/bI4oDRqMhQeDz8NFDZtNTrl27ys7ODkdHxzx8+JAsS1vbUINipHyyNME6gx/GdDoxVVX+4QR257eWxF9vTQbTvObcU4v99zreH+Qkvp/NneFdz/zcOuA4C+j+AN/hfKDR/PE99n/22ZxtDdRLi0oJqnhWNj77vGd11j59Xu/+93uc1zPee77p493Q57vO/Pzez37Le0bwT26NL2IAADcGSURBVJ/L2R8/aDm+DXLreyf+fxCEAaYqa8RN43serhahBQeedMD5vpR/oyhkWSWUZYHSPsY5PJFy5VKW8q+8fpuBqbj9l/95tn7yh7h/5x7r6wOsq+gPevzQD3+JX/zV34Dlqr5HQsJtRGhPT085r5HUILvOSZdw6ASb+f9cvsy0LvvAWQm6GZee59fdhgGe7xEGIVmWMfn8gtOPLVmrRvxz0z9D4IMpK6qiYpWtsEahvC6e9sQ83Yo0kDUlTmmiMAIdYYGiqojjiGq7AgWdrEPHdCgoar21ola+19KJCDguBm1tSORqrhFniwm14fj58ay1vN7v93HOslol5LU5faM/p85TBVyT/ChWi5SH9x7jBQHrG5tsbV5ivDZmsVjy8PEjJpNTqjKjzAuqMsfThkGv3+qEOcuFue2DjjvVcAmUpDJJkrGYzWoJoQrPU3ieIi8rIiNewKZG1JTTWFOxWi4p84xed8DG2hqjwVCkeCqx+UuzpLZHlCan4bBPHAUs5jOODvZb0rynfQkztQd+SLpK2Fzf4PLODp0oIk1T4jimPxAU7+TkRIR69ZlWp+fJvS2fQapv5DRKa/jN3/ottOexe/kyn/nMZ7n1xi3u3bvXavN5dfl1bTxmfX0dZ6S8/PDhQ557/iZXr15ltVxydHQsZPMgwFhFaQqeHDzBKYvyFJtbG4zGI/Iiw9MwHg6ZnJywWqYMez1uv3WH3+j9Fl/64R9GKYd1Fc/duMHVqzscHOzzxhu3ee3VWxweHYH2sJUh9KM6IYGqyFgsZoDQDqwpsUaRJqKLV+Y5VVXia9CedHkrL2RtfZvKgPYjynLByeSU1WqF1orJySlpLSMjmqFatDfrZgTVDDhHHbz55HnRdocqLTpz2hNOYuNZLpZ/1YWgrOFtnQ/OGmtK0YA8H7w1aJ4R8WbnSVJWl2XPryvWOfGxreeghr+nasUDAF/LXFYWJYcHd9ne+Q9Y2/g9fvur/wr/yX/8R/mpP/mYD334AVUOjx8eYq1jtVpSpAWD/hBrpSwbBAFFWQji5hwan27cJUmkucuhUcprPeer2iCgsU6L47gOcrU0RHiKt956i8OjQ0Z11/vu7mW0VsRRzNp4zIsvvcTR0RHf+c53yNKM4XgNUd0Um8Nmrm3KpwAHh0ecnJ5iTNG+3iCeYRjVVYUApRWeJ3zInZ1Lsm5bx82bL3H16lVu3iy5cX2fx0+eMD2VsWIcpFlOmokQuLWGKAoJAq/1Pv4g2z+C3MnF184HG083CzwdID2N8HwvPbj3CpA+yHZWIn5G0POu357++axTUe+5R4MkKS5+x2deu3OvvzuAFISruabnP+WMP3f2X1v+bILA971X7/nSM8/lXfy29woKz/18KrylQUrfb3PPOLlnScW8/zEarhw1pyMgU4o4ijBa0YkjPKUIPQ9TluAscRQR1xwP6yxV7XaRZinWiq4SSmOdpV+m/HO37zCqDJOf+hE2/8k/yZ3br/Hrv/YPefXVN1guV2xt7fGJT36C3/wHf5/XvvVtbt95i+PjY+7fv893v/OqWDqNxzz33E2G4zH7+wfM5jPWtza5c/ceXzSGf/fufQqt+e2NzTM+C6A9xaDfJ4oiJqdiNVNVFb1OF09ZxuMxUyY8+SdOcT78kSc/RPiq462332Q5m3G4f8R0MqeqHKXxOTma4HtSWrJ1dq89nzCKwa8RbaVwe3DyeekO3PnbuxIL1yRt3/NocOSqrPAJcKoR9ZZAtXFscE6yfOvMU0FZjXzV5cOqqlppgYa7VRSZLCS1faBSQl5vOJ1W1Ui31eRpgc1K5vP7nJxMubx3hatXr/K5z+5xcnLC44ePOT09pVQOZwrxT35qZH+/U47I7Mh9SrOcyjmKWpxUB4K6WiN/ww+wSlFah0YcaJps31rHfD5nMjmlLCucM2hlRbplsIX2mtHgBAnwfI6zhCJPpCSkNLppYlKC7EdhSFpbxPV7PYaDAZUxTE9PmS0WlEVJWZWttSGqoS7oc7IZco55kRNEIn/z9u13xH4pDLl//wH9/oCPfvRj0lTx8FH7/J5MJkxOJzz//PMMen22t7ZI84Q4DNne2kQpxbWrKx4/fozWmul8SVFBUUo3tDNwPDlmbX2N0XhEL+rUunUDgi2PQCuKLOPb3/gOa4MxX/7xHyHNliTLBZ1uwPWrV9gYr7G5vsZ3v/saDx4+pipWRIFPHIodX2VLPCpQmrgbEfoa40SrUqmQTrfLfD7HC4IadatwKqDTHZCVWd3YEuB7If2+R1kUInzcuON4AUEQspwtueCXrurZsU4oG56bzDuqWQraayk+5OUFWRqg/XdTSj3fCOF559eEs2RZuHYiU6X1med58/r5n835NuuM1h6+T9uwpuv5wBrHfLYi6vwKcefPMJ99CN/9Wf7szz2h1+9Jd7GTzulksWQ1X1AWBbPZvO1uFT3OCFM5oqhDmqQcH084ODgkS3M8X7hyWqQFRUdxNCYIQ27dep0kSfjc5z/PjeeuE0UhYRhgbcVkMmE2mxLFEaPhiE7codftsjZer1FOS6MreHw0oShLVkvRWzXW0qk7hDc2N8nLijRNMZUlTTN8zycIxWdWafm5slLVPDg44uDgoBXjXi4z5vNEUMbeiJ0djyjqsVgsWKYpxi5qaSNTi+ZLQNusBR9k+/9D8wRtAPIskru8/j6LcxOVvNexmw94125NYe6DoU9nRzsfVEpI+TRp/2mk6Ly8wfnNnp1hjVS4dnKv5/nv+3s9fZ4Nb65pDWgQt6a7qSkp075eP6zvuX1/K9a7ULz32d/Wpawz6yX5vBoEfdeeZ5dTnQu+32vMXHztfBLRvIrjwt8aaRhlHb4SuZMoDDFVySpZEXoevTjG88RVwVSGNE1EiNk5vMBDK4tGE1QV/9Kbb/PF41PSP/Zl3L/9V3l48Ij/53/6n/HGW3eoDESxiI+uVgk7ex0ePnzEt771bYpCygnjtTEox+7uJfqDLmmyJI4DtrZu4kchk6Mj/uK9+1wC/v7mBk/izoXr7fsBa+sb5HkhYqqeR55mBJ7w6mbFjNf+jXtMPrlgO9ngR7/2Kb79rW8xOT6k1+2QpDkoj1WS8GT/gLw0RHEsJQZnKU2GMwYVaMKwg1aaAAWBo4ploUgfp2RJShiFRKG4JDTXvKqq2qfUJ4xCytr6q7n/PGOOOE99kEdPgkycZT5bsFwuKascY0pAupKdsaCs0BQQ0EM4P1pKus62ZdTJ8YTVIuXo4IiNrTUu713m05/+NIv5gtPTYyZH+4yHw3YsGmNaMnY7rs6d68Xx++5nwQJZWeJFMb3xWu26YamqHFeT4EsLcW9Az1jKIkc5GPQHDIcjjIWT4wknJxMJ7GyF1hLEhmFAGAb4gScJSSfG+Va6NJ0VCZ/a3cXzAuJOh/5oC9/zOJ1MmE6nhGHI2pr4JBdFTlnmGGuoqhIdStm9KDL5jDhiMqkDBKWwSgjtH/rQhzBVxclkAk5RFBVpXvDt73yHT3zyk3z845/E0x4PH0gnd5ZlHOwf8KlPfYo8zeQZo+L09ISdS1usr68TBAH9fpeDgwOWqyVJnlGZEuOqGt0OWCUr0Ru0jvvv3CUOI7Y3N1DWMC8tWINGM+wNeO7aHtP5MXm+wvMUuh/ziY++wgs3n+Pg4JjvfPt1TiYznuwfslytqGyJdqUgJquM1SoVXqZVaE/XiSDgNMZQBzBLvvvq67z48ovs7x9y95136iYhQbaq0rRzlliF9VjMVjR2jVrJ9dZKo53MlGEYCJ/PCXdO1pSz+axpbnj6+Wl4c43AcFMRaJpjqqo8t0af7S/yHfD07Nx2gqPbgELrxvpTtC09r6a/WIM0/FjRINQZaVZw5fr/mTdf+9/z9/6HS3zlJzU/+3MRYRhQFNLNHwxjht0B1jp2dqq2I7vb7YjXbNwFpYk6PZQfEsZdZrO5eL76Pt1OdEHmBMDzA7Qn/LbBcEQcS0e51rC1tV17oUsjncjhaLrOsra+0dJjlstl7dmek9eodVmWNI0sDs1oOCYMImk0quk6whNUta2mQSlJUn3fwxipfGjt8c7dezx8/ATP8+j1+vU1KUU7tGokk1yd2FnR6HQOY/4QArvvhZy8VxD0rICvGXi6Jse/f8nznMBuvX0v+YHz2UY7kNsB/X5o3FmQ+u7XnjWJu/Zw5x9AOAs4n3ms5tze6zxahOM84iifomqS9tPx8PcBbL3v9n5lKIV+ivP41DVRdXr5VCn73Omfe6u6cM7qQoB6cTy9u9T/VBlaCcQtgbp8tlYQBNIMgbN4WmHKCudpPBxh4NONxFPROtXqE9naeLg1wsaiTMnnJid8fjKl2lhj+ef/R7z2xi1+7Vd/hVuvvklV+5T2eiG9/pAHDx+zvn2ZJMu4/+ARZZGzs7vDzu4uvX6X0WjI/Qd360mq5ObN59na3eVfyAs+P19yv9vl/3LjJpU681AGKYv0+gOWqwNczX1TdTjtKcX+h485+Nwp/bzL//K3/wXUd0qeHOxzOj1hVIzI6maL3DiSskIrHy8UQje+wijhuSlT4iqfwA9RnubklVOc5/APfeJ7Ic5YijzHGdH1Er0rj363x3A8osKKg0QmJueuslRFUV9T3bQtX0ARmrHe2B9ZC4eHhyyWCynDVoUgilYG/pklmBKZHAeOuomr1ttCaTytqKqS09MJ0/mEhw8fsr11iWtXrnD18h43r+2xd3mPJk1sArtm7J3XgHyvKoRQU12r1mMAHQT0IuHphIGHrr2KPQ1We4zWNxlvbICzaFeX6dKcyXTGMi2xBOggkPI4JQbISkNaCIoXxzF+3GF9FBJ1uvRHI5yFMIxFniGKcRbKypKlKUkiAXmR56KGH0Yy9ttgQe6DNGAULRLSPGdin6QYDEasra1xMpkwm86pSoNTDuMcp6dTfud3fpfLly/zwgsvUuQ5h4eHDAYDxmviQvHkyROcNWjPsbGxzqDfp8hz6Qw0hvl0ynx6SmFkAZZYX4EL2Vzb4mMf/giP7t6nynOyquLkqCL0PELfZzxcY300Enssa5lNJiyXp/QHHXzfJwoC/F6H7tWrjPtjgrDD4dERX//G13nn7tuUVUFWVEznCzqxj0ZK5iKT4USn0FgpsSmxi3rnzdtcu7LHbHLKbDpHaxEcVlpRleddHHw6cY/ACwW1Ug7P1/haeIbaaTxfIKjA94U3plXt9vPuAO5C1+q5Mdncz6Y06Nce4Xnu3lVSPz8pn6fdPD33KvwLEihNSdA5h2sRQmm0sIWhsuLYEHd+i9H4lzg5/jn+539tE1Pe5UtfKqXkD/Js2TMEMo66LFhRlQ5FRVGtKE2d+Pk+g/EYHYSS7BUlaVYIX9pKMK1qlySH4uGjx2R5UTdIeHi+Fv/VKMLzPQLPJwyj2rLQ4Qchvh+wWIoUkx8Eou1XN4KZGhEV/cWKhsvoHHUARot6Cu2mCexqvT6vCbQd88VxKxbeSiQFQSvKL7xIgzSTyLwijksfHJT5wIHd+y34zaR8Pqg7b2r/9Ha2WD8Dxnn62Lx3oNUuxM8Iat4FJV94Tx18yIHe/wTe45yA1pqsjTrehfz9wTZXfydB3prA7jxid3HBb95zdj3fP4D9oEH601tjZ3buU59+x1lJuj0/1b7y7sM+Va62H+ycn8UtdK42UXdnyGmn0yFZrnDG4AceyhpsWaGwhL5HHIaAIivPNJykFGVF/NYTvttnnhzyL9++jR0O+MZf+qdRnQ7f+u2v8fbt+5jSwzkPh8JUMoEfH59w7/59lquV2P9kKQ7YvrQpqB2OMAoYDvuAwg98oijic2lG4ByPOh0O4i7OqfY6Omcx1hBGMab2/u13ewS+YjGbsigXPP7HT3CR43O3Ps71R7vczd+mtIa8rDg6PWVVFCyznNP5kkVWMBx2UEFAVspCriOfMq8obIXLM5T26HZ7LD/6BOc7wuOQ/uMeaIetLHl1hh44J2XZjfV1eqMhDx8+RAGr1Uq6HK2VjPZ7PG5KefUC49jf3+f27bfwfY0xFc5UWCtexMrj7F5DjQibOgiog0NkslVIkwEWcud48ugxs5MJjzfWeO7GXo1ByIkZY9pJ94NuknxZVO2MMjmdkFeGS5e2ydIU64zwowTeQHmKhoYoX0IaAbwooD8OiXvrYulmrDQL2IyqKuoFUIzetVaUzscqn41Lu4w2tmvE1FBVhvl8QZbmFLk0MTR6aD4+WZqRrFZoX3hS0nUrPqSNP+np6YSiyFvelnWWTtzjlQ+9Qp7n3Lt7TxAppUSB3xfe2mqZ8NabtwkDn5s3b5LnOb1ul9FoxIMHD1gul8RRWFcZhWs1nU5JkoQwFD6pxuEhLg+mRi+0c6yPRvzg5z6H95nP8uvr69x+8w2ODg5ITcWV3ctsbazR73XAGiI/IE8TVosZpkqJopBut4unI6rCEPkenla89PxNtrfWODr+KNpXlMbytW98mzt37rFYJKRphdYhygvoOo3yRG/RlMJJPD065O7tt5lOJ1RFSX8woAylqaEsTDtfijB2H88PZYx78rxoJSXYXtQlCiMKU4n8h+8LkmpMCyCcXzcvcJ+5mGicDwDFe1j0D/Nc0NnmPQ1ocD5wbACX86VcVdtbNRWhoijbdU8rV3vJ6rMYoXIEYUBZVWxf+hv4XsjBwZ/gP/obYx49vM1HP/qIqEb8PU/EmBuh8eVyyWq1knNVkBtDM7U3osvUjXSuqnDW1ImIUG/K2g3idDpj2SZoDq0VYRTQ7XbE5xXdchYbCzfnHFkmXN6iLCirkkYzwzqLdRZTcx997RMEUroV315BZ609A2zkesjaUtXuJVpriqqkyHM51jmERjUViLrqJjQTSSjebz1/1vaBA7v3m+zauOZcFvF+wcN5xO39kCs4N3Ff+Lz6QvDszzm/8J/JEjzr5z/CppoAxtWE8ItlYUVj3vXuzZ17zzNfrxeKJmARVFMG6PmB8PQ+EthZlHq/Uux7f/fvHfA185Rq/3B+D2sdRvGMay8/9Llwz7XcqrNjP32r3wv1fVbCYJ1rjaxVjRr6vo/vexRJQuRFeDoArAjbel5N1HZUWV5fO5m4aIjLOMZVyT9/7x59a3n4p38W++lPcfvW69y794Qst4RhH1OJUG6WVrx9+y5+1GfxjW9yfHIsi4CxzOZz/NCjrAqqqmS0NgIHZV6xXC3I3rrN5ckEB/zmeF18Wts6plwaz/NFZysv6HQ6dOKYKAooipQ3P3/M/JMrtqbr/MytH+P+vQesshSrIC0LJpMp4ItlWFmhggCv5h6usgwv8Ih6XXInxGynFKExeBse04/OANj6nQ1CP2jHvK0XEK/J9K1lNp2RlgWbm5tcu36N/Sf7PHjwoNWBKgyYZ46/82iYBiQQOTjY59Klbaw1LUIgn9mUeF3zINL0YjvX2CR7IteghdvinCbPcow2lFnObDpBubIlgbeTcN1F+P1tZw1laZbjByHbl3bY3z8gWc2prBXbO8SL1zok0FNKmiesmLEHnYggFgREFleLUkV7fdw5woOnBWX1tMILfXQQoX3D4uSUw5NTnHF47twsVOd9pqqYz+cMxsP2O8NZ8l6ZisViTp7nrRl9EIRcuXKFMAx54603SZIUlMKaRupKSTOPNUSR+PRubqxz48YNglojbbVaCX0gzwmCiG63Q5qsKPKMyckx6+vrvPTiCwS+Jk1kcS8rKX0FYczu9hajXo/tjXVu/rP/NN/+5jf5L/+L/4KH9+4yn0948sSxuTFib3eb7a0x3U5MkvhYU7JciPNApzNAu5io7sAti4zxsE+361OUGTqIWFvf4Natt3jr9h1u374n9wafVZqhKrCmQpmKOO5gneXO7TcBhw5CVssVptXFOxO5NkassspayqXTiXHWEfhS8o6iSL6vETTWC3zxV81zQYzMmSm93BNzzo1AXVhTz1NRpCwfEtbd9a4603xsPFCVO+NzPytg1Erj1Rw0Yxph4zPXFynZipNRZWztVGQI8LDeir0rfxPQvPHGH+f/+B9+gj/yU7d4/vlbMj97AgJFUYjn+YRhSJ5n5EVBhSMrS+muN2d+rTjVUhxczRP0g4AgCAQNtI709FS+o7PtM4OCMJRGFE8paRJTqm7+OgOlzmKdhvcrc7FrFuJawy6OY6IoluaOsqIyRqoouml+PFe1q5Mr6yxllVPa8uy6NaCYRSwsaqROinPnFsanXQ3eZ/s+ELt3B3buwi/nS7BnfnTN7xczCiEVCsCk3ncSdW3MfPF4QCs0fHGHNsqs9z+Pbj2Fcj31p3bgUMMBPLsM054H1F6qzzhWMxBQdSX43P6ubnd/1hduP0u1N7K5tc19bnGxdwXFz/rbu47+vq++324OxRlrBC5ezzqjaoOrp15VTh7E8+ime+qCPXV/RLZADqmUPTdOzgb7+YddPrcOJuufSjk8D7qdgE4s+meBrwn9kDCIWK5SCVA0iDiEuHZ4zvHZ/VP+8YeHbOU5b//gZ8j+6FcwyyX33nnI9DQhLzRBPGBzfYusLJlMp6Sl4dGTQ44mE3zfw/d8VuUSU0EUhHQ7XR4+vM+dO+/geSHWaYy1vOA0a8sVifb4zmAkZW+aCdciJcGKO+/cpkgT+mtjsiJnOj1mOZjxzX/ydazv+OKvfBL3bcOrb7xGHIX0+n2yxJClFi9QWKtxShYT349Qyq+twBzD4VDIwsbgKRnh8UbM8rLY3YRvBFSqBOWBE9K/OHEoRuMRFjieTsgnBYP+gKtXr7B9eZvh2oAkSTg4OOTkdEmSGBneSnTpiiI/s2xywi3ylMbzAqaTGRoNRgKg0uRYW2IseM6dBXZIiVfU3lyb5SvlcEqQEUuFVkpKHEpK9OPRkG6nS2MtWFWGqhRZD5F+kYVBxtJ7JKxK0jhQpGnGKsmwTnH33gORQfFDSgzW2HYu9J3CuFq82ymsoZ7gz9wAqBdOCM5YDtQcQhQVDmcrrKpJ8trD72jWtyNKp5hOplBICVgph440vq9Fm9GzVLZqeV5FLQyttU+3N8ALY/K58MHiTofLe1cYj9Z4/dYtJqen0i3pRNPLq/1TPS8giiKuXr2GUnDvvnDsrl+5wnK1lHGspUO7G43ZHK+j0aSLBcl8ztb6mB/87Gd4+YXnefTwAcfHR2RZinEW3wv4zMde4dLagOVsijEVURhweW+XVbLAOZiulrxz/z4bGyM2Nnvy3HdjyjKjrHLSrCBLC0bDS/g1b0w5g60skS/dyVmWUKUJ/djj5tVdrl2+zHC4xvrGFmmaM18tWSZLsYczhqIq5XnxPIK4SxB1Ga6tsUwS3nrrLe7fqblfWlNmKXmyIg58oiCkKAqCIML3QparhKROMIfDIZ1OSFXlFIVBIf95XoAf1GOinjdMm4ScdcU+a3i21nvnqmpN0O7q50jVg0xKwzKv+r50iMr8XluqNWie0qh2JRNudWPhV1UGtJRYOy7lyvX/EyjDyfGP80t/98/wE1/5z7m8902ZZ+pgSHka3/ewtUh3Y9uo6o7hJhg11mDqdddZiQ9KW1IaJ6VVoCgba0jTLME4RMLK87zaflHsGxs6SUMp8evOWq/uzmi08zw0SgvtwCKuFWE3xs4grQpiawgDocc0a5xIrrm2w7mqKw/KSVWoqTQ17jioMwWMMwynWUz/EAI702a1Z9sZ1wmerrGcH0jNIDqT67hobv9+6JlDLmSznR+Y79XUcLY1E+67j3q2Xx0IXbhm5wPB9+oydS0vyz3jgZIo/0xQ9+ntfaqOF86xOZU2AK5LGO8qNT9j1+957O97a8qp774f7WEdMlCfzvygDlTtWaZy4R2ad9+r8+VbdyFjudAg4s5IvedOAucsnq8JoxDtibq7p0FrQfPCICQMDKgM6wxWWbrG8HKeExjDX7t1j751zP74T+H+p3+ROIpJ9veZHJ8ymczJSkfQC7h07Qp3792nsI5QKW6/8w7HJ9IFOBoOOTk+ZnNrg35/wGg4JNvcFGPoecIqK0H5/MnpFAXc6ve53emLYHPzZNcZn7EVs8lEtJICeZ4WywXf+crrJOsZu8dbPP87e7z54C0ODo7odmKK0rJYpOSZwbeicdbp9sgLWS4Cz8PiqGpRzzCUUpWnRL7k+AsnVGGF/8Qn/IYv8hO1AKpzAjvFnQ4b21scHx+TZimFLUizhOn8lMFgwNbWFv1+n/H6CEuAHxQ466TcUZY4ZzDO1NmxeA5T0zlm0ym2rPBqPTCHqf+jvd+N5wn1lNosM7JYuTrfEUsqx1knrQLW19eIo7A+dtNZaFuCfCOO/T3TJSfBTVFUZFkhgcZsjh+EBJGPryVQ13WqaVD1udC6pqCEU3U2JZ5HHM7mkfPPoEVjAR+PSgHGEgUx25f2GI02SGYrTo4PUJ5FaVtfA4h6MZUVyyRr5QpaC2vra1za3aPT6XByMsHzA/auXmX70g63336b48lE5janUZqWz+X7mkuXLvHiiy+yvr7O17/+DQ4ODokjIZgPBn3W19coy5L51LC5vsF4MOLe/bss5wuwhjgICDzNxz/8YS5vb/HkySMOD5+wXC3o9wZsjgeYbEWymLFcJdx+603yLGN9bV3QQN9nsVpx98Edbty8zGAYU9mKwpQYa0jSlMViSl7I+C3yijAK6fc7RJFPEGoCT6NsQTfyOcqWGAOuG7OaHRN1Ojx3YxfteZxOT5kvF2S5dAl3uz08v4PyY4I4Au3xoVc+xO1b28wmMBoO6Xe6LboqwrsBQRCxXK04nUwxztSyO47BsE8UhaSpwtNKHCciH69GuKyzddDimhy2DXxkjLhWosPzNH6giKIIpVTLtWtLr/Vz01SFtBZki/oZE0qAkSCybohq5vkG1JGu7kCSo/rYxgilKMtLAjvj8pX/kI3Nr/LWG/8Gf//v/Xl+9MuG61e/i3UVToGyWhxTpJMEZyXJaWKJ1iNaI4iY8jAN/q8UpTHYuou70fhTTRWmnhWUVjV6Jk1Y1tpWwBkrmoCVJ0mK59U0hFbQ2asRTBG4r6zB8zV4kOQJIzXCKg9lzuKBBpaSexBgbIUG/Do2sM4KfaRZ4hSSdDZX/w9AFYN/BI7d+Tq+IDUXT6Btjqh/nod5z5fY5MK996TZ5MtPb+eDxqf5BefedYFw+K7g66nvdPH1BrG7GMScLzXbZ5QIz//+rCD0wuG/z+3p0vV7cRjff/uDBnWy77swQvfsf7/7vOpCmRXkT57kp0/pPQJGeCp5cDXUff7oLYYH0AbdURQJv047TFtqsmhPE4Q+kQmJMh9bGrrO8VfvPOKnjqccRAF9Yzn5o19B/6//NU7u3uf3fuUf8PjxAY/3D8jLirwoMYs5TgkXbry+xmK5Yn9/n7woOT09YTwacvXqFcZrQ9I04RvfuMu1a1eFsK+PSA5O2LWWz6Ri//VLm9tn8+Uzr6Bc207cIUkSlsMV9396H2UVP/rNz3FtdJXff/P3Wa3EnmmxSlmtEvnOVp7VIAgpnKXCUJiSrMoBR25KlK8obUmAR7gZ8M2vvIrzHOP/ekh4FKEjXZtTy4QXxzGbm5vik+kcRVVglRDMm+f+/v37LfE6L2BtbVt4MKcTrK2oKtWK47pG044mVFet5EZTMnyvrUl8GgTCnftP/IPfvU+/37+gD5XnuZD2XU2WbhPX9/3oNkFtAtaqqgTZ5OzZl3FC+/0uItDqwvk+dXRJBJtn//zrut6nvjS6xvKUVnT7AwbdEf1Bj1U6I89XKEzL98oSkekwlfj99vo99vb22Nm9zCpZsb1ziTCI6PR63Hv0gKPJCaW1VFY6cK1zrciwUornn3+eK1eu8Oabb3JwcICpDC4QT8yre3sUWYqpKrSzrK2N8f2A1TIhy3LCMKbXG0hysErYWFtj79IOypaMBz3W1tbZ3tigG3couiWz0yn379zh+OCA4XDAYGtT7OyKnOl0xnyxZLw+oNPt4fnix2pXuVhwVScEfkSWlbUWY063FxJ3QgJPoTWMRgNu3LjKyckUz4fF8pTZ4pROL2Iw6PPS8zdYJisePXnC0dERtx/eoyw1YWcInodogayxnEv1R6kHLJYLOp0OAHEc0ev1sRam0ylZnuEQTpxzjrIsCQJx9VitVqJ3qFTbodmsvVpr2cdqnKsucNubRgrpzIyIwhiFaOlZV7YVMwlWmnVKpDXk2RUbMVMfxxjTInuKs1JvM/5VLZHjAVVDadCQpjlladC6xPd/nb2r/x533/l5fvVX/ml+7Mf+FntXvi0ri7US02ik493JaLZG1A2sOtPhE69w2+bzXt1BXFVl27Ti+QGaurfKOpSWpFG1ncC0KKW1trX1asSdmznr/LX2fR+lNVZRJ6WWThyTZqlca1WirK5loLhg0eb7Hmlm2uvWBI3t9fsDBnHP2v7AcifnB4+r7Xee3s57q5karn4WD+/9YpMzX1fZmknk6XNptvd77XsJj168uI6Ln/zUMdXZPs/6rKfP53ygh6NduD7odsZbOzuj9wqq3v/If9DArilxnp35e/EbL+zVfn8u/uRZycDZ7+++V/apY597s3I41R64Xdydc4RBSKQCtK0wppDAw8pkRV0K7Hc7uMRwLc35yWNBzi7lJenamMd/9k8we+c2v/xLv8ydt+9yOl3glIfyAoLYZ2tnj+2dy0SdHvPFggcPHvJkf5+uH+H7Gj/wWFsf0+12OD0VodnlcsXm5gaXL+9hCfnzd95hq6q40+ny6xuX0MpDK+9dvhVKqVZINI5jjvRjfudf/AbpVsbe5BJfOfoS69fG3L9zj1u3Xq9FZz08PxSVdS9AeR5oDy8U9n5eFqRFThgGVBiscniBxg89Ztsz5psLdKrofquLxscaVXd5abrdLru7u/R6Pfb394VHZw3WEyxKkEUxe5/NZuJ/iY+1mp2dHZ5//iZlWXF4eMhkckqaZphWrsfiByGea1Dzs6z7vbfzwdzZv9vx9oyt0+lcSDjTNG0N1a1tkLr3f2ZUvS/1gpzXlmRRHIgdELpFGJt0UdXZ+flkxLWf5i4cWwtEcRYMXgDJdX1MOVijIODpWqA7CFjb3CRKAg4OCnwvYNDvEwYxcdjB93zyrKAyFi8MyPOcR48esUpWZEXKaG2deNDjeDKhMJX4B2slVRR3JquxsbHBxsYG9+7d47XXXmM+mwkvq5JSV5qlPHrwEGct/V5H7rUR8nlZVPjduOZUHuEpRzKbsbOzxUc//GGm0wlHR8dMT0545aMfZ/eKZnY6pSoKQs/jues3GA4HLBdLknRF3PUJo4gsFzmXyhisU6CEY3pyfIjvhyyXImtz7foefrhOFAvqZLEURUUY+ly6tElvMCRNMqbTGYvp8f+vvXP5kSu76/jnnHvr1qu7urrc7fZrmLHHMPYknsyEARQFkihCArEAsUUjNixYw//ADiH2sMiKBSvELooGYiGRESFMMgqSZ+x5tO2x3V2vrud9n8Pid++tW93tHturyNyvZLm7quvWuVXn8f19fy9clWKSkMl0SsN1+PVrr6Jswsef3Cc4PCLGYrRmMvs6jx/tAZZz536EtZaNjY0spqyB67oslxJ4r7XUyswNmCAIaDabxRoStUcUuHJx4jyuDHXybDUlQibnj5M1q0+yLjaZcq11phatjLFc1TNG1GLHcaQGZV5YmZWwk8PByTJTV+9ttfzsum5Gbly08x90tv6V6eRPuH37z/nOd37A5Vd+KcZUinR7saBVWpyV+WIQMiQcIHciyrXB0Q6OFlIlY1OSdJV5d4SonTy7lNZoW/LAWYO1uriHMvmyVlQ/pRVpGiMFwRVpHLFczES5xSlqSaZZ1xpjXFITE/g+/mJeePlygue4bnEfHFv/z0UUMjwzsSsHVeYToPjyVPngLiUulCZZPlnKm+jTiEhZ9bHZRlJ+LmfS6+7c9deufj+pNJbxdCIobpwTQlsh764P+2nKWf74WlBqmZ09B3JL3zmL2T/LdV/IMCi7rF9k8EhcRGkQz2qgFDGHx2LwVs/L9yxZkGSxC9mG4kgNLFJxwaVxiDVppgTLInYdqNccvEAVV561m/zPX/4ZG7vb3Pnpz9jf32c2n+EHAarWYLu3S2uzw87lS1itcet1Dj77DKfmcvXaa6RxQpzE3L//OQBbW1vs7OzQ6WwVVnmrucH5XXj1/n004LsuQb2Otg7lPqW56zqLrpX152iOXp8wvjXBSRze+9GfcnXrVVzl0uv1qNfrWZ/UJV4dWrV6JvnL3HVdNyPAkvEWJ1JZ3/M86o06XrPGF3/8gKSVsPWfHc79oidxvVZhjaG9uUmj0UBrzXA4ZDqdZmtDvpN8jUqxYb1SIhLLbH5E8jCid26HvfMXuX79OvfvP6Tf7xNGgRTlVBqtZa5LVpgUNrYWVPZceZ/JXVEFKVIgxY+zGF8yt0ehehpcx6Hb7a6Vb1j6y8xQXYWJ2IJc2hP7TT7/sBY0EvSdJlntrLyERXnGqpXLtQjhcFZa+LGd3CKZodmNrhFBIJvDq3aDGimsKyGZBqtiwijFWMlUrLla1FslZV3CMMSt1dAOxGnCcDgkiMT4McowmS2wKFrtFgvfl9I1aQJ2FUvU6/W4ceMGcRxz586drPOCRislSoox0oIRxTIISOOIz8KY+1/sSweLOKLZajKbLQjDiHazznQwwPMUly7tcnDwhE/vfUYcJdy8+TXCJGU+n6EwXLp0kQt7u6Rpitfr0kk36HTb1Go1RuMJgT9nMBywmEuAu+/HDAZHLJcBxohy1u+L+r3d2+SN61epuR5RLKxBao+B7y8wNiaOIgb9EO04DEdD0EIGt7e73PyN17l790sOx2OouURZ1wmwPHnyiL3dKe12u9jLkiSRjiL+cqWcZedqHMdFjUjP89COQ5x1pMjP3cI1qHVRlee4J0tEFSGqYstKDVSbdXzR2sFmRbIhX0eSQCPkQzZUrYWUWa1XCTMl5GtC59+3LDpR9lNIrHhJrE3Rekan+zcEwWuE4dv8/Od/yPm9L/CaS9IsSaoIPcgVwmzNYK0UQM+XXBYra7NYuprrSh9o5aC1myUymCL7OzVJpgBKQo9JU1H1tCZVenXPrBPk9fAxcFyNSRJSK6EVtVoNf75AGSmqnCRx8dnkpF3UUyF3Odku75V5BnN5e1kT0M5SwI7hhYhd/kWuGD5FK541331JXTv+f3mDPHu8Yn0fj9k77oZ9+qZb2vyfch9nvfepj9qT9v9XEca1FmxwSozZOs4aV9kdU55wBQE688J81Qd+Bk66Yk9c/gzFVN5erf324lib/ZlSYdeeyeM+tHYxqc3q1YFy5LNPkcq2rlZsYXlv/xEaGNVr/Msf/R6vf/MWi/mM8UhKP6RZEG0Qxjg1j9bmFlGS8ujgEJOmHA4GLBdzultbtDeaTCYhs9lU4oqmU6bTGdvbPTyvzpPHB7Q2Nvl2lPDt0QgD3N3oAE6xsWQ3V9yuUgqMWHlRGBXZaQ6am+feoNPooIzi0uXL7O6eZ7ZckoaixiiVWdNZkVFHKxqNOmm9RqtRZzqbEvoBDc+j1awT3Yzof3eEDhR7/7SDinVWT8kwX0y4cPEiaZqyv7+/tvaSVMhTbvEqpYqMP2st2tH4wZIoCgnCgNFwyOZmF6W0VJN39YqYJ6m4R47Nu9NmTa6ir8+/fH9Qx6aL7Cn1Vp1eb3u1kVppXC/KSekqKnPnfNW6sRBFIdZYXNdbGZVKZQkdkhXu6IywQUZ4nk4ai3tbe5uTYQiQq4AZsQOJJbIpJg2puYq3v/mbvPONW3zwkw/4/O5nLObzTCWRMIUgjokiCTpHKbQjdRyH/T7n9y4SLANm8yUmTYlT6R7S7Xa5fv06m5ub3Lt3D9/3M1K3ysYN/RCbpDQbDaIgwKQJs5moFlEkYQDbdptOp8v29hYNz6XmGBqtJkezCUEUsAgWDI+GHA4OmEwXvP/v7/Pgy4e8+eabdLpbBEEg8WQ1h0uXL9PaaHD4xSFHkyH9/oDDgyGLxRLXqWOMxg8ipJC2xnFE0fb9kMdP+uzs9DCpks8iCdjIWvb5vk/dqxEnMUt/Ke3CtCIIjxhPxpzvXeGdr99i//Ej9h99iVMSJHw/YTwe43nSszkIQubzBcPhWMat3SLjNS83Esdxsbfnrs18PpRFDcdxCOP0xOGfz6U81i6KooIMlguLpyaP+V6dKSaVNaK0RVlws4LoJk1JiIs4uuPIz/28Np9k3OZqmAFHuIJSS7a3/45B/2/p969x+/Z7vPX2v7G7d1d6ohakzhQJRmpNMALHSva82Bdy/bSoUCFJH9KKzcWp19G6gedJyROrwV8umU6nhL4vZFklxRma85q8DEpeLkgyaEHHWUs2a0iSGIW0jYwiIfOrYs4iaNVqLltbm9LO0lhMmpJm5aJsvi9bSxKzdj6Xv8OvOnvLeGZid9qBXZA1wKh1ayEvZJgTsnxCFYO05X6zTznglUieZNc67srNr5fLzSfdd2INv5j/ej1R4PjrV24UiueflVGXr3savnKsZUWz9HPxvz2rQvXz18QpvfEzc7FTF/0Lk7rTM6eLj0nJAURh3eTHZv5ZSoySMWCVzg7qVfp5O474/oPHvDsUN+y93S43liFXf/wBge8T3f2U81/2ieOUMEqJjaW7f5/WaEyQxDSaLcDw6pPHTCcTmo06nU4HrGEwXRDHsZSCGE9pPBkU8XHaGfL7S5+tOGa/1eYfrr2RjVfnd31ijuU3bbFZ31Aw2vDj13/CL/kYrTTD5oAHrSHjfkAYGYxdsGwYvPqiyPRK0xS3Jj0Wl0Of5XSJaRgWrSUKy/wdn2QzpdZ32fzFBlYJG7EGNjc7xHFMkCkSxeGTJNjMRVNW5t3MzaC1xmQlPLTjEscRcZQwHk/wvCauW0M54rZxtRamkrlmV/8yq92KGqByEpipVkbla0AUTtkSpHtI7vnPr6GUotlsFvPIYgkztep510juvskJrOs6xInJlBiNzZIWyMZpsRLvo/JanFbmsTq5dspE7viqyc40QOKH0pKxLEmoUn7CKsvmZod33/0tPrnzCR+Hd6i5HpudNv4yYDgaEUSSbVyEvxoZX7j0mR0dcWH3PHu7CtfzWCx8lAOdrS201lnHgJaMKXfZKWkOHwYBSZzQbrUZDgbktrUE5YsaOx4fMZ1M2Tu/x3avw3a3xbmdLsNhn9H0iEWwJE4TjIbRZMzgaMThaEB9/3NufO0mm90OdjbDdRySNGU0GnN42Oew/4SDg0OmkwXj0RRjoNXaoNXcIElCfD+g3qjTal/BGMVweMTFi5exixB/KaVGGs02F/YuMegPi/jcIErQrsfCX3A0lVZY4eIhVy7UeOett/i1q6/x4f/O+eijIUdH5zg4+GsW8/dZ+l28Wo2jyTRTKxPy2DbHdTLS5zCbSyY3pe8zTaWkRpnA1T2PxaJOFIp7dRX+lE+plXGTe7vWCgzbPFa8PO+OJckpKZqslKj1aZqKi32NgGRKupOFBuThLiUlXSF/k59vjqNpND5ksfgDHj74Bv3+NX73u//IlVfvYa0Yheva9brHJ/9grDFZ8ocq4uTylyRJjO/b4vWeV6PdbqNqmrrn0W63xVCJImlLmAkEEotco9frMZ1Oi8LlnuehNUShnxnaVpS7RIyhyNqigLHMcalhCZYokj7AWkuyV9mYEzL99HCT51Hr4DmIneue/NNcocvLUuRErjyBcjImMqNb3OxK3Xu6GqiUysqGrA6H/CbL5KdsyZQDoWWy6jW17+lxacfj9yzGnO0zXavWcey6Z8bz5Yvu6X9xJvID4nRSl4/5VF2jZN6/wJueUESeF8dfe7pr9fmvlzWmFpki2xBWaeOyKWYZl1oSKfINrZak/MVHH/PuwaC42u887MPD28BtAK6d9tbD0dlDe3x4+uPT5akPx0oRqFX7Hlu4nk9+Lk7WOUDcOpDolB9c++fVH1wFfvv4q2ZnjxeYcHJsm3c2cFKHyMgh7DiKeimOpqzQG2Mk1lGtYn+iKCrigur1OiYM8DyXOE7QWgrSygEvZRtskhLHETW3JmUHWG3KYIuNt+zKt9lBJFX6V0k6FC4mIVWi1Fpyd1OtVmNra0vIn1plnsqme3Y87nHkh6jsfZkhq6V/pFGAkoSMnJRCZgxbVtr9qR4HKK9ny/oaLDwStnQk2Kxwj5VgdGMsjjLcf/CAu3c/ZTgYEQQBnc4Wu7u7TCZTRuOxkIKSWqwAbSVrMg4jPLdGzaszn89pNZp0d7rM5nM+/PBDPM+j1+vRarUIgoDIhBL6kCgSJW7H7e1tHj9+hEkTtJLSQ7lrKwh87t69y3Q6pb3RYKvb4I0b1/E8l6PpEaPJhPMXY1Kb0h8OWGZlUB58+ZD/+tl/88orr7BYLNjb28Nfznn85CFHRyOWyyX+MsSkeSkbg6NjNtouxoRMJhOMSdjZ2WZ7ewuvVqezuc3FC5cZjkf4/hI/9HHdGju753n46Eva7TbalfNMRxFhlGBtzJPDPp9/8oC9K5d549Ytds7N+N73/p4f/vCv8P1v4fvfoj94rmn1/wphsMknH+/R2vgp3V5XlLE8mcqe7LaRL42yu3IFsVDEDZqHcAhXmM1npBhZp9oRJTBfk0V4hDxw5coVNjY2iLMe4svlkjQJmU6P8AOf2XwmyXlL6aNsTIJNbWE8x3FMo9Gg0WgUxM5aCgWwjNygPO6KzfE8Z6+yz0sFK1SoUKFChQoVKvxK4tm7ylaoUKFChQoVKlT4lUZF7CpUqFChQoUKFV4SVMSuQoUKFSpUqFDhJUFF7CpUqFChQoUKFV4SVMSuQoUKFSpUqFDhJUFF7CpUqFChQoUKFV4SVMSuQoUKFSpUqFDhJUFF7CpUqFChQoUKFV4SVMSuQoUKFSpUqFDhJcH/Adjxv5Lkh/1DAAAAAElFTkSuQmCC", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "all_captions, valid_obj_ids = create_ref_exp_check(38, False)" + ] + }, + { + "cell_type": "code", + "execution_count": 205, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'frisbee': {5: None, 11: None, 18: None, 26: None}}" + ] + }, + "execution_count": 205, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "all_captions" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "riscy", + "language": "python", + "name": "riscy" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/mbench/numbered_captions.json b/mbench/numbered_captions.json new file mode 100644 index 0000000000000000000000000000000000000000..dcb0dfee5577ba5401723d455ea93c6c50d60e4e --- /dev/null +++ b/mbench/numbered_captions.json @@ -0,0 +1,3628 @@ +{ + "003234408d": { + "penguin": { + "7": null, + "11": "1. the penguin lying on its belly with its head slightly raised while resting on the rocky surface. \n2. the penguin leaning forward, its beak close to the ground, possibly inspecting or pecking at the surface. \n3. the penguin reclined on its side, seemingly motionless and resting on the ground. \n4. the penguin positioned low, appearing to adjust or move small objects or debris with its beak. \n5. the penguin lying down with its head tucked forward, maintaining a resting posture on the rocky surface. ", + "25": null, + "26": "1. the penguin is standing upright while facing slightly to the side \n2. the penguin is leaning forward, pecking at a spot on the ground \n3. the penguin is lying on its stomach, with its head slightly raised \n4. the penguin is lying crouched down low over the rocky surface \n5. the penguin is partially lying down with its back slightly arched" + } + }, + "0043f083b5": { + "sedan": { + "4": null, + "7": null, + "12": null, + "15": null + }, + "bus": { + "4": null, + "7": null, + "12": null, + "15": null + } + }, + "0044fa5fba": { + "giant_panda": { + "3": null, + "6": null, + "10": null, + "15": null + } + }, + "005a527edd": { + "ape": { + "3": "1. the ape holding onto another ape with its arm wrapped around the lower body \n2. the ape holding its hand near its mouth while seated", + "13": "1. the ape extending its left arm while reaching toward the other ape \n2. the ape holding its head with one hand while sitting and leaning slightly forward", + "16": null, + "21": null + } + }, + "0065b171f9": { + "giant_panda": { + "9": null, + "13": null, + "18": null, + "28": null + } + }, + "00917dcfc4": { + "zebra": { + "2": null, + "6": null, + "11": null, + "15": null + } + }, + "00a23ccf53": { + "shark": { + "5": null, + "10": null, + "22": null, + "28": null + } + }, + "00ad5016a4": { + "airplane": { + "2": null, + "6": null, + "9": null, + "14": null + } + }, + "01082ae388": { + "leopard": { + "5": null, + "13": null, + "17": null, + "23": null + } + }, + "011ac0a06f": { + "ape": { + "4": null, + "10": null, + "19": null, + "28": null + } + }, + "013099c098": { + "giant_panda": { + "4": null, + "7": "1. the giant panda leaning forward and pressing its body towards the other giant panda \n2. the giant panda lying on its back with water splashing around it", + "9": "1. the giant panda bending forward with its head near the ground, standing on all four limbs \n2. the giant panda lying on its back, raising its front paw upwards while turning its head slightly", + "11": "1. the giant panda bending forward and pressing its paw against the body of another giant panda \n2. the giant panda lying on its back while raising one of its front paws toward the giant panda above it " + } + }, + "0155498c85": { + "motorbike": { + "2": null, + "14": null, + "23": null, + "29": null + }, + "person": { + "2": null, + "14": null, + "23": null, + "29": null + } + }, + "01694ad9c8": { + "bird": { + "2": null, + "7": null, + "10": null, + "14": null + } + }, + "017ac35701": { + "giant_panda": { + "3": null, + "14": null, + "23": null, + "29": null + } + }, + "01b80e8e1a": { + "zebra": { + "3": null, + "4": "1. walking forward with its head slightly lowered \n2. standing still with its head raised and facing forward", + "7": null, + "9": "1. walking forward with its legs stretched in motion \n2. lowering its head close to the ground, likely grazing" + } + }, + "01baa5a4e1": { + "frisbee": { + "5": null, + "9": null, + "15": null, + "20": null + } + }, + "01c3111683": { + "whale": { + "3": null, + "17": null, + "22": null, + "30": null + } + }, + "01c4cb5ffe": { + "snowboard": { + "5": null, + "16": null, + "22": null, + "31": null + }, + "person": { + "5": null, + "16": "1. a person skiing downhill, holding ski poles while slightly leaning forward \n3. a person skiing uphill, maintaining balance with arms extended", + "22": null, + "31": null + } + }, + "01c76f0a82": { + "plant": { + "2": null, + "6": null, + "13": null, + "17": null + }, + "sedan": { + "2": null, + "6": null, + "13": null, + "17": null + } + }, + "01c783268c": { + "ape": { + "7": null, + "13": null, + "24": null, + "32": null + }, + "person": { + "7": null, + "13": null, + "24": null, + "32": null + } + }, + "01e64dd36a": { + "cow": { + "4": "1. the cow lying on the ground with its legs bent beneath its body, appearing to push off the ground in an attempt to rise \n2. the cow standing stationary with its head slightly lowered, observing the surroundings \n3. the cow standing still with its head raised, attentively facing forward", + "5": "1. the cow lying on the ground with its legs extended outward \n2. the cow standing still with its head raised, facing forward \n3. the cow standing upright with its head slightly turned to the side", + "9": "1. the cow mounting another cow, with its front legs raised and positioned on the other cow's back \n2. the cow lying on the ground, motionless \n3. the cow standing upright, facing forward", + "11": "1. the cow lowering its head and extending its front legs in a crouching motion \n2. the cow leaping mid-air with all four legs raised off the ground \n3. the cow standing still with its head lifted and facing forward " + } + }, + "01ed275c6e": { + "giraffe": { + "5": "1. the giraffe standing upright with its neck extended toward the sky\n\n2. the giraffe bending its neck forward and pressing its head against the tree trunk", + "7": "1. the giraffe standing upright with its neck extended upwards \n2. the giraffe leaning its neck towards the tree trunk", + "10": "1. the giraffe standing still with its neck stretched upwards towards the tree canopy \n2. the giraffe leaning its neck against the tree trunk", + "16": "1. standing upright with its neck extended upward toward the tree branches \n2. leaning its head and neck toward the tree trunk while partially positioning its body against it " + } + }, + "01ff60d1fa": { + "lizard": { + "2": null, + "11": null, + "18": null, + "30": null + } + }, + "020cd28cd2": { + "person": { + "5": null, + "8": null, + "17": null, + "23": null + } + }, + "02264db755": { + "fox": { + "8": null, + "16": null, + "25": null, + "33": null + } + }, + "0248626d9a": { + "train": { + "3": null, + "6": null, + "10": null, + "12": null + } + }, + "02668dbffa": { + "frog": { + "4": null, + "8": null, + "16": null, + "24": null + } + }, + "0274193026": { + "skateboard": { + "4": null, + "12": null, + "19": null, + "24": null + }, + "person": { + "4": null, + "12": null, + "19": null, + "24": null + } + }, + "02d28375aa": { + "fox": { + "3": null, + "16": null, + "24": null, + "27": null + } + }, + "031ccc99b1": { + "person": { + "4": "1. a person extending an arm forward, appearing to reach toward another person \n2. a person holding a microphone near their mouth with one hand while gesturing outward with the other hand \n3. a person grasping their clothing near the chest with one hand", + "7": "1. raising their left arm and gesturing slightly toward person 2 \n2. holding a microphone with their right hand and facing person 1 \n3. reaching out with their left arm toward person 2", + "10": "1. extending an arm outward, appearing to point or gesture toward another person \n2. holding a microphone with one hand while gesturing outward with the other hand \n3. standing with one arm resting on their side and the other hand supporting or gripping an object nearby", + "14": "1. extending both hands forward, appearing to gesture toward person 2. \n2. holding a microphone in the right hand while gesturing upward with the left hand. \n3. slightly leaning forward with one arm extended toward person 1. " + } + }, + "0321b18c10": { + "person": { + "3": "1. sitting upright on an elephant's back while holding onto the wooden structure for balance \n2. seated on an elephant's back with one leg hanging down, appearing to stabilize themselves using the wooden structure ", + "5": null, + "8": null, + "11": null + }, + "elephant": { + "3": null, + "5": null, + "8": null, + "11": null + } + }, + "0348a45bca": { + "fish": { + "2": null, + "12": null, + "19": null, + "33": null + } + }, + "0355e92655": { + "person": { + "3": null, + "5": null, + "8": null, + "13": null + }, + "paddle": { + "3": null, + "5": null, + "8": null, + "13": null + }, + "boat": { + "3": null, + "5": null, + "8": null, + "13": null + } + }, + "0358b938c1": { + "elephant": { + "4": null, + "7": "1. the elephant using its extended trunk to spray water \n2. the elephant sitting in the water while spraying water upward with its trunk ", + "10": "1. the elephant standing in water and spraying water forward with its trunk \n2. the elephant sitting in water and spraying water upward with its trunk ", + "13": "1. spraying water forcefully from its trunk into the pond \n2. raising its trunk and spraying water backward in an arc \n3. extending its trunk toward the water without spraying" + } + }, + "0368107cf1": { + "person": { + "4": null, + "7": null, + "10": null, + "17": null + } + }, + "0379ddf557": { + "skateboard": { + "3": null, + "17": null, + "18": null, + "26": null + }, + "person": { + "3": null, + "17": null, + "18": null, + "26": null + } + }, + "038b2cc71d": { + "lizard": { + "3": null, + "5": null, + "10": null, + "11": null + } + }, + "038c15a5dd": { + "hedgehog": { + "7": null, + "12": null, + "24": null, + "30": null + } + }, + "03a06cc98a": { + "giraffe": { + "5": null, + "6": null, + "13": "1. the giraffe lowering its head while grasping or reaching for food within a container \n2. the giraffe extending its neck upward toward a basket-like feeding structure \n3. the giraffe standing upright, facing forward while observing the surroundings", + "16": null + } + }, + "03a63e187f": { + "lizard": { + "8": null, + "15": null, + "23": null, + "31": null + } + }, + "03c95b4dae": { + "elephant": { + "3": "1. the elephant walking forward on the sandy ground \n2. the elephant moving alongside a person while slightly turning its head \n3. the elephant following another elephant closely while walking", + "6": null, + "8": "1. walking with its back legs in motion while facing away from the river \n2. standing with its trunk lowered while leaning slightly toward a person holding its side \n3. walking forward with its trunk extended downward toward the ground", + "12": "1. the elephant walking toward the river with its head slightly lowered \n2. the elephant standing still with its trunk hanging downward \n3. the smaller elephant walking closely beside a larger elephant, leaning slightly toward it" + } + }, + "03e2b57b0e": { + "lizard": { + "3": null, + "8": null, + "15": null, + "25": null + } + }, + "04194e1248": { + "lizard": { + "6": null, + "17": null, + "22": null, + "33": null + } + }, + "04259896e2": { + "lizard": { + "9": null, + "14": null, + "25": null, + "33": null + } + }, + "0444918a5f": { + "truck": { + "3": null, + "7": null, + "13": null, + "14": null + } + }, + "04460a7a52": { + "lizard": { + "3": null, + "15": null, + "23": null, + "26": null + } + }, + "04474174a4": { + "ape": { + "7": "1. the ape reaching out with its right arm, pushing against the back of the other ape \n2. the ape crouching with its body leaning forward, holding onto the rock surface tightly ", + "10": null, + "22": null, + "29": "1. the ape crouching with its back arched while looking forward \n2. the ape holding a thin stick near its mouth using one hand " + } + }, + "0450095513": { + "snail": { + "2": null, + "11": null, + "17": null, + "25": null + } + }, + "045f00aed2": { + "others": { + "2": null, + "17": null, + "22": null, + "33": null + }, + "tiger": { + "2": null, + "17": null, + "22": null, + "33": null + }, + "person": { + "2": null, + "17": null, + "22": null, + "33": null + } + }, + "04667fabaa": { + "parrot": { + "9": null, + "12": null, + "18": null, + "30": null + } + }, + "04735c5030": { + "cat": { + "3": "1. the cat lowering its body and leaning forward with its head close to the ground \n2. the cat sitting upright with its head slightly tilted forward", + "5": "1. the cat grasping a blue string with its front paw while lying down \n2. the cat resting on its side without engaging in any visible action", + "10": "1. the cat stretching its front legs forward while lowering its head close to the surface \n2. the cat lying still on its side with minimal visible motion ", + "11": "1. the cat leaping forward with its front legs extended outward \n2. the cat lying still on its side, appearing relaxed" + } + }, + "04990d1915": { + "truck": { + "4": null, + "5": null, + "9": null, + "15": null + }, + "sedan": { + "4": null, + "5": null, + "9": null, + "15": null + }, + "bus": { + "4": null, + "5": null, + "9": null, + "15": null + } + }, + "04d62d9d98": { + "skateboard": { + "4": null, + "9": null, + "12": null, + "16": null + }, + "person": { + "4": null, + "9": null, + "12": null, + "16": null + } + }, + "04f21da964": { + "monkey": { + "9": null, + "12": null, + "21": null, + "28": null + } + }, + "04fbad476e": { + "parrot": { + "2": null, + "13": null, + "23": null, + "29": null + } + }, + "04fe256562": { + "truck": { + "2": null, + "8": null, + "11": null, + "14": null + }, + "motorbike": { + "2": null, + "8": null, + "11": null, + "14": null + } + }, + "0503bf89c9": { + "hedgehog": { + "2": null, + "11": null, + "18": null, + "24": null + } + }, + "0536c9eed0": { + "cat": { + "2": null, + "5": null, + "10": null, + "11": null + } + }, + "054acb238f": { + "owl": { + "5": null, + "11": null, + "19": null, + "32": null + } + }, + "05579ca250": { + "skateboard": { + "5": null, + "11": null, + "16": null, + "25": null + }, + "person": { + "5": null, + "11": null, + "16": null, + "25": null + }, + "sedan": { + "5": null, + "11": null, + "16": null, + "25": null + } + }, + "056c200404": { + "toilet": { + "4": null, + "6": null, + "11": null, + "15": null + } + }, + "05774f3a2c": { + "ape": { + "5": null, + "10": null, + "20": null, + "33": "1. sitting upright and using both hands to hold the smaller ape labeled 3 against its chest \n2. lying on its side and extending one arm toward the grass \n3. sitting in an upright posture while being held by the ape labeled 1" + } + }, + "058a7592c8": { + "train": { + "3": null, + "9": null, + "11": null, + "15": null + } + }, + "05a0a513df": { + "person": { + "4": null, + "8": null, + "11": null, + "14": null + } + }, + "05a569d8aa": { + "cat": { + "3": null, + "7": null, + "9": null, + "12": null + }, + "mouse": { + "3": null, + "7": null, + "9": null, + "12": null + } + }, + "05aa652648": { + "ape": { + "6": null, + "8": null, + "19": null, + "26": null + } + }, + "05d7715782": { + "sign": { + "2": null, + "9": null, + "12": null, + "17": null + } + }, + "05e0b0f28f": { + "mouse": { + "7": null, + "11": null, + "19": null, + "24": null + }, + "person": { + "7": null, + "11": null, + "19": null, + "24": null + } + }, + "05fdbbdd7a": { + "umbrella": { + "3": null, + "4": null, + "6": null, + "8": null + } + }, + "05ffcfed85": { + "monkey": { + "3": null, + "12": "1. the monkey hanging from a branch using its left arm, with its body fully extended downward \n2. the monkey holding onto a branch with both arms, with its body partially curled up", + "25": null, + "32": null + } + }, + "0630391881": { + "tennis_racket": { + "4": null, + "10": null, + "14": null, + "26": null + }, + "person": { + "4": null, + "10": null, + "14": null, + "26": null + } + }, + "06840b2bbe": { + "snake": { + "3": null, + "5": null, + "8": null, + "16": null + } + }, + "068f7dce6f": { + "shark": { + "9": null, + "10": null, + "23": null, + "33": null + } + }, + "0693719753": { + "turtle": { + "7": "1. the turtle leaning forward and climbing onto the back of another turtle \n2. the turtle staying still with its head extended outward", + "9": null, + "19": null, + "20": null + } + }, + "06ce2b51fb": { + "person": { + "2": null, + "6": "1. Person 1 holding onto a paddle with both hands, actively rowing the kayak. \n2. Person 2 leaning back with legs extended forward, resting in the kayak.", + "9": null, + "14": null + }, + "paddle": { + "2": null, + "6": null, + "9": null, + "14": null + } + }, + "06e224798e": { + "tiger": { + "5": null, + "10": null, + "25": null, + "33": null + } + }, + "06ee361788": { + "duck": { + "3": null, + "5": null, + "8": null, + "16": null + } + }, + "06fbb3fa2c": { + "eagle": { + "2": null, + "6": null, + "10": null, + "15": null + } + }, + "0700264286": { + "cow": { + "4": "1. the cow lowering its head while stepping forward with its front legs \n2. the cow standing still with its body slightly turned to the right ", + "7": "1. the calf standing with a slightly forward-bent posture, its head tilted downward \n2. the cow standing upright, stationary, and not engaging in any visible dynamic movement", + "9": null, + "13": null + } + }, + "070c918ca7": { + "parrot": { + "6": null, + "17": null, + "22": null, + "29": null + } + }, + "07129e14a4": { + "parrot": { + "5": null, + "10": null, + "19": "1. the parrot opening its beak widely while turning its head slightly toward a nearby object \n2. the parrot sitting upright, gripping onto the surface with its claws", + "31": null + }, + "person": { + "5": null, + "10": null, + "19": null, + "31": null + } + }, + "07177017e9": { + "motorbike": { + "2": null, + "6": null, + "9": null, + "13": null + } + }, + "07238ffc58": { + "monkey": { + "6": "1. the monkey sitting on the ground while looking upward at another monkey \n2. the monkey leaning forward, inspecting or observing the smaller monkey below it \n3. the monkey climbing up a vertical pole, gripping it with its limbs ", + "14": "1. the monkey sitting on the ground, leaning slightly forward while extending its head upward \n2. the monkey crouching, looking downward at the smaller monkey while its front limbs rest near its body \n3. the monkey standing upright with its body slightly angled forward, moving its rear leg", + "22": "1. the monkey crouching on the ground, with its body facing forward and tail extending backward \n\n2. the monkey sitting upright, with its head slightly tilted downward, appearing to observe the smaller monkey directly in front of it \n\n3. the monkey walking forward with its back slightly arched, one leg mid-step, and its tail raised", + "31": "1. the small monkey sitting upright, looking forward with its upper body slightly leaned back \n\n2. the larger monkey standing upright, maintaining a still posture while gazing downward at the smaller monkey" + } + }, + "07353b2a89": { + "sheep": { + "4": null, + "8": null, + "14": null, + "21": null + } + }, + "0738493cbf": { + "airplane": { + "2": null, + "7": null, + "8": null, + "14": null + } + }, + "075926c651": { + "person": { + "2": "1. holding a microphone close to their mouth, appearing to speak or sing \n2. sitting on a chair while playing a piano", + "7": null, + "8": null, + "15": null + } + }, + "075c701292": { + "duck": { + "8": null, + "16": null, + "19": null, + "29": null + } + }, + "0762ea9a30": { + "person": { + "5": null, + "9": null, + "13": null, + "17": null + } + }, + "07652ee4af": { + "skateboard": { + "7": null, + "9": null, + "17": null, + "23": null + }, + "person": { + "7": null, + "9": null, + "17": null, + "23": null + } + }, + "076f206928": { + "person": { + "4": null, + "6": null, + "12": null, + "15": null + }, + "zebra": { + "4": null, + "6": null, + "12": null, + "15": null + } + }, + "077d32af19": { + "train": { + "2": null, + "9": null, + "13": null, + "14": null + }, + "person": { + "2": null, + "9": null, + "13": null, + "14": null + } + }, + "079049275c": { + "mouse": { + "5": null, + "8": null, + "14": null, + "20": null + } + }, + "07913cdda7": { + "train": { + "3": null, + "5": null, + "9": null, + "11": null + }, + "person": { + "3": null, + "5": null, + "9": null, + "11": null + } + }, + "07a11a35e8": { + "ape": { + "9": "1. the ape grasping and inspecting a piece of food with both hands \n2. the smaller ape reaching out with its right arm toward the ground", + "12": "1. the ape holding a piece of food with both hands and inspecting it closely \n2. the ape sitting and leaning forward, looking toward the other ape", + "25": "1. the ape holding a bowl-like object with both hands and inspecting it closely \n2. the ape leaning forward with its front limb stretched out, touching or reaching toward the ground", + "27": "1. the ape sitting on the ground while holding a bowl close to its mouth with both hands \n2. the ape standing on all four limbs while leaning its head forward toward the other ape " + } + }, + "07ac33b6df": { + "ape": { + "6": null, + "13": null, + "15": null, + "20": null + } + }, + "07c62c3d11": { + "parrot": { + "9": null, + "13": "1. the parrot perched on a branch, pecking at the red circular object \n2. the parrot resting on a branch, facing outward without any observable interaction \n3. the parrot perched on a branch, leaning forward with no interaction with objects or other parrots ", + "19": null, + "29": "1. a parrot hanging from a red circular ring with its claws, facing downward \n3. a parrot partially inside a woven birdhouse, poking its head out through the opening" + } + }, + "07cc1c7d74": { + "snake": { + "5": null, + "17": null, + "18": null, + "31": null + } + }, + "080196ef01": { + "lizard": { + "2": null, + "15": null, + "19": null, + "27": null + }, + "hand": { + "2": null, + "15": null, + "19": null, + "27": null + } + }, + "081207976e": { + "hat": { + "5": null, + "9": null, + "12": null, + "17": null + } + }, + "081ae4fa44": { + "shark": { + "2": null, + "8": null, + "18": null, + "22": null + } + }, + "081d8250cb": { + "skateboard": { + "3": null, + "6": null, + "10": null, + "14": null + }, + "person": { + "3": null, + "6": null, + "10": null, + "14": null + }, + "sedan": { + "3": null, + "6": null, + "10": null, + "14": null + } + }, + "082900c5d4": { + "duck": { + "5": "1. paddling through the water, creating ripples behind it \n2. floating steadily on the water, maintaining a stationary position \n3. splashing water vigorously with its body in an upward motion ", + "6": "1. the duck splashing water as it propels forward using its webbed feet \n2. the duck swimming calmly on the water surface, with no visible body movement \n3. the duck fluttering its wings while creating ripples and splashes in the water", + "10": null, + "17": "1. Duck paddling on the water with its body tilted slightly forward. \n2. Duck gliding smoothly on the water, its body appearing stable and straight. \n3. Duck swimming forward with a visible ripple in the water, its body slightly angled. " + } + }, + "0860df21e2": { + "frisbee": { + "8": null, + "12": null, + "18": null, + "30": null + } + }, + "0866d4c5e3": { + "bird": { + "4": "1. pecking the ground with its beak \n2. walking forward with a slight head movement \n3. standing upright while turning its head to the side", + "5": null, + "9": "1. pecking at the ground with its beak\n2. flapping its wings while scratching at the dirt with its feet\n3. lowering its head toward the ground while remaining still", + "12": "1. the bird leaning forward, pecking at the ground \n\n2. the bird extending its neck and pecking at a grass blade \n\n3. the bird splaying its wings while lying flat on the ground" + } + }, + "0891ac2eb6": { + "person": { + "2": "1. standing upright, stepping forward with one hand extended downward, not interacting with any visible objects \n2. sitting on a lounge chair, leaning forward with both arms resting on their thighs \n3. reclining on a lounge chair, holding an object close to their face, appearing to examine it", + "6": "1. standing and adjusting an umbrella above the lounge chairs with their hands raised. \n2. sitting on a lounge chair and leaning forward while holding and inspecting an object with both hands. \n3. standing next to a lounge chair and leaning to the side while adjusting or interacting with a towel draped over the chair. ", + "9": "1. Person 1 is leaning forward while adjusting or inspecting an object with their hand. \n2. Person 2 is sitting upright on a lounge chair, holding a small object with one hand near their face. \n3. Person 3 is reclining on a lounge chair, appearing to be drinking from a bottle or cup held with one hand. ", + "13": "1. bending forward while reaching toward an object near the ground \n2. sitting on a lounge chair, leaning slightly forward with both arms resting on his knees \n3. standing upright with hands resting on the hips" + } + }, + "08931bc458": { + "others": { + "3": null, + "14": null, + "18": null, + "26": null + }, + "skateboard": { + "3": null, + "14": null, + "18": null, + "26": null + }, + "person": { + "3": null, + "14": null, + "18": null, + "26": null + } + }, + "08aa2705d5": { + "snake": { + "9": null, + "12": null, + "19": null, + "33": null + } + }, + "08c8450db7": { + "toilet": { + "4": null, + "7": null, + "12": null, + "14": null + } + }, + "08d50b926c": { + "turtle": { + "8": null, + "14": null, + "19": null, + "31": null + } + }, + "08e1e4de15": { + "monkey": { + "2": "1. the monkey standing upright on a rock, turning its head to the side \n2. the monkey climbing upward on a rock with its front limbs extended for grip \n3. the monkey leaping from one rock to another while mid-air \n4. the monkey sitting on a rock while looking forward", + "10": "1. the monkey sitting upright on a rock while looking directly ahead \n2. the monkey perched on a higher rock, gazing downward at the scene below \n3. the monkey leaping across a wooden bench with its arms and legs extended mid-air \n4. the monkey leaning back against a rock, resting with its hand near its face", + "20": "1. the monkey sitting on a rock with its body upright, observing its surroundings\n\n2. the monkey climbing on a rocky surface, its limbs visibly engaged in maintaining grip\n\n3. the monkey holding a yellow object in its mouth while stepping on a wooden platform", + "28": "1. the monkey sitting on a rock, with one hand resting casually on the surface \n\n2. the monkey climbing upwards while clinging onto the rock face \n\n3. the monkey leaning forward while walking across the top of a rock \n\n4. the monkey crouching on a slightly raised rock surface looking downward" + } + }, + "08e48c1a48": { + "cow": { + "4": null, + "6": null, + "10": null, + "15": null + } + }, + "08f561c65e": { + "giant_panda": { + "9": null, + "15": null, + "23": null, + "26": null + }, + "person": { + "9": null, + "15": null, + "23": null, + "26": null + } + }, + "08feb87790": { + "sheep": { + "4": null, + "8": null, + "12": null, + "14": null + } + }, + "09049f6fe3": { + "mouse": { + "5": null, + "11": null, + "18": null, + "26": null + } + }, + "092e4ff450": { + "snake": { + "4": null, + "11": null, + "19": null, + "28": null + } + }, + "09338adea8": { + "whale": { + "2": null, + "17": null, + "21": null, + "26": null + } + }, + "093c335ccc": { + "frisbee": { + "2": null, + "13": null, + "18": null, + "21": null + }, + "person": { + "2": null, + "13": null, + "18": null, + "21": null + } + }, + "0970d28339": { + "ape": { + "3": "1. the ape gripping a cable with one hand while sitting on a tree trunk \n2. the ape placing one hand on a cable and the other on the tree trunk while looking upward", + "14": "1. the ape crouching and grasping a tree branch with one hand \n2. the ape sitting and holding onto a rope with one hand", + "23": "1. The ape grasping a tree branch with its upper limbs and leaning its body forward. \n2. The ape hanging onto a tree branch with one arm while extending its body sideways. ", + "29": "1. The ape perched on a tree branch, grasping the trunk with both hands. \n2. The ape leaning forward, one arm gripping the tree trunk while the other appears extended, stabilizing or reaching outward." + } + }, + "0974a213dc": { + "giraffe": { + "3": "1. lowering its neck toward the ground, appearing to sniff or inspect the surface \n2. leaning downward with its neck extended toward the ground, possibly grazing or inspecting the area \n3. standing still with its body oriented slightly toward a tree trunk, appearing to rest or pause ", + "8": null, + "12": "1. bending its neck downward to nudge or inspect the hindquarter of giraffe 2 \n2. standing upright while motionless and being nudged by giraffe 1 \n3. extending its neck forward as it lowers its head toward the ground ", + "16": "1. lowering its head and neck toward the ground \n2. pushing its head and neck against the body of another giraffe \n3. standing with its neck extended toward a tree trunk " + } + }, + "097b471ed8": { + "cat": { + "5": null, + "7": "1. the cat reclining with its body stretched out and resting on a soft surface \n2. the cat leaning forward and lowering its head toward a bowl", + "10": null, + "14": null + } + }, + "0990941758": { + "giant_panda": { + "8": null, + "10": null, + "18": null, + "33": null + } + }, + "09a348f4fa": { + "lizard": { + "5": null, + "9": null, + "14": null, + "24": null + } + }, + "09a6841288": { + "duck": { + "3": null, + "6": null, + "11": null, + "17": null + } + }, + "09c5bad17b": { + "airplane": { + "3": null, + "8": null, + "10": null, + "17": null + } + }, + "09c9ce80c7": { + "giant_panda": { + "3": null, + "15": null, + "21": null, + "32": null + } + }, + "09ff54fef4": { + "fox": { + "4": "1. the fox sitting upright with its front paws partially raised \n2. the fox walking forward with its head lowered toward the grass ", + "8": null, + "14": null, + "24": "1. the fox lying on its back with its legs raised slightly off the ground \n2. the fox standing upright on its hind legs while leaning slightly forward" + } + }, + "0a23765d15": { + "skateboard": { + "3": null, + "6": null, + "10": null, + "15": null + }, + "person": { + "3": "1. leaping with arms extended outward, appearing to jump or balance on the rectangular platform. \n2. leaning forward with knees slightly bent, appearing to approach the platform.", + "6": "1. a person balancing on a skateboard while performing a trick on the raised platform, with one foot on the board and one arm extended. \n2. a person riding a skateboard, crouching slightly with knees bent and arms extended for balance. ", + "10": "1. balancing while riding a skateboard with one foot slightly raised \n2. stepping onto the edge of a tilted skateboard with one foot while raising the other", + "15": null + } + }, + "0a275e7f12": { + "elephant": { + "4": null, + "6": null, + "13": null, + "17": null + } + }, + "0a2f2bd294": { + "motorbike": { + "2": null, + "8": null, + "12": null, + "14": null + } + }, + "0a7a2514aa": { + "cat": { + "2": null, + "8": null, + "12": null, + "14": null + }, + "lizard": { + "2": null, + "8": null, + "12": null, + "14": null + } + }, + "0a7b27fde9": { + "parrot": { + "7": null, + "10": null, + "22": null, + "27": null + } + }, + "0a8c467cc3": { + "fish": { + "4": null, + "12": null, + "21": null, + "33": null + } + }, + "0ac8c560ae": { + "person": { + "3": "2. a person sitting upright on an elephant's back, holding onto the elephant with one hand \n3. a person leaning forward on an elephant's back, placing both hands on the elephant for support ", + "6": null, + "11": "2. a person sitting on the back of an elephant, leaning slightly forward with hands resting on the elephant's body \n3. a person sitting on the back of an elephant, holding a stick or similar object with both hands", + "15": null + } + }, + "0b1627e896": { + "boat": { + "5": null, + "9": null, + "11": null, + "17": null + } + }, + "0b285c47f6": { + "mouse": { + "6": null, + "12": null, + "15": null, + "22": null + }, + "hand": { + "6": null, + "12": null, + "15": null, + "22": null + } + }, + "0b34ec1d55": { + "ape": { + "4": null, + "12": null, + "24": null, + "30": null + } + }, + "0b5b5e8e5a": { + "person": { + "4": null, + "7": null, + "10": null, + "17": null + }, + "sedan": { + "4": null, + "7": null, + "10": null, + "17": null + } + }, + "0b68535614": { + "rabbit": { + "4": null, + "17": null, + "18": null, + "26": null + }, + "hand": { + "4": null, + "17": null, + "18": null, + "26": null + } + }, + "0b6f9105fc": { + "rabbit": { + "6": null, + "15": null, + "22": null, + "29": null + } + }, + "0b7dbfa3cb": { + "cow": { + "2": null, + "5": null, + "10": null, + "12": null + } + }, + "0b9cea51ca": { + "whale": { + "5": null, + "11": null, + "20": null, + "33": null + } + }, + "0b9d012be8": { + "camel": { + "8": null, + "16": null, + "19": null, + "32": null + } + }, + "0bcfc4177d": { + "truck": { + "2": null, + "6": null, + "10": null, + "14": null + } + }, + "0bd37b23c1": { + "motorbike": { + "4": null, + "9": null, + "10": null, + "16": null + } + }, + "0bd864064c": { + "eagle": { + "4": null, + "15": null, + "20": null, + "28": null + } + }, + "0c11c6bf7b": { + "deer": { + "5": null, + "13": null, + "24": null, + "29": null + } + }, + "0c26bc77ac": { + "crocodile": { + "9": null, + "16": null, + "18": null, + "32": null + } + }, + "0c3a04798c": { + "fish": { + "3": null, + "6": null, + "10": null, + "16": null + }, + "duck": { + "3": null, + "6": null, + "10": null, + "16": null + } + }, + "0c44a9d545": { + "tiger": { + "3": null, + "16": null, + "21": null, + "31": null + } + }, + "0c817cc390": { + "dog": { + "9": null, + "11": null, + "21": null, + "26": null + }, + "hedgehog": { + "9": null, + "11": null, + "21": null, + "26": null + } + }, + "0ca839ee9a": { + "ape": { + "8": null, + "13": null, + "18": null, + "28": "1. the ape lying on its back and raising its right hand near its face \n2. the ape clasping the body of the larger ape while lying inclined against it " + } + }, + "0cd7ac0ac0": { + "rabbit": { + "3": null, + "8": null, + "17": null, + "20": null + } + }, + "0ce06e0121": { + "parrot": { + "5": null, + "15": null, + "22": "1. the parrot pecking at or grasping the upper surface of the wooden structure with its beak \n2. the parrot flapping its wings while being held by a person's hand", + "33": null + } + }, + "0cfe974a89": { + "turtle": { + "4": "1. the turtle leaning forward while pressing its front legs against the ground \n2. the turtle on its back while moving its legs upward", + "12": "1. the turtle leaning forward with its head close to the other turtle, appearing to nudge or push it with its mouth or upper body \n2. the turtle lying on its back while stretching out its legs and head upward, possibly attempting to flip itself over", + "21": "1. the turtle pressing its head against another turtle's side \n2. the turtle lying on its back with legs raised and waving in the air", + "28": "1. the turtle leaning forward and pressing its front leg against the ground while facing the overturned turtle \n2. the overturned turtle flailing its legs upward and partially twisting its body" + } + }, + "0d2fcc0dcd": { + "zebra": { + "2": null, + "6": null, + "12": "1. Zebra 1 lowering its head towards the ground, possibly grazing. \n2. Zebra 2 standing still with its body pointed slightly to the right, observing its surroundings. \n3. Zebra 3 leaning forward while moving its head towards Zebra 2, possibly sniffing or nudging. \n4. Zebra 4 kicking its hind legs upward in a dynamic motion, indicating a potential defensive or playful action.", + "17": null + } + }, + "0d3aad05d2": { + "person": { + "4": null, + "12": null, + "15": null, + "24": null + }, + "parachute": { + "4": null, + "12": null, + "15": null, + "24": null + } + }, + "0d40b015f4": { + "snowboard": { + "7": null, + "12": null, + "18": null, + "30": null + }, + "person": { + "7": null, + "12": null, + "18": null, + "30": null + } + }, + "0d97fba242": { + "dog": { + "3": null, + "5": null, + "10": null, + "15": null + }, + "person": { + "3": null, + "5": null, + "10": null, + "15": null + } + }, + "0d9cc80d7e": { + "person": { + "2": null, + "3": null, + "4": null, + "5": null + } + }, + "0dab85b6d3": { + "lizard": { + "3": "1. the lizard resting on a person's hand while extending its limbs outward \n2. the lizard curled on a flat surface while pressing its body against the surface ", + "9": "1. the lizard gripping a human hand with all four limbs \n2. the lizard standing still on a flat white surface inside a glass container", + "18": "1. the lizard resting on a human hand with its body extended forward \n2. the lizard lying on a paper towel, curling its tail upward", + "22": "1. the lizard climbing over a human hand with its front and hind legs extended \n2. the lizard leaning against the transparent surface while pressing its forelimbs against it " + } + }, + "0db5c427a5": { + "train": { + "3": null, + "6": null, + "12": null, + "14": null + } + }, + "0dbaf284f1": { + "cat": { + "5": "1. the cat lowering its head, inspecting or sniffing an object on the floor \n2. the cat lying on its side, partly underneath a metallic chair leg ", + "9": "1. the cat walking forward, with its body slightly curved \n2. the cat crouching while inspecting the floor closely", + "13": "1. the cat extending its head downward while positioning its body near a human leg \n2. the cat crouching close to the base of a metallic stool", + "16": "1. the cat pressing its front paws on a human foot, leaning slightly forward \n2. the cat curled up while resting its body on the ground " + } + }, + "0de4923598": { + "others": { + "4": null, + "7": null, + "13": null, + "15": null + } + }, + "0df28a9101": { + "turtle": { + "3": null, + "10": null, + "17": null, + "20": null + } + }, + "0e04f636c4": { + "frog": { + "7": null, + "9": null, + "16": null, + "23": null + } + }, + "0e05f0e232": { + "lizard": { + "5": null, + "16": null, + "18": null, + "28": null + } + }, + "0e0930474b": { + "sedan": { + "2": null, + "5": null, + "9": null, + "11": null + }, + "person": { + "2": null, + "5": null, + "9": "2. the person holding a device up to their face, possibly taking a photo or recording a video \n3. the person standing still with arms relaxed at their sides, facing the car", + "11": "2. the person holding up a device, possibly a phone, with one hand raised at chest level \n3. the person standing still and appearing to observe the car" + } + }, + "0e27472bea": { + "turtle": { + "9": null, + "14": null, + "19": null, + "26": null + }, + "hand": { + "9": null, + "14": null, + "19": null, + "26": null + } + }, + "0e30020549": { + "parrot": { + "2": null, + "10": null, + "16": null, + "21": null + } + }, + "0e621feb6c": { + "lizard": { + "2": "1. the lizard stretching its body outward, with its limbs extended and tail laid flat against the ground \n2. the lizard climbing over the tail of another lizard, with its front limbs raised and body angled upward", + "10": "1. the lizard extending its body forward with its front limbs planted on the ground, while the rear limbs are bent as it propels itself forward \n2. the lizard lifting its body with its front limbs, slightly leaning its head upward, while its tail curves behind", + "21": "1. the lizard extending its body forward with one of its front legs raised against the ground \n2. the lizard raising its tail upwards while holding a partially upright posture", + "32": null + } + }, + "0e803c7d73": { + "hand": { + "2": null, + "6": null, + "8": null, + "15": null + }, + "knife": { + "2": null, + "6": null, + "8": null, + "15": null + } + }, + "0e9ebe4e3c": { + "truck": { + "4": null, + "6": null, + "9": null, + "15": null + } + }, + "0e9f2785ec": { + "person": { + "5": null, + "7": null, + "12": null, + "14": null + }, + "umbrella": { + "5": null, + "7": null, + "12": null, + "14": null + } + }, + "0ea68d418b": { + "others": { + "5": null, + "9": null, + "13": null, + "15": null + }, + "airplane": { + "5": null, + "9": null, + "13": null, + "15": null + } + }, + "0eb403a222": { + "hand": { + "2": null, + "8": null, + "11": null, + "14": null + }, + "knife": { + "2": null, + "8": null, + "11": null, + "14": null + } + }, + "0ee92053d6": { + "person": { + "3": null, + "8": null, + "12": null, + "16": null + } + }, + "0eefca067f": { + "giant_panda": { + "7": "1. the giant panda sitting upright and grasping bamboo with its left paw while partially biting it \n2. the giant panda reclining on its back while holding bamboo with its mouth", + "11": "1. the giant panda grasping and pulling on bamboo stalks with its front paws \n2. the giant panda lying on its side, holding a piece of bamboo near its mouth", + "18": "1. the giant panda grasping and holding a bamboo stalk with its left paw, while chewing on bamboo leaves with its mouth \n2. the giant panda tilting its head slightly upward while biting and chewing on a bamboo stalk", + "27": "1. the giant panda grasping and biting a bundle of bamboo leaves with its paws and mouth \n2. the giant panda holding and chewing on a single bamboo leaf with its mouth " + } + }, + "0f17fa6fcb": { + "duck": { + "6": "1. the duck gliding forward on the water surface \n2. the duck dipping its head into the water, creating small ripples \n3. the duck paddling while maintaining an upright posture", + "16": null, + "21": null, + "33": null + } + }, + "0f1ac8e9a3": { + "frog": { + "8": null, + "13": null, + "18": null, + "29": null + } + }, + "0f202e9852": { + "parrot": { + "2": null, + "5": null, + "8": null, + "14": null + } + }, + "0f2ab8b1ff": { + "dolphin": { + "6": null, + "10": null, + "19": null, + "31": null + } + }, + "0f51a78756": { + "sheep": { + "2": null, + "11": null, + "18": null, + "21": null + } + }, + "0f5fbe16b0": { + "raccoon": { + "6": null, + "11": null, + "24": "1. the raccoon lying on its back with one paw grasping a rung of the chair \n2. the raccoon lowering its head as it sniffs toward the other raccoon ", + "29": "1. the raccoon lying on its back, reaching upward with its front paws toward the leg of a furniture piece \n2. the raccoon crouching on all fours, facing the other raccoon, with its body partially beneath the furniture piece " + } + }, + "0f6072077b": { + "person": { + "2": "1. leaning forward and resting one arm on the motorcycle. \n2. sitting on the motorcycle seat while placing one hand on the motorcycle\u2019s tank. \n3. holding a camera and aiming it to take a photograph. ", + "7": "1. resting her left hand on the motorcycle handlebar while gazing to the side \n2. sitting on the motorcycle seat with both hands placed on the sides of the motorcycle \n3. holding a camera up to his face and pointing it forward to take a picture ", + "8": "1. extending an arm to hold onto the motorbike near the handlebar \n2. sitting on the motorbike seat with one leg bent and the other hanging off, resting a hand on the bike \n3. holding a camera up to their face and taking a photo ", + "16": "1. the person resting one hand on the motorcycle handlebar while seated on the motorcycle \n2. the person seated on the motorcycle with one leg crossed over the other \n3. the person holding a camera while standing and pointing it forward" + } + }, + "0f6b69b2f4": { + "rabbit": { + "2": null, + "14": null, + "19": null, + "31": null + } + }, + "0f6c2163de": { + "snail": { + "5": null, + "10": null, + "17": null, + "24": null + } + }, + "0f74ec5599": { + "giant_panda": { + "4": null, + "10": null, + "20": null, + "28": null + } + }, + "0f9683715b": { + "elephant": { + "3": null, + "7": null, + "13": null, + "17": null + } + }, + "0fa7b59356": { + "duck": { + "4": null, + "14": null, + "19": null, + "29": null + } + }, + "0fb173695b": { + "hat": { + "4": null, + "6": null, + "11": null, + "14": null + }, + "person": { + "4": null, + "6": null, + "11": null, + "14": null + }, + "paddle": { + "4": null, + "6": null, + "11": null, + "14": null + } + }, + "0fc958cde2": { + "owl": { + "4": null, + "9": null, + "19": null, + "26": null + } + }, + "0fe7b1a621": { + "parrot": { + "2": null, + "15": null, + "20": null, + "30": null + } + }, + "0ffcdb491c": { + "person": { + "5": null, + "8": null, + "11": null, + "15": null + } + }, + "101caff7d4": { + "giant_panda": { + "4": null, + "9": "1. the giant panda leaning forward, pressing its head down against the other panda \n2. the giant panda lying on its back, raising its forelimbs towards the other panda", + "10": "1. the giant panda leaning forward, lowering its head towards the other panda \n2. the giant panda lying on its back, reaching upward with its front paw towards the other panda", + "14": "1. the giant panda leaning forward with its head lowered, possibly sniffing or nudging the ground. \n2. the giant panda lying on its back, with its front paws raised in the air. " + } + }, + "1022fe8417": { + "person": { + "5": "1. a person holding the reins with both hands, while seated on a horse-drawn carriage. \n2. a person seated on a horse-drawn carriage, resting their hands on their lap. \n3. a person standing beside a horse-drawn carriage, clasping their hands together. ", + "8": "1. holding the reins of the horses with both hands and sitting on the wooden carriage seat \n2. sitting upright on the wooden carriage and grasping the edge of the seat with one hand \n3. standing near the carriage while watching the individuals seated on it", + "11": "1. holding the reins with both hands while seated on the carriage \n2. sitting upright on the carriage while holding an object with both hands \n3. gripping the carriage edge with one hand while raising the other hand mid-gesture", + "14": "1. holding and controlling the reins of the horses. \n2. sitting upright and slightly leaning forward, holding onto the side of the carriage. \n3. sitting with hands on lap, leaning slightly backward. " + } + }, + "1032e80b37": { + "giraffe": { + "3": null, + "9": null, + "13": null, + "16": null + } + }, + "103f501680": { + "fish": { + "4": null, + "13": null, + "22": null, + "29": null + } + }, + "104e64565f": { + "elephant": { + "5": null, + "8": null, + "12": null, + "17": null + } + }, + "104f1ab997": { + "person": { + "4": "1. leaning over the inflatable boat while holding an object with both hands \n2. bending down and gripping the edge of the inflatable boat with both hands \n3. standing upright while holding a camera or device with both hands", + "6": "1. the person leaning forward while gripping the rope of a boat \n2. the person bending and holding the edge of an inflatable boat \n3. the person standing upright while clasping hands near their chest ", + "8": "1. leaning forward and extending a hand to touch or hold the inflatable boat. \n2. bending over, holding onto the edge of the inflatable boat with both hands. \n3. holding an object with both hands in front of the chest, observing it closely. ", + "13": "1. leaning forward against the edge of a boat, looking down while extending one arm toward the boat. \n2. bending over and gripping the side of the boat with both hands. \n3. holding a mobile device with both hands while standing upright. " + } + }, + "106242403f": { + "person": { + "3": null, + "6": null, + "13": null, + "14": null + } + }, + "10b31f5431": { + "person": { + "4": "1. riding a skateboard on a paved road, with arms extended and bent at the elbows. \n3. riding a skateboard with legs balanced and slightly bent to maintain control. \n4. holding a selfie stick with one hand while crouching on a skateboard, wearing protective gloves and leaning forward aggressively. ", + "10": "1. a person running forward with arms slightly bent at the elbows \n3. a person appearing to jog or walk briskly with arms moving rhythmically \n4. a person crouching low while holding a selfie stick in their gloved hand", + "19": null, + "26": "1. the person labeled \"3\" crouching on a skateboard while gripping the sides for balance \n2. the person labeled \"4\" extending an arm forward while holding a selfie stick, leaning slightly into the turn on a skateboard" + } + }, + "10eced835e": { + "giant_panda": { + "4": "1. The giant panda bending forward while its head is directed towards the ground. \n2. The giant panda tilting its head downward while using its mouth to manipulate or bite an object. ", + "7": "1. the giant panda crouching and leaning forward towards the ground \n2. the giant panda sitting upright while lowering its head forward", + "8": "1. a panda sitting while lowering its head towards the ground \n2. a panda standing and leaning slightly forward with its face turned towards the other panda", + "16": null + } + }, + "110d26fa3a": { + "shark": { + "6": null, + "10": null, + "18": null, + "22": null + } + }, + "1122c1d16a": { + "parrot": { + "7": "1. the parrot standing upright on the cage wire, with its body tilted slightly forward \n2. the parrot being held in a hand while lifting its head upward \n3. the parrot lying on its back in a hand, with its legs extended slightly outward \n4. the parrot perched inside the cage, facing forward, with its body partially hidden by the cage wires \n5. the parrot standing on the flat surface inside the cage, lowering its head toward an object below", + "11": "1. the parrot standing on a flat surface, leaning forward with its beak close to the ground \n2. the parrot perched on a hand, tilting its head down while partially spreading its wings \n3. the parrot lying on its back in a person's hand, extending its legs upward \n4. the parrot gripping the side of the cage bars with its claws, leaning slightly backward \n5. the parrot resting on a flat surface, slightly tilting its head upward and facing forward ", + "19": null, + "29": null + }, + "person": { + "7": null, + "11": null, + "19": null, + "29": null + } + }, + "1145b49a5f": { + "rabbit": { + "3": null, + "11": null, + "18": null, + "28": null + } + }, + "11485838c2": { + "giraffe": { + "2": "1. extending its neck upward and tilting its head to inspect a person on the railing \n2. lowering its head and neck to interact with the roof of the structure with its mouth \n3. facing downward with its head close to the ground, seemingly inspecting or interacting with the floor surface", + "8": null, + "13": "1. the giraffe extending its neck upwards, reaching towards a rooftop \n2. the giraffe lowering its head, gesturing towards the neighboring giraffe \n3. the giraffe standing still, observing the surroundings with its head facing slightly forward", + "15": "1. the giraffe lowering its head, pressing its muzzle against the slanted wooden structure \n2. the giraffe extending its neck downward to nuzzle the back of another giraffe \n3. the giraffe standing still with its head raised and ears slightly angled outward" + } + }, + "114e7676ec": { + "surfboard": { + "2": null, + "16": null, + "22": null, + "29": null + }, + "person": { + "2": null, + "16": null, + "22": null, + "29": null + } + }, + "1157472b95": { + "parrot": { + "2": "1. the parrot using its beak to grasp and manipulate its foot \n2. the parrot standing upright, maintaining balance on the wooden perch ", + "12": null, + "24": null, + "33": null + } + }, + "115ee1072c": { + "cow": { + "4": null, + "5": null, + "9": null, + "14": null + } + }, + "1171141012": { + "turtle": { + "3": null, + "10": null, + "16": null, + "24": null + }, + "person": { + "3": null, + "10": null, + "16": null, + "24": null + } + }, + "117757b4b8": { + "snail": { + "2": null, + "10": null, + "24": null, + "27": null + } + }, + "1178932d2f": { + "motorbike": { + "5": null, + "16": null, + "23": null, + "27": null + }, + "person": { + "5": null, + "16": "1. controlling a motorcycle with both hands while seated and moving forward \n2. operating a motorcycle with one hand on the handlebar while looking to the side", + "23": null, + "27": null + } + }, + "117cc76bda": { + "whale": { + "4": null, + "17": null, + "24": null, + "26": null + } + }, + "1180cbf814": { + "fish": { + "8": null, + "12": null, + "24": null, + "28": "1. the fish swimming upward near the edge of a rock structure, with its fins spread wide \n2. the fish swimming forward with a horizontal body posture, maintaining a straight trajectory" + } + }, + "1187bbd0e3": { + "cat": { + "2": null, + "6": null, + "10": null, + "16": null + } + }, + "1197e44b26": { + "giant_panda": { + "5": null, + "17": null, + "23": null, + "32": null + } + }, + "119cf20728": { + "lizard": { + "7": null, + "14": null, + "21": null, + "29": null + }, + "hand": { + "7": null, + "14": null, + "21": null, + "29": null + } + }, + "119dd54871": { + "lion": { + "2": null, + "15": null, + "22": null, + "25": null + } + }, + "11a0c3b724": { + "mouse": { + "3": null, + "6": null, + "8": null, + "14": null + } + }, + "11a6ba8c94": { + "skateboard": { + "4": null, + "13": null, + "25": null, + "26": null + }, + "person": { + "4": "1. crouching down and tying the laces of a shoe with both hands \n2. balancing on a skateboard while standing with knees slightly bent", + "13": "1. crouching down while holding a camera near the ground and aiming it at the skateboard. \n2. stepping onto a skateboard with one foot while keeping the other foot raised slightly off the ground.", + "25": "1. crouching and tying the laces of a shoe with both hands \n2. balancing on one leg while positioning the other foot toward a skateboard", + "26": "1. crouching and holding a small object with both hands close to the ground \n2. balancing on one foot while performing a maneuver with a skateboard" + } + }, + "11c722a456": { + "turtle": { + "4": null, + "13": null, + "22": null, + "30": null + } + }, + "11cbcb0b4d": { + "zebra": { + "5": null, + "8": null, + "11": null, + "17": null + } + }, + "11ccf5e99d": { + "plant": { + "5": null, + "8": null, + "12": null, + "17": null + }, + "person": { + "5": null, + "8": null, + "12": null, + "17": null + } + }, + "11ce6f452e": { + "person": { + "2": "1. standing with one hand resting on their hip. \n2. holding the reins and gripping them with both hands, appearing to steer or control the horse. \n3. grasping a metal railing with one hand while standing behind it. ", + "5": "1. standing with one hand resting on the hip, observing the surroundings \n2. holding reins with both hands, steering or guiding a harnessed horse \n3. gripping a vertical support bar with one hand, standing on a carriage", + "10": "1. a person standing with one hand resting on their hip and the other hand behind their back \n2. a person holding the reins of a horse-drawn carriage with both hands \n3. a person gripping the side railing of a carriage with one hand while standing upright", + "15": "1. standing with hands resting on hips and a bottle held in the waistband at the back \n2. leaning forward with one hand grasping the frame of a wagon or structure \n3. standing upright while holding the wagon rail with one hand" + } + }, + "11feabe596": { + "rabbit": { + "2": null, + "9": null, + "14": null, + "25": null + } + }, + "120cb9514d": { + "person": { + "8": null, + "16": null, + "18": null, + "31": null + } + }, + "12156b25b3": { + "surfboard": { + "7": null, + "10": null, + "18": null, + "31": null + }, + "person": { + "7": null, + "10": null, + "18": null, + "31": null + } + }, + "122896672d": { + "others": { + "3": null, + "11": null, + "20": null, + "28": null + }, + "skateboard": { + "3": null, + "11": null, + "20": null, + "28": null + }, + "person": { + "3": null, + "11": null, + "20": null, + "28": null + } + }, + "1233ac8596": { + "dog": { + "2": null, + "7": null, + "10": null, + "15": null + } + }, + "1239c87234": { + "lizard": { + "8": null, + "17": null, + "22": null, + "27": null + } + }, + "1250423f7c": { + "hat": { + "4": null, + "7": null, + "10": null, + "16": null + }, + "person": { + "4": null, + "7": null, + "10": null, + "16": null + }, + "elephant": { + "4": "3. an elephant sitting with its body angled forward, its trunk extended downward, grasping or reaching toward the ground \n4. an elephant standing still with all four legs firmly planted on the ground", + "7": "3. the elephant sitting on its hind legs while placing its front legs on the ground \n4. the elephant standing upright and facing forward with no prominent movement", + "10": null, + "16": "3. an elephant reaching its trunk towards a standing person, appearing to interact with the person \n4. an elephant standing still without any observable action" + } + }, + "1257a1bc67": { + "snake": { + "5": null, + "11": null, + "17": null, + "28": null + } + }, + "125d1b19dd": { + "giant_panda": { + "9": null, + "11": null, + "21": null, + "33": "1. the giant panda grasping a tree branch with its front paws \n2. the giant panda balancing on a thin branch while moving forward" + } + }, + "126d203967": { + "skateboard": { + "9": null, + "14": null, + "23": null, + "33": null + }, + "person": { + "9": null, + "14": null, + "23": null, + "33": null + } + }, + "1295e19071": { + "airplane": { + "3": null, + "6": null, + "10": null, + "15": null + } + }, + "12ad198c54": { + "skateboard": { + "5": null, + "10": null, + "14": null, + "20": null + }, + "person": { + "5": null, + "10": null, + "14": null, + "20": null + } + }, + "12bddb2bcb": { + "frisbee": { + "4": null, + "8": null, + "18": null, + "21": null + }, + "person": { + "4": null, + "8": null, + "18": null, + "21": null + } + }, + "12ec9b93ee": { + "giant_panda": { + "5": null, + "12": null, + "24": null, + "31": null + } + }, + "12eebedc35": { + "bird": { + "3": null, + "5": null, + "8": null, + "13": null + } + }, + "132852e094": { + "fox": { + "6": null, + "7": null, + "13": null, + "21": null + } + }, + "1329409f2a": { + "fish": { + "8": null, + "14": null, + "23": null, + "31": null + } + }, + "13325cfa14": { + "person": { + "5": null, + "6": null, + "11": null, + "17": null + }, + "umbrella": { + "5": null, + "6": null, + "11": null, + "17": null + } + }, + "1336440745": { + "mouse": { + "2": null, + "14": null, + "24": "1. the mouse climbing the enclosure wall with its front paws extended upward \n2. the mouse partially emerging from a tunnel, its head and upper body protruding forward", + "30": null + } + }, + "134d06dbf9": { + "cat": { + "4": null, + "9": null, + "13": null, + "16": null + } + }, + "135625b53d": { + "parrot": { + "5": null, + "10": null, + "16": null, + "22": null + }, + "hand": { + "5": null, + "10": null, + "16": null, + "22": null + } + }, + "13870016f9": { + "cow": { + "2": null, + "7": null, + "8": null, + "14": null + }, + "person": { + "2": null, + "7": null, + "8": null, + "14": null + } + }, + "13960b3c84": { + "giraffe": { + "4": null, + "9": "1. the giraffe extending its neck forward while looking downward \n2. the giraffe tilting its neck slightly to the side with its head facing forward \n3. the giraffe lowering its head closer to the ground, as if inspecting the terrain ", + "12": "1. the giraffe lowering its head and neck to inspect an object on the ground \n2. the giraffe standing still with its head slightly turned toward its surroundings \n3. the giraffe lowering its head while closely nudging its snout against the ground ", + "15": "1. the giraffe lowering its head slightly, appearing to focus forward \n2. the giraffe tilting its head downward, appearing to reach toward the ground \n3. the giraffe extending its neck while raising its head, interacting with the elevated rocky surface " + } + }, + "13adaad9d9": { + "giant_panda": { + "2": null, + "13": null, + "21": null, + "31": null + } + }, + "13ae097e20": { + "giant_panda": { + "7": null, + "11": null, + "23": null, + "30": null + } + }, + "13e3070469": { + "zebra": { + "4": null, + "6": "1. lowering its head to graze on the grass. \n2. standing still with its body positioned close to the larger zebra nearby. \n3. walking forward, with its head slightly lowered. ", + "13": null, + "15": null + } + }, + "13f6a8c20d": { + "fish": { + "3": null, + "8": null, + "15": null, + "23": null + } + }, + "1416925cf2": { + "truck": { + "3": "1. A truck with a trailer receiving a stream of harvested crop material directed into it. \n2. A forage harvester expelling harvested crop material through its chute into the trailer of another truck. ", + "6": "1. the truck collecting harvested material being discharged into its container via a chute \n2. the truck operating a harvester arm actively cutting tall crops while transferring them to another truck ", + "8": "1. the truck receiving chopped crop material being ejected from a pipe \n2. the truck ejecting a stream of chopped crop material through an elevated pipe", + "11": "1. the truck receiving a flow of harvested material into its open container from an agricultural chute \n2. the truck's chute expelling a stream of harvested material into the container of another truck" + } + }, + "142d2621f5": { + "motorbike": { + "4": null, + "6": null, + "8": null, + "11": null + }, + "person": { + "4": "1. the person grasping the rear section of the motorcycle while leaning forward \n2. the person crouching over the motorcycle and holding the handlebars", + "6": "1. a person standing in the mud, leaning forward and extending their arm towards the motorcycle. \n2. a person bent over the motorcycle, gripping the handlebar and exerting force to assist in moving it through the mud. ", + "8": "1. leaning forward while supporting the motorcycle with their leg planted in the mud \n2. gripping the motorcycle's handlebar with both hands while leaning down in a forward position", + "11": "1. bending forward while grasping the rear side of a motorbike \n2. leaning over the motorbike while holding its handlebars" + } + }, + "145d5d7c03": { + "giant_panda": { + "5": null, + "14": null, + "20": null, + "28": null + } + }, + "145fdc3ac5": { + "lizard": { + "2": null, + "11": null, + "23": null, + "30": null + } + }, + "1471274fa7": { + "person": { + "2": null, + "6": null, + "8": null, + "13": null + } + }, + "14a6b5a139": { + "fish": { + "7": null, + "12": null, + "20": null, + "31": null + } + }, + "14c21cea0d": { + "monkey": { + "3": null, + "15": null, + "20": null, + "31": null + } + }, + "14dae0dc93": { + "person": { + "5": null, + "6": null, + "10": null, + "16": null + }, + "umbrella": { + "5": null, + "6": null, + "10": null, + "16": null + } + }, + "14f9bd22b5": { + "tiger": { + "5": null, + "12": null, + "22": null, + "30": null + } + }, + "14fd28ae99": { + "parrot": { + "7": null, + "15": null, + "21": null, + "30": null + }, + "hand": { + "7": null, + "15": null, + "21": null, + "30": null + } + }, + "15097d5d4e": { + "parrot": { + "2": null, + "10": null, + "18": null, + "26": null + }, + "hand": { + "2": null, + "10": null, + "18": null, + "26": null + } + }, + "150ea711f2": { + "whale": { + "4": null, + "15": null, + "19": null, + "32": null + } + }, + "1514e3563f": { + "earless_seal": { + "2": "1. the earless seal moving its head above the water surface, with whiskers spread outward \n2. the earless seal raising its left flipper out of the water while floating upright", + "11": null, + "19": null, + "28": null + } + }, + "152aaa3a9e": { + "raccoon": { + "5": null, + "12": null, + "25": null, + "32": null + } + }, + "152b7d3bd7": { + "giant_panda": { + "3": null, + "8": null, + "18": null, + "20": null + } + }, + "15617297cc": { + "surfboard": { + "9": null, + "17": null, + "19": null, + "30": null + }, + "person": { + "9": null, + "17": null, + "19": null, + "30": null + } + }, + "15abbe0c52": { + "skateboard": { + "7": null, + "8": null, + "17": null, + "20": null + }, + "person": { + "7": null, + "8": null, + "17": null, + "20": null + } + }, + "15d1fb3de5": { + "cat": { + "4": null, + "12": null, + "22": null, + "28": null + }, + "owl": { + "4": null, + "12": null, + "22": null, + "28": null + } + }, + "15f67b0fab": { + "skateboard": { + "7": null, + "13": null, + "20": null, + "33": null + }, + "person": { + "7": null, + "13": null, + "20": null, + "33": null + } + }, + "161eb59aad": { + "cow": { + "5": null, + "6": null, + "10": null, + "16": null + }, + "giraffe": { + "5": null, + "6": null, + "10": null, + "16": null + } + }, + "16288ea47f": { + "duck": { + "2": "1. the duck grooming its feathers using its beak \n2. the duck submerging its head into the water", + "14": "1. the duck leaning forward with its beak close to its own feathers, appearing to preen \n2. the duck submerging its head partially in water within the container", + "21": "1. the duck curling its body while resting in a green container \n2. the duck partially submerged in a water-filled container", + "32": "1. the duck resting in a green container with its neck slightly curved downward \n\n2. the duck submerging its head partially into the water inside a rectangular container" + } + }, + "164410ce62": { + "person": { + "3": null, + "6": null, + "9": null, + "16": null + } + }, + "165c3c8cd4": { + "person": { + "2": null, + "7": "1. the person walking forward beside a motorcycle while holding an object in one hand \n2. the person standing next to a motorcycle while holding the handlebars \n3. the person standing upright with arms relaxed at their sides", + "13": "1. walking forward while approaching the motorcycles \n2. walking forward while holding a shoulder bag \n3. standing still with hands clasped behind their back ", + "16": "1. walking forward among parked motorcycles \n2. carrying a shoulder bag while walking away \n3. standing with both hands clasped behind the back" + } + }, + "165c42b41b": { + "motorbike": { + "2": null, + "5": null, + "9": null, + "15": null + }, + "person": { + "2": null, + "5": null, + "9": null, + "15": null + } + }, + "165ec9e22b": { + "person": { + "5": null, + "9": null, + "17": null, + "23": null + } + }, + "1669502269": { + "person": { + "4": null, + "7": null, + "9": null, + "16": null + } + }, + "16763cccbb": { + "ape": { + "5": null, + "12": null, + "16": null, + "25": null + } + }, + "16adde065e": { + "hat": { + "3": null, + "6": null, + "13": null, + "17": null + }, + "cat": { + "3": null, + "6": null, + "13": null, + "17": null + }, + "person": { + "3": null, + "6": null, + "13": null, + "17": null + } + }, + "16af445362": { + "airplane": { + "3": null, + "6": null, + "13": null, + "16": null + } + }, + "16afd538ad": { + "parrot": { + "2": null, + "8": null, + "14": null, + "23": null + } + }, + "16c3fa4d5d": { + "sedan": { + "2": null, + "6": null, + "12": null, + "17": null + } + }, + "16d1d65c27": { + "monkey": { + "7": null, + "12": null, + "21": null, + "31": null + } + }, + "16e8599e94": { + "giant_panda": { + "3": null, + "17": null, + "21": null, + "28": null + } + }, + "16fe9fb444": { + "motorbike": { + "4": null, + "6": null, + "8": null, + "16": null + }, + "person": { + "4": null, + "6": null, + "8": null, + "16": null + } + }, + "1705796b02": { + "train": { + "4": null, + "7": null, + "12": null, + "15": null + } + }, + "1724db7671": { + "giant_panda": { + "6": null, + "13": null, + "19": null, + "24": null + } + }, + "17418e81ea": { + "shark": { + "4": null, + "14": null, + "16": null, + "25": null + } + }, + "175169edbb": { + "ape": { + "6": "1. the ape raising its left arm and leaning its body forward toward the other ape \n2. the ape lying on its back, grasping a stick with its right hand", + "9": "1. the ape leaning forward and touching or grasping the body of the other ape with one arm \n2. the ape lying on its back and extending one arm upward while in contact with the other ape ", + "19": "1. the ape standing while leaning its body over another, with its forearm pushing or supporting its weight on the ground. \n2. the ape lying on its back, grasping and pulling on the forearm of the other ape with both hands.", + "20": "1. the ape leaning downward with its head positioned near the other ape, possibly sniffing or observing closely \n2. the ape lying on its back, grasping and inspecting a piece of straw with one hand " + } + }, + "17622326fd": { + "lizard": { + "2": null, + "15": null, + "23": null, + "26": null + } + }, + "17656bae77": { + "elephant": { + "3": null, + "6": null, + "8": null, + "11": null + } + }, + "17b0d94172": { + "airplane": { + "3": null, + "5": null, + "7": null, + "8": null + } + }, + "17c220e4f6": { + "giant_panda": { + "2": null, + "15": null, + "25": null, + "31": null + } + }, + "17c7bcd146": { + "train": { + "4": null, + "9": null, + "10": null, + "17": null + } + }, + "17cb4afe89": { + "tiger": { + "7": null, + "13": null, + "21": null, + "30": null + } + }, + "17cd79a434": { + "squirrel": { + "3": null, + "17": null, + "19": null, + "32": null + } + }, + "17d18604c3": { + "plant": { + "3": null, + "7": null, + "10": null, + "16": null + }, + "person": { + "3": "1. holding and adjusting a potted tree with both hands \n2. standing with arms crossed, observing the scene", + "7": "1. leaning forward and reaching with both hands toward a bonsai tree on a table \n2. standing upright with arms crossed, observing the surroundings", + "10": "1. leaning forward slightly while clasping hands near its torso \n2. standing upright with arms crossed over chest", + "16": null + } + }, + "17d8ca1a37": { + "person": { + "7": null, + "10": null, + "17": null, + "21": null + }, + "owl": { + "7": null, + "10": null, + "17": null, + "21": null + } + }, + "17e33f4330": { + "monkey": { + "7": null, + "14": null, + "21": null, + "31": null + } + }, + "17f7a6d805": { + "snail": { + "3": null, + "8": null, + "17": null, + "27": null + } + }, + "180abc8378": { + "person": { + "4": null, + "14": null, + "22": null, + "30": null + }, + "owl": { + "4": null, + "14": null, + "22": null, + "30": null + } + }, + "183ba3d652": { + "hat": { + "2": null, + "7": null, + "12": null, + "17": null + }, + "motorbike": { + "2": null, + "7": null, + "12": null, + "17": null + }, + "person": { + "2": null, + "7": null, + "12": null, + "17": null + } + }, + "185bf64702": { + "zebra": { + "2": null, + "9": null, + "11": null, + "17": null + } + }, + "18913cc690": { + "train": { + "3": null, + "5": null, + "8": null, + "12": null + } + }, + "1892651815": { + "camel": { + "2": null, + "13": null, + "23": null, + "29": null + } + }, + "189ac8208a": { + "giraffe": { + "2": "1. the giraffe standing upright while facing toward a hanging feeder \n2. the giraffe extending its neck upward to reach a hanging feeder", + "5": "1. the giraffe standing upright, facing a feeding platform without visible direct interaction \n2. the giraffe extending its neck upward, reaching toward the feeding platform", + "9": "1. the giraffe standing still with its body facing straight while looking slightly upward \n2. the giraffe lowering its head and extending its neck toward a feeding platform ", + "13": "1. the giraffe standing upright, facing forward \n2. the giraffe extending its neck and reaching upward toward a hay-filled feeding basket" + } + }, + "189b44e92c": { + "zebra": { + "5": null, + "8": null, + "11": null, + "16": null + } + }, + "18ac264b76": { + "skateboard": { + "2": null, + "10": null, + "18": null, + "25": null + }, + "person": { + "2": null, + "10": null, + "18": null, + "25": null + } + }, + "18b245ab49": { + "penguin": { + "2": "1. the penguin standing upright with its wings slightly spread downward \n2. the penguin bending forward, pecking at the snow-covered ground \n3. the penguin crouching low and leaning toward the ground with its beak close to the surface \n4. the penguin standing still, facing forward with a rigid posture ", + "7": "1. the penguin pecking at the snow-covered ground with its beak \n2. the penguin lowering its head toward the snow, appearing to inspect or nibble at it \n3. the penguin crouching low and extending its beak toward the snowy surface \n4. the penguin standing upright with its body slightly tilted forward, gazing downward", + "9": "1. the penguin extending its flipper forward while leaning slightly upward \n2. the penguin crouching low to the ground, facing downward \n3. the penguin sitting on the ground while tilting its head slightly to the side \n4. the penguin standing upright, tilting its head slightly downward", + "14": "1. the penguin lying on its belly and extending its beak forward toward the snow \n2. the penguin leaning forward and pecking at the snow with its beak \n3. the penguin standing upright and turning its head slightly to the side \n4. the penguin standing upright and facing forward while remaining stationary " + } + }, + "18b5cebc34": { + "mouse": { + "2": null, + "12": null, + "14": null, + "23": null + } + }, + "18bad52083": { + "parrot": { + "5": "1. the parrot lowering its head toward a metallic bowl, appearing to inspect or peck at it \n2. the parrot perched on a wooden surface, gripping it with its claws while slightly leaning forward", + "12": null, + "22": "1. the parrot partially leaning over a metallic bowl \n2. the parrot perched on a wooden stand while gripping a green handle with its claws ", + "28": "1. the parrot pecking at a metallic bowl with its beak \n2. the parrot gripping a wooden perch with its claws while standing upright " + } + }, + "18bb5144d5": { + "lizard": { + "9": null, + "14": null, + "25": null, + "33": null + } + }, + "18c6f205c5": { + "person": { + "3": "1. standing at the front of the boat, holding a drumstick and striking a drum. \n2. paddling vigorously in synchronization with others using a rowing paddle. \n3. standing at the front of the boat, holding a drumstick and striking a drum. ", + "7": "1. standing and striking a drum with a stick on the front of a boat \n2. standing and pulling a rope near the front of a boat \n3. sitting and holding a paddle, rowing the boat", + "13": "1. standing at the front of the boat, holding a drumstick and beating a drum rhythmically.\n\n2. sitting in the boat, paddling forcefully with a paddle in coordination with others.\n\n3. standing at the back of the boat, steering with a long oar.", + "15": "1. actively drumming on a barrel-like object with one hand raised and the other holding a drumstick \n2. paddling in unison with others, pulling the paddle through the water forcefully \n3. holding and pushing a paddle into the water while rowing the boat" + } + }, + "1903f9ea15": { + "bird": { + "2": "1. pecking at a dangling leaf from above. \n2. perching on a horizontal branch while facing forward. ", + "7": null, + "13": null, + "15": null + } + }, + "1917b209f2": { + "horse": { + "4": null, + "6": null, + "9": null, + "16": null + }, + "cow": { + "4": "3. a cow turning its head downward while standing still \n4. a cow standing upright with its head raised and looking forward", + "6": null, + "9": null, + "16": null + }, + "person": { + "4": null, + "6": null, + "9": null, + "16": null + } + }, + "191e74c01d": { + "deer": { + "3": null, + "10": null, + "17": null, + "25": null + } + }, + "19367bb94e": { + "fish": { + "7": null, + "12": null, + "18": null, + "28": null + } + }, + "193ffaa217": { + "person": { + "3": null, + "5": null, + "10": null, + "11": null + } + }, + "19696b67d3": { + "cow": { + "3": null, + "6": null, + "10": null, + "17": null + } + }, + "197f3ab6f3": { + "giant_panda": { + "4": null, + "10": null, + "18": null, + "31": null + } + }, + "1981e763cc": { + "sheep": { + "5": "1. the sheep standing still with its head slightly lowered, facing forward \n2. the sheep turning its head upward and to the side while positioned on a rock", + "15": "1. the sheep standing still on a rock, with its head facing forward \n2. the sheep slightly leaning forward, stretching its neck to inspect or interact with a metallic arch", + "18": null, + "29": "1. the sheep lowering its head and appearing to graze or inspect the rock surface with its mouth \n2. the sheep standing upright and extending its neck upwards, reaching towards a curved metal structure " + } + }, + "198afe39ae": { + "surfboard": { + "7": null, + "13": null, + "17": null, + "20": null + }, + "person": { + "7": null, + "13": null, + "17": null, + "20": null + } + }, + "19a6e62b9b": { + "monkey": { + "7": null, + "10": null, + "18": null, + "27": null + } + }, + "19b60d5335": { + "hand": { + "5": null, + "14": null, + "24": null, + "31": null + }, + "hedgehog": { + "5": null, + "14": null, + "24": null, + "31": null + } + }, + "19c00c11f9": { + "surfboard": { + "7": null, + "11": null, + "18": null, + "27": null + }, + "person": { + "7": null, + "11": null, + "18": null, + "27": null + } + }, + "19e061eb88": { + "boat": { + "4": null, + "7": null, + "9": null, + "16": null + } + }, + "19e8bc6178": { + "dog": { + "3": null, + "5": null, + "10": null, + "15": null + } + }, + "19ee80dac6": { + "surfboard": { + "6": null, + "13": null, + "23": null, + "32": null + }, + "person": { + "6": "1. riding a wave on a surfboard, leaning forward with their body balanced. \n3. lying on a surfboard in the water, paddling with arms extended forward. \n4. lying on a surfboard in the water, paddling with arms stroking alternately. ", + "13": "1. standing on a surfboard while maintaining balance atop a wave \n3. swimming in the water with arms extended forward \n4. sitting on a surfboard holding the edges with both hands", + "23": null, + "32": null + } + }, + "1a25a9170a": { + "cow": { + "5": null, + "15": null, + "25": null, + "30": null + }, + "person": { + "5": "2. a person gripping the horns of a bull while mounted on its back. \n3. a person extending both arms outward, seemingly directing or gesturing toward the bull. ", + "15": "2. a person seated on a bull, gripping its back tightly with one hand while extending the other arm for balance \n3. a person standing upright, observing the bull and rider, with arms relaxed alongside the body", + "25": "2. the person riding a bull while grasping it with one hand and raising the other arm \n3. the person standing on the ground facing the bull without performing any visible prominent action ", + "30": "2. a person seated on a bull, holding the grip of a rope with one hand while the other arm is dynamically extended outward \n3. a person standing while facing the bull rider, with one arm hanging down and the other slightly bent" + } + }, + "1a359a6c1a": { + "sheep": { + "3": null, + "10": null, + "17": null, + "24": null + } + }, + "1a3e87c566": { + "frog": { + "4": null, + "8": null, + "16": null, + "23": null + } + }, + "1a5fe06b00": { + "bus": { + "4": null, + "6": null, + "8": null, + "11": null + } + }, + "1a6c0fbd1e": { + "skateboard": { + "4": null, + "11": null, + "17": null, + "23": null + }, + "person": { + "4": null, + "11": null, + "17": null, + "23": null + } + }, + "1a6f3b5a4b": { + "bike": { + "5": null, + "7": null, + "10": null, + "16": null + }, + "hand": { + "5": null, + "7": null, + "10": null, + "16": null + }, + "sedan": { + "5": null, + "7": null, + "10": null, + "16": null + } + }, + "1a8afbad92": { + "zebra": { + "2": null, + "6": null, + "8": "1. a zebra leaping forward with its front legs extended, while its hind legs push off the ground \n2. a zebra galloping forward with all four legs in motion, creating visible dust trails", + "13": null + } + }, + "1a8bdc5842": { + "parrot": { + "5": null, + "13": null, + "18": null, + "27": "1. the parrot twisting its body to groom its wing with its beak \n2. the parrot standing upright on the wooden perch, leaning slightly forward " + } + }, + "1a95752aca": { + "duck": { + "5": "1. the duck opening its beak toward the hand holding food \n2. the duck extending its neck while pecking at the food in the hand ", + "9": "1. the duck opening its beak widely while attempting to grasp a piece of food from a human hand \n2. the duck leaning forward while observing the food interaction nearby", + "18": "1. the duck extending its neck while leaning forward toward the water's edge \n2. the duck grasping a piece of bread with its beak from a human hand", + "24": null + } + }, + "1a9c131cb7": { + "ape": { + "7": "1. the ape leaning forward with its body curved down, reaching towards the ground or grass with its front limb \n2. the ape pulling the arm of another ape with its hand while crouching low \n3. the ape squatting in a seated posture, with its arms relaxed and resting near its body", + "16": "1. the ape leaning forward, using its front limb to push or press against the back of another ape lying on the ground \n2. the ape lying on its side in the grass, remaining stationary \n3. the ape sitting upright against the wall, holding one hand close to its face as if shielding or covering it", + "22": "1. the ape grasping another ape's face with its hand \n2. the ape lying on its side while being touched by another ape \n3. the ape sitting against the wall with one hand covering its mouth", + "29": "1. The ape bending forward and extending its arm, appearing to touch or inspect the ground closely. \n2. The ape leaning forward with its head lowered, appearing to dig or grasp at the grass with its hands. \n3. The ape sitting against the wall, holding its hand over its face in a resting posture. " + } + }, + "1aa3da3ee3": { + "sheep": { + "3": null, + "11": null, + "15": null, + "22": null + } + }, + "1ab27ec7ea": { + "deer": { + "2": null, + "5": null, + "6": null, + "8": null + } + }, + "1abf16d21d": { + "turtle": { + "3": null, + "12": null, + "18": null, + "27": null + } + }, + "1acd0f993b": { + "dog": { + "7": null, + "14": null, + "25": null, + "26": null + }, + "frisbee": { + "7": null, + "14": null, + "25": null, + "26": null + }, + "person": { + "7": null, + "14": null, + "25": null, + "26": null + } + }, + "1ad202e499": { + "lizard": { + "5": "1. the lizard grasping another lizard's body with its front limbs \n2. the lizard extending its body upward while being held", + "13": "1. the lizard arching its body while pressing its right side against the other lizard \n2. the lizard leaning forward and clasping the body of the other lizard with its front limbs ", + "25": null, + "29": "1. the lizard lifting its front body and pressing against the side of another lizard using its head and upper body \n2. the lizard standing upright while leaning its body forward, pressing its front limbs against another lizard " + } + }, + "1af8d2395d": { + "airplane": { + "7": null, + "11": null, + "22": null, + "30": null + }, + "person": { + "7": null, + "11": "1. Person 1 holding onto the harness straps while free-falling during a tandem skydive. \n2. Person 2 extending their limbs outward in a spread position and stabilizing their tandem partner during free-fall.", + "22": "1. the person gripping the straps of their harness while leaning forward mid-air \n2. the person holding the harness straps of the individual in front while stabilizing their body mid-air", + "30": "1. the person with extended arms and an open mouth, free-falling in a skydive \n2. the person in a tandem skydive, gripping the harness and positioned above another person" + }, + "parachute": { + "7": null, + "11": null, + "22": null, + "30": null + } + }, + "1afd39a1fa": { + "motorbike": { + "3": null, + "6": null, + "10": null, + "13": null + }, + "hand": { + "3": null, + "6": null, + "10": null, + "13": null + } + }, + "1b2d31306f": { + "lizard": { + "9": null, + "17": null, + "25": null, + "30": null + } + }, + "1b3fa67f0e": { + "airplane": { + "3": null, + "5": null, + "10": null, + "11": null + } + }, + "1b43fa74b4": { + "owl": { + "5": null, + "12": null, + "16": null, + "21": null + } + }, + "1b73ea9fc2": { + "parrot": { + "4": null, + "7": null, + "9": null, + "11": null + } + }, + "1b7e8bb255": { + "hat": { + "3": null, + "6": null, + "10": null, + "17": null + }, + "skateboard": { + "3": null, + "6": null, + "10": null, + "17": null + }, + "person": { + "3": null, + "6": null, + "10": null, + "17": null + } + }, + "1b8680f8cd": { + "tennis_racket": { + "5": null, + "9": null, + "14": null, + "20": null + }, + "person": { + "5": "2. standing in a ready athletic stance, leaning slightly forward with legs bent, preparing to receive the ball \n3. holding a tennis racket and swinging it forward, aiming to hit the ball", + "9": "2. holding a tennis racket with both hands, preparing to swing \n3. holding a tennis racket with one hand, stepping forward", + "14": "2. a person holding a tennis racket and swinging it forward in an overhead motion \n3. a person standing with knees bent and arms outstretched, appearing ready to receive or react", + "20": "2. holding a tennis racket with one hand while standing in a ready position \n3. leaning forward and holding a tennis racket with both hands" + } + }, + "1b883843c0": { + "person": { + "3": "1. cycling while gripping the bike's handlebars, with an open-mouthed expression suggesting talking or shouting \n2. cycling while leaning forward and supporting a backpack strapped to the shoulders ", + "5": null, + "10": null, + "14": null + } + }, + "1b8898785b": { + "monkey": { + "2": "1. the monkey hanging from a horizontal wooden bar using both arms \n2. the monkey crouching on top of a horizontal wooden bar", + "14": "1. the monkey hanging from the horizontal wooden bar using one arm \n2. the monkey crouching atop the vertical wooden post while holding onto the horizontal bar with at least one arm", + "20": "1. a monkey hanging with one arm from a horizontal wooden beam while extending its legs outward \n2. a monkey crouching on top of the wooden beam while gripping it with its front limbs", + "27": "1. the monkey climbing a vertical wooden pole with its limbs gripping the structure \n2. the monkey crouched on the horizontal wooden beam, balancing while looking downward " + } + }, + "1b88ba1aa4": { + "giant_panda": { + "7": null, + "17": null, + "21": null, + "29": null + } + }, + "1b96a498e5": { + "ape": { + "4": null, + "10": null, + "15": null, + "22": null + } + }, + "1bbc4c274f": { + "fish": { + "3": null, + "9": null, + "13": null, + "16": null + } + }, + "1bd87fe9ab": { + "train": { + "2": null, + "5": null, + "6": null, + "9": null + } + }, + "1c4090c75b": { + "whale": { + "5": null, + "14": null, + "21": null, + "31": null + } + }, + "1c41934f84": { + "elephant": { + "3": null, + "8": null, + "13": null, + "16": null + } + }, + "1c72b04b56": { + "lion": { + "9": null, + "11": null, + "20": null, + "26": null + } + }, + "1c87955a3a": { + "turtle": { + "4": null, + "11": null, + "17": null, + "24": null + }, + "crocodile": { + "4": null, + "11": null, + "17": null, + "24": null + } + }, + "1c9f9eb792": { + "skateboard": { + "6": null, + "11": null, + "24": null, + "32": null + }, + "person": { + "6": null, + "11": null, + "24": null, + "32": null + } + }, + "1ca240fede": { + "train": { + "4": null, + "6": null, + "12": null, + "17": null + } + }, + "1ca5673803": { + "tennis_racket": { + "8": null, + "16": null, + "21": null, + "27": null + }, + "person": { + "8": "1. holding a tennis racket with one hand while preparing for an action. \n3. holding a tennis racket with both hands and appearing to swing it toward the ball.", + "16": "1. swinging a tennis racket forward in a striking motion \n3. standing with legs bent while holding a tennis racket in both hands ", + "21": "1. crouching and holding a tennis racket with both hands while leaning forward \n3. standing upright and holding a tennis racket with one hand", + "27": "1. person leaning forward with both hands gripping a tennis racket. \n3. person standing upright while holding a tennis racket in one hand." + } + }, + "1cada35274": { + "duck": { + "9": null, + "15": null, + "24": null, + "30": null + } + }, + "1cb44b920d": { + "eagle": { + "2": "1. the eagle leaning forward and pecking at the nest material \n2. the eagle lying still within the nest, appearing motionless", + "14": "1. the eagle lowering its head toward the smaller eagle while gripping the nest with its talons \n2. the eagle raising its head slightly upward, facing the larger eagle", + "21": "1. the eagle leaning forward, using its beak to closely probe or inspect the area near the smaller eagle \n2. the smaller eagle holding a piece of food in its beak, tilting its head forward", + "27": "1. the eagle leaning its head forward towards the second eagle while slightly lowering its beak, as if inspecting or reaching for it \n2. the eagle chick holding its position low in the nest, with its beak slightly open" + } + }, + "1cd10e62be": { + "leopard": { + "2": null, + "10": null, + "15": null, + "27": null + } + }, + "1d3087d5e5": { + "fish": { + "5": null, + "15": null, + "23": null, + "30": null + } + }, + "1d3685150a": { + "sign": { + "4": null, + "6": null, + "10": null, + "16": null + }, + "person": { + "4": "1. the person holding a cane and walking forward \n3. the person holding a vessel in their right hand and walking", + "6": null, + "10": "1. holding a stick in one hand while standing still. \n3. walking forward with arms relaxed by the sides. ", + "16": null + } + }, + "1d6ff083aa": { + "person": { + "5": null, + "8": "1. bending forward and reaching toward an object on the ground with one hand \n2. partially extending an arm outward while leaning slightly over a surface", + "11": "1. a person crouching while reaching their left arm forward toward the ground or an object \n2. a person extending their arm forward, grasping or holding a book from a shelf ", + "14": "1. leaning forward with hands extended, appearing mid-motion \n2. gripping or pressing a reflective metallic object with one hand" + } + } +} \ No newline at end of file diff --git a/mbench/numbered_captions_gpt-4o.json b/mbench/numbered_captions_gpt-4o.json new file mode 100644 index 0000000000000000000000000000000000000000..92d39e40b2b7cd95f0ca6f3b6dd1785dc5e6e14b --- /dev/null +++ b/mbench/numbered_captions_gpt-4o.json @@ -0,0 +1,3628 @@ +{ + "003234408d": { + "penguin": { + "7": "1. the penguin resting on its belly on a rocky surface\n2. the penguin walking upright towards a group of penguins\n3. the penguin lying down with its head raised\n4. the penguin sitting on its belly while turning its head \n5. the penguin lying on its side with its body curled", + "12": "1. the penguin sitting on the ground while facing sideways\n2. the penguin bending forward with its beak close to the ground\n3. the penguin lying on the ground with its head lifted\n4. the penguin resting on a pile of rocks with its belly facing the ground\n5. the penguin lying on its side with its back up and head slightly turned", + "21": "1. the penguin is sitting upright and gazing forward while its wings rest by its sides.\n2. the penguin is bending over with its beak close to the ground as if inspecting something.\n3. the penguin is lying flat against the rocks while its head faces to the left.\n4. the penguin is lying still with its head turned slightly upwards.\n5. the penguin is resting facing forward while its body is fully stretched on the rocks.", + "28": "1. the penguin is seated with its body facing forward, appearing stationary on the ground\n\n2. the penguin is bending its head downwards, appearing to inspect the ground\n\n3. the penguin is lying flat on its belly, stretching out on the ground\n\n4. the penguin is lying in a prone position, remaining still on the ground\n\n5. the penguin is resting on its stomach with its body lengthwise on the ground" + } + }, + "0043f083b5": { + "sedan": { + "5": null, + "7": null, + "12": null, + "14": null + }, + "bus": { + "5": null, + "7": null, + "12": null, + "14": null + } + }, + "0044fa5fba": { + "giant_panda": { + "3": null, + "7": null, + "9": null, + "13": null + } + }, + "005a527edd": { + "ape": { + "4": null, + "10": "1. the ape is hanging upside down, extending its arm towards the ground.\n\n2. the ape is crouching with one arm reaching forward towards the foliage.", + "18": "1. the ape is hanging upside down, extending its arm towards the ground.\n\n2. the ape is sitting upright, inspecting an object with its right hand.", + "23": null + } + }, + "0065b171f9": { + "giant_panda": { + "9": null, + "11": null, + "22": null, + "30": null + } + }, + "00917dcfc4": { + "zebra": { + "3": "1. the zebra is facing left with its head lowered towards the ground\n2. the zebra is standing still with its body angled towards the right\n3. the zebra is partially obscured by a rock, standing still facing forward", + "7": "1. the zebra is standing with its head lowered towards another zebra, appearing to be sniffing or investigating it\n\n2. the zebra is positioned with its head facing downwards, possibly nuzzling or grazing the ground\n\n3. the zebra is standing sideways with its head turned, appearing to look towards the other zebras", + "10": "1. the zebra is standing upright with its head lowered, appearing to nuzzle the side of another zebra.\n\n2. the zebra is standing close to the larger zebra, facing forward with its head slightly to the side.\n\n3. the zebra is turning its head to the right, mouth open as if it is about to vocalize or bite.", + "16": "1. the zebra is lifting its head while leaning towards another zebra.\n\n2. the zebra is standing still with its back towards the viewer.\n\n3. the zebra is facing sideways, showing its profile." + } + }, + "00a23ccf53": { + "shark": { + "2": null, + "17": null, + "20": null, + "30": null + } + }, + "00ad5016a4": { + "airplane": { + "3": null, + "5": null, + "10": null, + "16": null + } + }, + "01082ae388": { + "leopard": { + "5": null, + "13": null, + "17": null, + "22": null + } + }, + "011ac0a06f": { + "ape": { + "8": null, + "15": null, + "22": null, + "32": null + } + }, + "013099c098": { + "giant_panda": { + "3": "1. the giant_panda leaning forward with its paws reaching towards the water\n\n2. the giant_panda standing in the water, facing the rocks, with its head lowered", + "6": "1. the giant_panda is bent over, drinking water from the stream.\n\n2. the giant_panda is standing with its front paws on the rock, leaning forward.", + "9": "1. the giant_panda is bent over facing the ground with its back arched upward\n2. the giant_panda is lying on its back with its paws raised in the air", + "16": "1. the giant_panda standing on all fours while leaning towards the other panda, appearing to press its paw against its back.\n\n2. the giant_panda lying on its back with paws raised up towards the other panda." + } + }, + "0155498c85": { + "person": { + "3": null, + "12": null, + "25": null, + "33": null + }, + "motorbike": { + "3": null, + "12": null, + "25": null, + "33": null + } + }, + "01694ad9c8": { + "bird": { + "3": null, + "7": null, + "8": null, + "16": null + } + }, + "017ac35701": { + "giant_panda": { + "4": null, + "15": null, + "18": null, + "30": null + } + }, + "01b80e8e1a": { + "zebra": { + "2": "1. the zebra is walking forward with its head slightly lowered\n\n2. the zebra is standing still facing downward with its head positioned towards the ground", + "5": null, + "7": "1. the zebra is walking with head facing forward, showing its side profile\n\n2. the zebra is walking with head slightly lowered, showing its side profile", + "8": "1. the zebra walking forward with its head facing downward \n2. the zebra standing still with its head slightly raised" + } + }, + "01baa5a4e1": { + "frisbee": { + "6": null, + "11": null, + "16": null, + "20": null + } + }, + "01c3111683": { + "whale": { + "6": null, + "16": null, + "19": null, + "30": null + } + }, + "01c4cb5ffe": { + "snowboard": { + "2": null, + "16": null, + "18": null, + "28": null + }, + "person": { + "2": null, + "16": "1. the person is holding ski poles and skiing downhill, with legs bent and leaning slightly to the right. \n3. the person is skiing downhill, holding ski poles, and facing forward.", + "18": "1. the person is holding ski poles and skiing down the slope, with both arms bent and legs partially crouched.\n3. the person is skiing downhill with a forward-leaning posture, holding ski poles with both hands.", + "28": null + } + }, + "01c76f0a82": { + "plant": { + "4": null, + "9": null, + "10": null, + "17": null + }, + "sedan": { + "4": null, + "9": null, + "10": null, + "17": null + } + }, + "01c783268c": { + "person": { + "3": null, + "15": null, + "18": null, + "28": null + }, + "ape": { + "3": null, + "15": null, + "18": null, + "28": null + } + }, + "01e64dd36a": { + "cow": { + "2": "1. the cow is lying down on the grass with its head resting flat.\n2. the cow is standing upright, facing forward with its body slightly angled.\n3. the cow is standing with its body facing towards the left and head slightly turned.", + "7": "1. the cow is raising its front leg while bending its back downwards towards the ground\n2. the cow is lying on the ground with all legs tucked underneath its body\n3. the cow is standing still facing forward with its head slightly turned to the side", + "9": "1. the cow is lying on its back with legs raised and slightly tilted, seemingly caught mid-movement.\n\n2. the cow is lying flat on the ground, showing no visible motion.\n\n3. the cow stands upright and still, facing slightly towards the left.", + "13": "1. the cow is laying on the ground, facing slightly upwards. \n2. the cow is standing upright, facing forward while looking directly ahead." + } + }, + "01ed275c6e": { + "giraffe": { + "2": "1. the giraffe stands upright while facing forward with its head lifted high\n2. the giraffe arches its neck downward while leaning against a tree", + "8": "1. the giraffe is standing upright and looking towards the direction of a nearby tree\n2. the giraffe is leaning its neck along the tree trunk, with its head reaching towards the foliage", + "12": "1. the giraffe is standing upright with its head lifted toward the tree branches\n\n2. the giraffe is leaning against the tree while stretching its neck upward", + "14": "1. the giraffe is standing upright and reaching its neck towards the tree branches above\n\n2. the giraffe is leaning forward and pressing its head against the tree trunk" + } + }, + "01ff60d1fa": { + "lizard": { + "7": null, + "10": null, + "23": null, + "32": null + } + }, + "020cd28cd2": { + "person": { + "6": null, + "10": null, + "18": null, + "23": null + } + }, + "02264db755": { + "fox": { + "7": null, + "17": null, + "25": null, + "30": null + } + }, + "0248626d9a": { + "train": { + "3": null, + "5": null, + "9": null, + "13": null + } + }, + "02668dbffa": { + "frog": { + "3": null, + "13": null, + "18": null, + "25": null + } + }, + "0274193026": { + "skateboard": { + "4": null, + "8": null, + "17": null, + "20": null + }, + "person": { + "4": null, + "8": null, + "17": null, + "20": null + } + }, + "02d28375aa": { + "fox": { + "7": null, + "15": null, + "24": null, + "27": null + } + }, + "031ccc99b1": { + "person": { + "2": "1. the person is facing another individual while extending an arm backward.\n2. the person is holding a microphone with one hand and gesturing forward with the other.\n3. the person is turning their body slightly away from the group while looking over their shoulder.", + "5": "1. the person is facing another individual, extending their right arm forward.\n\n2. the person is holding a microphone with one hand while gesturing with the other hand towards the individual beside him.\n\n3. the person is singing into a microphone, with one hand held up near their chest.", + "10": "1. the person is holding an object with one hand while facing another person.\n2. the person is holding a microphone and speaking.\n3. the person is standing straight, looking towards the person with the microphone.", + "15": "1. the person is walking forward with his left arm raised slightly.\n2. the person is standing still facing forward while gesturing with a pointed finger.\n3. the person is standing upright, facing another person with both arms relaxed at the sides." + } + }, + "0321b18c10": { + "elephant": { + "2": null, + "7": null, + "10": null, + "13": null + }, + "person": { + "2": null, + "7": null, + "10": "1. the person is sitting upright and holding the reins while riding an elephant.\n\n2. the person is seated sideways with one leg hanging down, holding the edge of the seat.", + "13": "1. the person is sitting on the elephant's neck, holding onto the rope with both hands.\n\n2. the person is sitting on the elephant's saddle, gripping the side rail with one hand while resting back." + } + }, + "0348a45bca": { + "fish": { + "3": null, + "12": null, + "23": null, + "32": null + } + }, + "0355e92655": { + "paddle": { + "2": null, + "7": null, + "8": null, + "16": null + }, + "person": { + "2": null, + "7": null, + "8": null, + "16": null + }, + "boat": { + "2": null, + "7": null, + "8": null, + "16": null + } + }, + "0358b938c1": { + "elephant": { + "2": "1. the elephant is using its trunk to reach forward as it wades through the water\n\n4. the elephant is standing partially visible, likely feeding or interacting with its surroundings with its trunk pointed downwards", + "6": "1. the elephant is standing in the water with its trunk extended forward.\n2. the elephant is moving its trunk downward while partially submerged in the water.", + "10": "1. the elephant is standing in the water, facing forward while using its trunk to touch the surface of the water\n2. the elephant is in the water, swinging its trunk upwards and spraying water into the air", + "12": null + } + }, + "0368107cf1": { + "person": { + "3": null, + "6": null, + "13": null, + "16": null + } + }, + "0379ddf557": { + "person": { + "8": null, + "16": null, + "18": null, + "29": null + }, + "skateboard": { + "8": null, + "16": null, + "18": null, + "29": null + } + }, + "038b2cc71d": { + "lizard": { + "4": null, + "6": null, + "9": null, + "11": null + } + }, + "038c15a5dd": { + "hedgehog": { + "6": null, + "13": null, + "25": null, + "31": null + } + }, + "03a06cc98a": { + "giraffe": { + "4": null, + "9": "1. the giraffe is lowering its head towards another giraffe, seemingly engaging in a close interaction\n2. the giraffe stretches its neck upward, appearing to inspect or reach towards foliage above\n3. the giraffe is standing upright, facing left while looking forward and showing its profile", + "12": "1. the giraffe is bending its neck downward towards the fence.\n2. the giraffe is stretching its neck upwards, looking towards the sky.\n3. the giraffe is walking forward with its head slightly raised.", + "16": "1. the giraffe is lowering its head and appearing to graze on vegetation.\n\n2. the giraffe is extending its neck upwards, reaching towards the foliage of a tree.\n\n3. the giraffe is raising its head, looking upwards as if observing something above." + } + }, + "03a63e187f": { + "lizard": { + "4": null, + "11": null, + "19": null, + "28": null + } + }, + "03c95b4dae": { + "elephant": { + "4": "1. the elephant is walking along the riverbank while facing forward\n\n2. the elephant is standing still with its head lowered towards the ground\n\n3. the elephant is moving forward with its trunk lifted slightly upward", + "5": "1. the elephant is walking towards the edge of the river, moving leisurely across the sand.\n\n2. the elephant is standing still, facing the river, appearing to inspect the area.\n\n3. the elephant is following the larger elephant closely, moving forward with its trunk slightly raised.", + "9": null, + "12": "1. the elephant is walking forward with head slightly lowered towards the ground\n2. the elephant is standing still with its trunk extended downwards\n3. the elephant is closely facing another elephant, appearing to follow it" + } + }, + "03e2b57b0e": { + "lizard": { + "7": null, + "13": null, + "15": null, + "27": null + } + }, + "04194e1248": { + "lizard": { + "4": null, + "17": null, + "24": null, + "26": null + } + }, + "04259896e2": { + "lizard": { + "6": null, + "13": null, + "21": null, + "29": null + } + }, + "0444918a5f": { + "truck": { + "3": null, + "9": null, + "13": null, + "14": null + } + }, + "04460a7a52": { + "lizard": { + "9": null, + "11": null, + "23": null, + "31": null + } + }, + "04474174a4": { + "ape": { + "3": "1. the ape is climbing the rock face, using its right arm to grasp the surface while its left leg is raised for support\n\n2. the ape is looking upwards with its head tilted back, while resting against the rock with both legs bent sitting down", + "17": "1. the ape is sitting on a rock, facing left with its body slightly leaning forward\n2. the ape is crouching behind another ape, reaching its arm forward towards the rock surface", + "22": null, + "29": null + } + }, + "0450095513": { + "snail": { + "6": null, + "8": null, + "17": null, + "24": null + } + }, + "045f00aed2": { + "others": { + "9": null, + "10": null, + "18": null, + "29": null + }, + "tiger": { + "9": null, + "10": null, + "18": null, + "29": null + }, + "person": { + "9": null, + "10": null, + "18": null, + "29": null + } + }, + "04667fabaa": { + "parrot": { + "2": null, + "11": null, + "23": null, + "30": null + } + }, + "04735c5030": { + "cat": { + "2": null, + "6": "1. the cat is lying down facing forward, gripping onto a thin string with its front paw\n2. the cat is standing with its head slightly turned to the left, leaning against a padded surface", + "9": "1. the cat is stretching out its front paws on the couch, with its head lowered and back legs extended.\n\n2. the cat is resting on its side with its eyes closed, nestled into a cushioned surface.", + "12": "1. the cat is walking across the couch with its back visible and tail raised.\n2. the cat is lying down with its face turned away from the center and body stretched out." + } + }, + "04990d1915": { + "bus": { + "3": null, + "6": null, + "9": null, + "12": null + }, + "truck": { + "3": null, + "6": null, + "9": null, + "12": null + }, + "sedan": { + "3": null, + "6": null, + "9": null, + "12": null + } + }, + "04d62d9d98": { + "person": { + "4": null, + "9": null, + "13": null, + "14": null + }, + "skateboard": { + "4": null, + "9": null, + "13": null, + "14": null + } + }, + "04f21da964": { + "monkey": { + "9": null, + "10": null, + "22": null, + "28": null + } + }, + "04fbad476e": { + "parrot": { + "4": null, + "17": null, + "18": null, + "27": null + } + }, + "04fe256562": { + "truck": { + "5": null, + "6": null, + "10": null, + "17": null + }, + "motorbike": { + "5": null, + "6": null, + "10": null, + "17": null + } + }, + "0503bf89c9": { + "hedgehog": { + "2": null, + "12": null, + "17": null, + "25": null + } + }, + "0536c9eed0": { + "cat": { + "3": null, + "7": null, + "9": null, + "12": null + } + }, + "054acb238f": { + "owl": { + "7": null, + "12": null, + "18": null, + "29": null + } + }, + "05579ca250": { + "person": { + "7": null, + "9": null, + "17": null, + "27": null + }, + "sedan": { + "7": null, + "9": null, + "17": null, + "27": null + }, + "skateboard": { + "7": null, + "9": null, + "17": null, + "27": null + } + }, + "056c200404": { + "toilet": { + "4": null, + "7": null, + "13": null, + "16": null + } + }, + "05774f3a2c": { + "ape": { + "9": "1. the ape is leaning back against a log with its left arm resting on the ground\n\n2. the ape is lying on its back with its legs crossed in a relaxed position\n\n3. the ape is sitting upright and using its left hand to scratch its head or neck", + "12": "1. the ape is sitting on the ground, facing forward with its back supported by the log.\n2. the ape is lying on its back with all limbs extended, facing upwards.\n3. the ape is sitting with one hand touching the ground, leaning slightly forward.", + "20": null, + "32": "1. the ape is sitting with its body leaning slightly to the right, holding onto a log with its left arm \n2. the ape is lying on its back, extending its right arm upward \n3. the ape is perched atop the log, looking forward with its gaze focused ahead" + } + }, + "058a7592c8": { + "train": { + "2": null, + "9": null, + "12": null, + "17": null + } + }, + "05a0a513df": { + "person": { + "2": null, + "9": null, + "10": null, + "16": null + } + }, + "05a569d8aa": { + "mouse": { + "3": null, + "6": null, + "9": null, + "13": null + }, + "cat": { + "3": null, + "6": null, + "9": null, + "13": null + } + }, + "05aa652648": { + "ape": { + "5": null, + "10": null, + "14": null, + "26": null + } + }, + "05d7715782": { + "sign": { + "3": null, + "9": null, + "12": null, + "15": null + } + }, + "05e0b0f28f": { + "mouse": { + "4": null, + "13": null, + "18": null, + "21": null + }, + "person": { + "4": null, + "13": null, + "18": null, + "21": null + } + }, + "05fdbbdd7a": { + "umbrella": { + "2": null, + "5": null, + "6": null, + "8": null + } + }, + "05ffcfed85": { + "monkey": { + "9": "1. the monkey is hanging by one arm from a branch while reaching out with the other hand to grab leaves\n\n2. the monkey is climbing and moving along a branch with all four limbs gripping it", + "11": "1. the monkey is hanging onto a branch with one arm extended upward, while its body faces downward.\n2. the monkey is climbing along a branch with both arms reaching forward among the leaves.", + "23": "1. the monkey is climbing a tree trunk with both arms gripping around it.\n2. the monkey is hanging upside down from a branch, using its legs to hold on tightly.", + "31": null + } + }, + "0630391881": { + "tennis_racket": { + "5": null, + "11": null, + "14": null, + "25": null + }, + "person": { + "5": null, + "11": null, + "14": null, + "25": null + } + }, + "06840b2bbe": { + "snake": { + "3": null, + "6": null, + "10": null, + "15": null + } + }, + "068f7dce6f": { + "shark": { + "7": null, + "10": null, + "21": null, + "27": null + } + }, + "0693719753": { + "turtle": { + "5": "1. the turtle is climbing onto the back of another turtle, extending its neck forward.\n\n2. the turtle is lying still on the ground with its limbs splayed outward, facing ahead.", + "12": null, + "18": null, + "23": "1. the turtle is lifting its head slightly up and facing the other turtle closely \n2. the turtle is lowering its head while moving forward" + } + }, + "06ce2b51fb": { + "paddle": { + "4": null, + "6": null, + "9": null, + "14": null + }, + "person": { + "4": null, + "6": null, + "9": null, + "14": null + } + }, + "06e224798e": { + "tiger": { + "2": null, + "15": null, + "25": null, + "29": null + } + }, + "06ee361788": { + "duck": { + "2": null, + "5": "1. the duck is gliding through the water with a visible wake behind.\n2. the duck is paddling forward with its beak slightly open.\n3. the duck is swimming briskly facing ahead with water ripples around.", + "8": null, + "12": null + } + }, + "06fbb3fa2c": { + "eagle": { + "2": null, + "7": null, + "8": null, + "15": null + } + }, + "0700264286": { + "cow": { + "2": "1. the cow is standing with its head facing forward, appearing attentive to its surroundings.\n2. the cow is standing still, showing the side of its body and facing away from the viewer.", + "5": "1. the cow is standing with its head slightly lowered, as if sniffing the ground.\n\n2. the cow is standing still, facing away, with its body slightly angled towards the left.", + "8": "1. the cow is standing with its head slightly lifted, appearing attentive and alert.\n2. the cow is standing with its body slightly turned away, facing the direction of the pen.", + "15": "1. the cow is standing on the hay with its head slightly turned to the side, facing forward\n\n2. the cow is standing still, facing the feeding trough with its head lowered towards it" + } + }, + "070c918ca7": { + "parrot": { + "8": null, + "10": null, + "22": null, + "32": null + } + }, + "07129e14a4": { + "parrot": { + "9": null, + "17": null, + "24": "1. the parrot is perched on a shoulder and leaning forward with its beak slightly open, facing another parrot.\n\n2. the parrot is standing upright on a shoulder, facing the other parrot, with its crest feathers raised.", + "33": "1. the parrot is perched on a shoulder, leaning forward facing outward\n\n2. the parrot perched upright, facing diagonally with its head slightly turned and crest feathers raised" + }, + "person": { + "9": null, + "17": null, + "24": null, + "33": null + } + }, + "07177017e9": { + "motorbike": { + "4": "1. the motorbike is steadily advancing on the road, with the rider gripping the handlebars and maintaining a forward posture\n\n2. the motorbike is stationary on the sidewalk, with the rider sitting upright and facing towards the street", + "7": null, + "10": null, + "11": null + } + }, + "07238ffc58": { + "monkey": { + "4": "1. the monkey is sitting upright with its attention directed forward.\n\n2. the monkey is standing on all fours while facing forward.", + "13": "1. the monkey is sitting upright facing forward with its right arm reaching towards another monkey\n\n2. the monkey is sitting with its head slightly lowered, attentively observing another monkey in front\n\n3. the monkey is walking past, bending forward while facing the pole", + "22": "1. the monkey is sitting while facing another monkey, extending its arms forward\n2. the monkey is sitting upright with its head slightly lowered, looking towards the ground\n3. the monkey is walking with its body facing away, showing its side profile", + "29": "1. the monkey is sitting on the ground, facing the larger monkey, with its front legs extended forward.\n\n2. the monkey is sitting upright, looking downward towards the smaller monkey, with its arms resting by its sides.\n\n3. the monkey is walking to the right with both arms and legs extended." + } + }, + "07353b2a89": { + "sheep": { + "4": null, + "8": "1. the sheep is standing upright and facing the camera.\n2. the sheep is showing its back while slightly moving forward.\n3. the sheep is facing left and slightly leaning against another sheep.\n4. the sheep is standing still with its side facing towards the camera.", + "14": null, + "22": null + } + }, + "0738493cbf": { + "airplane": { + "3": null, + "6": null, + "8": null, + "11": null + } + }, + "075926c651": { + "person": { + "3": "1. the person is holding a microphone and singing\n2. the person is playing the piano with both hands on the keys", + "5": "1. the person is holding a microphone with both hands and standing upright facing forward\n\n2. the person is sitting on a chair with their legs bent, facing towards the piano", + "8": null, + "15": null + } + }, + "075c701292": { + "duck": { + "4": null, + "11": null, + "24": null, + "28": null + } + }, + "0762ea9a30": { + "person": { + "2": null, + "7": null, + "10": null, + "14": null + } + }, + "07652ee4af": { + "person": { + "4": null, + "10": null, + "17": null, + "27": null + }, + "skateboard": { + "4": null, + "10": null, + "17": null, + "27": null + } + }, + "076f206928": { + "zebra": { + "3": null, + "7": null, + "12": null, + "17": null + }, + "person": { + "3": null, + "7": null, + "12": null, + "17": null + } + }, + "077d32af19": { + "train": { + "4": null, + "7": null, + "13": null, + "16": null + }, + "person": { + "4": "1. the person is walking while holding a bright yellow object in their right hand.\n\n2. the person is standing facing forward, using their left hand to gesture towards the other person.\n\n3. the person is walking briskly along a path, facing towards the incoming train.", + "7": null, + "13": "1. the person is standing next to another individual, facing towards the railway tracks while holding an umbrella\n2. the person is standing beside someone, facing towards the railway tracks with hands concealed in pockets\n3. the person is walking across the railway tracks, with arms swinging by the sides", + "16": null + } + }, + "079049275c": { + "mouse": { + "4": null, + "9": null, + "19": null, + "21": null + } + }, + "07913cdda7": { + "train": { + "2": null, + "6": null, + "9": null, + "13": null + }, + "person": { + "2": null, + "6": null, + "9": null, + "13": null + } + }, + "07a11a35e8": { + "ape": { + "9": "1. the ape is sitting and holding a piece of food with both hands, inspecting it closely.\n2. the ape is crouching and reaching forward with its left arm towards the ground.", + "10": "1. the ape is sitting while holding a piece of food with both hands and inspecting it closely.\n2. the ape is crouching and facing forward, with its head lifted and observing the surroundings.", + "23": "1. the ape is sitting and holding an object with its right hand.\n\n2. the ape is crawling forward with all four limbs on the ground.", + "26": "1. the ape is sitting and holding a bowl in its right hand while inspecting its contents\n\n2. the ape is standing and leaning forward with its head facing downward towards the ground" + } + }, + "07ac33b6df": { + "ape": { + "5": null, + "13": null, + "15": null, + "24": null + } + }, + "07c62c3d11": { + "parrot": { + "5": "1. the parrot is perched on a branch, facing slightly upward towards the red ring.\n2. the parrot is standing with its back to the viewer on the wooden perch.", + "17": "1. the parrot is perched on a round swing facing forward\n\n2. the parrot is facing the opposite direction, gripping the wooden perch\n\n3. the parrot is inside a hanging nest, facing outward", + "19": "1. the parrot is perched on a red ring, gripping it with one foot.\n3. the parrot is peeking out from inside a wicker nest, with head visible.", + "30": "1. the parrot is hanging upside down while grasping the red ring with its claws\n3. the parrot is perched inside the woven nest showing its back" + } + }, + "07cc1c7d74": { + "snake": { + "9": null, + "10": null, + "18": null, + "30": null + } + }, + "080196ef01": { + "lizard": { + "5": null, + "16": null, + "24": null, + "30": null + }, + "hand": { + "5": null, + "16": null, + "24": null, + "30": null + } + }, + "081207976e": { + "hat": { + "4": null, + "7": null, + "13": null, + "15": null + } + }, + "081ae4fa44": { + "shark": { + "2": null, + "10": "1. the shark is swimming upward with its head lifted and fins expanded.\n\n2. the shark is gliding forward along the seabed while maintaining a steady posture.", + "18": "1. the shark is gliding forward with its mouth open slightly, facing the left side.\n\n2. the shark is swimming steadily, with its body angled slightly downward across the rocky bottom.", + "21": null + } + }, + "081d8250cb": { + "person": { + "3": null, + "7": null, + "13": null, + "15": null + }, + "sedan": { + "3": null, + "7": null, + "13": null, + "15": null + }, + "skateboard": { + "3": null, + "7": null, + "13": null, + "15": null + } + }, + "082900c5d4": { + "duck": { + "2": "1. the duck is swimming forward with its head partially submerged in water\n\n2. the duck is gliding smoothly on the water with its head raised\n\n3. the duck is paddling with its wings slightly outstretched while facing forward", + "6": "1. the duck propelling forward with its wings extended, causing splashes in the water\n\n2. the duck is gliding calmly on the water, with its body facing forward\n\n3. the duck is vigorously paddling its feet, creating notable splashes around it", + "11": null, + "16": "1. the duck is splashing water with its webbed feet.\n2. the duck is gliding smoothly on the surface of the water.\n3. the duck is floating calmly on the water, facing forward." + } + }, + "0860df21e2": { + "frisbee": { + "5": null, + "14": null, + "19": null, + "26": null + } + }, + "0866d4c5e3": { + "bird": { + "2": "1. the bird is crouched low to the ground facing right, with its beak touching the dirt \n2. the bird is pecking at the grass while standing on the ground \n3. the bird is standing upright, looking upward with its body slightly tilted", + "6": "1. the bird is resting on the ground with its wings tucked close to its body, facing forward \n2. the bird is perched on a branch, keeping its balance with wings slightly extended \n3. the bird is standing on the ground pecking at a small object or food in front of it", + "8": "1. the bird is pecking at the ground, actively searching for food.\n2. the bird is facing downward while inspecting a patch of grass.\n3. the bird is standing still on the ground, appearing to observe its surroundings.", + "13": "1. the bird is sitting on the ground, facing forward.\n2. the bird is standing with its head down, pecking at the grass.\n3. the bird is lying with wings extended on the ground, facing left." + } + }, + "0891ac2eb6": { + "person": { + "3": "1. the person is standing upright with both hands on their hips.\n2. the person is sitting on a lounge chair and reaching forward with one hand.\n3. the person is sitting and leaning back slightly while looking towards the water.", + "7": "1. the person is standing upright, holding their towel while facing left.\n2. the person is sitting on a lounge chair, with their back slightly leaning forward.\n3. the person is sitting on a lounge chair, slightly twisted to the side, facing away.", + "9": "1. the person is bending forward and arranging objects on the sunbed.\n\n2. the person is sitting on a sunbed and holding an item with both hands.\n\n3. the person is reclining on a sunbed and using a mobile phone.", + "12": "1. the person is bent over adjusting items on the ground with one hand.\n2. the person is sitting back with a relaxed posture while resting on a lounge chair.\n3. the person is leaning slightly forward while sitting on a lounge chair." + } + }, + "08931bc458": { + "others": { + "3": null, + "17": null, + "22": null, + "27": null + }, + "person": { + "3": null, + "17": null, + "22": null, + "27": null + }, + "skateboard": { + "3": null, + "17": null, + "22": null, + "27": null + } + }, + "08aa2705d5": { + "snake": { + "9": null, + "12": null, + "22": null, + "33": null + } + }, + "08c8450db7": { + "toilet": { + "4": null, + "8": null, + "10": null, + "17": null + } + }, + "08d50b926c": { + "turtle": { + "3": null, + "13": null, + "24": null, + "33": "1. the turtle is extending its neck forward while its front legs are spread wide, as if propelling itself across the sand\n2. the turtle is lifting its body upward with front legs visibly pushed against the ground, facing downward" + } + }, + "08e1e4de15": { + "monkey": { + "2": "1. the monkey is standing upright facing towards the right, appearing alert and observing its surroundings.\n2. the monkey is climbing over rocks, using its limbs to maintain balance as it moves upward.\n3. the monkey is mid-leap, with its body stretched out as it jumps between rocks.\n4. the monkey is sitting on the rock, looking directly forward while holding onto a rock edge.", + "10": "1. the monkey is sitting on a rock facing forward with its hands pressed against the surface.\n2. the monkey is standing on a rock looking upward possibly inspecting the area.\n3. the monkey is mid-leap with its body stretched forward over a bench.\n4. the monkey is reclining against the rock wall with its head turned to the side.", + "25": "1. the monkey is climbing down the rocky surface while gripping the edge with its hands\n\n2. the monkey is sitting on the rock and observing the surroundings\n\n3. the monkey is crouching and inspecting the ground with its hand placed on a rock", + "30": null + } + }, + "08e48c1a48": { + "cow": { + "3": null, + "7": null, + "8": null, + "13": null + } + }, + "08f561c65e": { + "giant_panda": { + "5": null, + "16": null, + "25": null, + "31": null + }, + "person": { + "5": null, + "16": null, + "25": null, + "31": null + } + }, + "08feb87790": { + "sheep": { + "2": null, + "7": null, + "12": null, + "17": null + } + }, + "09049f6fe3": { + "mouse": { + "3": null, + "10": null, + "17": "1. the mouse is sitting inside a pipe while facing slightly to the left, showing its back.\n\n2. the mouse is positioned near the entrance of the pipe while looking straight ahead.", + "21": null + } + }, + "092e4ff450": { + "snake": { + "2": null, + "11": null, + "23": null, + "31": null + } + }, + "09338adea8": { + "whale": { + "5": "1. the whale is facing downward and moving forward near the water surface with its body slightly arched \n2. the whale is swimming forward with its body in a horizontal position and its tail fin raised behind", + "15": "1. the whale is lifting its head up while gliding forward in the water\n\n2. the whale is facing forward, moving smoothly through the water below the surface", + "22": null, + "30": null + } + }, + "093c335ccc": { + "frisbee": { + "4": null, + "8": null, + "19": null, + "21": null + }, + "person": { + "4": null, + "8": null, + "19": null, + "21": null + } + }, + "0970d28339": { + "ape": { + "8": "1. the ape is climbing a tree, reaching forward with one arm extended \n2. the ape is hanging from a tree branch, stretching one arm forward and looking downward", + "12": "1. the ape is sitting with its body slightly angled, holding onto a tree branch with its left hand. \n2. the ape is climbing, gripping a branch with its left arm extended and showing its side profile.", + "20": "1. the ape is sitting on a tree branch, with its body turned towards the other ape.\n2. the ape is extending its right arm forward while grasping the tree branch tightly with its left hand.", + "30": "1. the ape climbing upwards on the tree, grasping a branch with its right hand\n\n2. the ape sitting on a branch, extending its right arm forward towards another ape" + } + }, + "0974a213dc": { + "giraffe": { + "4": "1. the giraffe is leaning forward with its neck lowered, facing downward\n2. the giraffe is standing upright showing its back, with its head turned slightly to the right\n3. the giraffe is angled to the left, standing still with its legs apart", + "7": "1. the giraffe lowering its neck toward the ground\n2. the giraffe bending forward with its neck reaching down\n3. the giraffe standing upright facing forward", + "11": "1. the giraffe is bending its neck downward while leaning forward\n2. the giraffe is facing forward with its back towards the viewer\n3. the giraffe is standing upright while facing left", + "16": "1. the giraffe is lowering its head towards the ground while bending its neck \n2. the giraffe is arching its neck over another giraffe, facing downward\n3. the giraffe is standing still with its head facing the tree trunk" + } + }, + "097b471ed8": { + "cat": { + "4": "1. the cat is laying on its side with its head facing forward, appearing relaxed on a soft surface\n\n2. the cat is sitting upright, facing towards a bristled object while its ears are alert", + "7": "1. the cat is lying down facing forward with its paws extended outward\n2. the cat is crouching with its head lowered toward the surface", + "13": null, + "16": null + } + }, + "0990941758": { + "giant_panda": { + "5": null, + "10": null, + "18": null, + "26": null + } + }, + "09a348f4fa": { + "lizard": { + "2": null, + "11": null, + "16": null, + "25": null + } + }, + "09a6841288": { + "duck": { + "5": null, + "6": null, + "10": null, + "16": null + } + }, + "09c5bad17b": { + "airplane": { + "4": null, + "7": null, + "11": null, + "15": null + } + }, + "09c9ce80c7": { + "giant_panda": { + "8": null, + "16": null, + "25": null, + "27": null + } + }, + "09ff54fef4": { + "fox": { + "2": "1. the fox is sitting with its body facing forward and head turned slightly to the side.\n\n2. the fox is lying on the ground, its body stretched out and facing away from the viewer.", + "8": "1. the fox is crouching low on the grass, facing forward as if observing something closely.\n\n2. the fox is walking forward with a slightly elevated head, appearing to navigate the grassy area.", + "17": null, + "26": null + } + }, + "0a23765d15": { + "person": { + "2": "1. the person is skateboarding and preparing to jump onto a platform with bent knees\n\n2. the person is skateboarding towards the platform with arms extended slightly for balance", + "6": "1. the person is performing a skateboard trick, lifting one foot off the board while balancing on the edge of a rail with arms extended for balance.\n2. the person is skateboarding with a bent posture, knees flexed and arms outstretched for balance.", + "9": "1. the person is balancing on a skateboard, bending forward with one arm extended while riding down the ledge\n\n2. the person is in mid-air performing a trick on a skateboard, with knees bent and arms slightly raised", + "14": null + }, + "skateboard": { + "2": null, + "6": null, + "9": null, + "14": null + } + }, + "0a275e7f12": { + "elephant": { + "5": null, + "9": null, + "12": null, + "15": null + } + }, + "0a2f2bd294": { + "motorbike": { + "4": null, + "9": null, + "11": null, + "16": null + } + }, + "0a7a2514aa": { + "lizard": { + "5": null, + "8": null, + "12": null, + "14": null + }, + "cat": { + "5": null, + "8": null, + "12": null, + "14": null + } + }, + "0a7b27fde9": { + "parrot": { + "7": null, + "11": null, + "18": null, + "29": "1. the parrot is facing forward while perched on a hand, with its beak directed towards the metal cage\n2. the parrot is perched on a hand and slightly bending its head downward facing the cage" + } + }, + "0a8c467cc3": { + "fish": { + "3": "1. the fish is swimming forward with its fins flared out.\n\n2. the fish is tilting slightly to the side while moving upwards.\n\n3. the fish is facing downward and nibbling on the substrate.", + "13": null, + "25": "1. the fish is swimming forward with its head tilted slightly upward.\n\n2. the fish is positioned diagonally, facing downward while moving towards the bottom.\n\n3. the fish is near the tank's corner, maneuvering its tail while facing upward.", + "32": null + } + }, + "0ac8c560ae": { + "person": { + "2": null, + "6": null, + "12": null, + "14": null + } + }, + "0b1627e896": { + "boat": { + "3": null, + "8": null, + "11": null, + "17": null + } + }, + "0b285c47f6": { + "mouse": { + "4": null, + "9": null, + "19": null, + "25": null + }, + "hand": { + "4": null, + "9": null, + "19": null, + "25": null + } + }, + "0b34ec1d55": { + "ape": { + "3": null, + "15": null, + "22": null, + "26": null + } + }, + "0b5b5e8e5a": { + "person": { + "3": null, + "8": null, + "13": null, + "14": null + }, + "sedan": { + "3": null, + "8": null, + "13": null, + "14": null + } + }, + "0b68535614": { + "rabbit": { + "6": null, + "17": null, + "19": null, + "31": null + }, + "hand": { + "6": null, + "17": null, + "19": null, + "31": null + } + }, + "0b6f9105fc": { + "rabbit": { + "7": null, + "15": null, + "23": null, + "27": null + } + }, + "0b7dbfa3cb": { + "cow": { + "4": null, + "5": null, + "8": null, + "16": null + } + }, + "0b9cea51ca": { + "whale": { + "7": null, + "11": null, + "22": null, + "30": null + } + }, + "0b9d012be8": { + "camel": { + "9": null, + "16": null, + "24": null, + "33": null + } + }, + "0bcfc4177d": { + "truck": { + "5": null, + "9": null, + "12": null, + "15": null + } + }, + "0bd37b23c1": { + "motorbike": { + "5": null, + "9": null, + "11": null, + "15": null + } + }, + "0bd864064c": { + "eagle": { + "4": null, + "11": null, + "17": null, + "23": null + } + }, + "0c11c6bf7b": { + "deer": { + "7": null, + "13": null, + "23": null, + "26": null + } + }, + "0c26bc77ac": { + "crocodile": { + "3": null, + "14": null, + "23": null, + "33": null + } + }, + "0c3a04798c": { + "duck": { + "3": null, + "7": null, + "13": null, + "14": null + }, + "fish": { + "3": null, + "7": null, + "13": null, + "14": null + } + }, + "0c44a9d545": { + "tiger": { + "5": null, + "13": null, + "25": null, + "31": null + } + }, + "0c817cc390": { + "hedgehog": { + "5": null, + "13": null, + "20": null, + "33": null + }, + "dog": { + "5": null, + "13": null, + "20": null, + "33": null + } + }, + "0ca839ee9a": { + "ape": { + "4": "1. the ape is lying on its back with an upward gaze, raising its left knee while resting its head.\n \n2. the ape is resting on its back with legs bent, using its left hand to grasp some straw.", + "16": "1. the ape is lying on its back with legs bent upwards, gazing forward\n\n2. the ape is lying down while reaching an arm over its body", + "22": "1. the ape is lying on its back with legs bent, holding one foot with its hand while the other hand rests on its chest.\n\n2. the ape is lying on its side, reaching out with one arm while its head faces forward.", + "28": null + } + }, + "0cd7ac0ac0": { + "rabbit": { + "2": null, + "10": null, + "19": null, + "26": null + } + }, + "0ce06e0121": { + "parrot": { + "7": null, + "11": "1. the parrot is perched on the branch while facing downward, showing its back\n2. the parrot is biting the tip of a finger, holding onto the branch with its feet", + "18": "1. the parrot is leaning forward pecking at a metallic object atop a branch.\n\n2. the parrot is facing towards the human hand, appearing to nuzzle or interact with it.", + "33": "1. the parrot is biting onto a branch while climbing forward.\n2. the parrot is perched on a branch with its head turned towards another parrot." + } + }, + "0cfe974a89": { + "turtle": { + "8": "1. the turtle is walking forward with its head slightly lowered\n2. the turtle is on its back, lifting one leg slightly upward while the others are extended outward", + "14": "1. the turtle is facing downwards, with its legs bent and pushing against the ground\n\n2. the turtle is flipped onto its back, attempting to right itself by stretching its limbs", + "18": "1. the turtle is extending its head forward while resting on its legs\n\n2. the turtle is lying on its back with its head touching the ground", + "27": "1. the turtle is extending its head forward towards the other turtle while keeping its front legs on the ground.\n\n2. the turtle is lying on its back with its legs outstretched upwards." + } + }, + "0d2fcc0dcd": { + "zebra": { + "5": null, + "8": "1. the zebra is lowering its head and appears to be grazing on the ground\n2. the zebra is standing with its head raised, facing to the left\n3. the zebra is showing its back while turning its head to the right\n4. the zebra is standing with its head tilted up, appearing to look towards the trees", + "12": "1. the zebra is lowering its head towards the ground\n\n2. the zebra is slightly bending its body while standing still\n\n3. the zebra is turning its head back, looking towards another zebra\n\n4. the zebra is turning its body while lifting its back legs off the ground", + "15": null + } + }, + "0d3aad05d2": { + "person": { + "7": null, + "10": null, + "17": null, + "21": null + }, + "parachute": { + "7": null, + "10": null, + "17": null, + "21": null + } + }, + "0d40b015f4": { + "snowboard": { + "3": null, + "15": null, + "25": null, + "26": null + }, + "person": { + "3": null, + "15": null, + "25": null, + "26": null + } + }, + "0d97fba242": { + "person": { + "2": null, + "7": null, + "8": null, + "11": null + }, + "dog": { + "2": null, + "7": null, + "8": null, + "11": null + } + }, + "0d9cc80d7e": { + "person": { + "2": "1. the person is facing towards the bus with a shoulder bag strapped across the back\n\n2. the person is looking in the direction of the bus entry while walking", + "3": "1. the person is standing with a bag slung across the shoulder, facing the bus.\n2. the person is walking forward with earphones in, gazing in the direction of the bus.\n3. the person is standing and looking towards the bus entrance.", + "4": "1. the person is facing away while standing and resting a hand on the bus.\n\n2. the person is slightly bending forward, engaging in conversation with another individual.\n\n3. the person is walking towards the bus, looking intently at the entrance.", + "7": "1. the person is standing with their back facing towards the bus\n2. the person is standing and looking towards the open door of the bus\n3. the person is holding a jacket and looking down at a camera" + } + }, + "0dab85b6d3": { + "lizard": { + "3": "1. the lizard is resting calmly on an open palm, facing away from the wrist \n2. the lizard is curled up on a piece of paper within a container, facing downward", + "12": "1. the lizard is lying flat on a person's hand with its body stretched out, extending its legs.\n\n2. the lizard is resting on the surface and facing towards the camera.", + "15": null, + "23": "1. the lizard is climbing over a hand with its body facing towards the fingers\n\n2. the lizard is facing away while staying inside a plastic enclosure" + } + }, + "0db5c427a5": { + "train": { + "5": null, + "9": null, + "11": null, + "15": null + } + }, + "0dbaf284f1": { + "cat": { + "2": "1. the cat is crouching and leaning forward with its paws stretched as if pouncing on an object\n\n2. the cat is arching its back while nuzzling against the base of a chair", + "9": null, + "11": "1. the cat is facing downward and leaning over a person's leg.\n2. the cat is crouched facing downward in front of a metal base.", + "15": "1. the cat is standing and leaning forward while touching a person's leg.\n2. the cat is curled up and touching the base of a stool." + } + }, + "0de4923598": { + "others": { + "3": null, + "9": null, + "10": null, + "17": null + } + }, + "0df28a9101": { + "turtle": { + "7": null, + "10": null, + "15": null, + "21": null + } + }, + "0e04f636c4": { + "frog": { + "6": null, + "9": null, + "18": null, + "22": null + } + }, + "0e05f0e232": { + "lizard": { + "9": null, + "16": null, + "21": "1. the lizard is extending its body forward across the ground.\n\n2. the lizard is resting with its head lifted, facing upward.", + "32": "1. the lizard is coiling its body with its head positioned towards the substrate\n\n2. the lizard is facing forward with its body slightly raised as it moves over the sandy ground" + } + }, + "0e0930474b": { + "person": { + "3": null, + "5": null, + "9": null, + "13": null + }, + "sedan": { + "3": null, + "5": null, + "9": null, + "13": null + } + }, + "0e27472bea": { + "hand": { + "9": null, + "13": null, + "18": null, + "29": null + }, + "turtle": { + "9": null, + "13": null, + "18": null, + "29": null + } + }, + "0e30020549": { + "parrot": { + "2": null, + "10": null, + "17": null, + "22": null + } + }, + "0e621feb6c": { + "lizard": { + "2": "1. the lizard is lying flat on the ground with its legs sprawled outward\n\n2. the lizard is climbing with front legs on the stone surface and tail lifted high", + "15": "1. the lizard is crouched and facing forward, appearing ready to move across the ground.\n\n2. the lizard is partially elevated with its head raised, looking towards the water bowl.", + "19": "1. the lizard is stretched out with its head and body extended towards the left, tail following behind\n2. the lizard is climbing over the edge of a stone tile with its front legs raised and head tilted upward", + "26": "1. the lizard is lying flat on the ground with its front legs stretched forward, facing slightly to the side.\n\n2. the lizard is standing with its body angled upward and its head lifted, facing forward over the edge of the stone." + } + }, + "0e803c7d73": { + "knife": { + "3": null, + "6": null, + "9": null, + "16": null + }, + "hand": { + "3": null, + "6": null, + "9": null, + "16": null + } + }, + "0e9ebe4e3c": { + "truck": { + "4": null, + "5": null, + "10": null, + "16": null + } + }, + "0e9f2785ec": { + "person": { + "4": null, + "7": null, + "12": null, + "17": null + }, + "umbrella": { + "4": null, + "7": null, + "12": null, + "17": null + } + }, + "0ea68d418b": { + "others": { + "2": null, + "7": null, + "12": null, + "16": null + }, + "airplane": { + "2": null, + "7": null, + "12": null, + "16": null + } + }, + "0eb403a222": { + "knife": { + "2": null, + "6": null, + "12": null, + "14": null + }, + "hand": { + "2": null, + "6": null, + "12": null, + "14": null + } + }, + "0ee92053d6": { + "person": { + "4": null, + "6": null, + "13": null, + "17": null + } + }, + "0eefca067f": { + "giant_panda": { + "7": "1. the giant panda is leaning back while holding bamboo and lifting its head to chew the leaves\n\n2. the giant panda is sitting up while grasping and biting a bamboo branch", + "13": "1. the giant panda is sitting upright while grasping and pulling a bamboo stem towards its mouth. \n2. the giant panda is lying on its back, raising its head and holding onto a bamboo with its left paw.", + "16": "1. the giant_panda is sitting upright, grasping bamboo with its paws and bringing leaves towards its mouth.\n2. the giant_panda is lying on its back, holding bamboo with its mouth while chewing.", + "23": "1. the giant_panda is sitting upright, grasping onto a bamboo stem with both paws while leaning slightly backward.\n\n2. the giant_panda is facing upward, holding a thin bamboo branch with its paw as it looks towards it." + } + }, + "0f17fa6fcb": { + "duck": { + "5": "1. the duck is swimming forward with its head slightly lifted, creating ripples around it\n\n2. the duck is moving through the water with its head lowered, seemingly foraging beneath the surface\n\n3. the duck is gliding smoothly on the water while facing forward, leaving a subtle trail behind", + "10": "1. the duck is swimming forward with its head above the water and body in a gliding motion.\n2. the duck is splashing water vigorously with its feet, creating ripples around its body.\n3. the duck is paddling calmly, moving forward with a slight turn to its left.", + "22": null, + "26": null + } + }, + "0f1ac8e9a3": { + "frog": { + "3": null, + "11": null, + "24": null, + "32": null + } + }, + "0f202e9852": { + "parrot": { + "2": null, + "7": null, + "9": null, + "15": null + } + }, + "0f2ab8b1ff": { + "dolphin": { + "3": null, + "17": "1. the dolphin is swimming forward with its dorsal fin showing prominently above the water\n2. the dolphin is rolling to its side slightly, preparing to surface with its head angled upwards\n3. the dolphin is gliding through the water, creating a splash with its tail fin as it moves forward", + "22": "1. the dolphin is diving forward, showing its dorsal fin while moving through the water\n\n2. the dolphin is swimming steadily, facing forward with its fin visible above the surface\n\n3. the dolphin is surfacing, breaching the water with a splash from its dorsal area", + "26": "1. the dolphin is arching its body while swimming forward near the surface\n\n2. the dolphin is swimming upward, its dorsal fin cutting through the water\n\n3. the dolphin is gliding smoothly just below the water surface" + } + }, + "0f51a78756": { + "sheep": { + "5": null, + "11": null, + "19": null, + "20": null + } + }, + "0f5fbe16b0": { + "raccoon": { + "5": "1. the raccoon is leaning forward with its body positioned under the table, reaching towards a yellow object.\n2. the raccoon is standing upright, facing towards the left side.", + "11": null, + "18": "1. the raccoon is lying down facing upward, slightly tilting its head to the side.\n\n2. the raccoon is facing downward with nose close to the floor, appearing to search or sniff.", + "30": "1. the raccoon is lying on its back underneath a chair, with its legs raised and appearing to stretch or reposition\n\n2. the raccoon is crouched low to the ground, gazing upward with its head tilted slightly forward" + } + }, + "0f6072077b": { + "person": { + "4": "1. the person is sitting on a motorcycle, with right arm resting on it.\n2. the person is seated on the motorcycle, leaning slightly forward with hands resting on the seat.\n3. the person is standing upright, holding a camera and taking a photo.", + "5": "1. the person is sitting on a motorcycle while looking to the side with her right arm resting on the bike.\n\n2. the person is seated on a motorcycle with her hands placed on her knee, facing forward.\n\n3. the person is standing upright while holding a camera, taking a photograph.", + "10": "1. the person is standing with arms resting by the sides, facing forward.\n2. the person is seated on a motorcycle, facing forward with legs on one side.\n3. the person is holding a camera up and taking a photo.", + "11": "1. the person is sitting on a motorcycle, with both legs bent and hands resting near the handlebars.\n2. the person is sitting side-saddle on a motorcycle, facing forward with one leg crossed over the other.\n3. the person is standing upright, clapping hands with a slight forward lean." + } + }, + "0f6b69b2f4": { + "rabbit": { + "2": null, + "16": null, + "22": null, + "27": null + } + }, + "0f6c2163de": { + "snail": { + "5": null, + "11": null, + "17": null, + "25": null + } + }, + "0f74ec5599": { + "giant_panda": { + "6": null, + "11": null, + "25": null, + "33": null + } + }, + "0f9683715b": { + "elephant": { + "3": null, + "9": null, + "12": null, + "15": null + } + }, + "0fa7b59356": { + "duck": { + "9": null, + "15": null, + "25": null, + "30": null + } + }, + "0fb173695b": { + "paddle": { + "5": null, + "8": null, + "13": null, + "14": null + }, + "hat": { + "5": null, + "8": null, + "13": null, + "14": null + }, + "person": { + "5": null, + "8": null, + "13": null, + "14": null + } + }, + "0fc958cde2": { + "owl": { + "3": null, + "8": null, + "15": null, + "20": null + } + }, + "0fe7b1a621": { + "parrot": { + "6": null, + "12": null, + "20": null, + "26": null + } + }, + "0ffcdb491c": { + "person": { + "2": null, + "6": null, + "10": null, + "14": null + } + }, + "101caff7d4": { + "giant_panda": { + "2": "1. the giant_panda is sitting upright with its head lowered towards the other panda, appearing to observe or interact closely.\n2. the giant_panda is lying on its back with its head turned upwards, reaching out its paw towards the other panda.", + "9": "1. the giant_panda sitting upright while leaning forward\n2. the giant_panda lying on its back with its front paws raised", + "13": "1. the giant_panda is sitting with its back turned and facing downwards, seemingly resting.\n2. the giant_panda is lying on its back with head facing upwards, appearing to rest against a surface.", + "14": "1. the giant_panda is sitting and leaning forward, showing its back and lowering its head towards the ground.\n\n2. the giant_panda is lying on its back with its head turned, facing upwards." + } + }, + "1022fe8417": { + "person": { + "5": "1. the person is sitting upright and holding reins while facing forward.\n2. the person is sitting on the carriage and appears to be holding something in their lap.\n3. the person is facing the carriage and standing with hands clasped behind their back.", + "7": "1. the person is holding the reins while sitting on the carriage, facing forward\n2. the person is seated on the carriage, holding onto the seatback with both hands\n3. the person is standing upright, facing towards the carriage, while gesturing with their left hand", + "10": "1. the person is sitting on a carriage and holding the reins of the horse leading the procession\n2. the person is seated upright on the carriage clasping both hands together in their lap\n3. the person is standing near the carriage facing towards the procession with both hands resting on their hips", + "14": "1. the person is sitting upright on the carriage and holding reins with both hands, guiding the horses\n2. the person is standing on the carriage, holding onto the side with one hand\n3. the person is sitting on the carriage with legs relaxed, looking forward" + } + }, + "1032e80b37": { + "giraffe": { + "5": null, + "6": null, + "13": null, + "15": null + } + }, + "103f501680": { + "fish": { + "5": null, + "16": null, + "20": null, + "28": null + } + }, + "104e64565f": { + "elephant": { + "3": null, + "6": null, + "13": null, + "17": null + } + }, + "104f1ab997": { + "person": { + "4": "1. the person is leaning forward while grasping the edge of the inflatable boat.\n\n2. the person is bent over, using both hands to hold onto the inflatable boat.\n\n3. the person is standing upright facing towards the water, holding a camera with both hands.", + "6": "1. the person is leaning forward and reaching toward a boat.\n\n2. the person is bending down and grabbing the edge of a boat.\n\n3. the person is standing upright with hands held together, appearing to be observing something.", + "8": "1. the person is leaning forward over the edge of the dock, holding onto the inflatable boat.\n2. the person is reaching out with both hands towards the inflatable boat while bending slightly.\n3. the person is standing upright on the dock, looking at a device in their hands.", + "12": "1. the person is standing upright facing the water, holding a device in both hands\n\n2. the person is bending over, reaching out towards a boat\n\n3. the person is standing and looking down at an object held in both hands" + } + }, + "106242403f": { + "person": { + "3": null, + "8": null, + "10": null, + "14": null + } + }, + "10b31f5431": { + "person": { + "8": "1. the person is running with arms slightly bent while facing forward.\n\n3. the person is standing upright with one arm extended outward.\n\n4. the person is crouching low on a skateboard while holding a selfie stick.", + "17": "1. the person is extending their right arm while gripping onto the road surface with gloved hand\n4. the person is leaning forward, maintaining balance on a skateboard while holding a stick-like object", + "18": null, + "30": "1. the person is standing on a skateboard, holding something in their left hand, and facing forward.\n\n4. the person is crouching low, wearing a helmet, and extending their right arm forward while gripping an object." + } + }, + "10eced835e": { + "giant_panda": { + "2": "1. the giant_panda is showing its back while leaning forward.\n\n2. the giant_panda is sitting upright, holding a bamboo stick with its paws.", + "6": "1. the giant_panda is facing downward, sniffing the ground while leaning forward.\n\n2. the giant_panda is standing upright and facing the other panda, appearing to look at it closely.", + "9": "1. the giant_panda is lying down on its side, facing downwards on the ground.\n\n2. the giant_panda is sitting upright, facing forward, with its head turned to the side.", + "13": null + } + }, + "110d26fa3a": { + "shark": { + "4": null, + "11": null, + "16": null, + "21": null + } + }, + "1122c1d16a": { + "parrot": { + "7": "1. the parrot is perched on a branch with its head facing forward\n2. the parrot is held in a hand, with its wings folded and lying on its back\n3. the parrot is resting in a hand, with its head slightly angled upwards\n4. the parrot is standing inside the cage while facing forward\n5. the parrot is holding onto the cage bars with its beak engaged in biting", + "11": "1. the parrot is perched with its belly facing the camera, showing its back towards the cage\n\n2. the parrot is lying on its back in a person's hand, stretching its legs upward\n\n3. the parrot is lounging upside down in someone's hands, with its head positioned towards the person\n\n4. the parrot is facing upwards toward the top of the cage while gripping the bars with its feet\n\n5. the parrot is peering through the cage, facing the camera with its body tilted slightly to the left", + "23": "1. the parrot is inside a cage, gripping the bars with its beak\n2. the parrot is being held in one hand, appearing calm with wings slightly spread\n3. the parrot is lying on its back, supported on an arm, facing upwards\n4. the parrot is leaning forward while perched on a hand, nuzzling its beak against another parrot\n5. the parrot is perched on the inside railing of a cage, observing the enclosed area", + "30": "1. the parrot is perched on the edge of a metal cage, lifting its head upward while facing inside the cage\n\n2. the parrot is nestled in a human hand, slightly tilted to the side, with its beak pointed downward\n\n3. the parrot is leaning forward with its body angled towards another parrot, its beak directed ahead\n\n4. the parrot is inside the metal cage, standing on a perch with its wings slightly spread and head facing the viewer\n\n5. the parrot is perched atop a wooden object, lowering its head downward while gripping the object firmly" + }, + "person": { + "7": null, + "11": null, + "23": null, + "30": null + } + }, + "1145b49a5f": { + "rabbit": { + "5": null, + "13": null, + "20": null, + "28": null + } + }, + "11485838c2": { + "giraffe": { + "3": "1. the giraffe is leaning its neck down towards the overhang, facing the person on the right\n2. the giraffe is standing upright with its neck reaching out over the railing\n3. the giraffe is standing still with its head turned slightly to the left, facing the other giraffes", + "6": "1. the giraffe is standing upright with its head lifted, facing forward\n2. the giraffe is leaning its head down toward the ground\n3. the giraffe is extending its neck downward while turning its head to the right", + "10": "1. the giraffe is standing with its head raised, facing forward\n\n2. the giraffe is turning its head to the side, extending its neck towards another giraffe\n\n3. the giraffe is lowering its head slightly while looking in the opposite direction", + "15": "1. the giraffe is extending its neck upwards, brushing its mouth against the roof structure.\n\n2. the giraffe is leaning slightly with its head turned to nudge the taller giraffe.\n\n3. the giraffe is standing still facing forward, showing its full side profile." + } + }, + "114e7676ec": { + "surfboard": { + "8": null, + "15": null, + "25": null, + "28": null + }, + "person": { + "8": null, + "15": null, + "25": null, + "28": null + } + }, + "1157472b95": { + "parrot": { + "2": "1. the parrot is grasping and nibbling at its foot while perched on a branch\n2. the parrot is standing upright facing forward on the branch without engaging in any specific action", + "17": "1. the parrot is perched on a branch, facing downward with its wings slightly spread.\n\n2. the parrot is standing upright on a branch, facing forward with its head lifted.", + "19": "1. the parrot is perched on a branch, showing its back and facing downwards\n\n2. the parrot is standing on a branch, facing forward and looking ahead", + "30": null + } + }, + "115ee1072c": { + "cow": { + "4": null, + "5": null, + "9": null, + "11": null + } + }, + "1171141012": { + "person": { + "6": null, + "13": null, + "14": null, + "24": null + }, + "turtle": { + "6": null, + "13": null, + "14": null, + "24": null + } + }, + "117757b4b8": { + "snail": { + "9": null, + "11": null, + "22": null, + "33": null + } + }, + "1178932d2f": { + "person": { + "2": null, + "17": null, + "21": "1. the person is riding a motorcycle with both hands on the handlebars, facing forward on the road\n\n2. the person is walking across the sidewalk while holding a plastic bag in one hand and looking ahead", + "32": null + }, + "motorbike": { + "2": null, + "17": null, + "21": null, + "32": null + } + }, + "117cc76bda": { + "whale": { + "6": null, + "10": null, + "25": null, + "26": null + } + }, + "1180cbf814": { + "fish": { + "2": null, + "16": "1. the fish is swimming upwards near the wooden structure, with its head pointing towards the surface. \n2. the fish is moving forward across the sandy bottom, with its body angled slightly downwards.", + "22": "1. the fish is hovering near the wooden structure, gently waving its fins.\n2. the fish is swimming forward, with its body tilted slightly upward.", + "28": "1. the fish is swimming upwards with its body tilted slightly backward, close to the rock formation. \n2. the fish is swimming horizontally towards the left, with its fins fully extended." + } + }, + "1187bbd0e3": { + "cat": { + "3": null, + "9": null, + "10": null, + "16": null + } + }, + "1197e44b26": { + "giant_panda": { + "9": null, + "13": null, + "20": null, + "28": null + } + }, + "119cf20728": { + "lizard": { + "8": null, + "13": null, + "23": null, + "26": null + }, + "hand": { + "8": null, + "13": null, + "23": null, + "26": null + } + }, + "119dd54871": { + "lion": { + "4": null, + "13": null, + "16": null, + "28": null + } + }, + "11a0c3b724": { + "mouse": { + "3": null, + "7": null, + "10": null, + "13": null + } + }, + "11a6ba8c94": { + "person": { + "7": "1. the person is squatting while tying the laces of a shoe\n\n2. the person is stepping onto a skateboard with one foot forward", + "17": "1. the person is crouching down while interacting with a remote-controlled car on the ground.\n\n2. the person is stepping forward with one arm extended down towards the ground.", + "22": "1. the person is crouching low with fingers extended towards a skateboard on the ground\n\n2. the person is executing a handstand on a skateboard, with legs raised high in the air", + "27": "1. the person is crouching on the ground, holding a camera with both hands.\n2. the person is performing a skateboarding trick, balancing on one foot with the skateboard tilted upwards." + }, + "skateboard": { + "7": null, + "17": null, + "22": null, + "27": null + } + }, + "11c722a456": { + "turtle": { + "9": "1. the turtle is swimming forward with its front legs extended and body slightly tilted downwards.\n\n2. the turtle is moving forward with its head slightly raised, gliding through the water.", + "10": null, + "21": null, + "32": null + } + }, + "11cbcb0b4d": { + "zebra": { + "3": null, + "7": null, + "12": null, + "14": null + } + }, + "11ccf5e99d": { + "person": { + "5": null, + "6": null, + "11": null, + "14": null + }, + "plant": { + "5": null, + "6": null, + "11": null, + "14": null + } + }, + "11ce6f452e": { + "person": { + "3": "1. the person is standing with hands behind their back, observing the scene.\n2. the person is holding a set of reins, directing the horses.\n3. the person is standing while gripping the side of the carriage with one hand.", + "6": "1. the person is standing with hands on hips, facing towards the scene ahead\n2. the person is holding a harness while looking at the horse\n3. the person is gripping a lever or bar with both hands, standing upright in the vehicle", + "9": "1. the person is standing with hands resting on their hips facing away from the camera.\n2. the person is holding reins while sitting atop a horse, preparing to steer.\n3. the person is standing on a carriage, holding onto a vertical rail with one hand.", + "11": null + } + }, + "11feabe596": { + "rabbit": { + "5": null, + "13": null, + "16": null, + "21": null + } + }, + "120cb9514d": { + "person": { + "8": null, + "13": "1. the person is holding a snowboard upright with both hands while leaning towards another person\n2. the person is facing forward with hands inside pocket, looking at another person", + "20": null, + "31": "1. the person is extending their right arm, appearing to make contact with another person.\n\n3. the person is facing forward and smiling, with goggles on their head." + } + }, + "12156b25b3": { + "surfboard": { + "7": null, + "15": null, + "25": null, + "30": null + }, + "person": { + "7": null, + "15": null, + "25": null, + "30": null + } + }, + "122896672d": { + "others": { + "6": null, + "16": null, + "22": null, + "26": null + }, + "person": { + "6": null, + "16": null, + "22": null, + "26": null + }, + "skateboard": { + "6": null, + "16": null, + "22": null, + "26": null + } + }, + "1233ac8596": { + "dog": { + "2": null, + "6": null, + "13": null, + "14": null + } + }, + "1239c87234": { + "lizard": { + "3": null, + "13": null, + "19": null, + "26": null + } + }, + "1250423f7c": { + "elephant": { + "3": null, + "9": null, + "12": null, + "14": null + }, + "hat": { + "3": null, + "9": null, + "12": null, + "14": null + }, + "person": { + "3": null, + "9": null, + "12": null, + "14": null + } + }, + "1257a1bc67": { + "snake": { + "4": null, + "9": null, + "17": null, + "29": null + } + }, + "125d1b19dd": { + "giant_panda": { + "8": "1. the giant_panda is climbing along the tree branch with its body leaning forward\n2. the giant_panda is carefully standing on a branch while inspecting the surroundings", + "16": "1. the giant_panda is climbing up the tree trunk with its body partially hanging off a branch.\n2. the giant_panda is balancing on a tree branch, with its body oriented horizontally while gripping the branch firmly.", + "22": "1. the giant_panda is climbing upwards on the tree branches, lifting its front paw.\n\n2. the giant_panda is walking along a branch, with its head facing forward.", + "27": "1. the giant panda is holding onto a tree branch while climbing.\n2. the giant panda is walking along a branch with its body facing forward." + } + }, + "126d203967": { + "skateboard": { + "2": null, + "16": null, + "22": null, + "28": null + }, + "person": { + "2": null, + "16": null, + "22": null, + "28": null + } + }, + "1295e19071": { + "airplane": { + "4": null, + "6": null, + "10": null, + "16": null + } + }, + "12ad198c54": { + "person": { + "2": null, + "9": null, + "18": null, + "21": null + }, + "skateboard": { + "2": null, + "9": null, + "18": null, + "21": null + } + }, + "12bddb2bcb": { + "frisbee": { + "5": null, + "12": null, + "16": null, + "25": null + }, + "person": { + "5": null, + "12": null, + "16": null, + "25": null + } + }, + "12ec9b93ee": { + "giant_panda": { + "9": null, + "17": null, + "24": null, + "30": null + } + }, + "12eebedc35": { + "bird": { + "4": null, + "7": null, + "10": null, + "13": null + } + }, + "132852e094": { + "fox": { + "3": null, + "9": null, + "13": null, + "19": null + } + }, + "1329409f2a": { + "fish": { + "3": null, + "16": null, + "24": null, + "26": null + } + }, + "13325cfa14": { + "person": { + "5": null, + "8": null, + "10": null, + "17": null + }, + "umbrella": { + "5": null, + "8": null, + "10": null, + "17": null + } + }, + "1336440745": { + "mouse": { + "8": null, + "15": null, + "18": "1. the mouse is standing upright facing the wheel, showing its back\n\n2. the mouse is leaning against the base of the wheel with its mouth close to the surface", + "33": "1. the mouse is sitting on the bedding and facing forward with its head slightly tilted.\n\n2. the mouse is partially hidden behind the wheel, facing left with its head poking out." + } + }, + "134d06dbf9": { + "cat": { + "5": null, + "8": null, + "12": null, + "16": null + } + }, + "135625b53d": { + "parrot": { + "7": null, + "12": null, + "14": null, + "21": null + }, + "hand": { + "7": null, + "12": null, + "14": null, + "21": null + } + }, + "13870016f9": { + "person": { + "3": null, + "5": null, + "8": null, + "14": null + }, + "cow": { + "3": null, + "5": null, + "8": null, + "14": null + } + }, + "13960b3c84": { + "giraffe": { + "3": "1. the giraffe is standing with its neck extended forward, looking towards the right.\n2. the giraffe is leaning its head down towards the ground.\n3. the giraffe is bending its neck, facing downwards, and appearing to sniff the ground.", + "9": "1. the giraffe is reaching its neck forward and down towards the ground\n2. the giraffe is standing with its body facing towards the other giraffe, seemingly observing\n3. the giraffe is bending its head down and forward, appearing to inspect the ground near the wall", + "12": "1. the giraffe is standing upright with its head turned to the side.\n2. the giraffe is bending its neck downward, facing towards an unseen area.\n3", + "15": "1. the giraffe is standing with its body facing outward while looking downward.\n2. the giraffe is facing another giraffe, leaning slightly backward.\n3. the giraffe is extending its neck forward and tilting its head upward." + } + }, + "13adaad9d9": { + "giant_panda": { + "3": null, + "10": null, + "25": null, + "26": null + } + }, + "13ae097e20": { + "giant_panda": { + "9": null, + "16": null, + "22": null, + "32": null + } + }, + "13e3070469": { + "zebra": { + "2": "1. the zebra is grazing with its head lowered towards the grass\n2. the zebra is standing with its head slightly angled downward\n3. the zebra is walking forward with its head lowered", + "9": null, + "10": null, + "17": null + } + }, + "13f6a8c20d": { + "fish": { + "6": null, + "10": null, + "17": null, + "24": null + } + }, + "1416925cf2": { + "truck": { + "2": "1. the truck is stationary with an open back being filled with chopped maize from the harvester\n\n2. the truck is actively harvesting maize with a chute directing the cut crop into the adjacent trailer", + "7": "1. the truck is carrying a load with its container tilted slightly forward\n\n2. the truck is using its chute to funnel harvested crops into the other truck's container", + "8": "1. the truck is positioned with its trailer open, receiving a load of harvested crop being dispensed from the harvester\n\n2. the truck is actively collecting corn from the field using its extended chute to transfer the crop into the adjacent trailer", + "12": "1. the truck is stationary receiving a stream of harvested material into its container from the adjacent machinery\n\n2. the truck is operating its chute to transfer harvested material into another vehicle" + } + }, + "142d2621f5": { + "person": { + "3": "1. the person is crouching down with one hand extended towards the ground, seemingly ready to assist with moving the motorcycle\n\n2. the person is bent over the motorcycle, gripping the handlebars firmly with both hands, likely attempting to maneuver it through the mud", + "5": "1. the person is stepping forward with one hand holding a strap or handle on the motorcycle.\n\n2. the person is bent over the motorcycle, grasping the handlebars with both hands while stabilizing the vehicle.", + "9": "1. the person is bending over and pushing the motorcycle from behind with both hands.\n\n2. the person is leaning forward and gripping the motorcycle's handlebars with both hands.", + "13": "1. the person is bending forward while pushing against the side of the motorcycle with both hands.\n\n2. the person is leaning over the handlebars of the motorcycle, gripping them firmly with both hands." + }, + "motorbike": { + "3": null, + "5": null, + "9": null, + "13": null + } + }, + "145d5d7c03": { + "giant_panda": { + "6": null, + "16": null, + "21": null, + "29": null + } + }, + "145fdc3ac5": { + "lizard": { + "5": null, + "15": null, + "25": null, + "31": null + } + }, + "1471274fa7": { + "person": { + "3": null, + "6": null, + "9": null, + "12": null + } + }, + "14a6b5a139": { + "fish": { + "9": null, + "17": null, + "20": null, + "28": null + } + }, + "14c21cea0d": { + "monkey": { + "3": null, + "17": null, + "22": null, + "27": "1. the monkey is crouching forward with its head lifted, appearing to extend its arm toward the ground\n\n2. the monkey is facing away, showing its back, while partially covered by foliage" + } + }, + "14dae0dc93": { + "person": { + "3": null, + "8": null, + "12": null, + "14": null + }, + "umbrella": { + "3": null, + "8": null, + "12": null, + "14": null + } + }, + "14f9bd22b5": { + "tiger": { + "3": null, + "16": null, + "25": null, + "31": null + } + }, + "14fd28ae99": { + "parrot": { + "4": null, + "16": null, + "20": null, + "27": null + }, + "hand": { + "4": null, + "16": null, + "20": null, + "27": null + } + }, + "15097d5d4e": { + "parrot": { + "4": null, + "9": null, + "17": null, + "22": null + }, + "hand": { + "4": null, + "9": null, + "17": null, + "22": null + } + }, + "150ea711f2": { + "whale": { + "9": null, + "13": null, + "24": null, + "27": null + } + }, + "1514e3563f": { + "earless_seal": { + "3": "1. the earless_seal is lifting its head upwards while remaining stationary in the water.\n\n2. the earless_seal is diving downwards with its body curving down into the water.", + "17": null, + "21": null, + "27": null + } + }, + "152aaa3a9e": { + "raccoon": { + "7": null, + "11": null, + "23": null, + "30": null + } + }, + "152b7d3bd7": { + "giant_panda": { + "7": null, + "8": null, + "14": null, + "22": null + } + }, + "15617297cc": { + "surfboard": { + "3": null, + "12": null, + "25": null, + "33": null + }, + "person": { + "3": null, + "12": null, + "25": null, + "33": null + } + }, + "15abbe0c52": { + "person": { + "6": null, + "13": null, + "19": null, + "20": null + }, + "skateboard": { + "6": null, + "13": null, + "19": null, + "20": null + } + }, + "15d1fb3de5": { + "owl": { + "3": null, + "10": null, + "18": null, + "28": null + }, + "cat": { + "3": null, + "10": null, + "18": null, + "28": null + } + }, + "15f67b0fab": { + "person": { + "6": null, + "15": null, + "20": null, + "31": null + }, + "skateboard": { + "6": null, + "15": null, + "20": null, + "31": null + } + }, + "161eb59aad": { + "cow": { + "3": null, + "7": null, + "12": null, + "15": null + }, + "giraffe": { + "3": null, + "7": null, + "12": null, + "15": null + } + }, + "16288ea47f": { + "duck": { + "3": "1. the duck bending its neck forward with its body settled in the container\n2. the duck lowering its body and dipping its beak into the water", + "10": "1. the duck dipping its head down towards the water, with its body slightly curved\n2. the duck floating in the water with its head lifted and facing forward", + "24": null, + "33": "1. the duck is sitting in a green container with its head slightly turned to the side\n\n2. the duck is crouching with its head down and partly submerged in a water tray" + } + }, + "164410ce62": { + "person": { + "3": null, + "7": null, + "10": null, + "14": null + } + }, + "165c3c8cd4": { + "person": { + "5": "1. the person is standing with arms relaxed, facing toward the row of bikes.\n\n2. the person is slightly bent over a motorcycle, appearing to interact with it.\n\n3. the person is standing upright, facing away from the motorcycles while holding an object with one hand.", + "9": "1. the person is walking between motorcycles, with one arm swinging beside the body.\n2. the person is facing another person while standing close to the motorcycles.\n3. the person is standing near the wall, with arms crossed in front.", + "10": "1. the person is walking forward with their back facing the camera\n\n2. the person is walking with one arm raised, possibly holding or carrying something \n\n3. the person is standing still with arms crossed behind their back", + "15": "1. the person is walking forward past parked motorcycles with arms relaxed by the sides.\n2. the person is walking away, holding a bag strap over the shoulder with a slight lean forward.\n3. the person is standing with arms clasped behind the back, facing left." + } + }, + "165c42b41b": { + "person": { + "3": null, + "7": null, + "8": null, + "13": null + }, + "motorbike": { + "3": null, + "7": null, + "8": null, + "13": null + } + }, + "165ec9e22b": { + "person": { + "7": null, + "8": null, + "15": null, + "26": "1. the person is holding a camera with both hands while standing on the snow\n\n2. the person is skiing on a rail with both feet balanced on the skis, slightly leaned forward" + } + }, + "1669502269": { + "person": { + "3": null, + "6": null, + "9": null, + "13": null + } + }, + "16763cccbb": { + "ape": { + "5": null, + "11": null, + "16": null, + "26": null + } + }, + "16adde065e": { + "hat": { + "2": null, + "6": null, + "10": null, + "17": null + }, + "person": { + "2": null, + "6": null, + "10": null, + "17": null + }, + "cat": { + "2": null, + "6": null, + "10": null, + "17": null + } + }, + "16af445362": { + "airplane": { + "4": null, + "9": null, + "12": null, + "15": null + } + }, + "16afd538ad": { + "parrot": { + "7": "1. the parrot is facing the mirror, with its beak touching the surface\n2. the parrot is pecking at the mirror while slightly bending forward", + "11": null, + "18": null, + "21": "1. the parrot faces and pecks at the mirror surface\n\n2. the parrot leans forward with its beak close to the mirror\uc2dc \uac00" + } + }, + "16c3fa4d5d": { + "sedan": { + "5": null, + "8": null, + "11": null, + "15": null + } + }, + "16d1d65c27": { + "monkey": { + "4": null, + "10": null, + "21": null, + "28": null + } + }, + "16e8599e94": { + "giant_panda": { + "5": null, + "12": null, + "25": null, + "30": null + } + }, + "16fe9fb444": { + "person": { + "3": null, + "5": null, + "10": null, + "14": null + }, + "motorbike": { + "3": null, + "5": null, + "10": null, + "14": null + } + }, + "1705796b02": { + "train": { + "3": null, + "7": null, + "10": null, + "15": null + } + }, + "1724db7671": { + "giant_panda": { + "7": null, + "11": null, + "15": null, + "25": null + } + }, + "17418e81ea": { + "shark": { + "6": null, + "14": null, + "18": null, + "23": null + } + }, + "175169edbb": { + "ape": { + "3": "1. the ape is standing upright and leaning forward toward the other ape\n\n2. the ape is lying on its back with both arms raised in the air", + "9": "1. the ape is standing on four limbs, facing towards and appearing to cover the reclining ape.\n\n2. the ape is lying on its back, grasping a branch or stick while looking upwards.", + "19": "1. the ape is standing upright and facing towards the other ape, possibly stabilizing itself against the substrate.\n2. the ape is lying on its back while lifting one arm, seemingly reaching towards an object or surface above.", + "20": "1. the ape is standing upright and facing to the left.\n\n2. the ape is lying on its back with its head lifted upward, looking ahead." + } + }, + "17622326fd": { + "lizard": { + "3": null, + "12": null, + "20": null, + "31": null + } + }, + "17656bae77": { + "elephant": { + "2": null, + "7": null, + "8": null, + "11": null + } + }, + "17b0d94172": { + "airplane": { + "3": null, + "4": null, + "6": null, + "8": null + } + }, + "17c220e4f6": { + "giant_panda": { + "4": null, + "13": null, + "25": null, + "28": null + } + }, + "17c7bcd146": { + "train": { + "2": null, + "8": null, + "12": null, + "16": null + } + }, + "17cb4afe89": { + "tiger": { + "6": null, + "11": null, + "24": null, + "30": null + } + }, + "17cd79a434": { + "squirrel": { + "2": null, + "12": null, + "21": null, + "26": null + } + }, + "17d18604c3": { + "person": { + "5": "1. the person is seated and reaching towards a plant, with both arms extended forward\n2. the person is standing with arms crossed and facing towards another person", + "7": "1. the person is crouched down with both hands adjusting the bonsai tree in front of them.\n\n2. the person is standing upright with arms crossed, facing forward and observing the scene.", + "11": "1. the person is leaning slightly forward while appearing to focus on something \n2. the person is standing upright with arms crossed, appearing to observe something silently", + "15": null + }, + "plant": { + "5": null, + "7": null, + "11": null, + "15": null + } + }, + "17d8ca1a37": { + "person": { + "2": null, + "13": null, + "14": null, + "24": null + }, + "owl": { + "2": null, + "13": null, + "14": null, + "24": null + } + }, + "17e33f4330": { + "monkey": { + "2": null, + "17": null, + "20": null, + "28": null + } + }, + "17f7a6d805": { + "snail": { + "3": null, + "10": null, + "14": null, + "23": null + } + }, + "180abc8378": { + "person": { + "7": null, + "15": null, + "23": null, + "29": null + }, + "owl": { + "7": null, + "15": null, + "23": null, + "29": null + } + }, + "183ba3d652": { + "hat": { + "4": null, + "6": null, + "12": null, + "15": null + }, + "person": { + "4": null, + "6": null, + "12": null, + "15": null + }, + "motorbike": { + "4": null, + "6": null, + "12": null, + "15": null + } + }, + "185bf64702": { + "zebra": { + "4": null, + "7": "1. the zebra is moving forward with its head slightly lowered, showing its face prominently\n2. the zebra is turning its body away, showing its back and tail prominently", + "10": null, + "17": null + } + }, + "18913cc690": { + "train": { + "3": null, + "7": null, + "9": null, + "14": null + } + }, + "1892651815": { + "camel": { + "4": null, + "11": null, + "25": null, + "31": null + } + }, + "189ac8208a": { + "giraffe": { + "4": "1. the giraffe is standing upright with its head lifted towards the overhead feeder.\n\n2. the giraffe is extending its neck forward while facing towards the tree.", + "6": "1. the giraffe is standing upright facing away, with its body aligned towards the metal structure ahead.\n2. a giraffe is extending its neck towards the hay placed in the elevated feeding basket, with its head slightly dipped.", + "9": "1. the giraffe is standing upright facing forward, showing its back\n\n2. the giraffe is bending its neck down to the ground, reaching towards something on the floor", + "11": "1. the giraffe facing away, standing upright and looking forward\n\n2. the giraffe leaning forward and stretching its neck towards a feeding basket" + } + }, + "189b44e92c": { + "zebra": { + "3": null, + "6": null, + "12": null, + "17": null + } + }, + "18ac264b76": { + "skateboard": { + "5": null, + "12": null, + "16": null, + "22": null + }, + "person": { + "5": null, + "12": null, + "16": null, + "22": null + } + }, + "18b245ab49": { + "penguin": { + "3": "1. the penguin is standing upright facing towards another penguin, with wings slightly extended downward\n2. the penguin is lowering its head towards the ground, possibly inspecting the snow\n3. the penguin is bending forward, facing towards the ground as if pecking at the snow\n4. the penguin is standing upright and facing away from the snow towards the water", + "6": "1. the penguin is facing forward with its body upright, looking downward at the snow.\n2. the penguin is bending its head down towards the snow, appearing to be pecking at it.\n3. the penguin is lying flat on its belly facing toward the water.\n4. the penguin is standing upright with its head inclined downwards, gazing at the other penguins.", + "8": "1. the penguin is leaning forward, reaching its beak towards the snow\n\n2. the penguin is crouched down, facing towards the ground\n\n3. the penguin is sliding its body on the snow with its wings extended\n\n4. the penguin is standing upright, facing downward with its head bent towards the ground", + "13": "1. the penguin is lying on its belly, facing downward, and sliding across the snow.\n2. the penguin is bending forward, with its head lowered towards the ground.\n3. the penguin is standing upright, facing forward with its head tilted slightly to the side.\n4. the penguin is upright, facing directly forward with both flippers resting naturally by its side." + } + }, + "18b5cebc34": { + "mouse": { + "5": null, + "12": null, + "16": null, + "23": null + } + }, + "18bad52083": { + "parrot": { + "3": "1. the parrot is standing over a metal bowl, facing forward with its beak slightly open\n2. the parrot is perched on a wooden structure, leaning forward with one foot gripping the edge", + "11": "1. the parrot is standing on the edge of a metal bowl, facing forward with its beak slightly open \n2. the parrot is perched on a wooden structure, facing forward with its body leaning slightly upward", + "17": "1. the parrot tilting its head slightly upward while standing near the metal bowl \n2. the parrot perched on a wooden perch, facing away and showing its back", + "24": "1. the parrot is standing on the edge of a metal bowl while facing forward with its head slightly turned.\n\n2. the parrot is perched on a wooden dowel with one foot raised, leaning forward and grasping a wooden structure." + } + }, + "18bb5144d5": { + "lizard": { + "8": null, + "15": null, + "21": null, + "27": null + } + }, + "18c6f205c5": { + "person": { + "4": "1. the person is standing upright, facing forward, and actively paddling with a rowing oar.\n\n2. the person is kneeling while holding and rowing with an oar in a forward motion.\n\n3. the person is facing backward while drumming, with one arm raised and striking a drum.", + "7": "1. the person is paddling energetically in the dragon boat, using both arms with synchronized strokes.\n\n2. the person is drumming at the front of the dragon boat, facing the crew with a raised arm.\n\n3. the person is standing upright at the rear of the dragon boat, steering with a long paddle.", + "10": "1. the person is standing on a boat while holding and hitting a drum with a stick. \n2. the person is rowing with a paddle alongside others in synchronization. \n3. the person is sitting at the front of a boat, facing forward, and holding a drumstick in an upright position.", + "15": null + } + }, + "1903f9ea15": { + "bird": { + "4": "1. the bird is perched on a branch, standing with wings folded close to its body.\n2. the bird is leaning forward, its beak slightly pointing downward toward the ground.\n3. the bird is facing upward, looking toward the top of the cage with its head slightly tilted back.", + "7": null, + "10": null, + "15": "1. the bird is perched on a branch while looking to the left \n2. the bird is standing still, directly facing forward \n3. the bird extends its wings outward and upward while tilting its body backward" + } + }, + "1917b209f2": { + "horse": { + "2": null, + "7": null, + "10": null, + "16": null + }, + "person": { + "2": null, + "7": null, + "10": null, + "16": null + }, + "cow": { + "2": null, + "7": null, + "10": "1. the cow is facing away from the camera with its back visible to the viewer\n\n2. the cow is standing still, looking towards the camera with its head slightly turned", + "16": "1. the cow is standing still with its head facing forward, slightly turned away from the horse.\n\n2. the cow is showing its back and slightly leaning forward while facing the horse." + } + }, + "191e74c01d": { + "deer": { + "6": null, + "10": null, + "16": null, + "24": null + } + }, + "19367bb94e": { + "fish": { + "6": null, + "16": "1. the fish is curving its body while swimming towards the left side. \n2. the fish is tilting its head slightly upward while swimming forward. \n3. the fish is facing downward with fins relaxed as it glides over the surface.", + "23": "1. the fish is swimming forward with its body slightly curved and its fin extended outward.\n2. the fish is gliding along the tank floor with its mouth positioned downward near the substrate.", + "28": null + } + }, + "193ffaa217": { + "person": { + "3": null, + "5": null, + "9": null, + "13": null + } + }, + "19696b67d3": { + "cow": { + "2": null, + "8": null, + "13": null, + "14": null + } + }, + "197f3ab6f3": { + "giant_panda": { + "5": null, + "12": null, + "19": null, + "31": null + } + }, + "1981e763cc": { + "sheep": { + "4": "1. the sheep is facing forward with its body positioned downward on the slope\n2. the sheep is standing with its head turned slightly to the right, showing the side of its body", + "16": "1. the sheep is standing still while facing forward \n2. the sheep is standing and facing slightly to the right", + "21": null, + "32": "1. the sheep is lowering its head and facing down towards the rock below.\n\n2. the sheep is standing upright facing away, slightly angled towards the downhill slope." + } + }, + "198afe39ae": { + "surfboard": { + "5": null, + "8": null, + "15": null, + "22": null + }, + "person": { + "5": null, + "8": null, + "15": null, + "22": null + } + }, + "19a6e62b9b": { + "monkey": { + "3": null, + "11": null, + "19": null, + "25": "1. the monkey is peering around the tree trunk with its head turned to the side.\n\n2. the monkey is gripping the tree branch while looking towards the other monkey." + } + }, + "19b60d5335": { + "hedgehog": { + "9": null, + "10": null, + "25": null, + "33": null + }, + "hand": { + "9": null, + "10": null, + "25": null, + "33": null + } + }, + "19c00c11f9": { + "surfboard": { + "6": null, + "13": null, + "19": null, + "25": null + }, + "person": { + "6": null, + "13": null, + "19": null, + "25": null + } + }, + "19e061eb88": { + "boat": { + "3": null, + "6": "1. the boat is sailing on the water with crew members actively adjusting the sails and ropes\n2. the boat is cutting through the waves with crew members standing and handling the mast ropes", + "9": "1. the boat is sailing forward with its crew actively adjusting the sails and ropes \n2. the boat is moving parallel with its crew leaning outward while managing the sails", + "16": null + } + }, + "19e8bc6178": { + "dog": { + "3": null, + "7": null, + "8": null, + "11": null + } + }, + "19ee80dac6": { + "surfboard": { + "2": null, + "10": null, + "22": null, + "29": null + }, + "person": { + "2": "1. the person is surfing down the face of a wave while maintaining balance on a surfboard\n\n3. the person is lying on a surfboard with arms extended forward, paddling in the water\n\n4. the person is sitting upright on a surfboard with hands resting on the board, facing forward", + "10": "1. the person is standing on a surfboard surfing on a wave with arms slightly bent and legs apart\n3. the person is lying prone on a surfboard paddling with hands in the water\n4. the person is lying on a surfboard with one arm extended forward and head lifted facing the wave", + "22": "1. the person is crouching on a surfboard, extending their right arm outward while riding a wave\n\n4. the person is lying on a surfboard, paddling with their arms in the water", + "29": null + } + }, + "1a25a9170a": { + "person": { + "3": null, + "11": null, + "22": null, + "26": null + }, + "cow": { + "3": null, + "11": null, + "22": null, + "26": null + } + }, + "1a359a6c1a": { + "sheep": { + "2": null, + "11": null, + "15": null, + "22": null + } + }, + "1a3e87c566": { + "frog": { + "3": null, + "12": null, + "18": null, + "20": null + } + }, + "1a5fe06b00": { + "bus": { + "2": null, + "5": null, + "10": null, + "11": null + } + }, + "1a6c0fbd1e": { + "person": { + "4": null, + "9": null, + "16": null, + "23": null + }, + "skateboard": { + "4": null, + "9": null, + "16": null, + "23": null + } + }, + "1a6f3b5a4b": { + "sedan": { + "3": null, + "6": null, + "12": null, + "16": null + }, + "bike": { + "3": null, + "6": null, + "12": null, + "16": null + }, + "hand": { + "3": null, + "6": null, + "12": null, + "16": null + } + }, + "1a8afbad92": { + "zebra": { + "2": null, + "7": null, + "10": null, + "12": null + } + }, + "1a8bdc5842": { + "parrot": { + "7": "1. the parrot is standing upright facing the viewer, gripping a wooden perch with its claws\n2. the parrot is leaning forward pecking at a hanging piece of wood", + "12": "1. the parrot is standing upright while facing away from the wooden structure\n\n2. the parrot is inspecting an object while gripping the rope with its beak", + "16": "1. the parrot is standing upright on the perch with its head turned slightly towards the other parrot\n\n2. the parrot is leaning forward, grasping the perch with its claws, reaching towards the object hanging in front of it", + "24": "1. the parrot is pecking at a piece of rope attached to a wooden stick\n\n2. the parrot is grasping the wooden perch, facing forward towards the other object" + } + }, + "1a95752aca": { + "duck": { + "3": "1. the duck is stretching its neck upwards towards the hand holding bread\n\n2. the duck is holding its head up, facing the hand with bread", + "11": null, + "19": "1. the duck is facing forward with its head lifted, seemingly observing the person holding food nearby.\n2. the duck is stretching its neck forward towards the hand, appearing ready to grab a piece of bread being offered.", + "21": "1. the duck is reaching its neck forward towards the platform in anticipation of food\n\n2. the duck is extending its head upwards with an open beak to grasp the bread from a person's hand" + } + }, + "1a9c131cb7": { + "ape": { + "3": "1. the ape is bending forward with both arms extended toward another ape on the ground\n\n2. the ape is on its back on the grass with its arms raised and mouth open\n\n3. the ape is crouching with one hand resting on the ground and facing toward the others", + "12": "1. the ape is extending its right arm forward while leaning down towards the ground\n\n2. the ape is lying on the grass with its back facing the viewer\n\n3. the ape is sitting upright with its right hand resting on its knee", + "20": "1. the ape is leaning forward on all fours, closely observing the ground.\n2. the ape is lying on the grass, facing upwards and extending its arm.\n3. the ape is sitting against the wall, covering its face with one hand.", + "32": "1. the ape is leaning forward with open mouth, facing another ape closely\n\n2. the ape is lying down in the grass with head facing downward\n\n3. the ape is sitting with legs crossed, resting its hand on its face" + } + }, + "1aa3da3ee3": { + "sheep": { + "7": null, + "12": null, + "14": null, + "23": null + } + }, + "1ab27ec7ea": { + "deer": { + "3": null, + "4": null, + "6": null, + "8": null + } + }, + "1abf16d21d": { + "turtle": { + "4": null, + "13": null, + "18": null, + "24": null + } + }, + "1acd0f993b": { + "frisbee": { + "9": null, + "10": null, + "24": null, + "27": null + }, + "person": { + "9": null, + "10": null, + "24": null, + "27": null + }, + "dog": { + "9": null, + "10": null, + "24": null, + "27": null + } + }, + "1ad202e499": { + "lizard": { + "2": "1. the lizard extends its body upward, reaching its head slightly above the tree branch.\n\n2. the lizard appears to be climbing, with its body angled along the tree trunk.", + "17": "1. the lizard is grasping onto the tree trunk with its body angled upward, while its tail hangs downward.\n2. the lizard is climbing vertically along the tree with its body aligned with the tree's surface.", + "22": "1. the lizard is lifting its head upwards while holding a firm stance on the forest floor\n\n2. the lizard is standing upright facing forward, with its body slightly curved to the left", + "31": "1. the lizard is standing upright with its body leaning slightly backward as it faces upward.\n\n2. the lizard is grasping the first lizard with its front limbs, appearing to steady itself." + } + }, + "1af8d2395d": { + "person": { + "6": "1. the person is skydiving with a parachute on the back and legs bent behind.\n2. the person is skydiving with arms spread outward and legs extended back.", + "15": "1. the person is gripping the harness straps tightly with their mouth open while in free fall\n\n2. the person is stretching their arms out widely while skydiving in tandem with another person", + "20": "1. the person is skydiving with arms bent and grasping the harness straps, legs extended behind.\n2. the person is skydiving with arms wide open and legs bent up, facing forward.", + "26": null + }, + "airplane": { + "6": null, + "15": null, + "20": null, + "26": null + }, + "parachute": { + "6": null, + "15": null, + "20": null, + "26": null + } + }, + "1afd39a1fa": { + "motorbike": { + "4": null, + "5": null, + "8": null, + "11": null + }, + "hand": { + "4": null, + "5": null, + "8": null, + "11": null + } + }, + "1b2d31306f": { + "lizard": { + "2": null, + "14": null, + "19": null, + "26": null + } + }, + "1b3fa67f0e": { + "airplane": { + "3": null, + "5": null, + "10": null, + "14": null + } + }, + "1b43fa74b4": { + "owl": { + "4": null, + "11": null, + "15": null, + "23": "1. the owl is perched on the tree branch, facing forward with wings slightly open around its body\n\n2. the owl is resting against the tree trunk, its body oriented towards the branch above" + } + }, + "1b73ea9fc2": { + "parrot": { + "2": null, + "7": null, + "8": null, + "16": null + } + }, + "1b7e8bb255": { + "hat": { + "4": null, + "8": null, + "11": null, + "17": null + }, + "person": { + "4": null, + "8": null, + "11": null, + "17": null + }, + "skateboard": { + "4": null, + "8": null, + "11": null, + "17": null + } + }, + "1b8680f8cd": { + "tennis_racket": { + "4": null, + "9": null, + "18": null, + "23": null + }, + "person": { + "4": null, + "9": "1. the person is holding a tennis racket with both hands and preparing to hit the ball.\n2. the person is standing with legs apart, holding a tennis racket in one hand while facing forward.", + "18": "1. the person is holding a tennis racket in both hands, preparing to return the ball.\n2. the person is standing with a tennis racket in hand, facing forward and watching the game.", + "23": null + } + }, + "1b883843c0": { + "person": { + "4": "1. the person is cycling with both hands gripping the handlebars, leaning slightly forward wearing a helmet and sunglasses\n\n2. the person is holding onto a bag strap while sitting on the back of a moving bicycle", + "7": "1. the person is gripping the handlebars of a bicycle and leaning forward while cycling on a road\n\n2. the person is pedaling a bicycle and holding onto the handlebars while moving forward", + "8": "1. the person is cycling while holding a water bottle in the right hand and pedaling forward\n\n2. the person is seated on a bicycle, leaning slightly forward while gripping the handlebars", + "15": "1. the person is leaning forward while gripping the handlebars and pedaling a bicycle.\n\n2. the person is wearing a helmet while riding a bicycle with both hands on the handlebars." + } + }, + "1b8898785b": { + "monkey": { + "2": "1. the monkey is hanging upside down with its tail wrapped around the horizontal pole.\n2. the monkey is perched on top of the horizontal pole facing sideways.", + "12": "1. the monkey is hanging upside down from a horizontal pole with its tail wrapped around it.\n2. the monkey is crouched on top of the wooden structure facing forward with both hands touching the surface.", + "21": "1. the monkey is hanging on a wooden pole with its arms stretched upward and legs extended downward\n\n2. the monkey is sitting on the wooden beam, facing towards the left side", + "31": null + } + }, + "1b88ba1aa4": { + "giant_panda": { + "5": null, + "16": null, + "21": null, + "26": null + } + }, + "1b96a498e5": { + "ape": { + "6": null, + "11": null, + "19": null, + "27": null + } + }, + "1bbc4c274f": { + "fish": { + "2": null, + "7": null, + "13": null, + "14": null + } + }, + "1bd87fe9ab": { + "train": { + "2": null, + "5": null, + "7": null, + "9": null + } + }, + "1c4090c75b": { + "whale": { + "3": null, + "13": null, + "25": null, + "27": null + } + }, + "1c41934f84": { + "elephant": { + "2": null, + "8": null, + "11": "1. the elephant is walking forward with its head slightly lowered, appearing to observe its path.\n\n2. the elephant is standing with its trunk hanging downward while facing the water area.", + "17": null + } + }, + "1c72b04b56": { + "lion": { + "5": null, + "17": null, + "19": null, + "29": null + } + }, + "1c87955a3a": { + "crocodile": { + "2": null, + "13": null, + "14": null, + "26": null + }, + "turtle": { + "2": null, + "13": null, + "14": null, + "26": null + } + }, + "1c9f9eb792": { + "skateboard": { + "4": null, + "14": null, + "24": null, + "31": null + }, + "person": { + "4": null, + "14": null, + "24": null, + "31": null + } + }, + "1ca240fede": { + "train": { + "4": null, + "8": null, + "11": null, + "14": null + } + }, + "1ca5673803": { + "tennis_racket": { + "3": null, + "10": null, + "18": null, + "30": null + }, + "person": { + "3": "1. the person is holding a tennis racket with both hands, preparing to hit the ball.\n\n3. the person is seated in the audience, facing the tennis court.", + "10": "1. the person is actively running to the right side of the tennis court, holding a tennis racket in both hands, preparing to return a shot.\n\n3. the person is bending forward with a tennis racket in both hands, focusing on the ball to execute a shot.", + "18": "1. the person is swinging a tennis racket with his body facing forward, preparing to hit the ball\n\n3. the person is standing upright at the baseline, facing towards the court with hands on hips", + "30": "1. the person is swinging a tennis racket with both arms, facing towards the net\n\n3. the person is crouched down, leaning forward and stretching towards the ground with one hand" + } + }, + "1cada35274": { + "duck": { + "7": null, + "13": null, + "24": null, + "31": null + } + }, + "1cb44b920d": { + "eagle": { + "7": "1. the eagle is bending forward, with its beak close to the nest, possibly interacting with its contents\n\n2. the eagle chick is lifting its head upward, facing toward the other eagle", + "10": "1. the eagle is leaning forward with its beak close to the nest, possibly feeding or inspecting a spot.\n2. the eagle chick is standing upright with its head turned to the side, looking outward from the nest.", + "22": "1. the eagle is leaning forward with its beak lowered, facing towards something ahead\n2. the eagle is standing slightly upright while looking up at the other eagle", + "31": "1. the eagle is leaning forward, facing downwards towards the nest while perched on a branch\n2. the eagle is lying down in the nest, facing upwards towards the other eagle" + } + }, + "1cd10e62be": { + "leopard": { + "3": null, + "13": null, + "19": null, + "27": null + } + }, + "1d3087d5e5": { + "fish": { + "3": null, + "13": "1. the fish is facing forward, swimming calmly through the water near the plants.\n\n2. the fish is holding its position in the water, appearing to sway slightly while facing upward.\n\n3. the fish is swimming vertically, showing its side close to the cluster of plants.\n\n4. the fish is swimming close to a group of leaves, slightly tilted while moving past them.\n\n5. the fish is hovering near a rock, with its tail slightly finning to maintain position.", + "23": null, + "26": null + } + }, + "1d3685150a": { + "person": { + "2": "1. the person is facing downward while holding a stick in one hand\n\n3. the person is walking forward holding a white bucket in the left hand", + "6": "1. the person is walking with hands by the side, looking slightly toward the elephant.\n\n3. the person is walking forward while looking back over their shoulder, with arms held close to the body.", + "9": "1. the person is standing with arms loosely at the sides, facing towards the elephant\n\n3. the person is walking forward, holding a thin stick in the right hand", + "11": "1. the person is walking forward with hands relaxed by their sides, looking ahead. \n3. the person is walking with a turned body posture while holding a stick in the right hand." + }, + "sign": { + "2": null, + "6": null, + "9": null, + "11": null + } + }, + "1d6ff083aa": { + "person": { + "2": "1. the person crouching down and facing towards the shelf, with both arms bent\n2. the person extending a hand towards the shelf, with fingers spread open", + "7": "1. the person is crouching down, facing towards the bookshelf and appearing to reach for a book\n\n2. the person is extending their right arm diagonally downward with fingers slightly spread apart", + "13": "1. the person is leaning forward, appearing to crawl on the floor\n\n2. the person is standing and facing forward, holding onto a large object with the right hand", + "15": "1. the person is crouching and facing forward, with their right hand slightly extended\n\n2. the person is standing upright, facing sideways with their arm resting beside them" + } + } +} \ No newline at end of file diff --git a/mbench/numbered_captions_gpt-4o_nomask_randcap2.json b/mbench/numbered_captions_gpt-4o_nomask_randcap2.json new file mode 100644 index 0000000000000000000000000000000000000000..334c053beb47553fd24221bcc5cd86f5ccb87967 --- /dev/null +++ b/mbench/numbered_captions_gpt-4o_nomask_randcap2.json @@ -0,0 +1,3628 @@ +{ + "003234408d": { + "penguin": { + "3": null, + "12": "1. The penguin is sitting on the rocky ground, leaning slightly forward while resting.\n\n2. The penguin is standing upright with its head lowered as if pecking at the ground.\n\n3. The penguin is lying on its belly on the rocks, facing partially to the side.\n\n4. The penguin is nestled down on the ground with its head turned slightly to one side.\n\n5. The penguin is lying down with its body stretched out on the rocky surface.", + "25": "1. The penguin is sitting upright while tilting its head slightly backward.\n2. The penguin is leaning forward and pecking at the ground.\n3. The penguin is resting on its belly, turning its head to the side.\n4. The penguin is huddled down closely, looking straight ahead.\n5. The penguin is lying flat on its stomach with its head turned slightly to one side.", + "32": null + } + }, + "0043f083b5": { + "bus": { + "4": null, + "6": null, + "10": null, + "14": null + }, + "sedan": { + "4": null, + "6": null, + "10": null, + "14": null + } + }, + "0044fa5fba": { + "giant_panda": { + "4": null, + "5": null, + "10": null, + "11": null + } + }, + "005a527edd": { + "ape": { + "4": "1. The ape is reaching out with its hand while nestled close to another ape.\n\n2. The ape is holding food with its hand and has its mouth open as if preparing to eat.", + "9": "1. The ape is crouching and looking forward, with one hand resting on the ground.\n\n2. The ape is sitting upright, scratching its head with one hand while holding a plant with the other.", + "15": null, + "24": null + } + }, + "0065b171f9": { + "giant_panda": { + "8": null, + "11": null, + "18": null, + "33": null + } + }, + "00917dcfc4": { + "zebra": { + "3": null, + "6": "1. The zebra is standing with its head lowered, appearing to nuzzle another zebra next to it.\n\n2. The zebra is leaning forward with its head lowered, facing away from the other zebras.\n\n3. The zebra is moving away from the frame, showing its side profile as it walks to the left.", + "12": "1. Zebra is standing still while slightly nudging the zebra next to it with its head.\n\n2. Zebra is walking forward with its head turned towards the zebra next to it.\n\n3. Zebra is lowering its head while aiming its gaze toward the ground.", + "16": "1. The zebra is raising its head and showing its back while making contact with another zebra.\n2. A zebra is standing upright and facing away from the camera, showing its back.\n3. The zebra is facing forward with its head slightly lowered, appearing still." + } + }, + "00a23ccf53": { + "shark": { + "4": null, + "11": null, + "20": null, + "26": null + } + }, + "00ad5016a4": { + "airplane": { + "4": null, + "5": null, + "8": null, + "14": null + } + }, + "01082ae388": { + "leopard": { + "2": null, + "11": null, + "17": null, + "27": null + } + }, + "011ac0a06f": { + "ape": { + "3": null, + "16": null, + "18": null, + "27": null + } + }, + "013099c098": { + "giant_panda": { + "2": "1. The giant panda is leaning forward with its front paws placed on the back of the other panda, appearing to push or climb over it.\n\n2. The giant panda is sitting with its back slightly hunched, facing away from the other panda, and appears to be moving or pausing near the rocky area.", + "7": null, + "10": "1. The giant panda is leaning over another panda, slightly lowered, with its head towards the other panda.\n2. The giant panda is lying on its back with one paw raised, showing its belly and facing upward.", + "11": null + } + }, + "0155498c85": { + "person": { + "7": null, + "10": null, + "21": null, + "33": null + }, + "motorbike": { + "7": null, + "10": null, + "21": null, + "33": null + } + }, + "01694ad9c8": { + "bird": { + "3": null, + "7": null, + "10": null, + "13": null + } + }, + "017ac35701": { + "giant_panda": { + "9": null, + "17": null, + "22": null, + "31": null + } + }, + "01b80e8e1a": { + "zebra": { + "2": null, + "5": "1. The zebra is standing and facing forward with its head lowered, appearing to be grazing.\n\n2. The zebra is walking forward with its head held up, looking around.", + "7": null, + "9": null + } + }, + "01baa5a4e1": { + "frisbee": { + "3": null, + "13": null, + "18": null, + "25": null + } + }, + "01c3111683": { + "whale": { + "7": null, + "16": null, + "22": null, + "33": null + } + }, + "01c4cb5ffe": { + "person": { + "8": null, + "15": "1. The person is skiing downhill, leaning forward and using ski poles for balance.\n\n3. The person is crouching mid-air, executing a jump while holding onto their snowboard.", + "22": null, + "29": null + }, + "snowboard": { + "8": null, + "15": null, + "22": null, + "29": null + } + }, + "01c76f0a82": { + "plant": { + "3": null, + "6": null, + "12": null, + "14": null + }, + "sedan": { + "3": null, + "6": null, + "12": null, + "14": null + } + }, + "01c783268c": { + "person": { + "8": null, + "10": null, + "22": null, + "26": null + }, + "ape": { + "8": null, + "10": null, + "22": null, + "26": null + } + }, + "01e64dd36a": { + "cow": { + "3": null, + "5": "1. The cow is lying on the ground, legs splayed outward and pushing against the ground.\n2. The cow is standing still, facing forward with its head slightly lowered.\n3. The cow is standing upright, looking straight ahead with its ears perked up.", + "10": null, + "14": null + } + }, + "01ed275c6e": { + "giraffe": { + "4": "1. The giraffe is walking steadily forward with its head facing upward.\n\n2. The giraffe is leaning against the tree, extending its neck and nibbling on the leaves.", + "8": "1. The giraffe is standing still, facing forward with its head held high.\n2. The giraffe is stretching its neck upwards, appearing to reach for the leaves of a tree.", + "12": "1. The giraffe is stretching its neck upwards and nibbling on the leaves of the tree. \n2. The giraffe is bending its head down and rubbing against the tree trunk.", + "16": null + } + }, + "01ff60d1fa": { + "lizard": { + "8": null, + "12": null, + "25": null, + "32": null + } + }, + "020cd28cd2": { + "person": { + "3": null, + "9": null, + "17": null, + "23": null + } + }, + "02264db755": { + "fox": { + "2": null, + "12": null, + "20": null, + "32": null + } + }, + "0248626d9a": { + "train": { + "2": null, + "5": null, + "9": null, + "15": null + } + }, + "02668dbffa": { + "frog": { + "3": null, + "13": null, + "18": null, + "27": null + } + }, + "0274193026": { + "person": { + "6": null, + "9": null, + "15": null, + "21": null + }, + "skateboard": { + "6": null, + "9": null, + "15": null, + "21": null + } + }, + "02d28375aa": { + "fox": { + "9": null, + "14": null, + "22": null, + "31": null + } + }, + "031ccc99b1": { + "person": { + "4": "1. The person is gesturing with their left hand while facing another person.\n\n2. The person is holding a microphone and appears to be speaking.\n\n3. The person is holding a small dog close to their chest and looking toward another person.", + "5": "1. The person is turning slightly towards the others while raising a hand midway.\n2. The person is holding a microphone and speaking to the group.\n3. The person is clasping their hands together and appears to be speaking.", + "9": null, + "14": "1. The person is gesturing with their right hand while facing another individual.\n2. The person is holding a microphone with their right hand and leaning slightly forward.\n3. The person is extending their right hand towards another individual, as if greeting or reaching." + } + }, + "0321b18c10": { + "elephant": { + "3": null, + "7": null, + "8": null, + "13": null + }, + "person": { + "3": null, + "7": null, + "8": null, + "13": null + } + }, + "0348a45bca": { + "fish": { + "8": null, + "16": null, + "19": null, + "27": null + } + }, + "0355e92655": { + "boat": { + "3": null, + "6": null, + "9": null, + "13": null + }, + "paddle": { + "3": null, + "6": null, + "9": null, + "13": null + }, + "person": { + "3": null, + "6": null, + "9": null, + "13": null + } + }, + "0358b938c1": { + "elephant": { + "4": null, + "7": "1. The elephant is wading through the water while extending its trunk forward.\n\n2. The elephant is splashing water from the stream with its trunk.", + "9": "1. The elephant is standing in the water and extending its trunk forward, spraying water from its tip.\n\n2. The elephant is sitting in the water, using its trunk to splash water upward in an arc.", + "16": null + } + }, + "0368107cf1": { + "person": { + "2": null, + "6": null, + "10": null, + "15": null + } + }, + "0379ddf557": { + "person": { + "9": null, + "10": null, + "23": null, + "28": null + }, + "skateboard": { + "9": null, + "10": null, + "23": null, + "28": null + } + }, + "038b2cc71d": { + "lizard": { + "3": null, + "5": null, + "9": null, + "15": null + } + }, + "038c15a5dd": { + "hedgehog": { + "6": null, + "10": null, + "18": null, + "26": null + } + }, + "03a06cc98a": { + "giraffe": { + "5": "1. The giraffe is standing with its neck extended and facing forward, appearing to stretch upward.\n\n2. The giraffe is turning its head slightly to one side while keeping its body stationary.\n\n3. The giraffe is walking forward with its neck raised prominently.", + "8": "1. The giraffe is stretching its neck upwards while nibbling on leaves.\n\n2. The giraffe is stepping forward and reaching towards a branch with its mouth.\n\n3. The giraffe is bending its head downwards, appearing to investigate the ground.", + "12": "1. The giraffe is bending its neck down while moving its body forward slightly.\n2. The giraffe is stretching its neck upward, seemingly in the act of reaching for something above.\n3. The giraffe is raising its head and neck in an elevated posture, facing forward.", + "14": "1. The giraffe is stretching its neck downward to nibble on a low-hanging branch.\n\n2. The giraffe is extending its head upward to reach the foliage above.\n\n3. The giraffe is bending its neck toward the side, grasping leaves from a nearby tree." + } + }, + "03a63e187f": { + "lizard": { + "4": null, + "14": null, + "21": null, + "27": null + } + }, + "03c95b4dae": { + "elephant": { + "3": "1. The elephant is walking forward with its trunk hanging down naturally. \n2. The elephant is walking alongside a person, facing straight ahead. \n3. The elephant is walking with its head slightly lowered, facing forward.", + "5": null, + "10": null, + "16": null + } + }, + "03e2b57b0e": { + "lizard": { + "3": null, + "13": null, + "15": null, + "21": null + } + }, + "04194e1248": { + "lizard": { + "4": null, + "10": null, + "22": null, + "32": null + } + }, + "04259896e2": { + "lizard": { + "7": null, + "16": null, + "24": null, + "27": null + } + }, + "0444918a5f": { + "truck": { + "2": null, + "9": null, + "13": null, + "16": null + } + }, + "04460a7a52": { + "lizard": { + "8": null, + "14": null, + "20": null, + "32": null + } + }, + "04474174a4": { + "ape": { + "4": "1. The ape is reaching forward and pressing its hands against the stone wall.\n\n2. The ape is turning its head slightly and gripping the rock surface with both hands.", + "12": null, + "22": null, + "31": null + } + }, + "0450095513": { + "snail": { + "7": null, + "11": null, + "19": null, + "23": null + } + }, + "045f00aed2": { + "tiger": { + "2": null, + "14": null, + "25": null, + "30": null + }, + "others": { + "2": null, + "14": null, + "25": null, + "30": null + }, + "person": { + "2": null, + "14": null, + "25": null, + "30": null + } + }, + "04667fabaa": { + "parrot": { + "4": null, + "10": null, + "19": null, + "31": null + } + }, + "04735c5030": { + "cat": { + "3": "1. The cat is playfully batting at an object with its paw, flicking it rapidly.\n\n2. The cat is intently observing something, with its ears perked and body slightly crouched.", + "6": null, + "10": "1. The cat is crouching low with its front paws stretched forward as if ready to pounce.\n\n2. The cat is lying down, partially turned on its side, appearing relaxed and stationary.", + "15": "1. The cat is sitting upright with its paws raised, as if batting at something in front of it.\n\n2. The cat is curled up and appears to be resting its head on its side." + } + }, + "04990d1915": { + "sedan": { + "2": null, + "5": null, + "10": null, + "12": null + }, + "truck": { + "2": null, + "5": null, + "10": null, + "12": null + }, + "bus": { + "2": null, + "5": null, + "10": null, + "12": null + } + }, + "04d62d9d98": { + "person": { + "4": null, + "6": null, + "13": null, + "16": null + }, + "skateboard": { + "4": null, + "6": null, + "13": null, + "16": null + } + }, + "04f21da964": { + "monkey": { + "7": null, + "16": null, + "24": null, + "32": null + } + }, + "04fbad476e": { + "parrot": { + "2": null, + "10": null, + "24": null, + "26": null + } + }, + "04fe256562": { + "truck": { + "5": null, + "7": null, + "11": null, + "17": null + }, + "motorbike": { + "5": null, + "7": null, + "11": null, + "17": null + } + }, + "0503bf89c9": { + "hedgehog": { + "5": null, + "13": null, + "19": null, + "25": null + } + }, + "0536c9eed0": { + "cat": { + "4": null, + "7": null, + "10": null, + "13": null + } + }, + "054acb238f": { + "owl": { + "3": null, + "16": null, + "20": null, + "27": null + } + }, + "05579ca250": { + "sedan": { + "6": null, + "12": null, + "18": null, + "26": null + }, + "person": { + "6": null, + "12": null, + "18": null, + "26": null + }, + "skateboard": { + "6": null, + "12": null, + "18": null, + "26": null + } + }, + "056c200404": { + "toilet": { + "5": null, + "8": null, + "13": null, + "15": null + } + }, + "05774f3a2c": { + "ape": { + "4": null, + "13": null, + "25": null, + "33": null + } + }, + "058a7592c8": { + "train": { + "2": null, + "7": null, + "11": null, + "15": null + } + }, + "05a0a513df": { + "person": { + "4": null, + "9": null, + "12": null, + "15": null + } + }, + "05a569d8aa": { + "cat": { + "3": null, + "7": null, + "9": null, + "13": null + }, + "mouse": { + "3": null, + "7": null, + "9": null, + "13": null + } + }, + "05aa652648": { + "ape": { + "3": null, + "10": null, + "17": null, + "23": null + } + }, + "05d7715782": { + "sign": { + "5": null, + "8": null, + "11": null, + "16": null + } + }, + "05e0b0f28f": { + "person": { + "4": null, + "9": null, + "15": null, + "24": null + }, + "mouse": { + "4": null, + "9": null, + "15": null, + "24": null + } + }, + "05fdbbdd7a": { + "umbrella": { + "2": null, + "4": null, + "6": null, + "8": null + } + }, + "05ffcfed85": { + "monkey": { + "6": null, + "15": null, + "22": "1. The monkey is hanging upside down by its tail from a branch, with its body extended toward the ground.\n2. The monkey is climbing and reaching out its arm to grasp another branch while maintaining balance.", + "32": null + } + }, + "0630391881": { + "person": { + "6": null, + "9": null, + "15": null, + "27": null + }, + "tennis_racket": { + "6": null, + "9": null, + "15": null, + "27": null + } + }, + "06840b2bbe": { + "snake": { + "3": null, + "5": null, + "8": null, + "16": null + } + }, + "068f7dce6f": { + "shark": { + "5": null, + "14": null, + "23": null, + "31": null + } + }, + "0693719753": { + "turtle": { + "7": null, + "12": null, + "15": null, + "20": null + } + }, + "06ce2b51fb": { + "paddle": { + "2": null, + "7": null, + "9": null, + "12": null + }, + "person": { + "2": null, + "7": "1. The person is sitting in the boat, gripping a paddle with both hands and paddling forward.\n\n2. The person is sitting with arms resting on their knees, appearing relaxed while facing forward.", + "9": null, + "12": null + } + }, + "06e224798e": { + "tiger": { + "2": null, + "14": null, + "21": null, + "26": null + } + }, + "06ee361788": { + "duck": { + "3": null, + "6": "1. The duck is swimming forward, creating ripples around its body.\n\n2. The duck is gliding smoothly on the water without visible disturbance.\n\n3. The duck is paddling energetically with its feet, propelling itself forward.", + "10": null, + "14": null + } + }, + "06fbb3fa2c": { + "eagle": { + "4": null, + "7": null, + "9": null, + "12": null + } + }, + "0700264286": { + "cow": { + "4": "1. The cow is stepping forward with its front legs on the straw-covered ground.\n2. The cow is standing still and facing downward.", + "6": null, + "8": "1. The cow is standing alert with its head slightly raised, appearing attentive to its surroundings.\n2. The cow is standing still with its head lowered, possibly engaging with the ground beneath.", + "12": null + } + }, + "070c918ca7": { + "parrot": { + "5": null, + "10": null, + "23": null, + "32": null + } + }, + "07129e14a4": { + "person": { + "2": null, + "10": null, + "22": null, + "30": null + }, + "parrot": { + "2": null, + "10": "1. The parrot is leaning forward and facing another parrot while slightly opening its beak.\n2. The parrot is standing upright, with its head slightly turned towards another parrot.", + "22": null, + "30": null + } + }, + "07177017e9": { + "motorbike": { + "4": null, + "6": null, + "9": null, + "13": null + } + }, + "07238ffc58": { + "monkey": { + "6": null, + "14": "1. The monkey is sitting down and reaching its front arm towards the ground.\n2. The monkey is standing and slightly leaning forward, looking down at the ground.\n3. The monkey is walking forward, with one leg raised and moving past the fence.", + "25": null, + "28": null + } + }, + "07353b2a89": { + "sheep": { + "6": null, + "8": "1. The sheep is stretching its neck forward while moving through a gate.\n2. The sheep is nudging its head against another sheep, possibly interacting.\n3. The sheep is standing still, turning its head slightly to the side.\n4. The sheep is lowering its head as if inspecting the ground below.", + "17": null, + "25": null + } + }, + "0738493cbf": { + "airplane": { + "3": null, + "5": null, + "9": null, + "12": null + } + }, + "075926c651": { + "person": { + "2": "1. The person is holding a microphone and appears to be speaking or singing into it.\n2. The person is seated, playing the piano with both hands actively on the keys.", + "6": null, + "9": null, + "13": null + } + }, + "075c701292": { + "duck": { + "8": "1. The duck is walking forward with its wings slightly raised.\n2. The duck is waddling with its head slightly lowered, following another duck.\n3. The duck is moving forward with its wings held closed against its body.\n4. The duck is stepping forward while flapping its wings.", + "14": null, + "18": null, + "33": null + } + }, + "0762ea9a30": { + "person": { + "5": null, + "6": null, + "12": null, + "17": null + } + }, + "07652ee4af": { + "person": { + "7": null, + "8": null, + "19": null, + "21": null + }, + "skateboard": { + "7": null, + "8": null, + "19": null, + "21": null + } + }, + "076f206928": { + "person": { + "3": null, + "9": null, + "10": null, + "16": null + }, + "zebra": { + "3": null, + "9": null, + "10": null, + "16": null + } + }, + "077d32af19": { + "person": { + "5": null, + "9": null, + "10": null, + "14": null + }, + "train": { + "5": null, + "9": null, + "10": null, + "14": null + } + }, + "079049275c": { + "mouse": { + "7": null, + "8": null, + "19": null, + "20": null + } + }, + "07913cdda7": { + "person": { + "4": null, + "7": null, + "9": null, + "11": null + }, + "train": { + "4": null, + "7": null, + "9": null, + "11": null + } + }, + "07a11a35e8": { + "ape": { + "6": null, + "15": "1. The ape is sitting while holding and inspecting a piece of food with its hands.\n\n2. The ape is crouching forward with its front paw extended towards the ground.", + "21": "1. The ape is holding a piece of fruit with both hands and inspecting it closely.\n2. The ape is sitting on its hind legs while looking toward the other ape.", + "29": "1. The ape is sitting and holding a banana with one hand while opening its mouth wide. \n2. The ape is standing on all fours and facing forward." + } + }, + "07ac33b6df": { + "ape": { + "2": null, + "9": null, + "16": null, + "27": null + } + }, + "07c62c3d11": { + "parrot": { + "8": null, + "17": null, + "18": null, + "30": null + } + }, + "07cc1c7d74": { + "snake": { + "5": null, + "12": null, + "23": null, + "31": null + } + }, + "080196ef01": { + "lizard": { + "6": null, + "14": null, + "25": null, + "28": null + }, + "hand": { + "6": null, + "14": null, + "25": null, + "28": null + } + }, + "081207976e": { + "hat": { + "2": null, + "9": null, + "12": null, + "17": null + } + }, + "081ae4fa44": { + "shark": { + "2": null, + "13": null, + "14": "1. The shark is swimming slowly upward, angling its body slightly as it glides through the water.\n\n2. The shark is gliding smoothly along the bottom, moving forward with gentle fin movements.", + "22": null + } + }, + "081d8250cb": { + "sedan": { + "3": null, + "8": null, + "13": null, + "16": null + }, + "person": { + "3": null, + "8": null, + "13": null, + "16": null + }, + "skateboard": { + "3": null, + "8": null, + "13": null, + "16": null + } + }, + "082900c5d4": { + "duck": { + "4": null, + "7": null, + "10": null, + "17": null + } + }, + "0860df21e2": { + "frisbee": { + "5": null, + "14": null, + "21": null, + "31": null + } + }, + "0866d4c5e3": { + "bird": { + "2": null, + "6": null, + "8": null, + "11": null + } + }, + "0891ac2eb6": { + "person": { + "3": "1. The person is standing upright with hands on hips and looking towards the sea.\n2. The person is sitting and leaning forward with elbows resting on knees.\n3. The person is reclining on a lounge chair, looking out towards the water.", + "6": "1. The person is leaning forward and adjusting a chair.\n\n2. The person is seated on a lounge chair, holding a drink with one hand.\n\n3. The person is walking towards the sea, with arms by their sides and head facing the water.", + "10": "1. The person is leaning forward and adjusting an item on a lounge chair.\n2. The person is sitting upright and inspecting an object in their hands.\n3. The person is bending over and reaching towards the ground with one hand.", + "12": "1. The person is bending over while reaching into a bag on the ground.\n2. The person is sitting back on a lounge chair with an elbow resting on the side.\n3. The person is sitting upright on a lounge chair, facing forward with arms on the armrests." + } + }, + "08931bc458": { + "others": { + "5": null, + "12": null, + "20": null, + "27": null + }, + "person": { + "5": null, + "12": null, + "20": null, + "27": null + }, + "skateboard": { + "5": null, + "12": null, + "20": null, + "27": null + } + }, + "08aa2705d5": { + "snake": { + "4": null, + "11": null, + "23": null, + "26": null + } + }, + "08c8450db7": { + "toilet": { + "3": null, + "7": null, + "11": null, + "14": null + } + }, + "08d50b926c": { + "turtle": { + "5": null, + "17": null, + "21": null, + "26": null + } + }, + "08e1e4de15": { + "monkey": { + "2": "1. The monkey is sitting on a rock and looking to the side. \n2. The monkey is leaping across the rocks with its legs extended. \n3. The monkey is climbing up a rock face, reaching upwards with its front paws. \n4. The monkey is standing still on a rock, gazing ahead.", + "10": "1. The monkey is standing on a wooden stump while looking forward.\n\n2. The monkey is climbing up the rocky surface with its body leaning forward.\n\n3. The monkey is leaping forward with its mouth open and front paws stretched out.\n\n4. The monkey is sitting on a rock, facing outward with its back curved.", + "22": null, + "32": null + } + }, + "08e48c1a48": { + "cow": { + "2": null, + "7": null, + "8": null, + "12": null + } + }, + "08f561c65e": { + "person": { + "4": null, + "14": null, + "19": null, + "26": null + }, + "giant_panda": { + "4": null, + "14": null, + "19": null, + "26": null + } + }, + "08feb87790": { + "sheep": { + "3": null, + "8": null, + "13": null, + "16": null + } + }, + "09049f6fe3": { + "mouse": { + "4": "1. The mouse is pushing its head through the pile of scattered papers.\n2. The mouse is edging forward inside the pipe, sniffing the air ahead.", + "11": null, + "16": null, + "27": null + } + }, + "092e4ff450": { + "snake": { + "6": null, + "14": null, + "20": null, + "29": null + } + }, + "09338adea8": { + "whale": { + "7": "1. The whale is swimming forward with its flippers extended outward.\n2. The whale is gliding smoothly with its body angled downward.", + "11": "1. The whale is gliding forward with its flippers angled downward, creating smooth propulsion through the water.\n2. The whale is swimming steadily with a gentle swaying motion, maintaining a streamlined movement.", + "19": null, + "29": null + } + }, + "093c335ccc": { + "person": { + "6": null, + "9": null, + "14": null, + "20": null + }, + "frisbee": { + "6": null, + "9": null, + "14": null, + "20": null + } + }, + "0970d28339": { + "ape": { + "8": null, + "17": null, + "22": "1. The ape is gripping a tree branch with one hand while climbing upwards.\n2. The ape is leaning forward and extending its arm towards another branch.", + "33": "1. The ape is sitting upright and appears to be looking around while holding onto the tree branch with one hand.\n\n2. The ape is extending its body forward, gripping a branch with one hand and appears to be balancing on the branch." + } + }, + "0974a213dc": { + "giraffe": { + "5": "1. The giraffe is bending its neck downward to reach the ground with its head.\n\n2. The giraffe is standing upright, facing forward, with its legs spread apart.\n\n3. The giraffe is standing still, looking to the side with its body angled slightly away.", + "7": null, + "10": "1. The giraffe is bending its neck downward, appearing to reach toward the ground.\n2. The giraffe is standing upright while slightly turning its head to the side.\n3. The giraffe is facing forward, with its neck extended outward.", + "17": null + } + }, + "097b471ed8": { + "cat": { + "2": "1. The cat is lying on its side while stretching its front legs forward.\n2. The cat is crouched low, appearing to lean slightly forward, with its ears perked up.", + "7": "1. The cat is stretching its front paws forward while lying down.\n\n2. The cat is turning its head to the side, facing away from the other cat.", + "12": null, + "14": null + } + }, + "0990941758": { + "giant_panda": { + "2": null, + "11": null, + "19": null, + "26": null + } + }, + "09a348f4fa": { + "lizard": { + "7": null, + "11": null, + "14": null, + "23": null + } + }, + "09a6841288": { + "duck": { + "4": null, + "7": null, + "13": null, + "16": null + } + }, + "09c5bad17b": { + "airplane": { + "2": null, + "7": null, + "10": null, + "17": null + } + }, + "09c9ce80c7": { + "giant_panda": { + "8": null, + "14": null, + "20": null, + "26": null + } + }, + "09ff54fef4": { + "fox": { + "5": null, + "10": null, + "17": null, + "22": null + } + }, + "0a23765d15": { + "person": { + "3": "1. The person is jumping off a skateboard ramp with arms outstretched for balance.\n2. The person is crouching while moving forward, possibly approaching the ramp.", + "6": null, + "9": "1. The person is balancing on a skateboard with one foot extended forward and arms outstretched for stability.\n2. The person is mid-air while performing a skateboard trick with knees bent and arms poised for balance.", + "16": null + }, + "skateboard": { + "3": null, + "6": null, + "9": null, + "16": null + } + }, + "0a275e7f12": { + "elephant": { + "4": null, + "9": null, + "13": null, + "15": null + } + }, + "0a2f2bd294": { + "motorbike": { + "2": null, + "8": null, + "13": null, + "15": null + } + }, + "0a7a2514aa": { + "lizard": { + "4": null, + "8": null, + "11": null, + "15": null + }, + "cat": { + "4": null, + "8": null, + "11": null, + "15": null + } + }, + "0a7b27fde9": { + "parrot": { + "6": null, + "12": "1. The parrot is bending its head and pecking at the surface below.\n\n2. The parrot is nudging the other parrot with its head while balancing on the hand.", + "21": null, + "27": null + } + }, + "0a8c467cc3": { + "fish": { + "7": "1. The fish is swaying its tail side to side while gliding forward. \n2. The fish is tilting its body and moving its fins to change direction.", + "14": null, + "24": "1. The fish is gliding downward with its fins spread wide and its tail gently curving.\n\n2. The fish is darting forward while its mouth is opening and closing rapidly.\n\n3. The fish is tilting sideways and nudging the surface with its snout.", + "31": null + } + }, + "0ac8c560ae": { + "person": { + "4": null, + "9": null, + "13": null, + "14": null + } + }, + "0b1627e896": { + "boat": { + "5": null, + "6": null, + "13": null, + "14": null + } + }, + "0b285c47f6": { + "hand": { + "4": null, + "11": null, + "18": null, + "20": null + }, + "mouse": { + "4": null, + "11": null, + "18": null, + "20": null + } + }, + "0b34ec1d55": { + "ape": { + "2": null, + "12": null, + "22": null, + "27": null + } + }, + "0b5b5e8e5a": { + "sedan": { + "2": null, + "9": null, + "13": null, + "17": null + }, + "person": { + "2": null, + "9": null, + "13": null, + "17": null + } + }, + "0b68535614": { + "rabbit": { + "5": null, + "14": null, + "21": null, + "30": null + }, + "hand": { + "5": null, + "14": null, + "21": null, + "30": null + } + }, + "0b6f9105fc": { + "rabbit": { + "5": null, + "11": null, + "19": null, + "30": null + } + }, + "0b7dbfa3cb": { + "cow": { + "3": null, + "7": null, + "9": null, + "12": null + } + }, + "0b9cea51ca": { + "whale": { + "5": null, + "13": null, + "22": null, + "32": null + } + }, + "0b9d012be8": { + "camel": { + "5": null, + "15": null, + "22": null, + "30": null + } + }, + "0bcfc4177d": { + "truck": { + "2": null, + "8": null, + "11": null, + "14": null + } + }, + "0bd37b23c1": { + "motorbike": { + "5": null, + "6": null, + "10": null, + "15": null + } + }, + "0bd864064c": { + "eagle": { + "3": null, + "10": null, + "16": null, + "24": null + } + }, + "0c11c6bf7b": { + "deer": { + "3": null, + "16": null, + "22": null, + "27": null + } + }, + "0c26bc77ac": { + "crocodile": { + "7": null, + "10": null, + "18": null, + "28": null + } + }, + "0c3a04798c": { + "duck": { + "3": null, + "8": null, + "11": null, + "16": null + }, + "fish": { + "3": null, + "8": null, + "11": null, + "16": null + } + }, + "0c44a9d545": { + "tiger": { + "4": null, + "12": null, + "20": null, + "33": null + } + }, + "0c817cc390": { + "dog": { + "7": null, + "15": null, + "25": null, + "29": null + }, + "hedgehog": { + "7": null, + "15": null, + "25": null, + "29": null + } + }, + "0ca839ee9a": { + "ape": { + "2": null, + "11": "1. The ape is lying on its back with limbs relaxed and slightly bent, appearing to rest or interact gently.\n2. The ape is nestled closely against the other, using one hand to explore or hold onto the surrounding texture.", + "22": null, + "30": null + } + }, + "0cd7ac0ac0": { + "rabbit": { + "4": null, + "12": null, + "18": null, + "24": null + } + }, + "0ce06e0121": { + "parrot": { + "7": "1. The parrot is pecking at the wooden perch, tilting its head to access the surface.\n\n2. The parrot is gripping a bottle cap with its beak while pulling back slightly.", + "10": null, + "21": "1. The parrot is leaning forward and pecking at the wooden surface beneath it.\n2. The parrot is flapping its wings while grasping a person's hand.", + "31": null + } + }, + "0cfe974a89": { + "turtle": { + "7": null, + "16": null, + "18": null, + "30": null + } + }, + "0d2fcc0dcd": { + "zebra": { + "2": null, + "8": "1. The zebra is moving forward with its head lowered, appearing to search the ground.\n\n2. The zebra is standing still with its head turned to the side, attentively watching something in the distance.\n\n3. The zebra is walking sideways, glancing back over its shoulder.\n\n4. The zebra is standing upright with its ears perked up, inspecting its surroundings.", + "11": "1. The zebra is lowering its head while grazing on the ground.\n\n2. The zebra is turning its head to nuzzle the side of another zebra.\n\n3. The zebra is stretching its neck as it looks to the side.\n\n4. The zebra is raising its head high, appearing to sniff the air.", + "16": null + } + }, + "0d3aad05d2": { + "parachute": { + "7": null, + "8": null, + "15": null, + "25": null + }, + "person": { + "7": null, + "8": null, + "15": null, + "25": null + } + }, + "0d40b015f4": { + "person": { + "5": null, + "12": null, + "22": null, + "32": null + }, + "snowboard": { + "5": null, + "12": null, + "22": null, + "32": null + } + }, + "0d97fba242": { + "person": { + "4": null, + "6": null, + "8": null, + "13": null + }, + "dog": { + "4": null, + "6": null, + "8": null, + "13": null + } + }, + "0d9cc80d7e": { + "person": { + "2": "1. The person is standing upright with a bag strap over their shoulder, facing towards the bus.\n\n2. The person is facing forward, looking in the same direction as the other person.", + "3": "1. The person is standing and facing the bus while holding a strap over their shoulder.\n2. The person is adjusting their earphones while walking towards the bus.\n3. The person is looking in the direction of the bus, with their mouth slightly open.", + "4": null, + "7": null + } + }, + "0dab85b6d3": { + "lizard": { + "2": "1. The lizard is gripping the hand firmly with its toes while arching its back slightly upwards.\n2. The lizard is resting with its body slightly curled, keeping its head elevated from the surface.", + "9": "1. The lizard is gripping onto a hand while climbing upwards, using its legs to maintain balance.\n\n2. The lizard is resting on a flat surface, facing forward with its body slightly curved.", + "16": null, + "21": "1. The lizard is lying on a hand with its body stretched out.\n\n2. The lizard is inside a container, facing slightly downward with its head raised." + } + }, + "0db5c427a5": { + "train": { + "4": null, + "7": null, + "13": null, + "15": null + } + }, + "0dbaf284f1": { + "cat": { + "2": null, + "9": "1. The cat is walking forward with its body slightly lowered, showing its back.\n2. The cat is crouching while facing something in front of it, with its head down.", + "12": "1. The cat is stepping forward and sniffing the floor while its tail remains still.\n\n2. The cat is crouching near the shiny surface, inspecting the ground with its nose close to the floor.", + "15": "1. The cat is leaning forward and sniffing intently at an object in front of it.\n\n2. The cat is curled up and grooming itself with its front paw." + } + }, + "0de4923598": { + "others": { + "4": null, + "7": null, + "11": null, + "16": null + } + }, + "0df28a9101": { + "turtle": { + "3": null, + "8": null, + "15": null, + "20": null + } + }, + "0e04f636c4": { + "frog": { + "4": null, + "13": null, + "14": null, + "23": null + } + }, + "0e05f0e232": { + "lizard": { + "3": null, + "17": null, + "18": null, + "32": null + } + }, + "0e0930474b": { + "sedan": { + "2": null, + "6": null, + "10": null, + "12": null + }, + "person": { + "2": null, + "6": null, + "10": null, + "12": null + } + }, + "0e27472bea": { + "hand": { + "6": null, + "10": null, + "24": null, + "26": null + }, + "turtle": { + "6": null, + "10": null, + "24": null, + "26": null + } + }, + "0e30020549": { + "parrot": { + "3": null, + "12": null, + "16": null, + "25": null + } + }, + "0e621feb6c": { + "lizard": { + "4": "1. The lizard is crawling forward with its legs extended as it moves over the surface.\n\n2. The lizard is standing upright with its head turned upwards, appearing to gaze towards the water container.", + "15": "1. The lizard is extending its front legs and swiftly moving forward across the ground. \n2. The lizard is raising its head and twisting its body to the side.", + "23": null, + "26": "1. The lizard is extending its body forward, pushing off with its hind legs against the ground. \n2. The lizard is rearing up, lifting its front legs while arching its back." + } + }, + "0e803c7d73": { + "knife": { + "2": null, + "6": null, + "10": null, + "12": null + }, + "hand": { + "2": null, + "6": null, + "10": null, + "12": null + } + }, + "0e9ebe4e3c": { + "truck": { + "4": null, + "7": null, + "9": null, + "15": null + } + }, + "0e9f2785ec": { + "person": { + "2": null, + "7": null, + "10": null, + "14": null + }, + "umbrella": { + "2": null, + "7": null, + "10": null, + "14": null + } + }, + "0ea68d418b": { + "others": { + "2": null, + "6": null, + "10": null, + "17": null + }, + "airplane": { + "2": null, + "6": null, + "10": null, + "17": null + } + }, + "0eb403a222": { + "knife": { + "4": null, + "9": null, + "13": null, + "17": null + }, + "hand": { + "4": null, + "9": null, + "13": null, + "17": null + } + }, + "0ee92053d6": { + "person": { + "3": null, + "8": null, + "11": null, + "15": null + } + }, + "0eefca067f": { + "giant_panda": { + "3": null, + "9": "1. The giant panda is sitting upright holding bamboo with its mouth and paw while leaning against a tree.\n\n2. The giant panda is lying on its back, reaching upward to grab bamboo with its paw.", + "14": "1. The giant panda is sitting up, gnawing on bamboo leaves with its front paws gripping the stems.\n\n2. The giant panda is lying back, reaching out with one paw to pull at nearby bamboo shoots.", + "20": "1. The giant_panda is sitting upright while grasping a cluster of bamboo leaves with its mouth and front paws.\n\n2. The giant_panda is lying on its back, playfully reaching towards the bamboo stalks with its front paw." + } + }, + "0f17fa6fcb": { + "duck": { + "2": null, + "15": "1. The duck is gliding smoothly over the water while facing forward.\n2. The duck is submerging its head below the water surface as if searching for food.\n3. The duck is paddling energetically with its feet while partially raising its tail.", + "25": null, + "31": null + } + }, + "0f1ac8e9a3": { + "frog": { + "8": null, + "16": null, + "22": null, + "31": null + } + }, + "0f202e9852": { + "parrot": { + "4": null, + "5": null, + "9": null, + "11": null + } + }, + "0f2ab8b1ff": { + "dolphin": { + "4": null, + "11": null, + "18": null, + "29": null + } + }, + "0f51a78756": { + "sheep": { + "7": null, + "13": null, + "19": null, + "20": null + } + }, + "0f5fbe16b0": { + "raccoon": { + "6": "1. The raccoon is crouching low while nuzzling against a table leg. \n2. The raccoon is peering around with its head tilted slightly outward.", + "17": "1. The raccoon is crouching low beneath the chair, attempting to reach underneath with its front paw.\n\n2. The raccoon is sniffing the ground, with its head close to the surface and actively investigating.", + "22": "1. The raccoon is sniffing the ground with its head lowered, actively exploring the area.\n\n2. The raccoon is moving forward, reaching its nose toward an object on the floor.", + "33": "1. The raccoon is reaching upwards with its front paws, appearing to grasp onto the leg of the object above.\n\n2. The raccoon is lying on its back, pushing against the floor with its hind legs." + } + }, + "0f6072077b": { + "person": { + "4": "1. The person is sitting on the motorcycle, resting their hand on the seat while looking forward.\n\n2. The person is seated on the motorcycle, leaning slightly forward with hands on their lap, looking towards another person.\n\n3. The person is standing and holding a camera to their eye, taking a photo.", + "7": "1. The person is standing beside the motorcycle, facing forward with hands resting on the side.\n\n2. The person is sitting on the motorcycle, placing both hands on the seat for support.\n\n3. The person is holding a camera up to their face and taking a photograph.", + "10": "1. The person is leaning forward slightly, resting a hand on a motorcycle while smiling.\n\n2. The person is sitting on a motorcycle, with one leg crossed over the other and hands resting on her knees.\n\n3. The person is holding a camera up to their face, taking a photograph.", + "16": "1. The person is sitting on a motorcycle while placing her hand on the handlebar and looking to the side.\n\n2. The person is seated on the motorcycle facing forward with her hand resting on her knee.\n\n3. The person is holding a camera in front and taking a photo while standing." + } + }, + "0f6b69b2f4": { + "rabbit": { + "8": null, + "16": null, + "21": null, + "33": null + } + }, + "0f6c2163de": { + "snail": { + "2": null, + "12": null, + "15": null, + "21": null + } + }, + "0f74ec5599": { + "giant_panda": { + "4": null, + "17": null, + "25": null, + "26": null + } + }, + "0f9683715b": { + "elephant": { + "5": null, + "6": null, + "12": null, + "15": null + } + }, + "0fa7b59356": { + "duck": { + "8": null, + "14": null, + "24": null, + "28": null + } + }, + "0fb173695b": { + "paddle": { + "5": null, + "8": null, + "13": null, + "16": null + }, + "person": { + "5": null, + "8": null, + "13": null, + "16": null + }, + "hat": { + "5": null, + "8": null, + "13": null, + "16": null + } + }, + "0fc958cde2": { + "owl": { + "2": null, + "9": null, + "19": null, + "21": null + } + }, + "0fe7b1a621": { + "parrot": { + "9": null, + "16": null, + "22": null, + "30": null + } + }, + "0ffcdb491c": { + "person": { + "2": null, + "8": null, + "10": null, + "14": null + } + }, + "101caff7d4": { + "giant_panda": { + "5": null, + "8": null, + "10": "1. The giant panda is leaning forward and nudging the other panda with its head.\n2. The giant panda is lying on its back, looking upward, and extending its forepaw.", + "17": "1. The giant panda is leaning forward and nuzzling the smaller panda gently.\n\n2. The giant panda is lying on its back and playfully pawing at the air." + } + }, + "1022fe8417": { + "person": { + "2": "1. The person is holding the reins and guiding the horses forward while sitting on a carriage.\n2. The person is sitting upright and holding onto a large flag, waving it slightly.\n3. The person is casually walking alongside the crowd, glancing towards the carriage.", + "8": "1. The person is holding the reins with both hands, guiding the horse-drawn carriage.\n2. The person is sitting upright on the carriage, looking ahead attentively.\n3. The person is standing with their hands behind their back, observing the scene.", + "12": null, + "17": "1. The person is holding the reins of the horse-drawn carriage with both hands while seated.\n\n2. The person is standing and holding a cloth over their head, facing forward.\n\n3. The person is seated and clapping hands." + } + }, + "1032e80b37": { + "giraffe": { + "3": null, + "8": null, + "13": null, + "17": null + } + }, + "103f501680": { + "fish": { + "6": null, + "11": null, + "25": null, + "31": null + } + }, + "104e64565f": { + "elephant": { + "5": null, + "7": null, + "12": null, + "16": null + } + }, + "104f1ab997": { + "person": { + "2": null, + "6": null, + "9": "1. The person is leaning forward and reaching out towards the boat with both hands.\n\n2. The person is bending over slightly while adjusting something on the edge of the boat.\n\n3. The person is standing on the platform, holding a phone with both hands and focusing on the screen.", + "14": "1. The person is standing upright while facing the ocean and holding a snorkel mask in both hands.\n\n2. The person is crouching with their upper body leaning forward, reaching into a boat with their right hand.\n\n3. The person is standing and holding a camera with both hands, focusing on the lens." + } + }, + "106242403f": { + "person": { + "5": null, + "9": null, + "11": null, + "15": null + } + }, + "10b31f5431": { + "person": { + "6": "1. The person is skateboarding with bent knees, facing forward, and arms slightly spread for balance.\n\n3. The person is running on the street, slightly leaning forward with one arm extended.\n\n4. The person is crouching and holding a camera extension while wearing protective gear and focusing on the road ahead.", + "11": "1. The person is cycling along the pathway, pedaling with both feet while steering with the handlebars.\n\n3. The person is walking along the sidewalk with their arms swinging slightly as they move forward.\n\n4. The person is crouching on a skateboard, leaning forward with one hand on the ground for balance.", + "18": "1. The person is crouching low, holding onto a board, and leaning into a turn while skating downhill.\n\n4. The person is extending an arm forward with a camera, capturing footage while balancing on the board.", + "26": null + } + }, + "10eced835e": { + "giant_panda": { + "3": null, + "5": null, + "8": "1. The giant panda is sitting with its back showing while grasping the ground with both front paws.\n\n2. The giant panda is standing upright with its head facing to the side, positioning an item with its paws.", + "12": null + } + }, + "110d26fa3a": { + "shark": { + "6": null, + "9": null, + "16": null, + "26": null + } + }, + "1122c1d16a": { + "person": { + "5": null, + "11": null, + "25": null, + "33": null + }, + "parrot": { + "5": null, + "11": "1. The parrot is standing inside a cage, gripping a perch with its claws and facing forward.\n\n2. The parrot is being held in two hands while its wings are slightly spread, showing a gentle downward arch.\n\n3. The parrot is being cradled in hands, its head tilted back and its beak facing upwards.\n\n4. The parrot is latched onto the cage bars with its beak, appearing to pull slightly on the bars.\n\n5. The parrot is situated inside the cage, crouching and leaning forward with its beak close to the ground.", + "25": null, + "33": "1. The parrot is looking upwards while stretching its beak towards the cage bars.\n\n2. The parrot is flapping its wings energetically while being held.\n\n3. The parrot is pecking at the hand that is holding it.\n\n4. The parrot is twisting its head to the side, examining its surroundings.\n\n5. The parrot is nibbling at an object close to its beak." + } + }, + "1145b49a5f": { + "rabbit": { + "4": null, + "13": null, + "19": null, + "33": null + } + }, + "11485838c2": { + "giraffe": { + "2": null, + "9": "1. The giraffe is stretching its neck upwards, possibly reaching or inspecting something above.\n\n2. The giraffe is tilting its head and appears to be nuzzling or nudging another giraffe's neck.\n\n3. The giraffe is lowering its head while walking forward, possibly inspecting the ground.", + "12": null, + "16": null + } + }, + "114e7676ec": { + "person": { + "9": null, + "17": null, + "23": null, + "30": null + }, + "surfboard": { + "9": null, + "17": null, + "23": null, + "30": null + } + }, + "1157472b95": { + "parrot": { + "7": "1. The parrot is tilting its head downward while gripping onto the branch with both feet.\n\n2. The parrot is standing upright and facing forward with its beak slightly open.", + "10": null, + "23": null, + "27": null + } + }, + "115ee1072c": { + "cow": { + "4": null, + "7": null, + "10": null, + "16": null + } + }, + "1171141012": { + "person": { + "4": null, + "11": null, + "15": null, + "20": null + }, + "turtle": { + "4": null, + "11": null, + "15": null, + "20": null + } + }, + "117757b4b8": { + "snail": { + "5": null, + "12": null, + "24": null, + "26": null + } + }, + "1178932d2f": { + "person": { + "5": null, + "11": null, + "24": null, + "27": null + }, + "motorbike": { + "5": null, + "11": null, + "24": null, + "27": null + } + }, + "117cc76bda": { + "whale": { + "2": null, + "14": null, + "24": null, + "31": null + } + }, + "1180cbf814": { + "fish": { + "3": null, + "17": null, + "19": null, + "32": null + } + }, + "1187bbd0e3": { + "cat": { + "5": null, + "9": null, + "11": null, + "17": null + } + }, + "1197e44b26": { + "giant_panda": { + "9": null, + "17": null, + "23": null, + "30": null + } + }, + "119cf20728": { + "lizard": { + "4": null, + "10": null, + "21": null, + "31": null + }, + "hand": { + "4": null, + "10": null, + "21": null, + "31": null + } + }, + "119dd54871": { + "lion": { + "5": null, + "10": null, + "19": null, + "24": null + } + }, + "11a0c3b724": { + "mouse": { + "4": null, + "7": null, + "10": "1. The mouse is sitting quietly and facing forward without any prominent action.\n\n2. The mouse is turning its head slightly to the side, appearing focused on its surroundings.", + "15": null + } + }, + "11a6ba8c94": { + "person": { + "9": "1. The person is crouching and holding a camera, focusing intently on the skateboard. \n2. The person is stepping towards the skateboard, appearing ready to interact with it.", + "15": "1. The person is squatting down and holding a camera close to a skateboard.\n2. The person is bending forward and balancing a skateboard on its back wheels with one hand.", + "20": "1. The person is crouching while holding a camera close to the ground.\n2. The person is bending forward with one leg extended behind and one hand reaching toward a skateboard.", + "29": null + }, + "skateboard": { + "9": null, + "15": null, + "20": null, + "29": null + } + }, + "11c722a456": { + "turtle": { + "2": null, + "15": null, + "19": "1. The turtle is swimming smoothly near the water surface, extending its flippers outward.\n\n2. The turtle is gliding through the water, positioning its head forward and navigating by the plant.", + "26": null + } + }, + "11cbcb0b4d": { + "zebra": { + "2": null, + "8": null, + "12": null, + "17": null + } + }, + "11ccf5e99d": { + "plant": { + "5": null, + "6": null, + "11": null, + "16": null + }, + "person": { + "5": null, + "6": null, + "11": null, + "16": null + } + }, + "11ce6f452e": { + "person": { + "4": null, + "6": "1. The person is standing with hands on hips, observing the scene ahead.\n\n2. The person is holding onto reins, guiding a horse in front.\n\n3. The person is seated, looking towards the front while wearing a hat.", + "8": "1. The person has their back turned while placing a hand on their hip.\n2. The person is holding the reins and facing forward, appearing to guide a horse.\n3. The person is gripping the side of the wagon while standing upright.", + "12": null + } + }, + "11feabe596": { + "rabbit": { + "6": null, + "12": null, + "19": null, + "22": null + } + }, + "120cb9514d": { + "person": { + "9": null, + "10": null, + "25": "1. The person is embracing another individual with both arms wrapped tightly.\n2. The person is holding a snowboard upright with one arm while engaging in a hug.\n3. The person is smiling broadly and leaning into the hug while grasping onto another's shoulders.", + "27": "1. The person is extending an arm outward while facing another individual, appearing to embrace or gesture toward them.\n\n2. The person is leaning forward with arms open wide, engaging in a hugging motion.\n\n3. The person is smiling and slightly bending forward as they interact with another person, participating in a hugging gesture." + } + }, + "12156b25b3": { + "person": { + "4": null, + "16": null, + "19": null, + "26": null + }, + "surfboard": { + "4": null, + "16": null, + "19": null, + "26": null + } + }, + "122896672d": { + "others": { + "2": null, + "12": null, + "25": null, + "30": null + }, + "person": { + "2": null, + "12": null, + "25": null, + "30": null + }, + "skateboard": { + "2": null, + "12": null, + "25": null, + "30": null + } + }, + "1233ac8596": { + "dog": { + "4": null, + "7": null, + "11": null, + "15": null + } + }, + "1239c87234": { + "lizard": { + "4": null, + "13": null, + "18": null, + "28": null + } + }, + "1250423f7c": { + "elephant": { + "3": null, + "6": null, + "11": null, + "14": null + }, + "person": { + "3": null, + "6": null, + "11": null, + "14": null + }, + "hat": { + "3": null, + "6": null, + "11": null, + "14": null + } + }, + "1257a1bc67": { + "snake": { + "4": null, + "12": null, + "16": null, + "30": null + } + }, + "125d1b19dd": { + "giant_panda": { + "4": null, + "12": "1. The giant panda is climbing on a tree branch while lowering its body slightly to maintain balance.\n\n2. The giant panda is walking on a tree branch, moving forward with a firm step.", + "20": null, + "28": null + } + }, + "126d203967": { + "person": { + "8": null, + "12": null, + "23": null, + "26": null + }, + "skateboard": { + "8": null, + "12": null, + "23": null, + "26": null + } + }, + "1295e19071": { + "airplane": { + "2": null, + "6": null, + "12": null, + "15": null + } + }, + "12ad198c54": { + "person": { + "6": null, + "11": null, + "15": null, + "25": null + }, + "skateboard": { + "6": null, + "11": null, + "15": null, + "25": null + } + }, + "12bddb2bcb": { + "person": { + "7": null, + "12": null, + "16": null, + "21": null + }, + "frisbee": { + "7": null, + "12": null, + "16": null, + "21": null + } + }, + "12ec9b93ee": { + "giant_panda": { + "8": null, + "14": null, + "18": null, + "32": null + } + }, + "12eebedc35": { + "bird": { + "4": null, + "6": null, + "9": null, + "12": null + } + }, + "132852e094": { + "fox": { + "3": null, + "8": null, + "14": null, + "20": null + } + }, + "1329409f2a": { + "fish": { + "4": null, + "15": null, + "19": null, + "31": null + } + }, + "13325cfa14": { + "person": { + "3": null, + "7": null, + "11": null, + "15": null + }, + "umbrella": { + "3": null, + "7": null, + "11": null, + "15": null + } + }, + "1336440745": { + "mouse": { + "3": null, + "14": null, + "23": null, + "29": null + } + }, + "134d06dbf9": { + "cat": { + "5": null, + "7": null, + "10": null, + "17": null + } + }, + "135625b53d": { + "hand": { + "6": null, + "13": null, + "18": null, + "23": null + }, + "parrot": { + "6": null, + "13": null, + "18": null, + "23": null + } + }, + "13870016f9": { + "person": { + "3": null, + "6": null, + "9": null, + "12": null + }, + "cow": { + "3": null, + "6": null, + "9": null, + "12": null + } + }, + "13960b3c84": { + "giraffe": { + "2": "1. The giraffe is stretching its neck forward, peering towards the ground.\n\n2. The giraffe is leaning its head to the side and nudging the other giraffe.\n\n3. The giraffe is lowering its head and rubbing against the concrete edge.", + "7": "1. The giraffe is standing still with its head raised and looking forward.\n\n2. The giraffe is leaning forward while slightly turning its head to the side.\n\n3. The giraffe is bending its neck downward, reaching towards the ground.", + "12": "1. The giraffe is walking forward while stretching its neck ahead.\n\n2. The giraffe is facing left with its neck slightly lowered.\n\n3. The giraffe is bending its neck downward, reaching toward the ground.", + "14": null + } + }, + "13adaad9d9": { + "giant_panda": { + "8": null, + "12": null, + "24": null, + "31": null + } + }, + "13ae097e20": { + "giant_panda": { + "8": null, + "16": null, + "22": null, + "32": null + } + }, + "13e3070469": { + "zebra": { + "4": null, + "6": "1. The zebra is lowering its head and grazing on the grass.\n\n2. The zebra is walking slowly and nuzzling the side of the other zebra.\n\n3. The zebra is standing still and looking towards the left.", + "13": null, + "14": null + } + }, + "13f6a8c20d": { + "fish": { + "7": null, + "8": null, + "18": null, + "22": null + } + }, + "1416925cf2": { + "truck": { + "3": "1. The truck is being filled with harvested material being directed from a loading chute above it.\n\n2. The truck is actively harvesting crops, with its chute extended and discharging into the adjacent truck.", + "5": "1. The truck is receiving a continuous stream of harvested crop being unloaded into its cargo container.\n\n2. The truck is actively cutting and ejecting crops through a chute directed toward the trailer.", + "10": null, + "14": "1. The truck is steadily moving forward while receiving a continuous stream of harvested material into its trailer.\n\n2. The truck is actively cutting corn and directing the chopped material through a high chute towards the other truck." + } + }, + "142d2621f5": { + "person": { + "2": "1. The person is leaning forward and reaching towards the motorcycle, appearing to grab its handle.\n2. The person is bent over, using both hands to push the motorcycle from the back while standing in the mud.", + "7": "1. The person is stepping forward with a raised leg, appearing to navigate through muddy terrain.\n\n2. The person is bent over a motorcycle, gripping the handlebars while managing the vehicle through water.", + "8": "1. The person is stepping forward while leaning down, appearing to support or push the motorcycle upright.\n\n2. The person is bending over and grasping the handlebars, attempting to lift or stabilize the motorcycle.", + "13": "1. The person is bent over, using both hands to grip the motorcycle, appearing to lift or adjust it from the side.\n\n2. The person is sitting on the motorcycle and leaning forward, holding onto the handlebars." + }, + "motorbike": { + "2": null, + "7": null, + "8": null, + "13": null + } + }, + "145d5d7c03": { + "giant_panda": { + "4": null, + "13": null, + "23": null, + "32": null + } + }, + "145fdc3ac5": { + "lizard": { + "9": null, + "11": null, + "24": null, + "32": null + } + }, + "1471274fa7": { + "person": { + "4": null, + "5": null, + "8": null, + "11": null + } + }, + "14a6b5a139": { + "fish": { + "9": null, + "12": null, + "24": null, + "28": null + } + }, + "14c21cea0d": { + "monkey": { + "8": null, + "11": null, + "24": null, + "28": "1. The monkey is reaching out with one arm towards the ground, appearing to stabilize itself.\n\n2. The monkey is nuzzling its head against the other monkey's side, appearing still and relaxed." + } + }, + "14dae0dc93": { + "person": { + "2": null, + "9": null, + "11": null, + "16": null + }, + "umbrella": { + "2": null, + "9": null, + "11": null, + "16": null + } + }, + "14f9bd22b5": { + "tiger": { + "7": null, + "10": null, + "18": null, + "27": null + } + }, + "14fd28ae99": { + "hand": { + "5": null, + "13": null, + "24": null, + "32": null + }, + "parrot": { + "5": null, + "13": null, + "24": null, + "32": null + } + }, + "15097d5d4e": { + "hand": { + "7": null, + "13": null, + "14": null, + "21": null + }, + "parrot": { + "7": null, + "13": null, + "14": null, + "21": null + } + }, + "150ea711f2": { + "whale": { + "3": null, + "13": null, + "22": null, + "33": null + } + }, + "1514e3563f": { + "earless_seal": { + "4": null, + "15": null, + "25": null, + "28": null + } + }, + "152aaa3a9e": { + "raccoon": { + "5": null, + "16": null, + "25": null, + "26": null + } + }, + "152b7d3bd7": { + "giant_panda": { + "5": null, + "9": null, + "18": null, + "23": null + } + }, + "15617297cc": { + "person": { + "5": null, + "12": null, + "23": null, + "26": null + }, + "surfboard": { + "5": null, + "12": null, + "23": null, + "26": null + } + }, + "15abbe0c52": { + "person": { + "7": null, + "9": null, + "16": null, + "23": null + }, + "skateboard": { + "7": null, + "9": null, + "16": null, + "23": null + } + }, + "15d1fb3de5": { + "owl": { + "3": null, + "16": null, + "21": null, + "28": null + }, + "cat": { + "3": null, + "16": null, + "21": null, + "28": null + } + }, + "15f67b0fab": { + "person": { + "4": null, + "15": null, + "25": null, + "30": null + }, + "skateboard": { + "4": null, + "15": null, + "25": null, + "30": null + } + }, + "161eb59aad": { + "giraffe": { + "5": null, + "9": null, + "10": null, + "15": null + }, + "cow": { + "5": null, + "9": null, + "10": null, + "15": null + } + }, + "16288ea47f": { + "duck": { + "8": "1. The duck is resting in a container, with its head turned sideways and beak closed.\n\n2. The duck is splashing water around while moving in a shallow tray.", + "14": "1. The duck is preening its feathers with its beak, making deliberate grooming motions.\n\n2. The duck is dabbling in the water, splashing around with energetic head movements.", + "19": "1. The duck is perched in a container, flapping its wings while adjusting its body.\n\n2. The duck is splashing water in a basin, submerging its head repeatedly.", + "33": "1. The duck is resting in shallow water, with its beak dipping occasionally below the surface.\n\n2. The duck is vigorously splashing water with quick movements of its wings." + } + }, + "164410ce62": { + "person": { + "2": null, + "7": null, + "8": null, + "16": null + } + }, + "165c3c8cd4": { + "person": { + "5": "1. The person is standing and facing another person while gesturing with their right hand.\n\n2. The person is walking towards the motorcycles with both arms slightly bent.\n\n3. The person is standing still and facing towards the left side, appearing to be looking at another person.", + "9": null, + "11": "1. The person is walking towards a parked motorcycle.\n\n2. The person is standing with a hand on a parked motorcycle.\n\n3. The person is standing still with hands clasped behind their back.", + "14": null + } + }, + "165c42b41b": { + "person": { + "3": null, + "7": null, + "9": null, + "12": null + }, + "motorbike": { + "3": null, + "7": null, + "9": null, + "12": null + } + }, + "165ec9e22b": { + "person": { + "5": null, + "9": null, + "16": null, + "24": null + } + }, + "1669502269": { + "person": { + "2": null, + "7": null, + "8": null, + "13": null + } + }, + "16763cccbb": { + "ape": { + "7": null, + "12": null, + "16": null, + "24": null + } + }, + "16adde065e": { + "person": { + "3": null, + "8": null, + "12": null, + "17": null + }, + "cat": { + "3": null, + "8": null, + "12": null, + "17": null + }, + "hat": { + "3": null, + "8": null, + "12": null, + "17": null + } + }, + "16af445362": { + "airplane": { + "3": null, + "9": null, + "12": null, + "16": null + } + }, + "16afd538ad": { + "parrot": { + "5": null, + "10": null, + "18": null, + "26": "1. The parrot is facing the mirror and appears to be beak-tapping against the surface.\n2. The parrot is leaning forward while pecking at its reflection in the mirror." + } + }, + "16c3fa4d5d": { + "sedan": { + "5": null, + "8": null, + "11": null, + "16": null + } + }, + "16d1d65c27": { + "monkey": { + "4": null, + "15": null, + "24": null, + "28": null + } + }, + "16e8599e94": { + "giant_panda": { + "4": null, + "16": null, + "22": null, + "33": null + } + }, + "16fe9fb444": { + "person": { + "4": null, + "6": null, + "9": null, + "11": null + }, + "motorbike": { + "4": null, + "6": null, + "9": null, + "11": null + } + }, + "1705796b02": { + "train": { + "5": null, + "6": null, + "11": null, + "17": null + } + }, + "1724db7671": { + "giant_panda": { + "5": null, + "13": null, + "19": null, + "25": null + } + }, + "17418e81ea": { + "shark": { + "7": null, + "12": null, + "18": null, + "29": null + } + }, + "175169edbb": { + "ape": { + "4": null, + "13": "1. The ape is sitting next to a large structure while facing forward.\n\n2. The ape is lying on its back, holding its feet with both hands.", + "16": "1. The ape is standing and leaning down while looking intently at the other ape.\n2. The ape is lying on its back and reaching its arm upwards.", + "23": null + } + }, + "17622326fd": { + "lizard": { + "9": null, + "10": null, + "24": null, + "28": null + } + }, + "17656bae77": { + "elephant": { + "3": null, + "6": null, + "9": null, + "11": null + } + }, + "17b0d94172": { + "airplane": { + "3": null, + "5": null, + "6": null, + "8": null + } + }, + "17c220e4f6": { + "giant_panda": { + "6": null, + "15": null, + "19": null, + "27": null + } + }, + "17c7bcd146": { + "train": { + "4": null, + "9": null, + "11": null, + "16": null + } + }, + "17cb4afe89": { + "tiger": { + "9": null, + "12": null, + "18": null, + "32": null + } + }, + "17cd79a434": { + "squirrel": { + "9": null, + "12": null, + "23": null, + "27": null + } + }, + "17d18604c3": { + "plant": { + "4": null, + "8": null, + "10": null, + "14": null + }, + "person": { + "4": "1. The person is reaching forward with both hands towards a potted plant, adjusting its branches. \n2. The person is standing with arms crossed, observing the surroundings attentively.", + "8": "1. The person is seated and appears to be focused on an object in their hands, possibly adjusting or manipulating it.\n\n2. The person is standing with arms crossed and looking straight ahead, observing something in their line of sight.", + "10": "1. The person is leaning forward and adjusting or interacting with something on a table.\n\n2. The person is standing upright with crossed arms, observing the surroundings attentively.", + "14": null + } + }, + "17d8ca1a37": { + "owl": { + "2": null, + "10": null, + "17": null, + "26": null + }, + "person": { + "2": null, + "10": null, + "17": null, + "26": null + } + }, + "17e33f4330": { + "monkey": { + "8": null, + "10": null, + "24": null, + "28": null + } + }, + "17f7a6d805": { + "snail": { + "7": null, + "13": null, + "17": null, + "26": null + } + }, + "180abc8378": { + "owl": { + "6": null, + "16": null, + "21": null, + "31": null + }, + "person": { + "6": null, + "16": null, + "21": null, + "31": null + } + }, + "183ba3d652": { + "motorbike": { + "5": null, + "8": null, + "10": null, + "17": null + }, + "person": { + "5": null, + "8": null, + "10": null, + "17": null + }, + "hat": { + "5": null, + "8": null, + "10": null, + "17": null + } + }, + "185bf64702": { + "zebra": { + "2": null, + "6": null, + "11": null, + "15": "1. The zebra is galloping forward with its legs extended and its body angled diagonally.\n2. The zebra is standing still with its body partially obscured by a rock." + } + }, + "18913cc690": { + "train": { + "2": null, + "7": null, + "8": null, + "14": null + } + }, + "1892651815": { + "camel": { + "6": null, + "14": null, + "22": null, + "30": null + } + }, + "189ac8208a": { + "giraffe": { + "2": "1. The giraffe is standing with its back visible to the camera, facing away with its head slightly tilted.\n\n2. The giraffe is reaching upward with its neck extended toward the leaves above it.", + "6": "1. The giraffe is standing still, facing forward while maintaining balance. \n2. The giraffe is raising its head towards the feeding platform, extending its neck upward.", + "8": null, + "11": null + } + }, + "189b44e92c": { + "zebra": { + "2": null, + "6": null, + "12": null, + "15": null + } + }, + "18ac264b76": { + "person": { + "6": null, + "12": null, + "15": null, + "25": null + }, + "skateboard": { + "6": null, + "12": null, + "15": null, + "25": null + } + }, + "18b245ab49": { + "penguin": { + "4": "1. Penguin 1 is extending its flippers outward while walking forward on the snowy surface.\n2. Penguin 2 is bending its body downward, appearing to inspect or forage in the snow.\n3. Penguin 3 is crouching low, tilting its head toward the ground.\n4. Penguin 4 is standing upright with its head slightly angled down, observing the movement below.", + "5": null, + "10": null, + "13": "1. The penguin is lying on its belly, extending its flippers outward in the snow.\n\n2. The penguin is bending forward with its beak close to the ground, appearing to investigate or peck.\n\n3. The penguin is standing with its body angled slightly, facing forward while maintaining a steady posture.\n\n4. The penguin is upright, facing directly forward, displaying a straight and attentive stance." + } + }, + "18b5cebc34": { + "mouse": { + "6": null, + "9": null, + "16": null, + "20": null + } + }, + "18bad52083": { + "parrot": { + "2": null, + "11": null, + "18": "1. The parrot is facing forward, tilting its head while closely examining the surroundings with its beak slightly open. \n2. The parrot is perched on a wooden stand, turning its head to the side as if listening intently.", + "31": null + } + }, + "18bb5144d5": { + "lizard": { + "4": null, + "14": null, + "18": null, + "32": null + } + }, + "18c6f205c5": { + "person": { + "4": "1. The person is vigorously paddling with a synchronized rhythm, pushing the oar through the water.\n\n2. The person is raising an oar out of the water and preparing to thrust it back down.\n\n3. The person swiftly pulls the oar backward through the water in tandem with the team.", + "6": "1. The person is paddling vigorously with both arms in a forward motion while seated in the boat.\n\n2. The person is standing and drumming rhythmically at the front of the boat.\n\n3. The person is leaning forward, paddling swiftly with synchronized strokes in the boat.", + "10": "1. The person is beating a drum with both hands, coordinating the rhythm for the rowers.\n2. The person is paddling vigorously, synchronizing strokes with the others.\n3. The person is paddling forcefully, matching the rhythm of the team.", + "14": "1. The person is leaning forward and vigorously paddling with a synchronized rhythm.\n2. The person is raising a paddle high above their head and bringing it down with force.\n3. The person is steering the boat by pulling the paddle through the water on one side." + } + }, + "1903f9ea15": { + "bird": { + "4": null, + "6": null, + "10": "1. The bird is perching while facing forward, with wings slightly raised.\n2. The bird is standing upright and looking to the side with its beak pointing forward.\n3. The bird is grasping onto the cage bars while facing sideways.", + "14": null + } + }, + "1917b209f2": { + "person": { + "4": null, + "7": null, + "8": null, + "16": null + }, + "cow": { + "4": null, + "7": null, + "8": null, + "16": null + }, + "horse": { + "4": null, + "7": null, + "8": null, + "16": null + } + }, + "191e74c01d": { + "deer": { + "6": null, + "10": null, + "19": null, + "23": null + } + }, + "19367bb94e": { + "fish": { + "9": null, + "17": null, + "24": null, + "26": null + } + }, + "193ffaa217": { + "person": { + "2": null, + "7": null, + "8": null, + "13": null + } + }, + "19696b67d3": { + "cow": { + "5": null, + "8": null, + "11": null, + "14": null + } + }, + "197f3ab6f3": { + "giant_panda": { + "6": null, + "12": null, + "22": null, + "28": null + } + }, + "1981e763cc": { + "sheep": { + "2": "1. The sheep is standing still with its head facing forward, displaying calm posture.\n2. The sheep is climbing onto a rock, lifting its front leg forward while looking ahead.", + "17": null, + "20": "1. The sheep is leaning forward and lowering its head, appearing to engage with the rocky surface underfoot.\n\n2. The sheep is standing upright, looking behind with its head turned to the side.", + "29": "1. The sheep is lowering its head and pressing its snout against the rock surface.\n\n2. The sheep is standing upright and turning its head toward the right." + } + }, + "198afe39ae": { + "person": { + "4": null, + "13": null, + "14": null, + "25": null + }, + "surfboard": { + "4": null, + "13": null, + "14": null, + "25": null + } + }, + "19a6e62b9b": { + "monkey": { + "2": null, + "9": null, + "18": null, + "24": null + } + }, + "19b60d5335": { + "hand": { + "4": null, + "15": null, + "24": null, + "26": null + }, + "hedgehog": { + "4": null, + "15": null, + "24": null, + "26": null + } + }, + "19c00c11f9": { + "person": { + "6": null, + "10": null, + "19": null, + "23": null + }, + "surfboard": { + "6": null, + "10": null, + "19": null, + "23": null + } + }, + "19e061eb88": { + "boat": { + "4": "1. The boat is tilting its sails to catch the wind effectively, increasing its speed across the water.\n\n2. The boat is maneuvering its boom as crew members adjust the ropes for a tighter sail angle.", + "5": null, + "10": null, + "15": null + } + }, + "19e8bc6178": { + "dog": { + "3": null, + "6": null, + "8": null, + "12": null + } + }, + "19ee80dac6": { + "person": { + "3": "1. The person is riding the wave on a surfboard, maintaining balance while moving forward.\n3. The person is lying on a surfboard and paddling with their arms in the water.\n4. The person is prone on a surfboard, using their arms to paddle forward.", + "17": "1. The person is balancing on a surfboard while riding a wave with knees bent.\n4. The person is paddling forward in the water with arms outstretched.", + "24": null, + "30": null + }, + "surfboard": { + "3": null, + "17": null, + "24": null, + "30": null + } + }, + "1a25a9170a": { + "cow": { + "6": null, + "16": null, + "23": null, + "26": null + }, + "person": { + "6": "1. The person is riding a bull, gripping the reins tightly with one hand while their other arm is extended outward for balance. \n2. The person is standing and leaning forward while waving their arm, facing the bull and rider.", + "16": null, + "23": "1. The person is gripping the reins tightly and balancing on the bucking horse.\n\n2. The person is walking toward the horse while pointing with one hand.", + "26": null + } + }, + "1a359a6c1a": { + "sheep": { + "3": null, + "9": null, + "19": null, + "22": null + } + }, + "1a3e87c566": { + "frog": { + "7": null, + "8": null, + "14": null, + "27": null + } + }, + "1a5fe06b00": { + "bus": { + "3": null, + "7": null, + "10": null, + "11": null + } + }, + "1a6c0fbd1e": { + "person": { + "6": null, + "13": null, + "16": null, + "23": null + }, + "skateboard": { + "6": null, + "13": null, + "16": null, + "23": null + } + }, + "1a6f3b5a4b": { + "bike": { + "4": null, + "7": null, + "11": null, + "16": null + }, + "sedan": { + "4": null, + "7": null, + "11": null, + "16": null + }, + "hand": { + "4": null, + "7": null, + "11": null, + "16": null + } + }, + "1a8afbad92": { + "zebra": { + "3": null, + "5": "1. The zebra is galloping forward with its legs stretched and head slightly tilted upwards.\n\n2. The zebra is running at a fast pace with its head down and legs actively pushing off the ground.", + "10": "1. The zebra is galloping forward, its legs extended as it moves in unison with the herd.\n\n2. The zebra is sprinting briskly, lowering its head and powering through the dust as it keeps pace with others.", + "15": null + } + }, + "1a8bdc5842": { + "parrot": { + "3": "1. The parrot is pecking at the wooden object with its beak and turning its head from side to side.\n\n2. The parrot is climbing up the chain by gripping it with its claws and wings slightly spread for balance.", + "11": "1. The parrot is perched upright on a wooden swing, facing away.\n2. The parrot is pecking at the edge of a hanging wooden block.", + "14": null, + "23": "1. The parrot is pecking at the object suspended in front of it.\n\n2. The parrot is tilting its head curiously towards the object." + } + }, + "1a95752aca": { + "duck": { + "4": null, + "10": null, + "14": null, + "27": null + } + }, + "1a9c131cb7": { + "ape": { + "6": null, + "17": null, + "20": "1. The ape is bending forward with its arms extended downward, appearing to inspect the ground closely.\n\n2. This ape is leaning over with one arm reaching out, touching another ape nearby.\n\n3. The ape is sitting upright against the wall, with one hand resting on its face as if covering its mouth.", + "27": null + } + }, + "1aa3da3ee3": { + "sheep": { + "2": null, + "9": null, + "15": null, + "25": null + } + }, + "1ab27ec7ea": { + "deer": { + "2": null, + "5": null, + "6": null, + "9": null + } + }, + "1abf16d21d": { + "turtle": { + "7": null, + "13": null, + "16": null, + "20": null + } + }, + "1acd0f993b": { + "frisbee": { + "6": null, + "15": null, + "23": null, + "31": null + }, + "dog": { + "6": null, + "15": null, + "23": null, + "31": null + }, + "person": { + "6": null, + "15": null, + "23": null, + "31": null + } + }, + "1ad202e499": { + "lizard": { + "6": "1. The lizard is arching its back while rotating its head upward.\n\n2. The lizard is extending its front limb forward and pressing down against a surface.", + "14": null, + "22": null, + "31": null + } + }, + "1af8d2395d": { + "parachute": { + "6": null, + "13": null, + "20": null, + "28": null + }, + "person": { + "6": "1. The person is skydiving, with their body arched and arms extended outward.\n\n2. The person is skydiving, positioned above another jumper, with arms bent and hands visible near their face.", + "13": "1. The person is free-falling with arms bent upwards while skydiving, appearing surprised.\n\n2. The person is tandem skydiving, extending arms outward while maintaining contact with the person in front.", + "20": null, + "28": "1. The person is free-falling through the air with arms extended outwards while skydiving.\n2. The person is securely holding onto the harness of another person while skydiving." + }, + "airplane": { + "6": null, + "13": null, + "20": null, + "28": null + } + }, + "1afd39a1fa": { + "hand": { + "3": null, + "7": null, + "10": null, + "15": null + }, + "motorbike": { + "3": null, + "7": null, + "10": null, + "15": null + } + }, + "1b2d31306f": { + "lizard": { + "8": null, + "13": null, + "23": null, + "33": null + } + }, + "1b3fa67f0e": { + "airplane": { + "3": null, + "5": null, + "9": null, + "12": null + } + }, + "1b43fa74b4": { + "owl": { + "7": null, + "12": null, + "19": null, + "20": null + } + }, + "1b73ea9fc2": { + "parrot": { + "2": null, + "6": null, + "9": null, + "15": null + } + }, + "1b7e8bb255": { + "person": { + "3": null, + "8": null, + "13": null, + "14": null + }, + "skateboard": { + "3": null, + "8": null, + "13": null, + "14": null + }, + "hat": { + "3": null, + "8": null, + "13": null, + "14": null + } + }, + "1b8680f8cd": { + "tennis_racket": { + "6": null, + "9": null, + "14": null, + "21": null + }, + "person": { + "6": "1. The person is bending forward slightly with legs apart, preparing to hit a tennis shot.\n\n2. The person is holding a tennis racket raised above the head, ready to serve or return a ball.", + "9": "1. The person is holding a tennis racket and swinging it forward, preparing to hit the ball.\n2. The person is standing upright, looking at the tennis ball in flight on the opposite side of the court.", + "14": null, + "21": null + } + }, + "1b883843c0": { + "person": { + "4": null, + "7": "1. The person is gripping the handlebars and pedaling forward while looking ahead.\n2. The person is seated on a bicycle, leaning slightly forward, and actively pedaling.", + "9": "1. The person is cycling forward while gripping the handlebars and opening their mouth.\n\n2. The person is cycling forward, leaning slightly to the right, while wearing a backpack.", + "14": null + } + }, + "1b8898785b": { + "monkey": { + "9": null, + "14": "1. The monkey is hanging by one arm from the wooden beam, with its legs extended downward.\n2. The monkey is crouching on the beam while looking in the direction of the hanging monkey.", + "22": "1. The monkey is hanging with one arm from the horizontal branch while extending its body downward.\n\n2. The monkey is perched atop the vertical branch, looking forward and shifting slightly.", + "30": null + } + }, + "1b88ba1aa4": { + "giant_panda": { + "3": null, + "10": null, + "20": null, + "27": null + } + }, + "1b96a498e5": { + "ape": { + "7": null, + "10": null, + "15": null, + "21": null + } + }, + "1bbc4c274f": { + "fish": { + "3": null, + "8": null, + "11": null, + "17": null + } + }, + "1bd87fe9ab": { + "train": { + "2": null, + "5": null, + "6": null, + "9": null + } + }, + "1c4090c75b": { + "whale": { + "8": null, + "15": null, + "22": null, + "27": null + } + }, + "1c41934f84": { + "elephant": { + "5": "1. The elephant is swinging its trunk forward and stepping onto the dirt path. \n2. The elephant is lifting its trunk toward the other elephant, reaching slightly upward.", + "6": null, + "13": null, + "16": null + } + }, + "1c72b04b56": { + "lion": { + "6": null, + "17": null, + "23": null, + "26": null + } + }, + "1c87955a3a": { + "crocodile": { + "2": null, + "10": null, + "17": null, + "24": null + }, + "turtle": { + "2": null, + "10": null, + "17": null, + "24": null + } + }, + "1c9f9eb792": { + "person": { + "6": null, + "12": null, + "22": null, + "33": null + }, + "skateboard": { + "6": null, + "12": null, + "22": null, + "33": null + } + }, + "1ca240fede": { + "train": { + "2": null, + "9": null, + "10": null, + "15": null + } + }, + "1ca5673803": { + "person": { + "8": "1. The person is holding a tennis racket and appears to be preparing to swing it.\n3. The person is standing near the net, holding a tennis racket with both hands.", + "14": "1. The person is holding a tennis racket and preparing to hit a ball with a forward stance.\n3. The person is running across the court, arms slightly bent, focused on the play.", + "21": "1. The person is crouching low and positioning their arms as if preparing to receive a ball.\n3. The person is standing upright holding a tennis racket in a ready stance.", + "27": "1. The person is bending slightly forward while holding a tennis racket and preparing to hit the ball.\n\n3. The person is standing with both hands resting on hips, observing the tennis court." + }, + "tennis_racket": { + "8": null, + "14": null, + "21": null, + "27": null + } + }, + "1cada35274": { + "duck": { + "3": null, + "11": null, + "19": null, + "31": null + } + }, + "1cb44b920d": { + "eagle": { + "5": "1. The eagle is bending down and pecking at something on the ground with its beak.\n\n2. The eagle is sitting upright and facing forward while keeping its beak closed.", + "13": "1. The eagle is leaning forward and using its beak to approach another smaller bird closely.\n2. The eagle is sitting upright with its beak pointed towards the larger bird.", + "18": "1. The eagle is leaning forward with its beak open, engaging in feeding behavior.\n2. The eagle is sitting upright with its beak directed towards the approaching eagle.", + "27": null + } + }, + "1cd10e62be": { + "leopard": { + "3": null, + "11": null, + "17": null, + "22": null + } + }, + "1d3087d5e5": { + "fish": { + "5": null, + "11": null, + "23": null, + "33": null + } + }, + "1d3685150a": { + "sign": { + "2": null, + "6": null, + "8": null, + "15": null + }, + "person": { + "2": "1. The person is walking slowly while adjusting a cloth around their waist with both hands.\n\n3. The person is carrying a container in one hand while proceeding with a fluid, steady motion.", + "6": "1. The person is standing and appearing to watch something near the elephant, with a hand raised slightly upward.\n\n3. The person is walking forward, turning their head to the side as if acknowledging someone or something nearby.", + "8": null, + "15": null + } + }, + "1d6ff083aa": { + "person": { + "2": null, + "9": "1. The person is crouching and reaching out towards the floor with both arms extended. \n2. The person is standing and extending their right hand towards a shelf.", + "10": "1. The person is crouching forward, reaching towards the books on the lower shelf.\n2. The person is standing and extending a hand to grip a book from the shelf.", + "17": null + } + } +} \ No newline at end of file diff --git a/mbench/numbered_valid_obj_ids_gpt-4o_final.json b/mbench/numbered_valid_obj_ids_gpt-4o_final.json new file mode 100644 index 0000000000000000000000000000000000000000..c4ac5bec72c1cd68c9237a1d1ecb63cd8d69464c --- /dev/null +++ b/mbench/numbered_valid_obj_ids_gpt-4o_final.json @@ -0,0 +1,19772 @@ +{ + "003234408d": { + "penguin": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "0043f083b5": { + "sedan": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "0044fa5fba": { + "giant_panda": [ + "1" + ] + }, + "005a527edd": { + "ape": [ + "1", + "2" + ] + }, + "0065b171f9": { + "giant_panda": [ + "1" + ] + }, + "00917dcfc4": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "00a23ccf53": { + "shark": [ + "1" + ] + }, + "00ad5016a4": { + "airplane": [ + "1" + ] + }, + "01082ae388": { + "leopard": [ + "1" + ] + }, + "011ac0a06f": { + "ape": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "013099c098": { + "giant_panda": [ + "1", + "2" + ] + }, + "0155498c85": { + "person": [ + "1" + ], + "motorbike": [ + "2" + ] + }, + "01694ad9c8": { + "bird": [ + "1" + ] + }, + "017ac35701": { + "giant_panda": [ + "1" + ] + }, + "01b80e8e1a": { + "zebra": [ + "1", + "2" + ] + }, + "01baa5a4e1": {}, + "01c3111683": { + "whale": [ + "1" + ] + }, + "01c4cb5ffe": { + "person": [ + "1", + "3" + ] + }, + "01c76f0a82": { + "sedan": [ + "1", + "4" + ] + }, + "01c783268c": { + "ape": [ + "1" + ], + "person": [ + "2" + ] + }, + "01e64dd36a": { + "cow": [ + "1", + "2", + "3" + ] + }, + "01ed275c6e": { + "giraffe": [ + "1", + "2" + ] + }, + "01ff60d1fa": { + "lizard": [ + "1" + ] + }, + "020cd28cd2": { + "person": [ + "1" + ] + }, + "02264db755": { + "fox": [ + "1" + ] + }, + "0248626d9a": { + "train": [ + "1" + ] + }, + "02668dbffa": { + "frog": [ + "1" + ] + }, + "0274193026": { + "person": [ + "2" + ] + }, + "02d28375aa": { + "fox": [ + "1" + ] + }, + "031ccc99b1": { + "person": [ + "1", + "2", + "3" + ] + }, + "0321b18c10": { + "elephant": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "0348a45bca": { + "fish": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "0355e92655": { + "boat": [ + "3" + ], + "person": [ + "2" + ] + }, + "0358b938c1": { + "elephant": [ + "1", + "2", + "3", + "4" + ] + }, + "0368107cf1": { + "person": [ + "1", + "2" + ] + }, + "0379ddf557": { + "person": [ + "1" + ] + }, + "038b2cc71d": { + "lizard": [ + "1" + ] + }, + "038c15a5dd": { + "hedgehog": [ + "1" + ] + }, + "03a06cc98a": { + "giraffe": [ + "1", + "2", + "3" + ] + }, + "03a63e187f": { + "lizard": [ + "1" + ] + }, + "03c95b4dae": { + "elephant": [ + "1", + "2", + "3" + ] + }, + "03e2b57b0e": { + "lizard": [ + "1" + ] + }, + "04194e1248": { + "lizard": [ + "1" + ] + }, + "04259896e2": { + "lizard": [ + "1" + ] + }, + "0444918a5f": { + "truck": [ + "1", + "2", + "3", + "4" + ] + }, + "04460a7a52": { + "lizard": [ + "1" + ] + }, + "04474174a4": { + "ape": [ + "1", + "2" + ] + }, + "0450095513": { + "snail": [ + "1" + ] + }, + "045f00aed2": { + "tiger": [ + "1" + ], + "person": [ + "3" + ] + }, + "04667fabaa": { + "parrot": [ + "1" + ] + }, + "04735c5030": { + "cat": [ + "1", + "2" + ] + }, + "04990d1915": { + "truck": [ + "3" + ], + "sedan": [ + "1" + ], + "bus": [ + "2" + ] + }, + "04d62d9d98": { + "person": [ + "1" + ] + }, + "04f21da964": { + "monkey": [ + "1" + ] + }, + "04fbad476e": { + "parrot": [ + "1" + ] + }, + "04fe256562": { + "truck": [ + "2" + ], + "motorbike": [ + "1" + ] + }, + "0503bf89c9": { + "hedgehog": [ + "1" + ] + }, + "0536c9eed0": { + "cat": [ + "1" + ] + }, + "054acb238f": { + "owl": [ + "1" + ] + }, + "05579ca250": { + "sedan": [ + "3" + ], + "person": [ + "1" + ] + }, + "056c200404": {}, + "05774f3a2c": { + "ape": [ + "1", + "2", + "3" + ] + }, + "058a7592c8": { + "train": [ + "1" + ] + }, + "05a0a513df": { + "person": [ + "1", + "2" + ] + }, + "05a569d8aa": { + "cat": [ + "1" + ], + "mouse": [ + "2" + ] + }, + "05aa652648": { + "ape": [ + "1" + ] + }, + "05d7715782": {}, + "05e0b0f28f": { + "mouse": [ + "1" + ], + "person": [ + "2" + ] + }, + "05fdbbdd7a": {}, + "05ffcfed85": { + "monkey": [ + "1", + "2" + ] + }, + "0630391881": { + "person": [ + "1" + ] + }, + "06840b2bbe": { + "snake": [ + "1" + ] + }, + "068f7dce6f": { + "shark": [ + "1" + ] + }, + "0693719753": { + "turtle": [ + "1", + "2" + ] + }, + "06ce2b51fb": { + "person": [ + "1", + "2" + ] + }, + "06e224798e": { + "tiger": [ + "1" + ] + }, + "06ee361788": { + "duck": [ + "1", + "2", + "3" + ] + }, + "06fbb3fa2c": { + "eagle": [ + "1" + ] + }, + "0700264286": { + "cow": [ + "1", + "2" + ] + }, + "070c918ca7": { + "parrot": [ + "1" + ] + }, + "07129e14a4": { + "parrot": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "07177017e9": { + "motorbike": [ + "1", + "2" + ] + }, + "07238ffc58": { + "monkey": [ + "1", + "2", + "3" + ] + }, + "07353b2a89": { + "sheep": [ + "1", + "2", + "3", + "4" + ] + }, + "0738493cbf": { + "airplane": [ + "1" + ] + }, + "075926c651": { + "person": [ + "1", + "2" + ] + }, + "075c701292": { + "duck": [ + "1", + "2", + "3", + "4" + ] + }, + "0762ea9a30": { + "person": [ + "1" + ] + }, + "07652ee4af": { + "person": [ + "1" + ] + }, + "076f206928": { + "zebra": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "077d32af19": { + "train": [ + "4" + ], + "person": [ + "1", + "2", + "3" + ] + }, + "079049275c": { + "mouse": [ + "1" + ] + }, + "07913cdda7": { + "train": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "07a11a35e8": { + "ape": [ + "1", + "2" + ] + }, + "07ac33b6df": { + "ape": [ + "1" + ] + }, + "07c62c3d11": { + "parrot": [ + "1", + "2", + "3" + ] + }, + "07cc1c7d74": { + "snake": [ + "1" + ] + }, + "080196ef01": { + "lizard": [ + "1" + ] + }, + "081207976e": {}, + "081ae4fa44": { + "shark": [ + "1", + "2" + ] + }, + "081d8250cb": { + "sedan": [ + "3" + ], + "person": [ + "1" + ] + }, + "082900c5d4": { + "duck": [ + "1", + "2", + "3" + ] + }, + "0860df21e2": {}, + "0866d4c5e3": { + "bird": [ + "1", + "2", + "3" + ] + }, + "0891ac2eb6": { + "person": [ + "1", + "2", + "3" + ] + }, + "08931bc458": { + "person": [ + "1" + ] + }, + "08aa2705d5": { + "snake": [ + "1" + ] + }, + "08c8450db7": {}, + "08d50b926c": { + "turtle": [ + "1", + "2" + ] + }, + "08e1e4de15": { + "monkey": [ + "1", + "2", + "3", + "4" + ] + }, + "08e48c1a48": { + "cow": [ + "1" + ] + }, + "08f561c65e": { + "giant_panda": [ + "1" + ], + "person": [ + "2" + ] + }, + "08feb87790": { + "sheep": [ + "1" + ] + }, + "09049f6fe3": { + "mouse": [ + "1", + "2" + ] + }, + "092e4ff450": { + "snake": [ + "1" + ] + }, + "09338adea8": { + "whale": [ + "1", + "2" + ] + }, + "093c335ccc": { + "person": [ + "2" + ] + }, + "0970d28339": { + "ape": [ + "1", + "2" + ] + }, + "0974a213dc": { + "giraffe": [ + "1", + "2", + "3" + ] + }, + "097b471ed8": { + "cat": [ + "1", + "2" + ] + }, + "0990941758": { + "giant_panda": [ + "1" + ] + }, + "09a348f4fa": { + "lizard": [ + "1" + ] + }, + "09a6841288": { + "duck": [ + "1", + "2" + ] + }, + "09c5bad17b": { + "airplane": [ + "1" + ] + }, + "09c9ce80c7": { + "giant_panda": [ + "1" + ] + }, + "09ff54fef4": { + "fox": [ + "1", + "2" + ] + }, + "0a23765d15": { + "person": [ + "1", + "2" + ] + }, + "0a275e7f12": { + "elephant": [ + "1" + ] + }, + "0a2f2bd294": { + "motorbike": [ + "1" + ] + }, + "0a7a2514aa": { + "cat": [ + "1" + ], + "lizard": [ + "2" + ] + }, + "0a7b27fde9": { + "parrot": [ + "1", + "2" + ] + }, + "0a8c467cc3": { + "fish": [ + "1", + "2", + "3" + ] + }, + "0ac8c560ae": { + "person": [ + "2", + "3" + ] + }, + "0b1627e896": { + "boat": [ + "1" + ] + }, + "0b285c47f6": { + "mouse": [ + "1" + ] + }, + "0b34ec1d55": { + "ape": [ + "1" + ] + }, + "0b5b5e8e5a": { + "sedan": [ + "2" + ], + "person": [ + "1" + ] + }, + "0b68535614": { + "rabbit": [ + "1" + ] + }, + "0b6f9105fc": { + "rabbit": [ + "1" + ] + }, + "0b7dbfa3cb": { + "cow": [ + "1" + ] + }, + "0b9cea51ca": { + "whale": [ + "1" + ] + }, + "0b9d012be8": { + "camel": [ + "1" + ] + }, + "0bcfc4177d": { + "truck": [ + "1" + ] + }, + "0bd37b23c1": { + "motorbike": [ + "1" + ] + }, + "0bd864064c": { + "eagle": [ + "1" + ] + }, + "0c11c6bf7b": { + "deer": [ + "1" + ] + }, + "0c26bc77ac": { + "crocodile": [ + "1" + ] + }, + "0c3a04798c": { + "duck": [ + "1" + ], + "fish": [ + "2" + ] + }, + "0c44a9d545": { + "tiger": [ + "1" + ] + }, + "0c817cc390": { + "hedgehog": [ + "1" + ], + "dog": [ + "2" + ] + }, + "0ca839ee9a": { + "ape": [ + "1", + "2" + ] + }, + "0cd7ac0ac0": { + "rabbit": [ + "1" + ] + }, + "0ce06e0121": { + "parrot": [ + "1", + "2" + ] + }, + "0cfe974a89": { + "turtle": [ + "1", + "2" + ] + }, + "0d2fcc0dcd": { + "zebra": [ + "1", + "2", + "3", + "4" + ] + }, + "0d3aad05d2": { + "person": [ + "1" + ] + }, + "0d40b015f4": { + "person": [ + "1" + ] + }, + "0d97fba242": { + "person": [ + "2" + ], + "dog": [ + "1" + ] + }, + "0d9cc80d7e": { + "person": [ + "1", + "2", + "3" + ] + }, + "0dab85b6d3": { + "lizard": [ + "1", + "2" + ] + }, + "0db5c427a5": { + "train": [ + "1" + ] + }, + "0dbaf284f1": { + "cat": [ + "1", + "2" + ] + }, + "0de4923598": {}, + "0df28a9101": { + "turtle": [ + "1", + "2", + "3" + ] + }, + "0e04f636c4": { + "frog": [ + "1" + ] + }, + "0e05f0e232": { + "lizard": [ + "1", + "2" + ] + }, + "0e0930474b": { + "sedan": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "0e27472bea": { + "turtle": [ + "1" + ] + }, + "0e30020549": { + "parrot": [ + "1" + ] + }, + "0e621feb6c": { + "lizard": [ + "1", + "2" + ] + }, + "0e803c7d73": {}, + "0e9ebe4e3c": { + "truck": [ + "1" + ] + }, + "0e9f2785ec": { + "person": [ + "2" + ] + }, + "0ea68d418b": { + "airplane": [ + "1" + ] + }, + "0eb403a222": {}, + "0ee92053d6": { + "person": [ + "1" + ] + }, + "0eefca067f": { + "giant_panda": [ + "1", + "2" + ] + }, + "0f17fa6fcb": { + "duck": [ + "1", + "2", + "3" + ] + }, + "0f1ac8e9a3": { + "frog": [ + "1" + ] + }, + "0f202e9852": { + "parrot": [ + "1" + ] + }, + "0f2ab8b1ff": { + "dolphin": [ + "1", + "2", + "3" + ] + }, + "0f51a78756": { + "sheep": [ + "1" + ] + }, + "0f5fbe16b0": { + "raccoon": [ + "1", + "2" + ] + }, + "0f6072077b": { + "person": [ + "1", + "2", + "3" + ] + }, + "0f6b69b2f4": { + "rabbit": [ + "1" + ] + }, + "0f6c2163de": { + "snail": [ + "1" + ] + }, + "0f74ec5599": { + "giant_panda": [ + "1" + ] + }, + "0f9683715b": { + "elephant": [ + "1" + ] + }, + "0fa7b59356": { + "duck": [ + "1" + ] + }, + "0fb173695b": { + "person": [ + "3" + ] + }, + "0fc958cde2": { + "owl": [ + "1" + ] + }, + "0fe7b1a621": { + "parrot": [ + "1" + ] + }, + "0ffcdb491c": { + "person": [ + "1", + "2", + "3" + ] + }, + "101caff7d4": { + "giant_panda": [ + "1", + "2" + ] + }, + "1022fe8417": { + "person": [ + "1", + "2", + "3" + ] + }, + "1032e80b37": { + "giraffe": [ + "1" + ] + }, + "103f501680": { + "fish": [ + "1" + ] + }, + "104e64565f": { + "elephant": [ + "1" + ] + }, + "104f1ab997": { + "person": [ + "1", + "2", + "3" + ] + }, + "106242403f": { + "person": [ + "1", + "2" + ] + }, + "10b31f5431": { + "person": [ + "1", + "3", + "4" + ] + }, + "10eced835e": { + "giant_panda": [ + "1", + "2" + ] + }, + "110d26fa3a": { + "shark": [ + "1" + ] + }, + "1122c1d16a": { + "parrot": [ + "1", + "2", + "3", + "4", + "5" + ], + "person": [ + "6" + ] + }, + "1145b49a5f": { + "rabbit": [ + "1" + ] + }, + "11485838c2": { + "giraffe": [ + "1", + "2", + "3" + ] + }, + "114e7676ec": { + "person": [ + "1" + ] + }, + "1157472b95": { + "parrot": [ + "1", + "2" + ] + }, + "115ee1072c": { + "cow": [ + "1" + ] + }, + "1171141012": { + "turtle": [ + "1" + ], + "person": [ + "2" + ] + }, + "117757b4b8": { + "snail": [ + "1" + ] + }, + "1178932d2f": { + "person": [ + "1", + "2" + ], + "motorbike": [ + "3" + ] + }, + "117cc76bda": { + "whale": [ + "1" + ] + }, + "1180cbf814": { + "fish": [ + "1", + "2" + ] + }, + "1187bbd0e3": { + "cat": [ + "1" + ] + }, + "1197e44b26": { + "giant_panda": [ + "1" + ] + }, + "119cf20728": { + "lizard": [ + "1" + ] + }, + "119dd54871": { + "lion": [ + "1", + "2" + ] + }, + "11a0c3b724": { + "mouse": [ + "1", + "2" + ] + }, + "11a6ba8c94": { + "person": [ + "1", + "2" + ] + }, + "11c722a456": { + "turtle": [ + "1", + "2" + ] + }, + "11cbcb0b4d": { + "zebra": [ + "1" + ] + }, + "11ccf5e99d": { + "person": [ + "2" + ] + }, + "11ce6f452e": { + "person": [ + "1", + "2", + "3" + ] + }, + "11feabe596": { + "rabbit": [ + "1" + ] + }, + "120cb9514d": { + "person": [ + "1", + "2", + "3" + ] + }, + "12156b25b3": { + "person": [ + "1" + ] + }, + "122896672d": { + "person": [ + "1", + "3" + ] + }, + "1233ac8596": { + "dog": [ + "1" + ] + }, + "1239c87234": { + "lizard": [ + "1" + ] + }, + "1250423f7c": { + "elephant": [ + "3", + "4" + ], + "person": [ + "2" + ] + }, + "1257a1bc67": { + "snake": [ + "1" + ] + }, + "125d1b19dd": { + "giant_panda": [ + "1", + "2" + ] + }, + "126d203967": { + "person": [ + "2" + ] + }, + "1295e19071": { + "airplane": [ + "1" + ] + }, + "12ad198c54": { + "person": [ + "1" + ] + }, + "12bddb2bcb": { + "person": [ + "2" + ] + }, + "12ec9b93ee": { + "giant_panda": [ + "1" + ] + }, + "12eebedc35": { + "bird": [ + "1" + ] + }, + "132852e094": { + "fox": [ + "1" + ] + }, + "1329409f2a": { + "fish": [ + "1" + ] + }, + "13325cfa14": { + "person": [ + "2" + ] + }, + "1336440745": { + "mouse": [ + "1", + "2" + ] + }, + "134d06dbf9": { + "cat": [ + "1" + ] + }, + "135625b53d": { + "parrot": [ + "1" + ] + }, + "13870016f9": { + "cow": [ + "2", + "3" + ], + "person": [ + "1" + ] + }, + "13960b3c84": { + "giraffe": [ + "1", + "2", + "3" + ] + }, + "13adaad9d9": { + "giant_panda": [ + "1" + ] + }, + "13ae097e20": { + "giant_panda": [ + "1" + ] + }, + "13e3070469": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "13f6a8c20d": { + "fish": [ + "1" + ] + }, + "1416925cf2": { + "truck": [ + "1", + "2" + ] + }, + "142d2621f5": { + "person": [ + "1", + "2" + ], + "motorbike": [ + "3" + ] + }, + "145d5d7c03": { + "giant_panda": [ + "1" + ] + }, + "145fdc3ac5": { + "lizard": [ + "1" + ] + }, + "1471274fa7": { + "person": [ + "1" + ] + }, + "14a6b5a139": { + "fish": [ + "1" + ] + }, + "14c21cea0d": { + "monkey": [ + "1", + "2" + ] + }, + "14dae0dc93": { + "person": [ + "2" + ] + }, + "14f9bd22b5": { + "tiger": [ + "1" + ] + }, + "14fd28ae99": { + "parrot": [ + "1" + ] + }, + "15097d5d4e": { + "parrot": [ + "1" + ] + }, + "150ea711f2": { + "whale": [ + "1" + ] + }, + "1514e3563f": { + "earless_seal": [ + "1", + "2" + ] + }, + "152aaa3a9e": { + "raccoon": [ + "1" + ] + }, + "152b7d3bd7": { + "giant_panda": [ + "1" + ] + }, + "15617297cc": { + "person": [ + "1" + ] + }, + "15abbe0c52": { + "person": [ + "1" + ] + }, + "15d1fb3de5": { + "owl": [ + "1" + ], + "cat": [ + "2" + ] + }, + "15f67b0fab": { + "person": [ + "1" + ] + }, + "161eb59aad": { + "cow": [ + "2", + "3" + ], + "giraffe": [ + "1" + ] + }, + "16288ea47f": { + "duck": [ + "1", + "2" + ] + }, + "164410ce62": { + "person": [ + "1" + ] + }, + "165c3c8cd4": { + "person": [ + "1", + "2", + "3" + ] + }, + "165c42b41b": { + "person": [ + "1", + "4" + ], + "motorbike": [ + "2", + "3" + ] + }, + "165ec9e22b": { + "person": [ + "1", + "2" + ] + }, + "1669502269": { + "person": [ + "1" + ] + }, + "16763cccbb": { + "ape": [ + "1" + ] + }, + "16adde065e": { + "cat": [ + "2" + ], + "person": [ + "3" + ] + }, + "16af445362": { + "airplane": [ + "1" + ] + }, + "16afd538ad": { + "parrot": [ + "1", + "2" + ] + }, + "16c3fa4d5d": { + "sedan": [ + "1" + ] + }, + "16d1d65c27": { + "monkey": [ + "1" + ] + }, + "16e8599e94": { + "giant_panda": [ + "1" + ] + }, + "16fe9fb444": { + "person": [ + "2" + ], + "motorbike": [ + "1" + ] + }, + "1705796b02": { + "train": [ + "1" + ] + }, + "1724db7671": { + "giant_panda": [ + "1" + ] + }, + "17418e81ea": { + "shark": [ + "1" + ] + }, + "175169edbb": { + "ape": [ + "1", + "2" + ] + }, + "17622326fd": { + "lizard": [ + "1" + ] + }, + "17656bae77": { + "elephant": [ + "1" + ] + }, + "17b0d94172": { + "airplane": [ + "1" + ] + }, + "17c220e4f6": { + "giant_panda": [ + "1" + ] + }, + "17c7bcd146": { + "train": [ + "1" + ] + }, + "17cb4afe89": { + "tiger": [ + "1" + ] + }, + "17cd79a434": { + "squirrel": [ + "1" + ] + }, + "17d18604c3": { + "person": [ + "1", + "2" + ] + }, + "17d8ca1a37": { + "owl": [ + "1" + ], + "person": [ + "2" + ] + }, + "17e33f4330": { + "monkey": [ + "1" + ] + }, + "17f7a6d805": { + "snail": [ + "1" + ] + }, + "180abc8378": { + "owl": [ + "1" + ], + "person": [ + "2" + ] + }, + "183ba3d652": { + "motorbike": [ + "3" + ], + "person": [ + "2" + ] + }, + "185bf64702": { + "zebra": [ + "1", + "2" + ] + }, + "18913cc690": { + "train": [ + "1" + ] + }, + "1892651815": { + "camel": [ + "1" + ] + }, + "189ac8208a": { + "giraffe": [ + "1", + "2" + ] + }, + "189b44e92c": { + "zebra": [ + "1" + ] + }, + "18ac264b76": { + "person": [ + "2" + ] + }, + "18b245ab49": { + "penguin": [ + "1", + "2", + "3", + "4" + ] + }, + "18b5cebc34": { + "mouse": [ + "1" + ] + }, + "18bad52083": { + "parrot": [ + "1", + "2" + ] + }, + "18bb5144d5": { + "lizard": [ + "1" + ] + }, + "18c6f205c5": { + "person": [ + "1", + "2", + "3" + ] + }, + "1903f9ea15": { + "bird": [ + "1", + "2", + "3" + ] + }, + "1917b209f2": { + "horse": [ + "2" + ], + "cow": [ + "3", + "4" + ], + "person": [ + "1" + ] + }, + "191e74c01d": { + "deer": [ + "1" + ] + }, + "19367bb94e": { + "fish": [ + "1", + "2", + "3" + ] + }, + "193ffaa217": { + "person": [ + "1", + "2", + "3" + ] + }, + "19696b67d3": { + "cow": [ + "1" + ] + }, + "197f3ab6f3": { + "giant_panda": [ + "1" + ] + }, + "1981e763cc": { + "sheep": [ + "1", + "2" + ] + }, + "198afe39ae": { + "person": [ + "1" + ] + }, + "19a6e62b9b": { + "monkey": [ + "1", + "2" + ] + }, + "19b60d5335": { + "hedgehog": [ + "1" + ] + }, + "19c00c11f9": { + "person": [ + "1" + ] + }, + "19e061eb88": { + "boat": [ + "1", + "2" + ] + }, + "19e8bc6178": { + "dog": [ + "1" + ] + }, + "19ee80dac6": { + "person": [ + "1", + "3", + "4" + ] + }, + "1a25a9170a": { + "cow": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "1a359a6c1a": { + "sheep": [ + "1" + ] + }, + "1a3e87c566": { + "frog": [ + "1" + ] + }, + "1a5fe06b00": { + "bus": [ + "1" + ] + }, + "1a6c0fbd1e": { + "person": [ + "1" + ] + }, + "1a6f3b5a4b": { + "sedan": [ + "3" + ] + }, + "1a8afbad92": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "1a8bdc5842": { + "parrot": [ + "1", + "2" + ] + }, + "1a95752aca": { + "duck": [ + "1", + "2" + ] + }, + "1a9c131cb7": { + "ape": [ + "1", + "2", + "3" + ] + }, + "1aa3da3ee3": { + "sheep": [ + "1", + "2", + "3", + "4" + ] + }, + "1ab27ec7ea": { + "deer": [ + "1" + ] + }, + "1abf16d21d": { + "turtle": [ + "1" + ] + }, + "1acd0f993b": { + "person": [ + "3" + ], + "dog": [ + "1" + ] + }, + "1ad202e499": { + "lizard": [ + "1", + "2" + ] + }, + "1af8d2395d": { + "airplane": [ + "4" + ], + "person": [ + "1", + "2" + ] + }, + "1afd39a1fa": { + "motorbike": [ + "2" + ] + }, + "1b2d31306f": { + "lizard": [ + "1" + ] + }, + "1b3fa67f0e": { + "airplane": [ + "1" + ] + }, + "1b43fa74b4": { + "owl": [ + "1", + "2" + ] + }, + "1b73ea9fc2": { + "parrot": [ + "1" + ] + }, + "1b7e8bb255": { + "person": [ + "2" + ] + }, + "1b8680f8cd": { + "person": [ + "2", + "3" + ] + }, + "1b883843c0": { + "person": [ + "1", + "2" + ] + }, + "1b8898785b": { + "monkey": [ + "1", + "2" + ] + }, + "1b88ba1aa4": { + "giant_panda": [ + "1" + ] + }, + "1b96a498e5": { + "ape": [ + "1" + ] + }, + "1bbc4c274f": { + "fish": [ + "2" + ] + }, + "1bd87fe9ab": { + "train": [ + "1" + ] + }, + "1c4090c75b": { + "whale": [ + "1" + ] + }, + "1c41934f84": { + "elephant": [ + "1", + "2" + ] + }, + "1c72b04b56": { + "lion": [ + "1" + ] + }, + "1c87955a3a": { + "crocodile": [ + "1" + ], + "turtle": [ + "2" + ] + }, + "1c9f9eb792": { + "person": [ + "2" + ] + }, + "1ca240fede": { + "train": [ + "1" + ] + }, + "1ca5673803": { + "person": [ + "1", + "3" + ] + }, + "1cada35274": { + "duck": [ + "1" + ] + }, + "1cb44b920d": { + "eagle": [ + "1", + "2" + ] + }, + "1cd10e62be": { + "leopard": [ + "1" + ] + }, + "1d3087d5e5": { + "fish": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "1d3685150a": { + "person": [ + "1", + "3" + ] + }, + "1d6ff083aa": { + "person": [ + "1", + "2" + ] + }, + "1d746352a6": { + "cow": [ + "1", + "2", + "3" + ] + }, + "1da256d146": { + "giant_panda": [ + "1" + ] + }, + "1da4e956b1": { + "person": [ + "1" + ] + }, + "1daf812218": { + "rabbit": [ + "1" + ] + }, + "1dba687bce": { + "mouse": [ + "1" + ] + }, + "1dce57d05d": { + "zebra": [ + "1" + ] + }, + "1de4a9e537": { + "bear": [ + "1" + ], + "person": [ + "2" + ] + }, + "1dec5446c8": { + "person": [ + "1" + ] + }, + "1dfbe6f586": { + "ape": [ + "1" + ] + }, + "1e1a18c45a": { + "earless_seal": [ + "1", + "2", + "3", + "4" + ] + }, + "1e1e42529d": { + "cow": [ + "1", + "2", + "3" + ] + }, + "1e4be70796": { + "elephant": [ + "2", + "3", + "4" + ], + "person": [ + "1" + ] + }, + "1eb60959c8": { + "rabbit": [ + "1" + ] + }, + "1ec8b2566b": { + "parrot": [ + "1" + ] + }, + "1ecdc2941c": { + "snake": [ + "1" + ] + }, + "1ee0ac70ff": { + "person": [ + "1" + ] + }, + "1ef8e17def": { + "truck": [ + "3" + ], + "person": [ + "2" + ] + }, + "1f1a2a9fc0": { + "person": [ + "2", + "3" + ] + }, + "1f1beb8daa": { + "dolphin": [ + "1", + "2" + ] + }, + "1f2609ee13": { + "parrot": [ + "1" + ] + }, + "1f3876f8d0": { + "monkey": [ + "1" + ] + }, + "1f4ec0563d": { + "person": [ + "1" + ] + }, + "1f64955634": { + "bear": [ + "1" + ] + }, + "1f7d31b5b2": { + "sedan": [ + "3" + ], + "person": [ + "2" + ] + }, + "1f8014b7fd": { + "person": [ + "2", + "3", + "4" + ] + }, + "1f9c7d10f1": { + "shark": [ + "1", + "2" + ] + }, + "1fa350df76": { + "sedan": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "1fc9538993": { + "person": [ + "1" + ] + }, + "1fe2f0ec59": { + "leopard": [ + "1" + ] + }, + "2000c02f9d": { + "person": [ + "2" + ] + }, + "20142b2f05": { + "shark": [ + "1" + ] + }, + "201a8d75e5": { + "shark": [ + "1" + ] + }, + "2023b3ee4f": { + "snake": [ + "1" + ] + }, + "202b767bbc": { + "truck": [ + "1" + ], + "person": [ + "2" + ] + }, + "203594a418": { + "leopard": [ + "1" + ] + }, + "2038987336": { + "crocodile": [ + "1" + ], + "duck": [ + "2" + ] + }, + "2039c3aecb": { + "person": [ + "1", + "2", + "3" + ] + }, + "204a90d81f": { + "snake": [ + "1" + ] + }, + "207bc6cf01": { + "rabbit": [ + "1" + ] + }, + "208833d1d1": { + "deer": [ + "1" + ] + }, + "20e3e52e0a": { + "person": [ + "1" + ] + }, + "2117fa0c14": { + "parrot": [ + "1" + ] + }, + "211bc5d102": { + "parrot": [ + "2" + ], + "cat": [ + "1" + ] + }, + "2120d9c3c3": { + "sheep": [ + "1", + "2", + "3" + ] + }, + "2125235a49": { + "turtle": [ + "1" + ] + }, + "21386f5978": { + "motorbike": [ + "1" + ] + }, + "2142af8795": { + "snake": [ + "1" + ] + }, + "215dfc0f73": {}, + "217bae91e5": { + "person": [ + "1" + ] + }, + "217c0d44e4": { + "earless_seal": [ + "1" + ] + }, + "219057c87b": { + "ape": [ + "1" + ] + }, + "21d0edbf81": {}, + "21df87ad76": { + "person": [ + "1", + "2" + ] + }, + "21f1d089f5": { + "elephant": [ + "1" + ] + }, + "21f4019116": { + "sheep": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "222597030f": {}, + "222904eb5b": { + "boat": [ + "3" + ], + "person": [ + "1" + ] + }, + "223a0e0657": { + "person": [ + "1" + ] + }, + "223bd973ab": { + "airplane": [ + "1", + "2" + ] + }, + "224e7c833e": { + "zebra": [ + "1", + "2" + ] + }, + "225aba51d9": { + "sedan": [ + "2" + ], + "person": [ + "1" + ] + }, + "2261d421ea": { + "giant_panda": [ + "1" + ] + }, + "2263a8782b": { + "person": [ + "1" + ] + }, + "2268cb1ffd": { + "eagle": [ + "1" + ] + }, + "2268e93b0a": { + "cow": [ + "1" + ] + }, + "2293c99f3f": { + "raccoon": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "22a1141970": {}, + "22b13084b2": { + "person": [ + "1" + ] + }, + "22d9f5ab0c": { + "snail": [ + "1" + ] + }, + "22f02efe3a": { + "rabbit": [ + "1" + ] + }, + "232c09b75b": { + "lizard": [ + "1" + ] + }, + "2350d71b4b": { + "tiger": [ + "1" + ], + "person": [ + "2" + ] + }, + "2376440551": {}, + "2383d8aafd": { + "eagle": [ + "1", + "2" + ] + }, + "238b84e67f": { + "giraffe": [ + "1" + ], + "bird": [ + "2" + ] + }, + "238d4b86f6": {}, + "23993ce90d": { + "parrot": [ + "1" + ] + }, + "23b0c8a9ab": { + "person": [ + "1" + ] + }, + "23b3beafcc": { + "parrot": [ + "1" + ] + }, + "23d80299fe": { + "giraffe": [ + "1" + ] + }, + "23f404a9fc": { + "truck": [ + "1", + "2", + "3", + "4" + ] + }, + "240118e58a": { + "hedgehog": [ + "1" + ] + }, + "2431dec2fd": { + "ape": [ + "1", + "2", + "3", + "4" + ] + }, + "24440e0ac7": {}, + "2457274dbc": { + "mouse": [ + "1", + "2", + "3" + ] + }, + "2465bf515d": { + "person": [ + "1" + ], + "dog": [ + "2" + ] + }, + "246b142c4d": { + "sheep": [ + "1" + ] + }, + "247d729e36": { + "dog": [ + "1" + ] + }, + "2481ceafeb": { + "parrot": [ + "1", + "2" + ] + }, + "24866b4e6a": { + "dolphin": [ + "1", + "2", + "3", + "4" + ] + }, + "2489d78320": { + "giant_panda": [ + "1" + ] + }, + "24ab0b83e8": { + "ape": [ + "1", + "2" + ] + }, + "24b0868d92": { + "tiger": [ + "1" + ] + }, + "24b5207cd9": { + "person": [ + "1", + "2", + "3" + ] + }, + "24ddf05c03": { + "person": [ + "1" + ] + }, + "250116161c": { + "person": [ + "1", + "2", + "3" + ] + }, + "256ad2e3fc": { + "person": [ + "1" + ] + }, + "256bd83d5e": { + "parrot": [ + "1" + ] + }, + "256dcc8ab8": { + "cow": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "2589956baa": { + "fox": [ + "1" + ] + }, + "258b3b33c6": { + "airplane": [ + "1" + ] + }, + "25ad437e29": { + "boat": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "25ae395636": { + "deer": [ + "1", + "2", + "3", + "4" + ] + }, + "25c750c6db": { + "turtle": [ + "1" + ] + }, + "25d2c3fe5d": { + "giant_panda": [ + "1" + ] + }, + "25dc80db7c": {}, + "25f97e926f": { + "turtle": [ + "1" + ] + }, + "26011bc28b": { + "ape": [ + "1", + "2", + "3" + ] + }, + "260846ffbe": { + "eagle": [ + "1" + ] + }, + "260dd9ad33": { + "sedan": [ + "1" + ], + "bus": [ + "2" + ] + }, + "267964ee57": { + "truck": [ + "1" + ], + "person": [ + "2" + ] + }, + "2680861931": { + "cat": [ + "2" + ] + }, + "268ac7d3fc": { + "person": [ + "2" + ], + "lizard": [ + "1" + ] + }, + "26b895d91e": { + "person": [ + "1" + ] + }, + "26bc786d4f": { + "boat": [ + "1" + ] + }, + "26ddd2ef12": { + "earless_seal": [ + "1", + "2" + ] + }, + "26de3d18ca": { + "person": [ + "1" + ] + }, + "26f7784762": { + "fish": [ + "1", + "2" + ] + }, + "2703e52a6a": { + "giant_panda": [ + "1" + ] + }, + "270ed80c12": { + "duck": [ + "1", + "2", + "3", + "4" + ] + }, + "2719b742ab": { + "parrot": [ + "1" + ] + }, + "272f4163d0": { + "person": [ + "2" + ] + }, + "27303333e1": { + "sedan": [ + "3" + ], + "person": [ + "2" + ] + }, + "27659fa7d6": { + "person": [ + "1", + "3" + ] + }, + "279214115d": { + "turtle": [ + "1" + ] + }, + "27a5f92a9c": { + "zebra": [ + "1" + ] + }, + "27cf2af1f3": { + "deer": [ + "1" + ] + }, + "27f0d5f8a2": { + "person": [ + "1", + "2", + "3" + ] + }, + "28075f33c1": { + "crocodile": [ + "1" + ] + }, + "281629cb41": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "282b0d51f5": { + "cow": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "282fcab00b": { + "bird": [ + "1" + ] + }, + "28449fa0dc": { + "monkey": [ + "1", + "2" + ] + }, + "28475208ca": { + "giraffe": [ + "3" + ] + }, + "285580b7c4": { + "fish": [ + "1" + ] + }, + "285b69e223": { + "tiger": [ + "1" + ], + "dog": [ + "2" + ] + }, + "288c117201": { + "person": [ + "1" + ] + }, + "28a8eb9623": { + "monkey": [ + "1", + "2", + "3" + ] + }, + "28bf9c3cf3": { + "lion": [ + "1" + ] + }, + "28c6b8f86a": { + "rabbit": [ + "1" + ] + }, + "28c972dacd": { + "person": [ + "1" + ] + }, + "28d9fa6016": { + "boat": [ + "2" + ], + "person": [ + "1" + ] + }, + "28e392de91": { + "monkey": [ + "1" + ], + "dog": [ + "2" + ] + }, + "28f4a45190": { + "parrot": [ + "1", + "2" + ] + }, + "298c844fc9": { + "horse": [ + "2", + "4" + ], + "person": [ + "1", + "3" + ] + }, + "29a0356a2b": { + "monkey": [ + "1" + ] + }, + "29d779f9e3": { + "person": [ + "1", + "2" + ] + }, + "29dde5f12b": { + "airplane": [ + "1" + ], + "person": [ + "3" + ], + "motorbike": [ + "2" + ] + }, + "29de7b6579": { + "dolphin": [ + "1", + "2" + ] + }, + "29e630bdd0": { + "giant_panda": [ + "1" + ] + }, + "29f2332d30": { + "person": [ + "1" + ] + }, + "2a18873352": { + "dog": [ + "1", + "2" + ] + }, + "2a3824ff31": { + "airplane": [ + "2" + ] + }, + "2a559dd27f": { + "person": [ + "1" + ] + }, + "2a5c09acbd": { + "cow": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "2a63eb1524": {}, + "2a6a30a4ea": { + "ape": [ + "1", + "2" + ] + }, + "2a6d9099d1": { + "person": [ + "1" + ] + }, + "2a821394e3": { + "person": [ + "1", + "2", + "3" + ] + }, + "2a8c5b1342": { + "mouse": [ + "1" + ], + "cat": [ + "2" + ] + }, + "2abc8d66d2": { + "bear": [ + "1", + "2" + ] + }, + "2b08f37364": { + "eagle": [ + "1" + ] + }, + "2b351bfd7d": { + "hedgehog": [ + "1" + ] + }, + "2b659a49d7": { + "person": [ + "1", + "2" + ] + }, + "2b69ee5c26": { + "person": [ + "1" + ] + }, + "2b6c30bbbd": { + "rabbit": [ + "1" + ], + "person": [ + "3" + ] + }, + "2b88561cf2": { + "lizard": [ + "1" + ] + }, + "2b8b14954e": { + "giant_panda": [ + "1" + ] + }, + "2ba621c750": { + "shark": [ + "1" + ] + }, + "2bab50f9a7": { + "fish": [ + "1", + "2" + ] + }, + "2bb00c2434": { + "parrot": [ + "1" + ] + }, + "2bbde474ef": { + "sedan": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "2bdd82fb86": { + "person": [ + "1" + ] + }, + "2be06fb855": { + "motorbike": [ + "3" + ], + "person": [ + "2" + ] + }, + "2bf545c2f5": { + "duck": [ + "1", + "2", + "3", + "4" + ] + }, + "2bffe4cf9a": { + "sheep": [ + "1" + ] + }, + "2c04b887b7": { + "fox": [ + "1", + "2" + ] + }, + "2c05209105": { + "ape": [ + "1" + ] + }, + "2c0ad8cf39": { + "fox": [ + "1" + ] + }, + "2c11fedca8": { + "airplane": [ + "1" + ] + }, + "2c1a94ebfb": { + "person": [ + "1", + "2", + "3" + ] + }, + "2c1e8c8e2f": { + "whale": [ + "1" + ] + }, + "2c29fabcf1": { + "parrot": [ + "1" + ] + }, + "2c2c076c01": { + "tiger": [ + "1" + ] + }, + "2c3ea7ee7d": { + "airplane": [ + "1", + "4" + ], + "person": [ + "3" + ] + }, + "2c41fa0648": { + "truck": [ + "4" + ], + "sedan": [ + "1" + ], + "person": [ + "2" + ], + "bus": [ + "3" + ] + }, + "2c44bb6d1c": { + "person": [ + "1" + ] + }, + "2c54cfbb78": { + "crocodile": [ + "1" + ], + "person": [ + "2" + ] + }, + "2c5537eddf": { + "person": [ + "1" + ] + }, + "2c6e63b7de": { + "person": [ + "1" + ] + }, + "2cb10c6a7e": { + "person": [ + "1", + "2" + ] + }, + "2cbcd5ccd1": { + "tiger": [ + "1" + ] + }, + "2cc5d9c5f6": { + "shark": [ + "1", + "2", + "3" + ] + }, + "2cd01cf915": { + "deer": [ + "1", + "2", + "3" + ] + }, + "2cdbf5f0a7": { + "giant_panda": [ + "1", + "2" + ] + }, + "2ce660f123": { + "person": [ + "1" + ] + }, + "2cf114677e": { + "lizard": [ + "1" + ] + }, + "2d01eef98e": { + "duck": [ + "1", + "2", + "3" + ] + }, + "2d03593bdc": { + "boat": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "2d183ac8c4": { + "shark": [ + "1", + "2" + ] + }, + "2d33ad3935": { + "dog": [ + "1" + ] + }, + "2d3991d83e": { + "lion": [ + "1" + ] + }, + "2d4333577b": { + "person": [ + "1" + ] + }, + "2d4d015c64": {}, + "2d8f5e5025": {}, + "2d900bdb8e": { + "eagle": [ + "1", + "2" + ] + }, + "2db0576a5c": { + "penguin": [ + "1", + "2" + ] + }, + "2dc0838721": { + "parrot": [ + "1" + ] + }, + "2dcc417f82": { + "person": [ + "1" + ] + }, + "2df005b843": { + "person": [ + "1" + ] + }, + "2df356de14": { + "hedgehog": [ + "1", + "2" + ] + }, + "2e00393d96": {}, + "2e03b8127a": { + "duck": [ + "1", + "2" + ] + }, + "2e0f886168": { + "person": [ + "1" + ] + }, + "2e2bf37e6d": { + "lizard": [ + "1" + ] + }, + "2e42410932": { + "train": [ + "1" + ] + }, + "2ea78f46e4": { + "rabbit": [ + "1", + "2" + ] + }, + "2ebb017a26": { + "turtle": [ + "1" + ] + }, + "2ee2edba2a": { + "person": [ + "1" + ] + }, + "2efb07554a": { + "leopard": [ + "1" + ], + "person": [ + "2" + ] + }, + "2f17e4fc1e": { + "train": [ + "1" + ] + }, + "2f2c65c2f3": { + "ape": [ + "1" + ] + }, + "2f2d9b33be": { + "lizard": [ + "1" + ] + }, + "2f309c206b": { + "mouse": [ + "1" + ] + }, + "2f53822e88": { + "person": [ + "1" + ] + }, + "2f53998171": { + "zebra": [ + "1" + ] + }, + "2f5b0c89b1": { + "person": [ + "1" + ] + }, + "2f680909e6": { + "person": [ + "1", + "2" + ] + }, + "2f710f66bd": { + "parrot": [ + "1" + ] + }, + "2f724132b9": { + "cow": [ + "1" + ] + }, + "2f7e3517ae": { + "person": [ + "1", + "2", + "3" + ] + }, + "2f96f5fc6f": { + "person": [ + "1", + "2" + ] + }, + "2f97d9fecb": { + "airplane": [ + "1", + "4" + ], + "person": [ + "2", + "3" + ] + }, + "2fbfa431ec": {}, + "2fc9520b53": { + "monkey": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "2fcd9f4c62": { + "whale": [ + "1" + ] + }, + "2feb30f208": { + "bear": [ + "1" + ] + }, + "2ff7f5744f": { + "mouse": [ + "1" + ] + }, + "30085a2cc6": { + "elephant": [ + "1", + "2" + ] + }, + "30176e3615": { + "giraffe": [ + "1" + ] + }, + "301f72ee11": { + "person": [ + "1" + ] + }, + "3026bb2f61": { + "snake": [ + "1" + ] + }, + "30318465dc": { + "lizard": [ + "1" + ] + }, + "3054ca937d": { + "lizard": [ + "1" + ] + }, + "306121e726": { + "elephant": [ + "1" + ] + }, + "3064ad91e8": { + "monkey": [ + "1", + "2", + "3" + ] + }, + "307444a47f": { + "snail": [ + "1" + ] + }, + "307bbb7409": { + "train": [ + "1" + ] + }, + "30a20194ab": { + "snake": [ + "1" + ] + }, + "30c35c64a4": { + "ape": [ + "1", + "2", + "3" + ] + }, + "30dbdb2cd6": { + "boat": [ + "1" + ], + "dog": [ + "2" + ] + }, + "30fc77d72f": { + "sheep": [ + "1", + "2" + ] + }, + "310021b58b": { + "giraffe": [ + "1", + "2" + ] + }, + "3113140ee8": { + "ape": [ + "1" + ] + }, + "3150b2ee57": { + "owl": [ + "1" + ] + }, + "31539918c4": { + "fish": [ + "2" + ], + "frog": [ + "1" + ] + }, + "318dfe2ce2": { + "owl": [ + "1" + ] + }, + "3193da4835": { + "snake": [ + "1" + ] + }, + "319f725ad9": { + "deer": [ + "1" + ] + }, + "31bbd0d793": { + "sedan": [ + "1" + ] + }, + "322505c47f": { + "rabbit": [ + "1", + "2" + ] + }, + "322b237865": { + "cat": [ + "1" + ] + }, + "322da43910": { + "airplane": [ + "1" + ] + }, + "3245e049fb": { + "person": [ + "1" + ] + }, + "324c4c38f6": { + "leopard": [ + "1", + "2" + ] + }, + "324e35111a": { + "leopard": [ + "1", + "2" + ] + }, + "3252398f09": { + "giant_panda": [ + "1" + ] + }, + "327dc4cabf": { + "giant_panda": [ + "1" + ] + }, + "328d918c7d": { + "earless_seal": [ + "1", + "2" + ] + }, + "3290c0de97": {}, + "3299ae3116": { + "lizard": [ + "1" + ] + }, + "32a7cd687b": { + "fox": [ + "1" + ] + }, + "33098cedb4": { + "cow": [ + "1", + "3" + ], + "person": [ + "2" + ] + }, + "3332334ac4": { + "fox": [ + "1" + ] + }, + "334cb835ac": { + "person": [ + "1" + ] + }, + "3355e056eb": { + "lizard": [ + "1" + ] + }, + "33639a2847": { + "duck": [ + "1" + ] + }, + "3373891cdc": { + "deer": [ + "1" + ] + }, + "337975816b": { + "hedgehog": [ + "1", + "2" + ] + }, + "33e29d7e91": { + "person": [ + "1", + "2" + ] + }, + "34046fe4f2": { + "parrot": [ + "1" + ] + }, + "3424f58959": { + "dolphin": [ + "1", + "2" + ] + }, + "34370a710f": { + "train": [ + "1" + ] + }, + "343bc6a65a": { + "lizard": [ + "1" + ] + }, + "3450382ef7": { + "owl": [ + "1" + ], + "person": [ + "2" + ] + }, + "3454303a08": { + "tiger": [ + "1" + ], + "person": [ + "2" + ] + }, + "346aacf439": { + "monkey": [ + "1" + ] + }, + "346e92ff37": { + "monkey": [ + "1", + "2" + ] + }, + "34a5ece7dd": { + "ape": [ + "1" + ] + }, + "34b109755a": { + "camel": [ + "1" + ] + }, + "34d1b37101": { + "person": [ + "1" + ] + }, + "34dd2c70a7": { + "eagle": [ + "1", + "2" + ] + }, + "34efa703df": { + "rabbit": [ + "1" + ] + }, + "34fbee00a6": { + "hedgehog": [ + "1", + "2" + ] + }, + "3504df2fda": { + "person": [ + "1" + ] + }, + "35195a56a1": { + "mouse": [ + "1" + ] + }, + "351c822748": { + "leopard": [ + "1" + ] + }, + "351cfd6bc5": { + "parrot": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "3543d8334c": { + "fish": [ + "1" + ] + }, + "35573455c7": {}, + "35637a827f": { + "person": [ + "1" + ] + }, + "357a710863": { + "sedan": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "358bf16f9e": { + "person": [ + "1", + "2" + ] + }, + "35ab34cc34": { + "monkey": [ + "1" + ] + }, + "35c6235b8d": { + "person": [ + "1" + ], + "cat": [ + "3" + ] + }, + "35d01a438a": { + "person": [ + "1" + ] + }, + "3605019d3b": { + "bear": [ + "1" + ] + }, + "3609bc3f88": { + "truck": [ + "1" + ] + }, + "360e25da17": { + "boat": [ + "1" + ], + "person": [ + "2" + ] + }, + "36299c687c": { + "train": [ + "1" + ] + }, + "362c5bc56e": { + "person": [ + "1", + "3" + ] + }, + "3649228783": { + "person": [ + "1" + ] + }, + "365b0501ea": { + "cow": [ + "1" + ], + "person": [ + "2" + ] + }, + "365f459863": { + "parrot": [ + "1", + "2" + ] + }, + "369893f3ad": { + "snake": [ + "1" + ] + }, + "369c9977e1": { + "rabbit": [ + "1" + ] + }, + "369dde050a": { + "zebra": [ + "1" + ], + "sedan": [ + "2" + ] + }, + "36c7dac02f": { + "duck": [ + "1", + "2", + "3" + ] + }, + "36d5b1493b": { + "camel": [ + "1", + "2" + ] + }, + "36f5cc68fd": { + "parrot": [ + "1" + ], + "dog": [ + "2" + ] + }, + "3735480d18": { + "snake": [ + "1" + ] + }, + "374b479880": { + "fish": [ + "2" + ], + "dog": [ + "1" + ] + }, + "375a49d38f": { + "ape": [ + "1", + "2" + ] + }, + "375a5c0e09": { + "tiger": [ + "1", + "2" + ] + }, + "376bda9651": { + "person": [ + "1" + ] + }, + "377db65f60": { + "fox": [ + "1", + "2" + ] + }, + "37d4ae24fc": { + "horse": [ + "1", + "2" + ] + }, + "37ddce7f8b": { + "dolphin": [ + "1", + "2", + "3" + ] + }, + "37e10d33af": { + "giant_panda": [ + "1", + "2" + ] + }, + "37e45c6247": { + "person": [ + "1" + ] + }, + "37fa0001e8": { + "person": [ + "1" + ] + }, + "3802d458c0": { + "mouse": [ + "1" + ] + }, + "382caa3cb4": { + "cat": [ + "1" + ] + }, + "383bb93111": { + "cow": [ + "1" + ] + }, + "388843df90": { + "fox": [ + "1" + ] + }, + "38924f4a7f": { + "owl": [ + "1" + ] + }, + "38b00f93d7": { + "duck": [ + "1", + "2", + "3" + ] + }, + "38c197c10e": { + "person": [ + "2" + ], + "dog": [ + "1", + "3" + ] + }, + "38c9c3d801": { + "giant_panda": [ + "1" + ] + }, + "38eb2bf67f": { + "bird": [ + "3" + ] + }, + "38fe9b3ed1": { + "person": [ + "1" + ] + }, + "390352cced": { + "fish": [ + "1", + "2" + ] + }, + "390c51b987": { + "cow": [ + "2" + ], + "person": [ + "1" + ] + }, + "390ca6f1d6": { + "snail": [ + "1" + ] + }, + "392bc0f8a1": { + "person": [ + "1", + "3" + ] + }, + "392ecb43bd": { + "sedan": [ + "1", + "2" + ] + }, + "3935291688": { + "sheep": [ + "1", + "2" + ] + }, + "3935e63b41": { + "whale": [ + "1" + ] + }, + "394454fa9c": { + "person": [ + "1", + "2" + ] + }, + "394638fc8b": { + "ape": [ + "2", + "3", + "4" + ], + "person": [ + "1" + ] + }, + "39545e20b7": { + "lizard": [ + "1" + ] + }, + "397abeae8f": { + "parrot": [ + "1" + ] + }, + "3988074b88": { + "sedan": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "398f5d5f19": { + "shark": [ + "1" + ] + }, + "39bc49a28c": { + "parrot": [ + "1" + ] + }, + "39befd99fb": { + "lizard": [ + "1" + ] + }, + "39c3c7bf55": { + "frog": [ + "1" + ] + }, + "39d584b09f": { + "sedan": [ + "1" + ] + }, + "39f6f6ffb1": { + "monkey": [ + "1" + ] + }, + "3a079fb484": { + "person": [ + "1", + "2" + ] + }, + "3a0d3a81b7": { + "person": [ + "1" + ] + }, + "3a1d55d22b": { + "zebra": [ + "1" + ] + }, + "3a20a7583e": { + "giraffe": [ + "1" + ] + }, + "3a2c1f66e5": { + "leopard": [ + "1", + "2", + "3", + "4" + ] + }, + "3a33f4d225": { + "hedgehog": [ + "1", + "2", + "3" + ] + }, + "3a3bf84b13": { + "rabbit": [ + "1" + ], + "person": [ + "2" + ] + }, + "3a4565e5ec": { + "lizard": [ + "1", + "2", + "3" + ] + }, + "3a4e32ed5e": { + "earless_seal": [ + "1", + "2", + "3" + ] + }, + "3a7ad86ce0": { + "frog": [ + "1" + ] + }, + "3a7bdde9b8": { + "dolphin": [ + "1", + "2" + ] + }, + "3a98867cbe": { + "person": [ + "1", + "2", + "3" + ] + }, + "3aa3f1c9e8": { + "person": [ + "1", + "2" + ] + }, + "3aa7fce8b6": { + "person": [ + "1", + "2", + "3" + ] + }, + "3aa876887d": { + "elephant": [ + "1", + "4" + ], + "person": [ + "2" + ] + }, + "3ab807ded6": {}, + "3ab9b1a85a": { + "horse": [ + "1", + "2", + "3" + ] + }, + "3adac8d7da": { + "monkey": [ + "1" + ] + }, + "3ae1a4016f": { + "elephant": [ + "2" + ], + "person": [ + "1" + ] + }, + "3ae2deaec2": { + "person": [ + "1" + ] + }, + "3ae81609d6": { + "person": [ + "1" + ], + "motorbike": [ + "2" + ] + }, + "3af847e62f": { + "person": [ + "1", + "2", + "3" + ] + }, + "3b23792b84": { + "lion": [ + "1" + ] + }, + "3b3b0af2ee": { + "deer": [ + "1", + "2", + "3", + "4" + ] + }, + "3b512dad74": { + "parrot": [ + "1" + ] + }, + "3b6c7988f6": { + "cow": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "3b6e983b5b": { + "deer": [ + "1" + ] + }, + "3b74a0fc20": { + "fish": [ + "1", + "2" + ] + }, + "3b7a50b80d": { + "leopard": [ + "1" + ] + }, + "3b96d3492f": {}, + "3b9ad0c5a9": { + "deer": [ + "1" + ] + }, + "3b9ba0894a": { + "snail": [ + "1" + ] + }, + "3bb4e10ed7": { + "eagle": [ + "1" + ], + "person": [ + "2" + ] + }, + "3bd9a9b515": { + "giant_panda": [ + "1", + "2" + ] + }, + "3beef45388": { + "cat": [ + "1", + "2" + ] + }, + "3c019c0a24": { + "person": [ + "2" + ] + }, + "3c090704aa": { + "person": [ + "1" + ] + }, + "3c2784fc0d": { + "deer": [ + "1" + ] + }, + "3c47ab95f8": { + "person": [ + "1" + ] + }, + "3c4db32d74": { + "person": [ + "1", + "2", + "3" + ] + }, + "3c5ff93faf": { + "person": [ + "1" + ] + }, + "3c700f073e": { + "duck": [ + "1", + "2" + ] + }, + "3c713cbf2f": { + "sedan": [ + "1", + "2" + ] + }, + "3c8320669c": { + "person": [ + "2", + "4" + ] + }, + "3c90d225ee": { + "dolphin": [ + "1" + ] + }, + "3cadbcc404": { + "motorbike": [ + "2" + ] + }, + "3cb9be84a5": { + "ape": [ + "1", + "2", + "3" + ] + }, + "3cc37fd487": { + "person": [ + "1", + "2", + "3" + ] + }, + "3cc6f90cb2": { + "elephant": [ + "1" + ] + }, + "3cd5e035ef": { + "lizard": [ + "1" + ] + }, + "3cdf03531b": { + "snake": [ + "1" + ] + }, + "3cdf828f59": { + "turtle": [ + "1" + ] + }, + "3d254b0bca": { + "lion": [ + "1", + "2" + ] + }, + "3d5aeac5ba": { + "person": [ + "1", + "2" + ] + }, + "3d690473e1": { + "ape": [ + "1", + "2" + ] + }, + "3d69fed2fb": { + "bus": [ + "1" + ] + }, + "3d8997aeb6": { + "bird": [ + "1", + "2", + "3" + ] + }, + "3db0d6b07e": { + "train": [ + "1" + ] + }, + "3db1ddb8cf": { + "sheep": [ + "1", + "2" + ] + }, + "3db907ac77": { + "giant_panda": [ + "1" + ] + }, + "3dcbc0635b": { + "person": [ + "2" + ] + }, + "3dd48ed55f": { + "person": [ + "2", + "3" + ] + }, + "3de4ac4ec4": { + "dog": [ + "1" + ] + }, + "3decd63d88": { + "fish": [ + "1" + ] + }, + "3e04a6be11": { + "snake": [ + "1", + "2" + ] + }, + "3e108fb65a": { + "sedan": [ + "2" + ], + "bus": [ + "1", + "3" + ] + }, + "3e1448b01c": { + "raccoon": [ + "1" + ] + }, + "3e16c19634": { + "crocodile": [ + "1" + ] + }, + "3e2845307e": { + "turtle": [ + "1" + ], + "person": [ + "2" + ] + }, + "3e38336da5": { + "sedan": [ + "3" + ], + "person": [ + "2" + ] + }, + "3e3a819865": { + "rabbit": [ + "1" + ] + }, + "3e3e4be915": { + "person": [ + "1", + "2" + ] + }, + "3e680622d7": { + "giant_panda": [ + "1", + "2" + ] + }, + "3e7d2aeb07": { + "parrot": [ + "1" + ], + "person": [ + "2" + ] + }, + "3e7d8f363d": { + "snail": [ + "1", + "2" + ] + }, + "3ea4c49bbe": { + "ape": [ + "1" + ] + }, + "3eb39d11ab": { + "fish": [ + "1", + "2", + "3", + "4" + ] + }, + "3ec273c8d5": { + "zebra": [ + "2" + ], + "person": [ + "1" + ] + }, + "3ed3f91271": { + "person": [ + "1" + ] + }, + "3ee062a2fd": { + "giant_panda": [ + "1" + ] + }, + "3eede9782c": { + "tiger": [ + "1" + ] + }, + "3ef2fa99cb": { + "owl": [ + "1", + "2" + ] + }, + "3efc6e9892": { + "horse": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "3f0b0dfddd": { + "elephant": [ + "2" + ], + "person": [ + "1", + "3" + ] + }, + "3f0c860359": { + "person": [ + "1", + "2", + "3" + ] + }, + "3f18728586": { + "raccoon": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "3f3b15f083": { + "cat": [ + "1" + ] + }, + "3f4f3bc803": { + "deer": [ + "1", + "2", + "3", + "4" + ] + }, + "3fd96c5267": { + "airplane": [ + "1" + ] + }, + "3fea675fab": { + "airplane": [ + "1" + ], + "person": [ + "2" + ] + }, + "3fee8cbc9f": { + "person": [ + "1" + ] + }, + "3fff16d112": { + "leopard": [ + "1" + ] + }, + "401888b36c": { + "ape": [ + "1" + ] + }, + "4019231330": { + "person": [ + "1", + "3", + "5" + ] + }, + "402316532d": { + "person": [ + "2" + ], + "snake": [ + "1" + ] + }, + "402680df52": { + "snail": [ + "1" + ] + }, + "404d02e0c0": { + "fox": [ + "1" + ] + }, + "40709263a8": {}, + "4083cfbe15": { + "person": [ + "2", + "3" + ] + }, + "40a96c5cb1": { + "elephant": [ + "1", + "2" + ] + }, + "40b8e50f82": { + "giant_panda": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "40f4026bf5": { + "fish": [ + "1", + "2", + "3", + "4" + ] + }, + "4100b57a3a": { + "person": [ + "1" + ] + }, + "41059fdd0b": { + "giant_panda": [ + "1" + ] + }, + "41124e36de": { + "lizard": [ + "1" + ] + }, + "4122aba5f9": { + "person": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "413bab0f0d": { + "cow": [ + "1" + ] + }, + "4164faee0b": { + "monkey": [ + "1" + ] + }, + "418035eec9": { + "snake": [ + "1" + ] + }, + "4182d51532": { + "person": [ + "1" + ] + }, + "418bb97e10": { + "person": [ + "1" + ] + }, + "41a34c20e7": { + "person": [ + "1", + "2" + ] + }, + "41dab05200": { + "person": [ + "1", + "2" + ] + }, + "41ff6d5e2a": { + "truck": [ + "1" + ], + "sedan": [ + "2" + ] + }, + "420caf0859": { + "zebra": [ + "1", + "2", + "3", + "4" + ] + }, + "42264230ba": {}, + "425a0c96e0": { + "airplane": [ + "1" + ] + }, + "42da96b87c": { + "parrot": [ + "1" + ] + }, + "42eb5a5b0f": { + "snake": [ + "1" + ] + }, + "42f17cd14d": { + "cow": [ + "1" + ] + }, + "42f5c61c49": { + "person": [ + "2" + ] + }, + "42ffdcdee9": { + "person": [ + "2" + ], + "lizard": [ + "1" + ] + }, + "432f9884f9": { + "person": [ + "1" + ] + }, + "43326d9940": { + "lion": [ + "1", + "2" + ] + }, + "4350f3ab60": { + "lizard": [ + "1", + "2", + "3" + ] + }, + "4399ffade3": { + "camel": [ + "1" + ], + "cow": [ + "3" + ], + "person": [ + "2" + ] + }, + "43a6c21f37": { + "lion": [ + "1" + ] + }, + "43b5555faa": { + "ape": [ + "1" + ] + }, + "43d63b752a": { + "person": [ + "1" + ] + }, + "4416bdd6ac": { + "parrot": [ + "1", + "2", + "3" + ] + }, + "4444753edd": { + "person": [ + "1", + "2" + ] + }, + "444aa274e7": { + "lizard": [ + "1" + ] + }, + "444d4e0596": { + "owl": [ + "1" + ], + "person": [ + "2" + ] + }, + "446b8b5f7a": { + "giant_panda": [ + "1", + "2" + ] + }, + "4478f694bb": { + "motorbike": [ + "1", + "2", + "3" + ] + }, + "44b1da0d87": { + "train": [ + "1" + ], + "sedan": [ + "2", + "3" + ] + }, + "44b4dad8c9": {}, + "44b5ece1b9": { + "parrot": [ + "1" + ] + }, + "44d239b24e": { + "person": [ + "1" + ], + "motorbike": [ + "2" + ] + }, + "44eaf8f51e": { + "ape": [ + "1" + ] + }, + "44f4f57099": { + "cow": [ + "1" + ] + }, + "44f7422af2": { + "crocodile": [ + "1" + ] + }, + "450787ac97": { + "parrot": [ + "1" + ] + }, + "4523656564": { + "cat": [ + "1", + "2" + ] + }, + "4536c882e5": { + "person": [ + "1" + ] + }, + "453b65daa4": { + "mouse": [ + "1" + ] + }, + "454f227427": { + "person": [ + "1", + "2", + "3" + ] + }, + "45636d806a": { + "frog": [ + "1" + ] + }, + "456fb9362e": { + "giant_panda": [ + "1", + "2", + "3" + ] + }, + "457e717a14": { + "person": [ + "1" + ] + }, + "45a89f35e1": { + "rabbit": [ + "1", + "2", + "3", + "4" + ] + }, + "45bf0e947d": { + "sheep": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "45c36a9eab": { + "camel": [ + "1", + "2" + ] + }, + "45d9fc1357": { + "shark": [ + "1" + ] + }, + "45f8128b97": { + "person": [ + "1" + ] + }, + "4607f6c03c": { + "person": [ + "1", + "2", + "3" + ] + }, + "46146dfd39": { + "person": [ + "1", + "2", + "3" + ] + }, + "4620e66b1e": { + "frog": [ + "1", + "2", + "3" + ] + }, + "4625f3f2d3": { + "bird": [ + "1", + "2" + ] + }, + "462b22f263": { + "sedan": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "4634736113": { + "dolphin": [ + "1" + ] + }, + "463c0f4fdd": { + "rabbit": [ + "1" + ] + }, + "46565a75f8": { + "motorbike": [ + "2" + ] + }, + "46630b55ae": { + "person": [ + "1" + ] + }, + "466839cb37": { + "sheep": [ + "1" + ] + }, + "466ba4ae0c": { + "duck": [ + "1", + "2", + "3", + "4" + ] + }, + "4680236c9d": { + "penguin": [ + "1", + "2" + ] + }, + "46bf4e8709": { + "sedan": [ + "1", + "2", + "3" + ] + }, + "46e18e42f1": { + "sheep": [ + "1", + "2", + "3", + "4" + ] + }, + "46f5093c59": { + "sheep": [ + "1" + ] + }, + "47269e0499": { + "dog": [ + "1" + ] + }, + "472da1c484": { + "lizard": [ + "1" + ] + }, + "47354fab09": { + "eagle": [ + "1" + ] + }, + "4743bb84a7": { + "person": [ + "1", + "2", + "3" + ] + }, + "474a796272": { + "frog": [ + "1" + ] + }, + "4783d2ab87": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "479cad5da3": { + "rabbit": [ + "1" + ] + }, + "479f5d7ef6": { + "cow": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "47a05fbd1d": { + "giraffe": [ + "1", + "2", + "3", + "4" + ] + }, + "4804ee2767": { + "dog": [ + "1", + "2", + "3", + "4" + ] + }, + "4810c3fbca": { + "dolphin": [ + "1" + ] + }, + "482fb439c2": { + "rabbit": [ + "1" + ] + }, + "48375af288": { + "person": [ + "1" + ] + }, + "484ab44de4": { + "airplane": [ + "1" + ] + }, + "485f3944cd": { + "person": [ + "1", + "2", + "3" + ] + }, + "4867b84887": { + "mouse": [ + "1" + ] + }, + "486a8ac57e": { + "snake": [ + "1" + ] + }, + "486e69c5bd": { + "leopard": [ + "1" + ] + }, + "48812cf33e": { + "snake": [ + "1" + ] + }, + "4894b3b9ea": { + "frog": [ + "1" + ] + }, + "48bd66517d": { + "squirrel": [ + "1" + ] + }, + "48d83b48a4": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "4918d10ff0": { + "cat": [ + "1", + "2", + "3" + ] + }, + "4932911f80": { + "person": [ + "1" + ], + "motorbike": [ + "2" + ] + }, + "49405b7900": { + "deer": [ + "1" + ] + }, + "49972c2d14": { + "person": [ + "1" + ], + "dog": [ + "3" + ] + }, + "499bf07002": { + "airplane": [ + "2" + ], + "sedan": [ + "1" + ] + }, + "49b16e9377": { + "person": [ + "1" + ] + }, + "49c104258e": { + "lizard": [ + "1", + "2" + ] + }, + "49c879f82d": { + "sedan": [ + "2" + ], + "giraffe": [ + "1", + "3" + ] + }, + "49e7326789": { + "sheep": [ + "1", + "2" + ] + }, + "49ec3e406a": { + "person": [ + "1" + ], + "dog": [ + "2" + ] + }, + "49fbf0c98a": { + "person": [ + "2", + "3", + "4" + ], + "dog": [ + "1" + ] + }, + "4a0255c865": { + "ape": [ + "1", + "2" + ] + }, + "4a088fe99a": { + "cat": [ + "1" + ], + "dog": [ + "2" + ] + }, + "4a341402d0": { + "rabbit": [ + "1", + "2" + ] + }, + "4a3471bdf5": { + "elephant": [ + "1", + "2" + ], + "giraffe": [ + "3" + ] + }, + "4a4b50571c": { + "person": [ + "2" + ] + }, + "4a50f3d2e9": { + "truck": [ + "1" + ], + "sedan": [ + "2", + "3", + "4" + ] + }, + "4a6e3faaa1": { + "fish": [ + "1", + "2" + ] + }, + "4a7191f08a": { + "person": [ + "1" + ] + }, + "4a86fcfc30": { + "rabbit": [ + "1" + ] + }, + "4a885fa3ef": { + "parrot": [ + "1" + ] + }, + "4aa2e0f865": { + "tiger": [ + "1" + ] + }, + "4aa9d6527f": { + "rabbit": [ + "1" + ] + }, + "4abb74bb52": { + "zebra": [ + "1" + ] + }, + "4ae13de1cd": { + "person": [ + "1", + "3" + ] + }, + "4af8cb323f": { + "giant_panda": [ + "1", + "2" + ] + }, + "4b02c272b3": { + "turtle": [ + "1", + "2" + ] + }, + "4b19c529fb": { + "parrot": [ + "1" + ] + }, + "4b2974eff4": { + "snake": [ + "1" + ] + }, + "4b3154c159": { + "duck": [ + "1", + "2" + ] + }, + "4b54d2587f": { + "dolphin": [ + "1" + ] + }, + "4b556740ff": { + "owl": [ + "1", + "2" + ] + }, + "4b67aa9ef6": { + "snake": [ + "1", + "2" + ] + }, + "4b97cc7b8d": { + "cat": [ + "1", + "2" + ] + }, + "4baa1ed4aa": { + "truck": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "4bc8c676bb": { + "bus": [ + "1" + ] + }, + "4beaea4dbe": { + "leopard": [ + "1", + "2" + ] + }, + "4bf5763d24": { + "elephant": [ + "4" + ], + "person": [ + "1", + "2", + "3" + ] + }, + "4bffa92b67": { + "snake": [ + "1" + ] + }, + "4c25dfa8ec": {}, + "4c397b6fd4": { + "turtle": [ + "1" + ] + }, + "4c51e75d66": { + "person": [ + "2" + ] + }, + "4c7710908f": { + "parrot": [ + "1" + ] + }, + "4c9b5017be": { + "lizard": [ + "1" + ] + }, + "4ca2ffc361": { + "train": [ + "1" + ] + }, + "4cad2e93bc": { + "fox": [ + "1" + ] + }, + "4cd427b535": { + "fish": [ + "1", + "2", + "3" + ] + }, + "4cd9a4b1ef": { + "shark": [ + "1" + ] + }, + "4cdfe3c2b2": { + "shark": [ + "1" + ] + }, + "4cef87b649": { + "giant_panda": [ + "1", + "2" + ] + }, + "4cf208e9b3": { + "person": [ + "1" + ] + }, + "4cf5bc3e60": { + "boat": [ + "1" + ], + "person": [ + "3", + "4" + ] + }, + "4cfdd73249": { + "mouse": [ + "1" + ] + }, + "4cff5c9e42": { + "rabbit": [ + "1" + ], + "dog": [ + "2" + ] + }, + "4d26d41091": { + "sedan": [ + "2" + ], + "bus": [ + "1" + ] + }, + "4d5c23c554": { + "parrot": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "4d67c59727": { + "tiger": [ + "1" + ] + }, + "4d983cad9f": { + "ape": [ + "1" + ] + }, + "4da0d00b55": { + "ape": [ + "1" + ] + }, + "4daa179861": { + "elephant": [ + "1" + ] + }, + "4dadd57153": { + "sedan": [ + "2" + ], + "person": [ + "1" + ] + }, + "4db117e6c5": { + "person": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "4de4ce4dea": { + "giant_panda": [ + "1", + "2" + ] + }, + "4dfaee19e5": { + "rabbit": [ + "1" + ] + }, + "4dfdd7fab0": { + "person": [ + "1" + ] + }, + "4e3f346aa5": { + "cat": [ + "1" + ] + }, + "4e49c2a9c7": { + "turtle": [ + "1" + ], + "person": [ + "2" + ] + }, + "4e4e06a749": { + "giant_panda": [ + "1", + "2" + ] + }, + "4e70279712": { + "sedan": [ + "2" + ], + "person": [ + "3" + ], + "bus": [ + "1" + ] + }, + "4e72856cc7": { + "person": [ + "1", + "2", + "3" + ] + }, + "4e752f8075": { + "rabbit": [ + "1", + "2", + "3", + "4" + ] + }, + "4e7a28907f": { + "train": [ + "1" + ] + }, + "4e824b9247": { + "tiger": [ + "1", + "2", + "3" + ] + }, + "4e82b1df57": { + "ape": [ + "1", + "2" + ] + }, + "4e87a639bc": { + "leopard": [ + "1", + "2", + "3" + ] + }, + "4ea77bfd15": { + "snail": [ + "1", + "2" + ] + }, + "4eb6fc23a2": { + "giant_panda": [ + "1" + ] + }, + "4ec9da329e": { + "boat": [ + "1" + ], + "person": [ + "3" + ] + }, + "4efb9a0720": { + "fish": [ + "1" + ] + }, + "4f062fbc63": { + "motorbike": [ + "3", + "4" + ], + "person": [ + "2" + ] + }, + "4f35be0e0b": {}, + "4f37e86797": { + "giraffe": [ + "1" + ] + }, + "4f414dd6e7": { + "person": [ + "1" + ] + }, + "4f424abded": { + "giant_panda": [ + "1" + ] + }, + "4f470cc3ae": { + "lizard": [ + "1", + "2" + ] + }, + "4f601d255a": { + "fox": [ + "1", + "2", + "3" + ] + }, + "4f7386a1ab": { + "turtle": [ + "1" + ] + }, + "4f824d3dcd": { + "bear": [ + "1" + ] + }, + "4f827b0751": { + "lizard": [ + "1", + "2", + "3" + ] + }, + "4f8db33a13": { + "rabbit": [ + "1", + "2" + ] + }, + "4fa160f8a3": { + "giant_panda": [ + "1" + ] + }, + "4fa9c30a45": { + "rabbit": [ + "1" + ] + }, + "4facd8f0e8": { + "cat": [ + "1" + ], + "mouse": [ + "2" + ] + }, + "4fca07ad01": { + "person": [ + "1", + "3" + ], + "motorbike": [ + "2" + ] + }, + "4fded94004": { + "camel": [ + "1" + ] + }, + "4fdfef4dea": { + "person": [ + "1", + "3" + ] + }, + "4feb3ac01f": { + "horse": [ + "2" + ], + "person": [ + "1" + ] + }, + "4fffec8479": { + "dog": [ + "1" + ] + }, + "500c835a86": { + "person": [ + "1" + ] + }, + "50168342bf": { + "lizard": [ + "1" + ] + }, + "50243cffdc": { + "turtle": [ + "1" + ], + "person": [ + "2" + ] + }, + "5031d5a036": { + "duck": [ + "1" + ] + }, + "504dd9c0fd": { + "person": [ + "1" + ] + }, + "50568fbcfb": { + "deer": [ + "1" + ] + }, + "5069c7c5b3": { + "sheep": [ + "1" + ] + }, + "508189ac91": { + "person": [ + "1" + ] + }, + "50b6b3d4b7": { + "zebra": [ + "1" + ] + }, + "50c6f4fe3e": { + "person": [ + "1", + "3" + ] + }, + "50cce40173": { + "eagle": [ + "1" + ] + }, + "50efbe152f": { + "person": [ + "1" + ] + }, + "50f290b95d": { + "duck": [ + "1" + ], + "bird": [ + "2" + ] + }, + "5104aa1fea": { + "person": [ + "2" + ] + }, + "5110dc72c0": { + "person": [ + "1" + ] + }, + "511e8ecd7f": { + "mouse": [ + "1", + "2" + ] + }, + "513aada14e": { + "dog": [ + "1", + "2" + ] + }, + "5158d6e985": { + "parrot": [ + "1", + "2", + "3" + ] + }, + "5161e1fa57": { + "turtle": [ + "1" + ] + }, + "51794ddd58": { + "truck": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "517d276725": { + "sedan": [ + "2" + ], + "dog": [ + "1" + ] + }, + "51a597ee04": { + "person": [ + "1" + ] + }, + "51b37b6d97": { + "airplane": [ + "1" + ] + }, + "51b5dc30a0": { + "truck": [ + "1" + ] + }, + "51e85b347b": { + "person": [ + "1", + "2" + ], + "motorbike": [ + "3" + ] + }, + "51eea1fdac": { + "giant_panda": [ + "1", + "2" + ] + }, + "51eef778af": { + "turtle": [ + "1" + ] + }, + "51f384721c": { + "tiger": [ + "1" + ] + }, + "521cfadcb4": { + "lizard": [ + "1" + ] + }, + "52355da42f": { + "bear": [ + "1" + ] + }, + "5247d4b160": { + "person": [ + "1" + ] + }, + "524b470fd0": { + "person": [ + "1" + ] + }, + "524cee1534": { + "motorbike": [ + "3", + "4" + ], + "person": [ + "2" + ] + }, + "5252195e8a": { + "cat": [ + "2" + ], + "squirrel": [ + "1" + ] + }, + "5255c9ca97": { + "fish": [ + "1" + ] + }, + "525928f46f": { + "bear": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "526df007a7": { + "duck": [ + "1", + "2" + ] + }, + "529b12de78": { + "sedan": [ + "1", + "2", + "3" + ] + }, + "52c7a3d653": { + "duck": [ + "1" + ] + }, + "52c8ec0373": { + "person": [ + "1", + "2" + ] + }, + "52d225ed52": { + "person": [ + "1" + ] + }, + "52ee406d9e": { + "owl": [ + "1" + ] + }, + "52ff1ccd4a": { + "parrot": [ + "1", + "2" + ] + }, + "53143511e8": { + "giant_panda": [ + "1" + ] + }, + "5316d11eb7": { + "bird": [ + "1", + "2" + ] + }, + "53253f2362": { + "fish": [ + "1", + "2" + ] + }, + "534a560609": { + "train": [ + "1" + ] + }, + "5352c4a70e": { + "person": [ + "2", + "3" + ] + }, + "536096501f": { + "cat": [ + "1", + "2", + "3" + ] + }, + "536b17bcea": { + "turtle": [ + "1" + ] + }, + "5380eaabff": { + "fish": [ + "1" + ] + }, + "5390a43a54": { + "parrot": [ + "1" + ] + }, + "53af427bb2": { + "person": [ + "1", + "3" + ], + "motorbike": [ + "2" + ] + }, + "53bf5964ce": { + "ape": [ + "1", + "2" + ] + }, + "53c30110b5": { + "sedan": [ + "3" + ], + "person": [ + "2" + ] + }, + "53cad8e44a": { + "monkey": [ + "1" + ] + }, + "53d9c45013": { + "person": [ + "1", + "2", + "3" + ] + }, + "53e274f1b5": { + "ape": [ + "1" + ] + }, + "53e32d21ea": {}, + "540850e1c7": { + "person": [ + "1", + "2", + "3" + ] + }, + "540cb31cfe": { + "snake": [ + "1" + ] + }, + "541c4da30f": { + "person": [ + "1", + "2", + "3" + ] + }, + "541d7935d7": { + "parrot": [ + "1" + ] + }, + "545468262b": { + "giant_panda": [ + "1" + ] + }, + "5458647306": { + "fish": [ + "1", + "2", + "3" + ] + }, + "54657855cd": { + "person": [ + "1", + "2", + "3" + ] + }, + "547b3fb23b": { + "lion": [ + "1" + ] + }, + "5497dc3712": { + "dolphin": [ + "1" + ] + }, + "549c56f1d4": { + "person": [ + "1" + ] + }, + "54a4260bb1": { + "person": [ + "2" + ], + "lizard": [ + "1" + ] + }, + "54b98b8d5e": { + "person": [ + "1" + ], + "motorbike": [ + "2" + ] + }, + "54e1054b0f": { + "sedan": [ + "1" + ] + }, + "54e8867b83": { + "camel": [ + "1" + ] + }, + "54ebe34f6e": { + "person": [ + "1" + ] + }, + "5519b4ad13": { + "leopard": [ + "1", + "2" + ] + }, + "551acbffd5": { + "ape": [ + "1", + "2" + ] + }, + "55341f42da": { + "person": [ + "1" + ] + }, + "5566ab97e1": { + "tiger": [ + "1" + ] + }, + "556c79bbf2": { + "owl": [ + "1" + ] + }, + "5589637cc4": { + "person": [ + "1" + ] + }, + "558aa072f0": { + "rabbit": [ + "1" + ] + }, + "559824b6f6": { + "sedan": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "55c1764e90": { + "ape": [ + "1", + "2" + ] + }, + "55eda6c77e": { + "ape": [ + "1" + ] + }, + "562d173565": { + "ape": [ + "1" + ] + }, + "5665c024cb": { + "train": [ + "2" + ], + "person": [ + "1" + ] + }, + "566cef4959": { + "person": [ + "1", + "2" + ] + }, + "5675d78833": { + "giant_panda": [ + "1", + "2" + ] + }, + "5678a91bd8": { + "parrot": [ + "1" + ], + "person": [ + "2" + ] + }, + "567a2b4bd0": { + "owl": [ + "1" + ] + }, + "569c282890": { + "squirrel": [ + "1" + ] + }, + "56cc449917": { + "eagle": [ + "1" + ] + }, + "56e71f3e07": { + "monkey": [ + "1" + ] + }, + "56f09b9d92": { + "owl": [ + "1" + ] + }, + "56fc0e8cf9": { + "lizard": [ + "1", + "2" + ] + }, + "571ca79c71": { + "person": [ + "1" + ] + }, + "57243657cf": {}, + "57246af7d1": { + "sedan": [ + "1" + ] + }, + "57427393e9": { + "truck": [ + "1", + "2" + ] + }, + "574b682c19": { + "giant_panda": [ + "1" + ] + }, + "578f211b86": { + "parrot": [ + "1" + ] + }, + "5790ac295d": { + "cat": [ + "1" + ], + "squirrel": [ + "2" + ] + }, + "579393912d": { + "leopard": [ + "1" + ] + }, + "57a344ab1a": { + "whale": [ + "1" + ] + }, + "57bd3bcda4": { + "squirrel": [ + "1" + ] + }, + "57bfb7fa4c": { + "person": [ + "1" + ] + }, + "57c010175e": { + "monkey": [ + "1", + "2", + "3", + "4" + ] + }, + "57c457cc75": { + "parrot": [ + "1" + ] + }, + "57c7fc2183": { + "parrot": [ + "1", + "2" + ] + }, + "57d5289a01": { + "bus": [ + "1", + "2", + "3", + "4" + ] + }, + "58045fde85": { + "motorbike": [ + "1" + ], + "person": [ + "3" + ] + }, + "58163c37cd": { + "fox": [ + "1", + "2", + "3" + ] + }, + "582d463e5c": { + "rabbit": [ + "1" + ] + }, + "5851739c15": { + "parrot": [ + "1" + ] + }, + "585dd0f208": { + "monkey": [ + "1", + "2" + ] + }, + "587250f3c3": { + "monkey": [ + "1" + ] + }, + "589e4cc1de": { + "squirrel": [ + "1" + ] + }, + "589f65f5d5": { + "dolphin": [ + "1", + "2" + ] + }, + "58a07c17d5": { + "monkey": [ + "1" + ] + }, + "58adc6d8b6": { + "airplane": [ + "1" + ] + }, + "58b9bcf656": { + "person": [ + "1", + "3" + ], + "dog": [ + "2" + ] + }, + "58c374917e": { + "deer": [ + "1", + "2" + ] + }, + "58fc75fd42": { + "cat": [ + "1" + ] + }, + "5914c30f05": { + "giraffe": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "59323787d5": { + "shark": [ + "1" + ] + }, + "5937b08d69": { + "elephant": [ + "1", + "2", + "3", + "4" + ] + }, + "594065ddd7": { + "truck": [ + "1" + ] + }, + "595a0ceea6": { + "bus": [ + "1" + ] + }, + "59623ec40b": { + "person": [ + "1", + "2", + "3" + ] + }, + "597ff7ef78": { + "fox": [ + "1" + ] + }, + "598c2ad3b2": { + "lizard": [ + "1" + ] + }, + "59a6459751": { + "giant_panda": [ + "1", + "2" + ] + }, + "59b175e138": { + "person": [ + "1", + "2", + "3" + ] + }, + "59bf0a149f": { + "person": [ + "1" + ] + }, + "59d53d1649": { + "parrot": [ + "1", + "2" + ] + }, + "59e3e6fae7": { + "whale": [ + "1" + ] + }, + "59fe33e560": { + "deer": [ + "1" + ] + }, + "5a13a73fe5": { + "cow": [ + "1", + "3" + ], + "person": [ + "2" + ] + }, + "5a25c22770": { + "snake": [ + "1" + ] + }, + "5a4a785006": { + "sedan": [ + "1", + "2", + "3" + ] + }, + "5a50640995": { + "duck": [ + "1", + "2", + "3", + "4", + "5" + ], + "person": [ + "6" + ] + }, + "5a75f7a1cf": { + "person": [ + "1", + "2", + "3" + ] + }, + "5a841e59ad": { + "parrot": [ + "1" + ] + }, + "5a91c5ab6d": { + "lizard": [ + "1" + ] + }, + "5ab49d9de0": { + "person": [ + "1", + "2", + "3" + ] + }, + "5aba1057fe": { + "giant_panda": [ + "1" + ], + "person": [ + "2" + ] + }, + "5abe46ba6d": { + "train": [ + "1", + "2" + ] + }, + "5ac7c88d0c": { + "parrot": [ + "1" + ] + }, + "5aeb95cc7d": { + "truck": [ + "3" + ], + "sedan": [ + "1", + "2" + ] + }, + "5af15e4fc3": { + "duck": [ + "1", + "2" + ] + }, + "5afe381ae4": { + "boat": [ + "1" + ] + }, + "5b07b4229d": { + "person": [ + "2" + ], + "motorbike": [ + "1" + ] + }, + "5b1001cc4f": { + "lizard": [ + "1" + ] + }, + "5b1df237d2": { + "rabbit": [ + "1" + ] + }, + "5b263013bf": { + "sedan": [ + "1" + ] + }, + "5b27d19f0b": { + "person": [ + "3" + ] + }, + "5b48ae16c5": { + "giraffe": [ + "1" + ] + }, + "5b5babc719": { + "shark": [ + "1" + ] + }, + "5baaebdf00": { + "fish": [ + "1", + "2", + "3", + "4" + ] + }, + "5bab55cdbe": { + "lion": [ + "1" + ] + }, + "5bafef6e79": { + "sedan": [ + "1" + ] + }, + "5bc77844da": { + "lion": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "5bd1f84545": { + "owl": [ + "1", + "2" + ] + }, + "5bddc3ba25": { + "squirrel": [ + "1" + ], + "dog": [ + "2" + ] + }, + "5bdf7c20d2": { + "frog": [ + "1" + ] + }, + "5bf23bc9d3": { + "monkey": [ + "1" + ] + }, + "5c01f6171a": { + "squirrel": [ + "1" + ] + }, + "5c021681b7": { + "cow": [ + "2" + ], + "person": [ + "1" + ] + }, + "5c185cff1d": { + "sheep": [ + "1" + ] + }, + "5c42aba280": { + "snake": [ + "1" + ] + }, + "5c44bf8ab6": { + "parrot": [ + "1" + ] + }, + "5c4c574894": { + "monkey": [ + "1" + ] + }, + "5c52fa4662": { + "person": [ + "1", + "2" + ] + }, + "5c6ea7dac3": { + "horse": [ + "2" + ], + "person": [ + "1", + "3" + ] + }, + "5c74315dc2": { + "shark": [ + "1" + ] + }, + "5c7668855e": { + "cat": [ + "1" + ] + }, + "5c83e96778": { + "giant_panda": [ + "1" + ] + }, + "5ca36173e4": {}, + "5cac477371": { + "person": [ + "1", + "2", + "3" + ] + }, + "5cb0cb1b2f": { + "elephant": [ + "1" + ] + }, + "5cb0cfb98f": { + "parrot": [ + "1" + ] + }, + "5cb49a19cf": { + "rabbit": [ + "1", + "2" + ] + }, + "5cbf7dc388": { + "earless_seal": [ + "1", + "2", + "3" + ] + }, + "5d0e07d126": { + "train": [ + "1" + ] + }, + "5d1e24b6e3": { + "sedan": [ + "2" + ] + }, + "5d663000ff": { + "fish": [ + "1", + "2" + ] + }, + "5da6b2dc5d": { + "snail": [ + "1" + ] + }, + "5de9b90f24": { + "train": [ + "1" + ] + }, + "5e08de0ed7": { + "parrot": [ + "1", + "2" + ] + }, + "5e1011df9a": { + "bear": [ + "1" + ] + }, + "5e1ce354fd": { + "giant_panda": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "5e35512dd7": { + "ape": [ + "1" + ] + }, + "5e418b25f9": { + "person": [ + "1", + "2", + "3" + ] + }, + "5e4849935a": { + "ape": [ + "1", + "2" + ] + }, + "5e4ee19663": { + "sedan": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "5e886ef78f": {}, + "5e8d00b974": { + "frog": [ + "1" + ] + }, + "5e8d59dc31": { + "frog": [ + "1" + ] + }, + "5ed838bd5c": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "5edda6ee5a": { + "snake": [ + "1" + ] + }, + "5ede4d2f7a": { + "duck": [ + "1", + "2" + ] + }, + "5ede9767da": { + "parrot": [ + "1" + ] + }, + "5ee23ca60e": { + "bird": [ + "1", + "2", + "3", + "4" + ] + }, + "5eec4d9fe5": { + "person": [ + "1" + ] + }, + "5eecf07824": { + "frog": [ + "1" + ] + }, + "5eef7ed4f4": { + "horse": [ + "2" + ], + "person": [ + "1" + ] + }, + "5ef5860ac6": { + "owl": [ + "1", + "2" + ] + }, + "5ef6573a99": { + "sedan": [ + "1" + ] + }, + "5f1193e72b": { + "tiger": [ + "1" + ] + }, + "5f29ced797": { + "sedan": [ + "1" + ] + }, + "5f32cf521e": { + "ape": [ + "1", + "2" + ] + }, + "5f51876986": { + "sedan": [ + "1", + "2" + ] + }, + "5f6ebe94a9": { + "train": [ + "2" + ] + }, + "5f6f14977c": { + "motorbike": [ + "1" + ] + }, + "5f808d0d2d": { + "person": [ + "1" + ] + }, + "5fb8aded6a": { + "person": [ + "1" + ] + }, + "5fba90767d": { + "sedan": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "5fd1c7a3df": { + "cow": [ + "2" + ], + "person": [ + "1" + ] + }, + "5fd3da9f68": { + "cat": [ + "1" + ] + }, + "5fee2570ae": { + "monkey": [ + "1" + ] + }, + "5ff66140d6": { + "lizard": [ + "1" + ] + }, + "5ff8b85b53": { + "leopard": [ + "1", + "2" + ] + }, + "600803c0f6": { + "mouse": [ + "1" + ] + }, + "600be7f53e": { + "bird": [ + "1" + ] + }, + "6024888af8": { + "parrot": [ + "1" + ] + }, + "603189a03c": { + "boat": [ + "3" + ], + "person": [ + "2" + ] + }, + "6057307f6e": { + "mouse": [ + "1", + "2", + "3" + ] + }, + "6061ddbb65": { + "giant_panda": [ + "1", + "2" + ] + }, + "606c86c455": { + "person": [ + "1" + ] + }, + "60c61cc2e5": { + "shark": [ + "1" + ] + }, + "60e51ff1ae": { + "lion": [ + "1" + ], + "person": [ + "2" + ] + }, + "610e38b751": { + "ape": [ + "1" + ] + }, + "61344be2f6": { + "ape": [ + "1", + "2" + ] + }, + "6135e27185": { + "sedan": [ + "2" + ], + "person": [ + "1" + ] + }, + "614afe7975": { + "person": [ + "1" + ] + }, + "614e571886": { + "penguin": [ + "1" + ] + }, + "614e7078db": { + "boat": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "619812a1a7": { + "person": [ + "1" + ] + }, + "61b481a78b": { + "cat": [ + "1", + "2", + "3", + "4" + ] + }, + "61c7172650": { + "parrot": [ + "1", + "2" + ] + }, + "61cf7e40d2": { + "horse": [ + "2" + ], + "person": [ + "1", + "3" + ] + }, + "61da008958": { + "sedan": [ + "1", + "2" + ] + }, + "61ed178ecb": { + "train": [ + "1" + ] + }, + "61f5d1282c": { + "boat": [ + "2", + "3" + ], + "person": [ + "1" + ] + }, + "61fd977e49": {}, + "621584cffe": { + "monkey": [ + "1", + "2", + "3" + ] + }, + "625817a927": { + "snake": [ + "1" + ] + }, + "625892cf0b": { + "sedan": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "625b89d28a": { + "horse": [ + "1" + ] + }, + "629995af95": { + "camel": [ + "1" + ] + }, + "62a0840bb5": { + "mouse": [ + "1" + ] + }, + "62ad6e121c": { + "airplane": [ + "1" + ] + }, + "62d6ece152": { + "person": [ + "1", + "2", + "3" + ] + }, + "62ede7b2da": { + "cow": [ + "1", + "2", + "3" + ] + }, + "62f025e1bc": { + "squirrel": [ + "1" + ] + }, + "6316faaebc": { + "truck": [ + "2" + ], + "person": [ + "1", + "3" + ] + }, + "63281534dc": { + "airplane": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "634058dda0": {}, + "6353f09384": { + "hedgehog": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "6363c87314": { + "person": [ + "1" + ] + }, + "636e4872e0": { + "mouse": [ + "1" + ] + }, + "637681cd6b": { + "rabbit": [ + "1" + ] + }, + "6376d49f31": { + "earless_seal": [ + "1", + "2" + ] + }, + "6377809ec2": { + "duck": [ + "1" + ] + }, + "63936d7de5": { + "truck": [ + "2", + "3" + ], + "person": [ + "1" + ] + }, + "639bddef11": { + "crocodile": [ + "1" + ], + "person": [ + "2" + ] + }, + "63d37e9fd3": { + "owl": [ + "1" + ] + }, + "63d90c2bae": { + "train": [ + "1" + ], + "person": [ + "3" + ] + }, + "63e544a5d6": { + "snake": [ + "1" + ] + }, + "63ebbcf874": {}, + "63fff40b31": { + "ape": [ + "1" + ] + }, + "6406c72e4d": { + "boat": [ + "1" + ] + }, + "64148128be": { + "person": [ + "2" + ] + }, + "6419386729": { + "giant_panda": [ + "1", + "2" + ] + }, + "643092bc41": { + "fox": [ + "1" + ] + }, + "644081b88d": { + "shark": [ + "1", + "2" + ] + }, + "64453cf61d": { + "ape": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "644bad9729": { + "person": [ + "1" + ] + }, + "6454f548fd": { + "snake": [ + "1", + "2", + "3" + ] + }, + "645913b63a": { + "tiger": [ + "1" + ] + }, + "64750b825f": { + "deer": [ + "1" + ] + }, + "64a43876b7": { + "duck": [ + "1", + "2" + ] + }, + "64dd6c83e3": { + "bear": [ + "1", + "2", + "3" + ] + }, + "64e05bf46e": {}, + "64f55f1478": { + "giant_panda": [ + "1" + ] + }, + "650b0165e4": { + "lizard": [ + "1" + ] + }, + "651066ed39": { + "giant_panda": [ + "1" + ] + }, + "652b67d960": { + "person": [ + "1" + ] + }, + "653821d680": { + "fish": [ + "1" + ] + }, + "6538d00d73": { + "person": [ + "1" + ] + }, + "65866dce22": { + "camel": [ + "1", + "2" + ] + }, + "6589565c8c": { + "camel": [ + "1" + ] + }, + "659832db64": { + "snail": [ + "1", + "2" + ] + }, + "65ab7e1d98": { + "person": [ + "2" + ], + "dog": [ + "1" + ] + }, + "65b7dda462": { + "sheep": [ + "1", + "2" + ] + }, + "65bd5eb4f5": { + "deer": [ + "1" + ] + }, + "65dcf115ab": { + "bus": [ + "1" + ] + }, + "65e9825801": { + "fox": [ + "1" + ] + }, + "65f9afe51c": { + "bird": [ + "2" + ] + }, + "65ff12bcb5": { + "turtle": [ + "1" + ] + }, + "666b660284": { + "turtle": [ + "1" + ] + }, + "6671643f31": { + "shark": [ + "1" + ] + }, + "668364b372": { + "person": [ + "1", + "2", + "3" + ] + }, + "66852243cb": { + "airplane": [ + "1" + ], + "person": [ + "2" + ] + }, + "6693a52081": { + "camel": [ + "1" + ] + }, + "669b572898": { + "ape": [ + "1", + "2", + "3" + ] + }, + "66e98e78f5": { + "sedan": [ + "1" + ], + "person": [ + "2" + ] + }, + "670f12e88f": { + "lizard": [ + "1" + ] + }, + "674c12c92d": { + "train": [ + "1" + ] + }, + "675c27208a": { + "lizard": [ + "1" + ], + "snail": [ + "2" + ] + }, + "675ed3e1ca": { + "hedgehog": [ + "1" + ] + }, + "67741db50a": { + "boat": [ + "3" + ], + "person": [ + "1" + ] + }, + "678a2357eb": { + "elephant": [ + "1" + ], + "sheep": [ + "2" + ] + }, + "67b0f4d562": { + "earless_seal": [ + "1" + ] + }, + "67cfbff9b1": { + "monkey": [ + "1" + ] + }, + "67e717d6bd": { + "person": [ + "1" + ], + "motorbike": [ + "2" + ] + }, + "67ea169a3b": { + "truck": [ + "1" + ] + }, + "67ea809e0e": { + "lizard": [ + "1" + ] + }, + "681249baa3": { + "parrot": [ + "1" + ] + }, + "683de643d9": { + "rabbit": [ + "1" + ], + "person": [ + "2" + ] + }, + "6846ac20df": { + "motorbike": [ + "1", + "2", + "3" + ] + }, + "6848e012ef": { + "fox": [ + "1" + ] + }, + "684bcd8812": { + "person": [ + "2", + "3" + ] + }, + "684dc1c40c": { + "horse": [ + "2" + ], + "person": [ + "1" + ] + }, + "685a1fa9cf": { + "sedan": [ + "1" + ] + }, + "686dafaac9": { + "fish": [ + "1", + "2", + "3" + ] + }, + "68807d8601": { + "boat": [ + "1" + ] + }, + "6893778c77": { + "sedan": [ + "2" + ], + "person": [ + "1" + ] + }, + "6899d2dabe": { + "parrot": [ + "1" + ] + }, + "68a2fad4ab": { + "fish": [ + "1", + "2" + ] + }, + "68cb45fda3": { + "duck": [ + "1" + ], + "dog": [ + "2" + ] + }, + "68cc4a1970": { + "person": [ + "2" + ] + }, + "68dcb40675": { + "parrot": [ + "1" + ] + }, + "68ea4a8c3d": { + "person": [ + "1" + ] + }, + "68f6e7fbf0": { + "person": [ + "2" + ] + }, + "68fa8300b4": { + "monkey": [ + "1", + "2" + ] + }, + "69023db81f": {}, + "6908ccf557": { + "bird": [ + "1" + ] + }, + "691a111e7c": { + "earless_seal": [ + "1" + ] + }, + "6927723ba5": { + "giant_panda": [ + "1" + ], + "person": [ + "2" + ] + }, + "692ca0e1a2": { + "bear": [ + "1" + ] + }, + "692eb57b63": { + "dolphin": [ + "1", + "2" + ] + }, + "69340faa52": { + "person": [ + "2" + ] + }, + "693cbf0c9d": { + "lizard": [ + "1" + ] + }, + "6942f684ad": {}, + "6944fc833b": { + "snake": [ + "1" + ] + }, + "69491c0ebf": { + "person": [ + "1", + "2" + ], + "dog": [ + "3" + ] + }, + "695b61a2b0": { + "bear": [ + "1", + "2" + ] + }, + "6979b4d83f": { + "earless_seal": [ + "1" + ] + }, + "697d4fdb02": { + "rabbit": [ + "1" + ] + }, + "69910460a4": { + "snake": [ + "1" + ] + }, + "6997636670": { + "lizard": [ + "1" + ] + }, + "69a436750b": { + "parrot": [ + "1" + ] + }, + "69aebf7669": { + "rabbit": [ + "1" + ] + }, + "69b8c17047": { + "person": [ + "1" + ] + }, + "69c67f109f": { + "person": [ + "1", + "3" + ] + }, + "69e0e7b868": { + "parrot": [ + "1" + ] + }, + "69ea9c09d1": { + "earless_seal": [ + "1", + "2" + ] + }, + "69f0af42a6": { + "cat": [ + "1" + ] + }, + "6a078cdcc7": { + "lizard": [ + "1" + ] + }, + "6a37a91708": { + "airplane": [ + "1" + ] + }, + "6a42176f2e": { + "raccoon": [ + "1" + ], + "person": [ + "2" + ] + }, + "6a48e4aea8": { + "sedan": [ + "1" + ] + }, + "6a5977be3a": { + "lizard": [ + "1" + ] + }, + "6a5de0535f": { + "ape": [ + "1", + "2" + ] + }, + "6a80d2e2e5": { + "person": [ + "1", + "2", + "3" + ] + }, + "6a96c8815d": { + "giant_panda": [ + "1" + ] + }, + "6a986084e2": {}, + "6aa8e50445": { + "sedan": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "6ab9dce449": { + "rabbit": [ + "1", + "2" + ] + }, + "6abf0ba6b2": { + "lizard": [ + "1" + ] + }, + "6acc6049d9": { + "dog": [ + "1" + ] + }, + "6adb31756c": { + "fox": [ + "1" + ] + }, + "6ade215eb0": {}, + "6afb7d50e4": { + "mouse": [ + "1" + ] + }, + "6afd692f1a": { + "parrot": [ + "1", + "2", + "3" + ] + }, + "6b0b1044fe": { + "sedan": [ + "1", + "2", + "3", + "4" + ] + }, + "6b17c67633": { + "dolphin": [ + "1" + ] + }, + "6b1b6ef28b": { + "person": [ + "1" + ] + }, + "6b1e04d00d": { + "person": [ + "1", + "3" + ] + }, + "6b2261888d": { + "bear": [ + "1" + ], + "sedan": [ + "2" + ] + }, + "6b25d6528a": { + "dolphin": [ + "1" + ], + "person": [ + "2" + ] + }, + "6b3a24395c": { + "rabbit": [ + "1" + ], + "person": [ + "2" + ] + }, + "6b685eb75b": {}, + "6b79be238c": { + "cat": [ + "2" + ], + "dog": [ + "1" + ] + }, + "6b928b7ba6": { + "cow": [ + "2" + ], + "person": [ + "1" + ] + }, + "6b9c43c25a": { + "parrot": [ + "1" + ] + }, + "6ba99cc41f": { + "sedan": [ + "1" + ] + }, + "6bdab62bcd": { + "zebra": [ + "1", + "2" + ] + }, + "6bf2e853b1": { + "giant_panda": [ + "1" + ] + }, + "6bf584200f": { + "rabbit": [ + "1", + "2" + ] + }, + "6bf95df2b9": { + "person": [ + "2" + ] + }, + "6c0949c51c": { + "turtle": [ + "1" + ] + }, + "6c11a5f11f": { + "truck": [ + "1", + "2" + ] + }, + "6c23d89189": { + "person": [ + "1" + ] + }, + "6c4387daf5": {}, + "6c4ce479a4": {}, + "6c5123e4bc": {}, + "6c54265f16": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "6c56848429": { + "person": [ + "2" + ] + }, + "6c81b014e9": {}, + "6c99ea7c31": { + "dog": [ + "1" + ] + }, + "6c9d29d509": { + "bear": [ + "1" + ] + }, + "6c9e3b7d1a": { + "squirrel": [ + "1" + ] + }, + "6ca006e283": { + "train": [ + "1" + ] + }, + "6caeb928d6": { + "crocodile": [ + "1" + ] + }, + "6cb2ee722a": { + "fox": [ + "1" + ] + }, + "6cbfd32c5e": { + "deer": [ + "1" + ] + }, + "6cc791250b": { + "lion": [ + "1" + ], + "person": [ + "2" + ] + }, + "6cccc985e0": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "6d12e30c48": { + "snake": [ + "1" + ] + }, + "6d4bf200ad": { + "person": [ + "2" + ], + "snake": [ + "1" + ] + }, + "6d6d2b8843": { + "truck": [ + "1" + ] + }, + "6d6eea5682": { + "leopard": [ + "1", + "2" + ] + }, + "6d7a3d0c21": { + "person": [ + "1" + ] + }, + "6d7efa9b9e": { + "person": [ + "3", + "4" + ] + }, + "6da21f5c91": { + "eagle": [ + "1", + "2" + ] + }, + "6da6adabc0": { + "lizard": [ + "1" + ] + }, + "6dd2827fbb": {}, + "6dd36705b9": { + "truck": [ + "4" + ] + }, + "6df3637557": { + "lion": [ + "1", + "2", + "3" + ] + }, + "6dfe55e9e5": { + "lizard": [ + "1" + ] + }, + "6e1a21ba55": {}, + "6e2f834767": { + "lizard": [ + "1" + ] + }, + "6e36e4929a": {}, + "6e4f460caf": { + "boat": [ + "1" + ] + }, + "6e618d26b6": { + "giraffe": [ + "1", + "2", + "3" + ] + }, + "6ead4670f7": { + "rabbit": [ + "1" + ] + }, + "6eaff19b9f": { + "boat": [ + "2" + ], + "dolphin": [ + "1" + ] + }, + "6eb2e1cd9e": { + "person": [ + "1" + ] + }, + "6eb30b3b5a": { + "horse": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "6eca26c202": { + "horse": [ + "2" + ], + "person": [ + "1" + ] + }, + "6ecad29e52": { + "dog": [ + "1" + ] + }, + "6ef0b44654": { + "zebra": [ + "1", + "2" + ] + }, + "6efcfe9275": { + "person": [ + "2" + ] + }, + "6f4789045c": { + "earless_seal": [ + "1" + ] + }, + "6f49f522ef": { + "cat": [ + "1" + ] + }, + "6f67d7c4c4": { + "frog": [ + "1" + ] + }, + "6f96e91d81": { + "rabbit": [ + "1", + "2", + "3" + ], + "person": [ + "4" + ] + }, + "6fc6fce380": { + "dolphin": [ + "1", + "2" + ] + }, + "6fc9b44c00": { + "zebra": [ + "1", + "2" + ] + }, + "6fce7f3226": { + "person": [ + "1" + ] + }, + "6fdf1ca888": { + "monkey": [ + "1" + ] + }, + "702fd8b729": { + "dolphin": [ + "1" + ] + }, + "70405185d2": { + "person": [ + "1" + ] + }, + "7053e4f41e": { + "fish": [ + "1", + "2" + ] + }, + "707bf4ce41": { + "cat": [ + "1", + "2" + ] + }, + "7082544248": {}, + "708535b72a": { + "truck": [ + "2", + "3" + ], + "person": [ + "1" + ] + }, + "7094ac0f60": { + "squirrel": [ + "1" + ] + }, + "70a6b875fa": { + "fish": [ + "1" + ] + }, + "70c3e97e41": { + "frog": [ + "1" + ] + }, + "7106b020ab": { + "person": [ + "1" + ] + }, + "711dce6fe2": { + "person": [ + "3" + ] + }, + "7136a4453f": { + "person": [ + "1" + ] + }, + "7143fb084f": { + "lizard": [ + "1", + "2" + ] + }, + "714d902095": { + "person": [ + "1" + ] + }, + "7151c53b32": { + "fox": [ + "1" + ] + }, + "715357be94": { + "person": [ + "1" + ] + }, + "7163b8085f": { + "camel": [ + "1" + ] + }, + "716df1aa59": { + "lizard": [ + "1" + ] + }, + "71caded286": { + "person": [ + "1" + ] + }, + "71d2665f35": { + "deer": [ + "1", + "2" + ] + }, + "71d67b9e19": {}, + "71e06dda39": { + "rabbit": [ + "1", + "2", + "3" + ] + }, + "720b398b9c": { + "person": [ + "1", + "3" + ] + }, + "720e3fa04c": { + "monkey": [ + "1" + ], + "ape": [ + "2" + ] + }, + "720e7a5f1e": { + "monkey": [ + "1", + "2" + ] + }, + "721bb6f2cb": { + "boat": [ + "1" + ], + "person": [ + "2" + ] + }, + "722803f4f2": { + "bird": [ + "1" + ] + }, + "72552a07c9": { + "tiger": [ + "1", + "3" + ], + "lion": [ + "2" + ] + }, + "726243a205": { + "sedan": [ + "1" + ] + }, + "728cda9b65": { + "airplane": [ + "1", + "2", + "3" + ] + }, + "728e81c319": { + "bear": [ + "1", + "2" + ] + }, + "72a810a799": { + "fox": [ + "1" + ] + }, + "72acb8cdf6": { + "parrot": [ + "1" + ] + }, + "72b01281f9": { + "giant_panda": [ + "1" + ] + }, + "72cac683e4": { + "person": [ + "1" + ] + }, + "72cadebbce": { + "owl": [ + "1", + "2", + "3" + ] + }, + "72cae058a5": { + "ape": [ + "1" + ] + }, + "72d8dba870": { + "person": [ + "2" + ], + "penguin": [ + "1" + ] + }, + "72e8d1c1ff": { + "person": [ + "1" + ] + }, + "72edc08285": { + "giant_panda": [ + "1" + ] + }, + "72f04f1a38": { + "person": [ + "1" + ] + }, + "731b825695": { + "person": [ + "1", + "3" + ] + }, + "7320b49b13": { + "lizard": [ + "1" + ] + }, + "732626383b": { + "airplane": [ + "2" + ], + "person": [ + "1", + "3" + ] + }, + "732df1eb05": { + "snake": [ + "1" + ] + }, + "73329902ab": { + "monkey": [ + "1" + ], + "ape": [ + "2" + ] + }, + "733798921e": { + "person": [ + "1" + ] + }, + "733824d431": { + "snail": [ + "1" + ] + }, + "734ea0d7fb": { + "airplane": [ + "1" + ] + }, + "735a7cf7b9": { + "fox": [ + "1" + ] + }, + "7367a42892": { + "cow": [ + "1" + ] + }, + "7368d5c053": { + "giant_panda": [ + "1" + ] + }, + "738e5a0a14": { + "turtle": [ + "1" + ] + }, + "73c6ae7711": { + "person": [ + "2" + ], + "dog": [ + "1" + ] + }, + "73e1852735": { + "sheep": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "73e4e5cc74": { + "snake": [ + "1" + ] + }, + "73eac9156b": { + "ape": [ + "1" + ] + }, + "73f8441a88": { + "elephant": [ + "2", + "3" + ], + "person": [ + "1" + ] + }, + "7419e2ab3f": { + "person": [ + "1" + ] + }, + "74267f68b9": { + "person": [ + "1" + ] + }, + "747c44785c": { + "truck": [ + "1" + ] + }, + "747f1b1f2f": { + "earless_seal": [ + "1", + "2" + ] + }, + "748b2d5c01": { + "person": [ + "1", + "2", + "3" + ] + }, + "74d4cee0a4": { + "person": [ + "2", + "3" + ] + }, + "74ec2b3073": { + "train": [ + "1" + ] + }, + "74ef677020": {}, + "750be4c4d8": { + "person": [ + "1", + "2", + "3" + ] + }, + "75172d4ac8": { + "bird": [ + "1" + ] + }, + "75285a7eb1": { + "dolphin": [ + "1" + ] + }, + "75504539c3": { + "person": [ + "1", + "2", + "3", + "4" + ] + }, + "7550949b1d": { + "motorbike": [ + "1" + ] + }, + "7551cbd537": { + "leopard": [ + "1" + ] + }, + "75595b453d": { + "boat": [ + "2" + ] + }, + "7559b4b0ec": { + "bus": [ + "1" + ] + }, + "755bd1fbeb": { + "truck": [ + "2" + ], + "person": [ + "1", + "3" + ] + }, + "756f76f74d": { + "person": [ + "2" + ] + }, + "7570ca7f3c": {}, + "757a69746e": { + "eagle": [ + "1" + ] + }, + "757cac96c6": { + "giant_panda": [ + "1" + ] + }, + "7584129dc3": { + "fish": [ + "1", + "2", + "3", + "4" + ] + }, + "75a058dbcd": { + "airplane": [ + "1" + ] + }, + "75b09ce005": {}, + "75cae39a8f": { + "ape": [ + "1" + ] + }, + "75cee6caf0": { + "tiger": [ + "1" + ] + }, + "75cf58fb2c": { + "bird": [ + "3" + ], + "dog": [ + "1", + "2" + ] + }, + "75d5c2f32a": { + "person": [ + "2" + ], + "lizard": [ + "1" + ] + }, + "75eaf5669d": { + "dog": [ + "1" + ] + }, + "75f7937438": { + "ape": [ + "1" + ] + }, + "75f99bd3b3": { + "person": [ + "1" + ] + }, + "75fa586876": { + "cat": [ + "1", + "2" + ] + }, + "7613df1f84": { + "mouse": [ + "1" + ] + }, + "762e1b3487": {}, + "76379a3e69": { + "tiger": [ + "1" + ], + "dog": [ + "2" + ] + }, + "764271f0f3": { + "bird": [ + "1" + ] + }, + "764503c499": { + "sedan": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "7666351b84": { + "person": [ + "3" + ] + }, + "76693db153": {}, + "767856368b": { + "person": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "768671f652": { + "fish": [ + "1" + ] + }, + "768802b80d": { + "monkey": [ + "1" + ] + }, + "76962c7ed2": { + "dog": [ + "1", + "2" + ] + }, + "76a75f4eee": { + "person": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "76b90809f7": { + "snail": [ + "1", + "2", + "3", + "4" + ] + }, + "770a441457": { + "boat": [ + "3" + ], + "person": [ + "1" + ] + }, + "772a0fa402": { + "turtle": [ + "1" + ] + }, + "772f2ffc3e": { + "duck": [ + "1" + ] + }, + "774f6c2175": { + "parrot": [ + "1" + ] + }, + "77610860e0": { + "cow": [ + "3", + "4" + ], + "dog": [ + "1", + "2" + ] + }, + "777e58ff3d": { + "person": [ + "2" + ] + }, + "77920f1708": { + "lizard": [ + "1" + ] + }, + "7799df28e7": { + "squirrel": [ + "1" + ] + }, + "779e847a9a": { + "sheep": [ + "1", + "2" + ] + }, + "77ba4edc72": { + "truck": [ + "1" + ] + }, + "77d8aa8691": { + "fish": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "77e7f38f4d": { + "owl": [ + "1", + "2" + ] + }, + "77eea6845e": { + "person": [ + "1" + ] + }, + "7806308f33": { + "giraffe": [ + "1" + ] + }, + "78254660ea": { + "train": [ + "1", + "2" + ] + }, + "7828af8bff": { + "deer": [ + "1" + ], + "person": [ + "2" + ] + }, + "784398620a": { + "cat": [ + "1" + ], + "squirrel": [ + "2" + ] + }, + "784d201b12": { + "motorbike": [ + "2" + ] + }, + "78613981ed": { + "ape": [ + "1" + ] + }, + "78896c6baf": { + "duck": [ + "1", + "2", + "3" + ] + }, + "78aff3ebc0": { + "frog": [ + "1", + "2" + ] + }, + "78c7c03716": { + "bear": [ + "2" + ], + "person": [ + "1", + "3" + ] + }, + "78d3676361": { + "horse": [ + "1" + ], + "person": [ + "2" + ] + }, + "78e29dd4c3": { + "tiger": [ + "1" + ], + "person": [ + "2" + ] + }, + "78f1a1a54f": { + "leopard": [ + "1" + ] + }, + "79208585cd": { + "lizard": [ + "1" + ] + }, + "792218456c": { + "fish": [ + "1", + "2" + ] + }, + "7923bad550": { + "frog": [ + "1" + ] + }, + "794e6fc49f": { + "motorbike": [ + "1" + ] + }, + "796e6762ce": { + "frog": [ + "1" + ] + }, + "797cd21f71": { + "rabbit": [ + "1" + ] + }, + "79921b21c2": { + "leopard": [ + "1", + "2" + ] + }, + "79a5778027": { + "ape": [ + "1", + "2" + ] + }, + "79bc006280": { + "owl": [ + "1" + ] + }, + "79bf95e624": { + "person": [ + "1", + "2" + ], + "motorbike": [ + "3" + ] + }, + "79d9e00c55": { + "person": [ + "1" + ] + }, + "79e20fc008": { + "person": [ + "2" + ] + }, + "79e9db913e": { + "person": [ + "1", + "2" + ] + }, + "79f014085e": { + "person": [ + "1", + "2" + ] + }, + "79fcbb433a": { + "monkey": [ + "1", + "2" + ] + }, + "7a13a5dfaa": { + "ape": [ + "1", + "2", + "3" + ] + }, + "7a14bc9a36": { + "sedan": [ + "1" + ] + }, + "7a3c535f70": { + "person": [ + "1" + ], + "dog": [ + "2" + ] + }, + "7a446a51e9": { + "person": [ + "1", + "2", + "3" + ] + }, + "7a56e759c5": { + "sedan": [ + "1" + ] + }, + "7a5f46198d": { + "person": [ + "1" + ] + }, + "7a626ec98d": { + "boat": [ + "1" + ] + }, + "7a802264c4": { + "giant_panda": [ + "1" + ] + }, + "7a8b5456ca": { + "leopard": [ + "1", + "2" + ] + }, + "7abdff3086": { + "tiger": [ + "1", + "2", + "3" + ], + "person": [ + "4" + ] + }, + "7aecf9f7ac": { + "snake": [ + "1" + ] + }, + "7b0fd09c28": {}, + "7b18b3db87": { + "ape": [ + "1", + "2" + ] + }, + "7b39fe7371": { + "person": [ + "1" + ], + "motorbike": [ + "2" + ] + }, + "7b49e03d4c": { + "snake": [ + "1" + ] + }, + "7b5388c9f1": { + "owl": [ + "1" + ] + }, + "7b5cf7837f": { + "parrot": [ + "1" + ] + }, + "7b733d31d8": { + "owl": [ + "1" + ], + "person": [ + "2" + ] + }, + "7b74fd7b98": { + "camel": [ + "1" + ] + }, + "7b918ccb8a": { + "snake": [ + "1" + ] + }, + "7ba3ce3485": { + "motorbike": [ + "2", + "3" + ] + }, + "7bb0abc031": { + "raccoon": [ + "1" + ] + }, + "7bb5bb25cd": { + "ape": [ + "1", + "2", + "3" + ] + }, + "7bb7dac673": { + "boat": [ + "1" + ] + }, + "7bc7761b8c": { + "parrot": [ + "1" + ] + }, + "7bf3820566": { + "train": [ + "1" + ] + }, + "7c03a18ec1": { + "horse": [ + "1", + "3" + ], + "person": [ + "2" + ] + }, + "7c078f211b": { + "person": [ + "1" + ] + }, + "7c37d7991a": { + "cat": [ + "1" + ] + }, + "7c4ec17eff": { + "person": [ + "2" + ] + }, + "7c649c2aaf": { + "fox": [ + "1" + ] + }, + "7c73340ab7": { + "elephant": [ + "1", + "2" + ] + }, + "7c78a2266d": { + "ape": [ + "1", + "2", + "3", + "4" + ] + }, + "7c88ce3c5b": { + "eagle": [ + "1" + ] + }, + "7ca6843a72": { + "ape": [ + "1" + ] + }, + "7cc9258dee": { + "cow": [ + "1", + "2" + ] + }, + "7d0ffa68a4": { + "person": [ + "2" + ], + "dog": [ + "1" + ] + }, + "7d11b4450f": { + "zebra": [ + "1" + ] + }, + "7d1333fcbe": { + "dog": [ + "1", + "2" + ] + }, + "7d18074fef": { + "bus": [ + "1" + ] + }, + "7d18c8c716": {}, + "7d508fb027": { + "ape": [ + "1", + "2" + ] + }, + "7d55f791f0": { + "ape": [ + "1" + ], + "person": [ + "2" + ] + }, + "7d74e3c2f6": { + "sheep": [ + "1" + ] + }, + "7d783f67a9": { + "lizard": [ + "1" + ] + }, + "7d83a5d854": { + "deer": [ + "1" + ] + }, + "7dd409947e": { + "leopard": [ + "1" + ], + "person": [ + "2" + ] + }, + "7de45f75e5": { + "deer": [ + "1" + ] + }, + "7e0cd25696": { + "parrot": [ + "1" + ] + }, + "7e1922575c": { + "cow": [ + "2" + ], + "person": [ + "1", + "3", + "4" + ] + }, + "7e1e3bbcc1": { + "lizard": [ + "1" + ] + }, + "7e24023274": { + "person": [ + "1" + ] + }, + "7e2f212fd3": { + "cat": [ + "1" + ] + }, + "7e6d1cc1f4": { + "parrot": [ + "1" + ] + }, + "7e7cdcb284": { + "fox": [ + "1", + "2" + ] + }, + "7e9b6bef69": { + "train": [ + "2" + ] + }, + "7ea5b49283": { + "elephant": [ + "1", + "2" + ] + }, + "7eb2605d96": { + "person": [ + "1" + ] + }, + "7eb26b8485": { + "squirrel": [ + "1" + ] + }, + "7ecd1f0c69": { + "sedan": [ + "3" + ], + "person": [ + "2" + ] + }, + "7f02b3cfe2": { + "person": [ + "1", + "2" + ] + }, + "7f1723f0d5": { + "giraffe": [ + "1", + "2" + ] + }, + "7f21063c3a": {}, + "7f3658460e": { + "bear": [ + "1", + "2" + ] + }, + "7f54132e48": { + "monkey": [ + "1" + ] + }, + "7f559f9d4a": { + "hedgehog": [ + "1" + ] + }, + "7f5faedf8b": { + "airplane": [ + "1", + "2" + ] + }, + "7f838baf2b": { + "cow": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "7fa5f527e3": { + "sedan": [ + "2" + ], + "motorbike": [ + "1" + ] + }, + "7ff84d66dd": { + "frog": [ + "1", + "2" + ] + }, + "802b45c8c4": { + "giant_panda": [ + "1", + "2" + ] + }, + "804382b1ad": { + "person": [ + "1", + "2" + ] + }, + "804c558adb": {}, + "804f6338a4": { + "camel": [ + "1" + ] + }, + "8056117b89": { + "lion": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "806b6223ab": { + "sedan": [ + "3" + ], + "person": [ + "2" + ] + }, + "80b790703b": { + "crocodile": [ + "1" + ] + }, + "80c4a94706": { + "person": [ + "1" + ], + "dog": [ + "2" + ] + }, + "80ce2e351b": { + "raccoon": [ + "1" + ] + }, + "80db581acd": { + "person": [ + "1" + ], + "dog": [ + "2", + "3" + ] + }, + "80e12193df": { + "ape": [ + "1" + ] + }, + "80e41b608f": { + "owl": [ + "1" + ] + }, + "80f16b016d": { + "giraffe": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "81541b3725": { + "cow": [ + "1" + ], + "person": [ + "2" + ] + }, + "8175486e6a": { + "boat": [ + "3" + ] + }, + "8179095000": { + "cat": [ + "2" + ], + "snake": [ + "1" + ] + }, + "8193671178": { + "mouse": [ + "1" + ] + }, + "81a58d2c6b": { + "ape": [ + "1", + "2" + ] + }, + "81aa1286fb": {}, + "81dffd30fb": {}, + "824973babb": { + "lizard": [ + "1" + ] + }, + "824ca5538f": { + "leopard": [ + "1" + ] + }, + "827171a845": { + "person": [ + "1" + ] + }, + "8273a03530": { + "raccoon": [ + "1" + ], + "person": [ + "2" + ] + }, + "827cf4f886": { + "sheep": [ + "1", + "2" + ] + }, + "82b865c7dd": { + "giant_panda": [ + "1" + ] + }, + "82c1517708": { + "bear": [ + "1", + "3" + ], + "fish": [ + "2" + ] + }, + "82d15514d6": { + "ape": [ + "1", + "2" + ] + }, + "82e117b900": { + "lizard": [ + "1" + ] + }, + "82fec06574": { + "giant_panda": [ + "1" + ] + }, + "832b5ef379": { + "parrot": [ + "1" + ] + }, + "83424c9fbf": { + "fox": [ + "1" + ] + }, + "8345358fb8": { + "person": [ + "1", + "2", + "3" + ] + }, + "834b50b31b": { + "whale": [ + "1", + "2" + ] + }, + "835e3b67d7": { + "person": [ + "1", + "3", + "4" + ] + }, + "836ea92b15": { + "parrot": [ + "1" + ] + }, + "837c618777": { + "crocodile": [ + "1" + ] + }, + "838eb3bd89": { + "monkey": [ + "1", + "2" + ] + }, + "839381063f": { + "dog": [ + "1" + ] + }, + "839bc71489": { + "monkey": [ + "1", + "2" + ] + }, + "83a8151377": {}, + "83ae88d217": { + "person": [ + "2" + ] + }, + "83ca8bcad0": { + "person": [ + "2" + ], + "frog": [ + "1" + ] + }, + "83ce590d7f": { + "giant_panda": [ + "1" + ] + }, + "83d40bcba5": { + "duck": [ + "1", + "2", + "3" + ] + }, + "83daba503a": { + "person": [ + "1" + ] + }, + "83de906ec0": { + "ape": [ + "1" + ] + }, + "84044f37f3": { + "lion": [ + "1" + ], + "dog": [ + "2" + ] + }, + "84696b5a5e": { + "person": [ + "2", + "3" + ] + }, + "84752191a3": { + "sedan": [ + "1", + "2" + ] + }, + "847eeeb2e0": { + "dolphin": [ + "1", + "2" + ] + }, + "848e7835a0": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "84a4b29286": { + "fox": [ + "1" + ] + }, + "84a4bf147d": { + "person": [ + "1" + ] + }, + "84be115c09": { + "dolphin": [ + "1", + "2" + ] + }, + "84d95c4350": { + "fox": [ + "1" + ] + }, + "84e0922cf7": { + "person": [ + "1" + ] + }, + "84f0cfc665": { + "sedan": [ + "1", + "2" + ] + }, + "8515f6db22": { + "person": [ + "1" + ] + }, + "851f2f32c1": { + "bird": [ + "1" + ] + }, + "852a4d6067": { + "person": [ + "1" + ] + }, + "854c48b02a": { + "bird": [ + "1" + ] + }, + "857a387c86": { + "person": [ + "1" + ] + }, + "859633d56a": { + "giraffe": [ + "1", + "2" + ] + }, + "85a4f4a639": { + "ape": [ + "1", + "2" + ] + }, + "85ab85510c": { + "tiger": [ + "1" + ] + }, + "85b1eda0d9": { + "bear": [ + "1", + "2" + ] + }, + "85dc1041c6": {}, + "85e081f3c7": { + "camel": [ + "1" + ] + }, + "85f75187ad": { + "sedan": [ + "2" + ], + "bus": [ + "1" + ] + }, + "8604bb2b75": { + "cow": [ + "1" + ], + "person": [ + "2", + "3", + "4" + ] + }, + "860745b042": { + "tiger": [ + "1", + "2" + ] + }, + "863b4049d7": { + "sheep": [ + "1", + "2" + ] + }, + "8643de22d0": { + "hedgehog": [ + "1", + "2" + ] + }, + "864ffce4fe": { + "owl": [ + "1" + ] + }, + "8662d9441a": { + "lizard": [ + "1" + ] + }, + "8666521b13": {}, + "868d6a0685": { + "dog": [ + "1" + ] + }, + "869fa45998": { + "person": [ + "1", + "2", + "3" + ] + }, + "86a40b655d": { + "owl": [ + "1" + ] + }, + "86a8ae4223": { + "dog": [ + "1" + ] + }, + "86b2180703": { + "lizard": [ + "1" + ] + }, + "86c85d27df": { + "dolphin": [ + "1" + ] + }, + "86d3755680": { + "tiger": [ + "1", + "2" + ] + }, + "86e61829a1": { + "lion": [ + "1" + ] + }, + "871015806c": { + "giraffe": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "871e409c5c": { + "frog": [ + "1" + ] + }, + "8744b861ce": { + "person": [ + "1" + ] + }, + "8749369ba0": { + "monkey": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "878a299541": { + "parrot": [ + "1" + ] + }, + "8792c193a0": {}, + "8799ab0118": { + "duck": [ + "1", + "2", + "3" + ] + }, + "87d1f7d741": { + "squirrel": [ + "1" + ] + }, + "882b9e4500": { + "giant_panda": [ + "1" + ] + }, + "885673ea17": { + "person": [ + "1", + "3", + "4" + ] + }, + "8859dedf41": { + "horse": [ + "1" + ] + }, + "8873ab2806": { + "boat": [ + "2" + ], + "person": [ + "1" + ] + }, + "887a93b198": { + "rabbit": [ + "1" + ] + }, + "8883e991a9": { + "train": [ + "1" + ] + }, + "8891aa6dfa": { + "sheep": [ + "1", + "2", + "3" + ] + }, + "8899d8cbcd": { + "person": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "88b8274d67": { + "crocodile": [ + "1", + "2" + ] + }, + "88d3b80af6": { + "bus": [ + "1" + ] + }, + "88ede83da2": { + "rabbit": [ + "1", + "2" + ] + }, + "88f345941b": { + "tiger": [ + "1" + ] + }, + "890976d6da": { + "sedan": [ + "1" + ] + }, + "8909bde9ab": { + "sedan": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "8929c7d5d9": { + "lizard": [ + "1" + ] + }, + "89363acf76": { + "fox": [ + "1", + "2", + "3", + "4" + ] + }, + "89379487e0": { + "turtle": [ + "1" + ] + }, + "8939db6354": { + "lizard": [ + "1" + ] + }, + "893f658345": { + "ape": [ + "1" + ], + "person": [ + "2" + ] + }, + "8953138465": { + "parrot": [ + "1", + "2", + "3" + ] + }, + "895c96d671": { + "frog": [ + "1", + "2" + ] + }, + "895cbf96f9": { + "dolphin": [ + "1", + "2", + "3" + ] + }, + "895e8b29a7": { + "person": [ + "1", + "2", + "3" + ] + }, + "898fa256c8": { + "deer": [ + "1" + ] + }, + "89986c60be": { + "person": [ + "1" + ] + }, + "89b874547b": { + "giant_panda": [ + "1", + "2" + ] + }, + "89bdb021d5": { + "turtle": [ + "1" + ] + }, + "89c802ff9c": { + "sedan": [ + "1", + "2" + ] + }, + "89d6336c2b": {}, + "89ebb27334": { + "sheep": [ + "1", + "2" + ] + }, + "8a27e2407c": { + "person": [ + "2" + ] + }, + "8a31f7bca5": { + "sedan": [ + "1", + "3" + ] + }, + "8a4a2fc105": { + "dog": [ + "1" + ] + }, + "8a5d6c619c": {}, + "8a75ad7924": { + "whale": [ + "1", + "2", + "3", + "4" + ] + }, + "8aa817e4ed": { + "airplane": [ + "1" + ] + }, + "8aad0591eb": { + "whale": [ + "1" + ] + }, + "8aca214360": { + "person": [ + "1" + ] + }, + "8ae168c71b": { + "bus": [ + "1" + ] + }, + "8b3645d826": {}, + "8b3805dbd4": { + "parrot": [ + "1", + "2" + ] + }, + "8b473f0f5d": { + "giant_panda": [ + "1" + ] + }, + "8b4f6d1186": { + "rabbit": [ + "1", + "2" + ] + }, + "8b4fb018b7": { + "person": [ + "1" + ] + }, + "8b518ee936": { + "dog": [ + "1" + ] + }, + "8b523bdfd6": { + "person": [ + "2", + "3" + ] + }, + "8b52fb5fba": { + "person": [ + "1", + "2" + ] + }, + "8b91036e5c": { + "earless_seal": [ + "1" + ] + }, + "8b99a77ac5": { + "person": [ + "1", + "3" + ] + }, + "8ba04b1e7b": { + "sedan": [ + "1", + "3" + ] + }, + "8ba782192f": { + "ape": [ + "1", + "2" + ] + }, + "8bbeaad78b": { + "cat": [ + "1" + ] + }, + "8bd1b45776": { + "person": [ + "1", + "2" + ] + }, + "8bd7a2dda6": { + "ape": [ + "1", + "2" + ] + }, + "8bdb091ccf": { + "dolphin": [ + "1", + "2", + "3", + "4" + ] + }, + "8be56f165d": { + "horse": [ + "4" + ], + "person": [ + "1", + "3" + ] + }, + "8be950d00f": { + "giraffe": [ + "1" + ] + }, + "8bf84e7d45": { + "turtle": [ + "1" + ] + }, + "8bffc4374b": { + "turtle": [ + "1" + ] + }, + "8bfff50747": { + "person": [ + "1" + ] + }, + "8c09867481": { + "owl": [ + "1" + ] + }, + "8c0a3251c3": { + "camel": [ + "1" + ] + }, + "8c3015cccb": { + "lizard": [ + "1" + ] + }, + "8c469815cf": { + "sedan": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "8c9ccfedc7": { + "person": [ + "1", + "2", + "3" + ] + }, + "8ca1af9f3c": { + "lion": [ + "1" + ] + }, + "8ca3f6e6c1": { + "horse": [ + "2" + ], + "sedan": [ + "3" + ], + "person": [ + "1" + ] + }, + "8ca6a4f60f": { + "giant_panda": [ + "1" + ] + }, + "8cac6900fe": { + "bus": [ + "1" + ] + }, + "8cba221a1e": { + "turtle": [ + "1", + "2" + ] + }, + "8cbbe62ccd": { + "owl": [ + "1", + "2" + ] + }, + "8d064b29e2": { + "airplane": [ + "1" + ] + }, + "8d167e7c08": { + "train": [ + "1" + ] + }, + "8d4ab94e1c": { + "cat": [ + "1" + ] + }, + "8d81f6f899": { + "monkey": [ + "1", + "2" + ] + }, + "8d87897d66": { + "sedan": [ + "1" + ] + }, + "8dcccd2bd2": { + "lizard": [ + "1" + ] + }, + "8dcfb878a8": { + "rabbit": [ + "1" + ] + }, + "8dd3ab71b9": { + "sedan": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "8dda6bf10f": { + "airplane": [ + "1" + ] + }, + "8ddd51ca94": { + "monkey": [ + "1" + ] + }, + "8dea22c533": { + "person": [ + "2" + ], + "snake": [ + "1" + ] + }, + "8def5bd3bf": { + "person": [ + "1" + ] + }, + "8e1848197c": { + "person": [ + "1", + "2" + ] + }, + "8e3a83cf2d": { + "giant_panda": [ + "1", + "2" + ] + }, + "8e478e73f3": { + "dog": [ + "1" + ] + }, + "8e98ae3c84": { + "zebra": [ + "1" + ] + }, + "8ea6687ab0": { + "ape": [ + "1", + "2" + ] + }, + "8eb0d315c1": { + "cat": [ + "1" + ], + "mouse": [ + "2" + ] + }, + "8ec10891f9": { + "sheep": [ + "1" + ], + "person": [ + "2" + ] + }, + "8ec3065ec2": { + "penguin": [ + "1", + "2" + ] + }, + "8ecf51a971": { + "person": [ + "1", + "3" + ] + }, + "8eddbab9f7": { + "giant_panda": [ + "1", + "2" + ] + }, + "8ee198467a": { + "ape": [ + "1" + ] + }, + "8ee2368f40": { + "duck": [ + "1" + ] + }, + "8ef595ce82": { + "lizard": [ + "1" + ] + }, + "8f0a653ad7": { + "lizard": [ + "1" + ] + }, + "8f1204a732": { + "ape": [ + "1" + ] + }, + "8f1600f7f6": { + "duck": [ + "1", + "2", + "3" + ] + }, + "8f16366707": { + "person": [ + "1" + ] + }, + "8f1ce0a411": { + "sedan": [ + "1" + ] + }, + "8f2e05e814": { + "cow": [ + "1", + "2" + ] + }, + "8f320d0e09": { + "sedan": [ + "1" + ] + }, + "8f3b4a84ad": { + "cow": [ + "1" + ], + "person": [ + "2" + ] + }, + "8f3fdad3da": { + "boat": [ + "3", + "4" + ], + "person": [ + "2" + ] + }, + "8f5d3622d8": { + "person": [ + "1", + "2", + "3" + ] + }, + "8f62a2c633": { + "snake": [ + "1" + ] + }, + "8f81c9405a": { + "elephant": [ + "1" + ] + }, + "8f8c974d53": { + "fox": [ + "1" + ] + }, + "8f918598b6": { + "owl": [ + "1" + ], + "person": [ + "2" + ] + }, + "8ff61619f6": { + "person": [ + "1", + "2", + "3" + ] + }, + "9002761b41": { + "horse": [ + "2" + ], + "person": [ + "1", + "3" + ] + }, + "90107941f3": { + "person": [ + "1" + ] + }, + "90118a42ee": { + "dog": [ + "1", + "2" + ] + }, + "902bc16b37": { + "airplane": [ + "1" + ] + }, + "903e87e0d6": { + "crocodile": [ + "1" + ] + }, + "9041a0f489": { + "train": [ + "1" + ] + }, + "9047bf3222": {}, + "9057bfa502": { + "ape": [ + "1" + ] + }, + "90617b0954": { + "boat": [ + "1" + ] + }, + "9076f4b6db": { + "mouse": [ + "1", + "2" + ] + }, + "9077e69b08": { + "person": [ + "2" + ] + }, + "909655b4a6": { + "person": [ + "1" + ] + }, + "909c2eca88": { + "snake": [ + "1" + ] + }, + "909dbd1b76": { + "person": [ + "2" + ], + "lizard": [ + "1" + ] + }, + "90bc4a319a": {}, + "90c7a87887": { + "person": [ + "3" + ], + "truck": [ + "4" + ] + }, + "90cc785ddd": { + "boat": [ + "4" + ], + "person": [ + "2" + ] + }, + "90d300f09b": { + "person": [ + "1" + ] + }, + "9101ea9b1b": {}, + "9108130458": { + "lion": [ + "1" + ] + }, + "911ac9979b": { + "monkey": [ + "1" + ] + }, + "9151cad9b5": { + "truck": [ + "2", + "3" + ] + }, + "9153762797": { + "hedgehog": [ + "1" + ], + "person": [ + "2" + ] + }, + "91634ee0c9": { + "boat": [ + "2" + ] + }, + "916942666f": { + "lizard": [ + "1" + ] + }, + "9198cfb4ea": { + "snake": [ + "1" + ] + }, + "919ac864d6": { + "giant_panda": [ + "1" + ] + }, + "91b67d58d4": { + "earless_seal": [ + "1" + ] + }, + "91bb8df281": { + "shark": [ + "1", + "2", + "3" + ], + "person": [ + "4" + ] + }, + "91be106477": { + "parrot": [ + "1" + ] + }, + "91c33b4290": { + "parrot": [ + "1" + ] + }, + "91ca7dd9f3": { + "mouse": [ + "1" + ] + }, + "91d095f869": { + "leopard": [ + "1" + ], + "person": [ + "2" + ] + }, + "91f107082e": { + "owl": [ + "1" + ], + "person": [ + "2" + ] + }, + "920329dd5e": { + "lion": [ + "1" + ] + }, + "920c959958": { + "lion": [ + "1" + ] + }, + "92128fbf4b": { + "frog": [ + "1" + ] + }, + "9223dacb40": { + "snake": [ + "1" + ] + }, + "923137bb7f": {}, + "9268e1f88a": { + "person": [ + "1" + ] + }, + "927647fe08": { + "person": [ + "1", + "2" + ] + }, + "9276f5ba47": { + "turtle": [ + "1" + ] + }, + "92a28cd233": { + "person": [ + "1", + "2" + ] + }, + "92b5c1fc6d": { + "person": [ + "1", + "2" + ] + }, + "92c46be756": { + "hedgehog": [ + "1" + ] + }, + "92dabbe3a0": { + "duck": [ + "1", + "2", + "3" + ] + }, + "92e3159361": { + "lizard": [ + "1" + ] + }, + "92ebab216a": { + "parrot": [ + "1", + "2" + ] + }, + "934bdc2893": { + "sedan": [ + "5" + ], + "person": [ + "1" + ] + }, + "9359174efc": { + "lizard": [ + "1" + ] + }, + "935d97dd2f": { + "zebra": [ + "1", + "2" + ] + }, + "935feaba1b": { + "sedan": [ + "3" + ], + "person": [ + "2" + ], + "bus": [ + "1" + ] + }, + "93901858ee": { + "leopard": [ + "1" + ] + }, + "939378f6d6": { + "person": [ + "1" + ] + }, + "939bdf742e": { + "cow": [ + "3", + "4" + ], + "person": [ + "1", + "2" + ] + }, + "93a22bee7e": { + "zebra": [ + "1" + ] + }, + "93da9aeddf": { + "horse": [ + "2" + ], + "person": [ + "1" + ] + }, + "93e2feacce": { + "person": [ + "1" + ] + }, + "93e6f1fdf9": { + "elephant": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "93e811e393": { + "eagle": [ + "1" + ] + }, + "93e85d8fd3": { + "mouse": [ + "1" + ] + }, + "93f623d716": { + "fish": [ + "1" + ] + }, + "94031f12f2": {}, + "94091a4873": { + "raccoon": [ + "1" + ], + "cat": [ + "2" + ] + }, + "94125907e3": { + "bear": [ + "1" + ] + }, + "9418653742": { + "bear": [ + "1", + "2" + ] + }, + "941c870569": { + "bus": [ + "2" + ] + }, + "94209c86f0": { + "person": [ + "1" + ] + }, + "9437c715eb": { + "squirrel": [ + "1" + ] + }, + "9445c3eca2": { + "person": [ + "1" + ] + }, + "9467c8617c": { + "dog": [ + "1", + "2", + "3" + ] + }, + "946d71fb5d": { + "horse": [ + "2" + ], + "person": [ + "1", + "3" + ] + }, + "948f3ae6fb": { + "monkey": [ + "1" + ] + }, + "9498baa359": { + "person": [ + "1" + ] + }, + "94a33abeab": { + "person": [ + "1", + "2", + "3" + ] + }, + "94bf1af5e3": { + "snail": [ + "1" + ] + }, + "94cf3a8025": { + "boat": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "94db712ac8": { + "person": [ + "1" + ] + }, + "94e4b66cff": { + "giraffe": [ + "1", + "2" + ] + }, + "94e76cbaf6": { + "hedgehog": [ + "1" + ] + }, + "950be91db1": { + "person": [ + "1" + ] + }, + "952058e2d0": { + "sedan": [ + "2" + ], + "bus": [ + "1" + ] + }, + "952633c37f": {}, + "952ec313fe": { + "bird": [ + "1" + ] + }, + "9533fc037c": { + "person": [ + "2" + ], + "dog": [ + "1" + ] + }, + "9574b81269": { + "bird": [ + "1" + ] + }, + "9579b73761": { + "lizard": [ + "1" + ] + }, + "957f7bc48b": { + "deer": [ + "1", + "2" + ] + }, + "958073d2b0": { + "lion": [ + "1" + ] + }, + "9582e0eb33": {}, + "9584092d0b": { + "person": [ + "1" + ] + }, + "95b58b8004": { + "ape": [ + "1" + ] + }, + "95bd88da55": { + "shark": [ + "1" + ] + }, + "95f74a9959": { + "giant_panda": [ + "1" + ], + "person": [ + "2" + ] + }, + "962781c601": { + "monkey": [ + "1" + ] + }, + "962f045bf5": { + "lizard": [ + "1" + ] + }, + "964ad23b44": { + "truck": [ + "1" + ] + }, + "967b90590e": { + "snail": [ + "1" + ] + }, + "967bffe201": { + "bird": [ + "1", + "2", + "3" + ] + }, + "96825c4714": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "968492136a": { + "person": [ + "2" + ] + }, + "9684ef9d64": {}, + "968c41829e": { + "motorbike": [ + "3" + ], + "person": [ + "2" + ] + }, + "96a856ef9a": { + "person": [ + "1" + ] + }, + "96dfc49961": { + "ape": [ + "1" + ] + }, + "96e1a5b4f8": { + "deer": [ + "1" + ] + }, + "96e6ff0917": { + "dolphin": [ + "1" + ], + "person": [ + "2" + ] + }, + "96fb88e9d7": { + "train": [ + "1" + ] + }, + "96fbe5fc23": { + "person": [ + "1" + ] + }, + "96fc924050": { + "elephant": [ + "1" + ], + "person": [ + "2" + ] + }, + "9715cc83dc": { + "person": [ + "1" + ] + }, + "9720eff40f": { + "parrot": [ + "1" + ] + }, + "972c187c0d": { + "dolphin": [ + "1" + ] + }, + "97476eb38d": { + "giant_panda": [ + "1", + "2" + ] + }, + "97659ed431": { + "person": [ + "1" + ] + }, + "9773492949": { + "person": [ + "1", + "2", + "3" + ] + }, + "97756b264f": {}, + "977bff0d10": {}, + "97ab569ff3": { + "person": [ + "1" + ] + }, + "97ba838008": { + "dolphin": [ + "1" + ] + }, + "97d9d008c7": { + "person": [ + "1" + ] + }, + "97e59f09fa": {}, + "97eb642e56": { + "cat": [ + "1", + "2" + ] + }, + "98043e2d14": { + "boat": [ + "2" + ], + "person": [ + "1" + ] + }, + "981ff580cf": { + "fish": [ + "1", + "2" + ] + }, + "983e66cbfc": {}, + "984f0f1c36": { + "person": [ + "2" + ] + }, + "98595f2bb4": { + "person": [ + "1", + "2", + "3" + ] + }, + "985c3be474": { + "rabbit": [ + "1" + ] + }, + "9869a12362": { + "turtle": [ + "1" + ], + "person": [ + "2" + ] + }, + "986b5a5e18": { + "lizard": [ + "1" + ] + }, + "9877af5063": { + "sheep": [ + "1", + "2" + ] + }, + "98911292da": { + "lizard": [ + "1", + "2" + ] + }, + "9893a3cf77": { + "bear": [ + "1", + "2" + ] + }, + "9893d9202d": { + "sedan": [ + "1" + ] + }, + "98a8b06e7f": { + "monkey": [ + "1" + ] + }, + "98ac6f93d9": { + "fox": [ + "1", + "2" + ] + }, + "98b6974d12": { + "person": [ + "1" + ] + }, + "98ba3c9417": { + "hedgehog": [ + "1" + ], + "person": [ + "2" + ] + }, + "98c7c00a19": { + "duck": [ + "1", + "2" + ] + }, + "98d044f206": { + "elephant": [ + "1" + ] + }, + "98e909f9d1": { + "leopard": [ + "1" + ] + }, + "98fe7f0410": { + "parrot": [ + "1" + ] + }, + "990f2742c7": { + "motorbike": [ + "1" + ] + }, + "992bd0779a": { + "camel": [ + "1" + ] + }, + "994b9b47ba": { + "ape": [ + "1" + ] + }, + "9955b76bf5": { + "train": [ + "1" + ] + }, + "997117a654": { + "person": [ + "2" + ] + }, + "999d53d841": { + "person": [ + "2" + ] + }, + "99c04108d3": { + "crocodile": [ + "1" + ] + }, + "99c4277aee": { + "sedan": [ + "1" + ] + }, + "99c6b1acf2": { + "boat": [ + "1" + ] + }, + "99dc8bb20b": { + "duck": [ + "2", + "3", + "4" + ], + "person": [ + "1" + ] + }, + "99fcba71e5": { + "person": [ + "1" + ] + }, + "99fecd4efb": { + "cow": [ + "1" + ] + }, + "9a02c70ba2": { + "horse": [ + "1" + ], + "zebra": [ + "2" + ] + }, + "9a08e7a6f8": { + "tiger": [ + "1", + "2" + ] + }, + "9a2f2c0f86": { + "monkey": [ + "1" + ] + }, + "9a3254a76e": { + "person": [ + "1", + "2", + "3" + ] + }, + "9a3570a020": { + "deer": [ + "1" + ] + }, + "9a39112493": { + "camel": [ + "1" + ] + }, + "9a4e9fd399": { + "parrot": [ + "1" + ] + }, + "9a50af4bfb": { + "rabbit": [ + "1" + ] + }, + "9a68631d24": { + "monkey": [ + "1", + "2", + "3", + "4" + ] + }, + "9a72318dbf": { + "bird": [ + "1" + ] + }, + "9a767493b7": { + "frog": [ + "1" + ] + }, + "9a7fc1548b": { + "zebra": [ + "1" + ] + }, + "9a84ccf6a7": { + "lizard": [ + "1", + "2" + ] + }, + "9a9c0e15b7": { + "dog": [ + "1", + "2" + ] + }, + "9adf06d89b": { + "parrot": [ + "1", + "2" + ] + }, + "9b22b54ee4": { + "dog": [ + "1" + ] + }, + "9b473fc8fe": { + "dog": [ + "2" + ] + }, + "9b4f081782": { + "monkey": [ + "1" + ] + }, + "9b997664ba": { + "monkey": [ + "1", + "2" + ] + }, + "9bc454e109": { + "camel": [ + "1" + ] + }, + "9bccfd04de": { + "elephant": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "9bce4583a2": { + "cat": [ + "2" + ] + }, + "9bebf1b87f": { + "eagle": [ + "1" + ] + }, + "9bfc50d261": { + "giant_panda": [ + "1" + ] + }, + "9c166c86ff": { + "boat": [ + "3" + ], + "person": [ + "2" + ] + }, + "9c293ef4d7": { + "ape": [ + "1" + ] + }, + "9c29c047b0": { + "motorbike": [ + "1" + ] + }, + "9c3bc2e2a7": {}, + "9c3ce23bd1": { + "person": [ + "1", + "2", + "3" + ] + }, + "9c404cac0c": { + "boat": [ + "2" + ], + "earless_seal": [ + "1" + ] + }, + "9c5180d23a": { + "crocodile": [ + "1" + ] + }, + "9c7feca6e4": { + "person": [ + "1" + ] + }, + "9caa49d3ff": { + "parrot": [ + "1" + ] + }, + "9cb2f1b646": { + "lizard": [ + "1" + ] + }, + "9ce6f765c3": { + "sedan": [ + "2" + ], + "dog": [ + "1" + ] + }, + "9cfee34031": { + "lion": [ + "1" + ] + }, + "9d01f08ec6": { + "snail": [ + "1" + ] + }, + "9d04c280b8": { + "cow": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "9d12ceaddc": { + "frog": [ + "1", + "2" + ] + }, + "9d15f8cb3c": { + "hedgehog": [ + "1" + ] + }, + "9d2101e9bf": { + "parrot": [ + "1" + ] + }, + "9d407c3aeb": { + "sheep": [ + "1", + "2" + ] + }, + "9ddefc6165": { + "snail": [ + "1" + ] + }, + "9df0b1e298": {}, + "9e16f115d8": { + "snail": [ + "1" + ] + }, + "9e249b4982": { + "sedan": [ + "1" + ] + }, + "9e29b1982c": { + "person": [ + "1" + ] + }, + "9e493e4773": { + "hedgehog": [ + "1" + ], + "person": [ + "2" + ] + }, + "9e4c752cd0": { + "bear": [ + "1", + "2" + ] + }, + "9e4de40671": {}, + "9e6319faeb": { + "horse": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "9e6ddbb52d": { + "sheep": [ + "1", + "2" + ] + }, + "9eadcea74f": { + "leopard": [ + "1", + "2" + ] + }, + "9efb47b595": { + "person": [ + "1", + "2" + ] + }, + "9f30bfe61e": { + "horse": [ + "2" + ], + "cow": [ + "3" + ], + "person": [ + "1" + ] + }, + "9f3734c3a4": { + "monkey": [ + "1" + ] + }, + "9f5b858101": { + "fish": [ + "1" + ] + }, + "9f66640cda": { + "person": [ + "2", + "3" + ] + }, + "9f913803e9": { + "parrot": [ + "1" + ] + }, + "9f97bc74c8": { + "dolphin": [ + "1" + ] + }, + "9fbad86e20": { + "owl": [ + "1" + ] + }, + "9fc2bad316": { + "lizard": [ + "1" + ] + }, + "9fc5c3af78": { + "giant_panda": [ + "1" + ] + }, + "9fcb310255": { + "cat": [ + "1", + "2" + ] + }, + "9fcc256871": { + "sheep": [ + "1", + "2", + "3" + ] + }, + "9fd2fd4d47": { + "whale": [ + "1" + ] + }, + "a0071ae316": { + "bird": [ + "1" + ] + }, + "a023141022": { + "horse": [ + "1", + "2", + "3" + ] + }, + "a046399a74": { + "airplane": [ + "1", + "2", + "3" + ] + }, + "a066e739c1": { + "fox": [ + "1" + ] + }, + "a06722ba82": { + "person": [ + "3" + ] + }, + "a07a15dd64": { + "person": [ + "1" + ] + }, + "a07b47f694": { + "duck": [ + "1" + ] + }, + "a09c39472e": { + "ape": [ + "1", + "2", + "3" + ] + }, + "a0b208fe2e": { + "giant_panda": [ + "1", + "2" + ] + }, + "a0b61c959e": { + "person": [ + "1" + ] + }, + "a0bc6c611d": { + "leopard": [ + "1" + ] + }, + "a0e6da5ba2": { + "bird": [ + "1", + "2" + ] + }, + "a1193d6490": { + "airplane": [ + "1" + ] + }, + "a14ef483ff": { + "elephant": [ + "1", + "3" + ], + "person": [ + "2" + ] + }, + "a14f709908": { + "eagle": [ + "1" + ] + }, + "a15ccc5658": { + "horse": [ + "3" + ], + "person": [ + "2" + ] + }, + "a16062456f": { + "sheep": [ + "1", + "2", + "3" + ] + }, + "a174e8d989": { + "elephant": [ + "1" + ] + }, + "a177c2733c": { + "snake": [ + "1" + ] + }, + "a17c62e764": { + "horse": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "a18ad065fc": { + "lizard": [ + "1" + ] + }, + "a1aaf63216": { + "cow": [ + "1", + "2", + "3" + ] + }, + "a1bb65fb91": { + "camel": [ + "1" + ] + }, + "a1bd8e5349": { + "person": [ + "1", + "2", + "3" + ] + }, + "a1dfdd0cac": { + "frog": [ + "1" + ] + }, + "a2052e4f6c": { + "person": [ + "1" + ] + }, + "a20fd34693": { + "cow": [ + "1", + "2" + ] + }, + "a21ffe4d81": { + "whale": [ + "1" + ] + }, + "a22349e647": { + "lizard": [ + "1" + ] + }, + "a235d01ec1": { + "leopard": [ + "1" + ] + }, + "a24f63e8a2": { + "leopard": [ + "1" + ] + }, + "a263ce8a87": { + "person": [ + "1", + "3" + ] + }, + "a29bfc29ec": { + "tiger": [ + "1" + ] + }, + "a2a80072d4": { + "parrot": [ + "1", + "2" + ] + }, + "a2a800ab63": { + "dolphin": [ + "1", + "2" + ] + }, + "a2bcd10a33": { + "giant_panda": [ + "1" + ] + }, + "a2bdaff3b0": { + "sedan": [ + "1" + ] + }, + "a2c146ab0d": { + "train": [ + "1" + ], + "person": [ + "2" + ] + }, + "a2c996e429": { + "sedan": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "a2dc51ebe8": { + "camel": [ + "1" + ] + }, + "a2e6608bfa": { + "lizard": [ + "1" + ], + "snail": [ + "2" + ] + }, + "a2f2a55f01": { + "boat": [ + "2" + ], + "person": [ + "1" + ] + }, + "a301869dea": {}, + "a31fccd2cc": { + "person": [ + "1" + ] + }, + "a34f440f33": { + "ape": [ + "1", + "2", + "3" + ] + }, + "a35e0206da": { + "whale": [ + "1" + ] + }, + "a36bdc4cab": { + "crocodile": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "a36e8c79d8": { + "airplane": [ + "1" + ], + "person": [ + "3" + ] + }, + "a378053b20": { + "owl": [ + "1", + "2" + ] + }, + "a37db3a2b3": { + "duck": [ + "1", + "2", + "3" + ] + }, + "a38950ebc2": { + "deer": [ + "1" + ] + }, + "a39a0eb433": { + "giant_panda": [ + "1" + ] + }, + "a39c9bca52": { + "parrot": [ + "1" + ] + }, + "a3a945dc8c": { + "person": [ + "1", + "2", + "3" + ] + }, + "a3b40a0c1e": { + "monkey": [ + "1", + "2" + ] + }, + "a3b8588550": { + "person": [ + "1", + "2", + "3" + ] + }, + "a3c502bec3": { + "rabbit": [ + "1" + ] + }, + "a3f2878017": { + "giant_panda": [ + "1" + ] + }, + "a3f4d58010": { + "giant_panda": [ + "1" + ], + "person": [ + "2" + ] + }, + "a3f51855c3": { + "fox": [ + "1" + ], + "dog": [ + "2" + ] + }, + "a4065a7eda": { + "rabbit": [ + "1", + "2", + "3" + ] + }, + "a412bb2fef": { + "lizard": [ + "1" + ] + }, + "a416b56b53": {}, + "a41ec95906": { + "sedan": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "a43299e362": { + "snake": [ + "1" + ] + }, + "a4757bd7af": { + "horse": [ + "3" + ], + "person": [ + "2", + "4" + ] + }, + "a48c53c454": { + "ape": [ + "1", + "2" + ] + }, + "a49dcf9ad5": { + "ape": [ + "1", + "2" + ] + }, + "a4a506521f": { + "parrot": [ + "1" + ] + }, + "a4ba7753d9": { + "fox": [ + "1" + ] + }, + "a4bac06849": { + "zebra": [ + "1", + "2" + ] + }, + "a4f05d681c": { + "sedan": [ + "4" + ], + "person": [ + "1", + "2", + "3" + ] + }, + "a50c10060f": { + "snake": [ + "1" + ] + }, + "a50eb5a0ea": { + "fox": [ + "2" + ], + "dog": [ + "1" + ] + }, + "a5122c6ec6": { + "ape": [ + "1", + "2", + "3" + ] + }, + "a522b1aa79": { + "bird": [ + "1" + ] + }, + "a590915345": { + "turtle": [ + "1" + ] + }, + "a5b5b59139": { + "person": [ + "1" + ] + }, + "a5b77abe43": { + "snake": [ + "1" + ] + }, + "a5c2b2c3e1": { + "person": [ + "1" + ] + }, + "a5cd17bb11": { + "person": [ + "1", + "3" + ] + }, + "a5da03aef1": { + "monkey": [ + "1" + ] + }, + "a5dd11de0d": { + "person": [ + "1", + "2" + ] + }, + "a5ea2b93b6": { + "person": [ + "1" + ] + }, + "a5eaeac80b": { + "person": [ + "1" + ] + }, + "a5ec5b0265": { + "shark": [ + "1", + "2", + "3" + ], + "person": [ + "4" + ] + }, + "a5f350a87e": { + "ape": [ + "1" + ], + "person": [ + "2" + ] + }, + "a5f472caf4": {}, + "a6027a53cf": { + "leopard": [ + "1" + ] + }, + "a61715bb1b": { + "parrot": [ + "1" + ] + }, + "a61cf4389d": { + "lizard": [ + "1" + ] + }, + "a61d9bbd9b": { + "giant_panda": [ + "1" + ] + }, + "a6470dbbf5": { + "eagle": [ + "1" + ] + }, + "a64a40f3eb": { + "person": [ + "1", + "2" + ] + }, + "a653d5c23b": { + "person": [ + "1" + ] + }, + "a65bd23cb5": { + "ape": [ + "1" + ] + }, + "a66e0b7ad4": { + "frog": [ + "1", + "2" + ] + }, + "a66fc5053c": { + "cow": [ + "1", + "2", + "3" + ] + }, + "a68259572b": { + "person": [ + "1" + ] + }, + "a6a810a92c": { + "tiger": [ + "1", + "2" + ] + }, + "a6bc36937f": { + "sedan": [ + "1" + ] + }, + "a6c3a374e9": { + "turtle": [ + "1" + ] + }, + "a6d8a4228d": { + "person": [ + "1", + "4" + ] + }, + "a6f4e0817f": { + "deer": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "a71e0481f5": { + "giant_panda": [ + "1" + ], + "person": [ + "2" + ] + }, + "a7203deb2d": { + "giant_panda": [ + "1" + ] + }, + "a7392d4438": { + "lizard": [ + "1" + ] + }, + "a73d3c3902": {}, + "a7491f1578": { + "sheep": [ + "1", + "2", + "3", + "4" + ] + }, + "a74b9ca19c": { + "fish": [ + "1", + "2" + ] + }, + "a77b7a91df": { + "giant_panda": [ + "1" + ] + }, + "a78195a5f5": { + "sheep": [ + "1", + "2" + ] + }, + "a78758d4ce": { + "parrot": [ + "1" + ] + }, + "a7e6d6c29a": {}, + "a800d85e88": { + "train": [ + "1" + ], + "sedan": [ + "2" + ] + }, + "a832fa8790": { + "fish": [ + "1" + ] + }, + "a83d06410d": { + "fox": [ + "1", + "2", + "3", + "4" + ] + }, + "a8999af004": { + "ape": [ + "1", + "2" + ], + "dog": [ + "3" + ] + }, + "a8f78125b9": { + "person": [ + "2" + ] + }, + "a907b18df1": { + "frog": [ + "1" + ] + }, + "a919392446": { + "person": [ + "1" + ] + }, + "a965504e88": { + "person": [ + "2" + ], + "lizard": [ + "1" + ] + }, + "a96b84b8d2": {}, + "a973f239cd": { + "bear": [ + "1" + ] + }, + "a977126596": { + "rabbit": [ + "1", + "2", + "3" + ] + }, + "a9804f2a08": { + "snake": [ + "1" + ], + "dog": [ + "2" + ] + }, + "a984e56893": { + "parrot": [ + "2" + ] + }, + "a99738f24c": { + "person": [ + "1", + "2", + "3" + ] + }, + "a99bdd0079": { + "rabbit": [ + "1" + ] + }, + "a9c9c1517e": { + "sheep": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "a9cbf9c41b": { + "camel": [ + "1" + ] + }, + "a9e42e3c0c": { + "lizard": [ + "1" + ] + }, + "aa07b7c1c0": { + "turtle": [ + "1" + ] + }, + "aa175e5ec7": { + "sheep": [ + "1" + ] + }, + "aa1a338630": { + "person": [ + "1" + ] + }, + "aa27d7b868": { + "person": [ + "3" + ] + }, + "aa45f1caaf": { + "dog": [ + "1" + ] + }, + "aa49e46432": { + "sedan": [ + "1" + ] + }, + "aa51934e1b": { + "fish": [ + "1", + "2" + ] + }, + "aa6287bb6c": { + "sedan": [ + "3" + ], + "bus": [ + "1" + ] + }, + "aa6d999971": { + "person": [ + "1" + ] + }, + "aa85278334": { + "train": [ + "3" + ], + "person": [ + "1" + ] + }, + "aab33f0e2a": { + "ape": [ + "1", + "2" + ] + }, + "aaba004362": { + "parrot": [ + "1", + "2" + ] + }, + "aade4cf385": { + "duck": [ + "1", + "2", + "3" + ] + }, + "aae78feda4": { + "bird": [ + "1" + ] + }, + "aaed233bf3": { + "parrot": [ + "1" + ] + }, + "aaff16c2db": { + "tiger": [ + "1" + ] + }, + "ab199e8dfb": { + "motorbike": [ + "3" + ], + "person": [ + "2" + ] + }, + "ab23b78715": { + "duck": [ + "1" + ] + }, + "ab2e1b5577": { + "earless_seal": [ + "1", + "2", + "3" + ] + }, + "ab33a18ded": { + "person": [ + "1" + ] + }, + "ab45078265": { + "monkey": [ + "1" + ] + }, + "ab56201494": { + "mouse": [ + "1", + "2" + ] + }, + "ab90f0d24b": { + "frog": [ + "1" + ] + }, + "abab2e6c20": { + "person": [ + "1" + ] + }, + "abb50c8697": { + "duck": [ + "1", + "2" + ] + }, + "abbe2d15a0": { + "ape": [ + "1", + "2", + "3", + "4" + ] + }, + "abbe73cd21": { + "lizard": [ + "1" + ] + }, + "abe61a11bb": { + "person": [ + "2" + ] + }, + "abeae8ce21": { + "leopard": [ + "1" + ] + }, + "ac2b431d5f": { + "fish": [ + "1", + "2", + "3" + ] + }, + "ac2cb1b9eb": { + "person": [ + "1" + ] + }, + "ac31fcd6d0": { + "sedan": [ + "1" + ] + }, + "ac3d3a126d": { + "giant_panda": [ + "1" + ] + }, + "ac46bd8087": { + "person": [ + "1" + ] + }, + "ac783ef388": { + "hedgehog": [ + "1" + ], + "person": [ + "2" + ] + }, + "acb73e4297": { + "rabbit": [ + "1" + ] + }, + "acbf581760": { + "person": [ + "1", + "2" + ] + }, + "accafc3531": { + "truck": [ + "1" + ], + "person": [ + "2" + ] + }, + "acf2c4b745": { + "person": [ + "1" + ] + }, + "acf44293a2": { + "cat": [ + "1" + ] + }, + "acf736a27b": { + "parrot": [ + "1" + ] + }, + "acff336758": { + "fox": [ + "1", + "2" + ] + }, + "ad1fe56886": { + "person": [ + "1" + ] + }, + "ad28f9b9d9": { + "person": [ + "1", + "2", + "3" + ] + }, + "ad2de9f80e": { + "frog": [ + "1" + ] + }, + "ad397527b2": { + "bear": [ + "1" + ] + }, + "ad3d1cfbcb": { + "truck": [ + "2" + ], + "sedan": [ + "1" + ] + }, + "ad3fada9d9": { + "snail": [ + "1" + ] + }, + "ad4108ee8e": { + "cow": [ + "1" + ], + "person": [ + "2" + ] + }, + "ad54468654": { + "bear": [ + "2" + ], + "person": [ + "1" + ] + }, + "ad573f7d31": { + "cat": [ + "1" + ] + }, + "ad6255bc29": { + "person": [ + "1" + ] + }, + "ad65ebaa07": { + "giant_panda": [ + "1" + ] + }, + "ad97cc064a": { + "person": [ + "2" + ] + }, + "adabbd1cc4": { + "snake": [ + "1" + ] + }, + "adb0b5a270": { + "ape": [ + "1" + ] + }, + "adc648f890": { + "monkey": [ + "1", + "2" + ] + }, + "add21ee467": { + "rabbit": [ + "1" + ] + }, + "adfd15ceef": { + "rabbit": [ + "1" + ] + }, + "adfdd52eac": { + "person": [ + "3" + ], + "dog": [ + "1", + "2", + "4" + ] + }, + "ae01cdab63": { + "monkey": [ + "1", + "2" + ] + }, + "ae0b50ff4f": {}, + "ae13ee3d70": { + "snail": [ + "1" + ] + }, + "ae1bcbd423": { + "shark": [ + "1", + "2", + "3", + "4" + ], + "person": [ + "5" + ] + }, + "ae20d09dea": { + "ape": [ + "1", + "2" + ] + }, + "ae2cecf5f6": { + "cow": [ + "1", + "2" + ] + }, + "ae3bc4a0ef": { + "parrot": [ + "1" + ] + }, + "ae499c7514": { + "truck": [ + "2", + "3", + "4" + ], + "sedan": [ + "1" + ] + }, + "ae628f2cd4": { + "leopard": [ + "1" + ] + }, + "ae8545d581": { + "person": [ + "1" + ] + }, + "ae93214fe6": { + "parrot": [ + "1", + "2" + ] + }, + "aeba9ac967": { + "giant_panda": [ + "1" + ] + }, + "aebb242b5c": { + "lizard": [ + "1" + ] + }, + "aed4e0b4c4": { + "bear": [ + "1" + ] + }, + "aedd71f125": { + "crocodile": [ + "1" + ] + }, + "aef3e2cb0e": { + "person": [ + "1" + ] + }, + "af0b54cee3": { + "person": [ + "2" + ] + }, + "af3de54c7a": { + "eagle": [ + "1" + ] + }, + "af5fd24a36": { + "lizard": [ + "1" + ] + }, + "af8826d084": { + "horse": [ + "2" + ], + "person": [ + "1", + "3" + ] + }, + "af8ad72057": { + "person": [ + "1" + ] + }, + "afb71e22c5": { + "duck": [ + "1", + "2", + "3" + ] + }, + "afcb331e1f": { + "train": [ + "1", + "2" + ] + }, + "afe1a35c1e": { + "fox": [ + "1", + "2", + "3" + ] + }, + "b01080b5d3": { + "owl": [ + "1" + ] + }, + "b05ad0d345": { + "boat": [ + "2" + ] + }, + "b0623a6232": { + "sedan": [ + "1" + ] + }, + "b064dbd4b7": { + "person": [ + "2", + "3" + ] + }, + "b06ed37831": { + "person": [ + "2" + ] + }, + "b06f5888e6": { + "bear": [ + "1", + "2" + ] + }, + "b08dcc490e": { + "dog": [ + "1", + "2", + "3" + ] + }, + "b0a68228dc": { + "elephant": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "b0aece727f": { + "person": [ + "1" + ] + }, + "b0b0731606": { + "cow": [ + "1" + ] + }, + "b0c7f11f9f": { + "raccoon": [ + "1", + "2" + ] + }, + "b0cca8b830": { + "person": [ + "2" + ] + }, + "b0dd580a89": { + "owl": [ + "1" + ] + }, + "b0de66ca08": { + "mouse": [ + "1", + "2", + "3" + ] + }, + "b0df7c5c5c": { + "snail": [ + "1" + ], + "dog": [ + "2" + ] + }, + "b0f5295608": { + "bird": [ + "1", + "3" + ] + }, + "b11099eb09": { + "giant_panda": [ + "1" + ] + }, + "b132a53086": { + "snake": [ + "1" + ] + }, + "b1399fac64": { + "penguin": [ + "1", + "2" + ] + }, + "b13abc0c69": { + "person": [ + "1", + "2" + ] + }, + "b1457e3b5e": { + "hedgehog": [ + "1", + "2" + ] + }, + "b15bf4453b": { + "sedan": [ + "1", + "3" + ], + "bus": [ + "2" + ] + }, + "b179c4a82d": { + "zebra": [ + "1", + "2" + ] + }, + "b17ee70e8c": { + "person": [ + "1" + ] + }, + "b190b1aa65": { + "person": [ + "1" + ] + }, + "b19b3e22c0": { + "parrot": [ + "1" + ] + }, + "b19c561fab": { + "deer": [ + "1" + ] + }, + "b1d1cd2e6e": { + "giant_panda": [ + "1", + "2", + "3" + ] + }, + "b1d7c03927": { + "person": [ + "1" + ], + "dog": [ + "2" + ] + }, + "b1d7fe2753": { + "parrot": [ + "1" + ] + }, + "b1f540a4bd": { + "giraffe": [ + "1", + "2" + ] + }, + "b1fc9c64e1": { + "cat": [ + "2" + ], + "squirrel": [ + "1" + ] + }, + "b1fcbb3ced": { + "person": [ + "1" + ] + }, + "b220939e93": { + "duck": [ + "1", + "2", + "3", + "4" + ] + }, + "b22099b419": { + "parrot": [ + "1" + ] + }, + "b241e95235": { + "bear": [ + "1", + "2" + ] + }, + "b2432ae86d": { + "fish": [ + "1" + ] + }, + "b2456267df": { + "person": [ + "1" + ] + }, + "b247940d01": { + "rabbit": [ + "1" + ] + }, + "b24af1c35c": { + "monkey": [ + "1" + ] + }, + "b24f600420": { + "giant_panda": [ + "1" + ] + }, + "b24fe36b2a": { + "person": [ + "1" + ] + }, + "b258fb0b7d": { + "earless_seal": [ + "1" + ] + }, + "b26b219919": { + "motorbike": [ + "1" + ] + }, + "b26d9904de": { + "horse": [ + "3" + ], + "person": [ + "2" + ] + }, + "b274456ce1": { + "giant_panda": [ + "1" + ] + }, + "b27b28d581": { + "truck": [ + "1" + ], + "person": [ + "3" + ], + "bus": [ + "2" + ] + }, + "b2a26bc912": { + "snake": [ + "1" + ] + }, + "b2a9c51e1b": { + "giant_panda": [ + "1" + ] + }, + "b2b0baf470": { + "fox": [ + "1" + ] + }, + "b2b2756fe7": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "b2ce7699e3": { + "fish": [ + "1", + "2", + "3" + ] + }, + "b2edc76bd2": { + "monkey": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "b2f6b52100": { + "giant_panda": [ + "1" + ], + "person": [ + "2" + ] + }, + "b30bf47bcd": { + "person": [ + "1" + ] + }, + "b34105a4e9": { + "person": [ + "1" + ] + }, + "b372a82edf": { + "rabbit": [ + "1" + ] + }, + "b3779a1962": { + "cow": [ + "1" + ] + }, + "b37a1d69e3": { + "shark": [ + "1" + ] + }, + "b37c01396e": { + "fox": [ + "1" + ] + }, + "b382b09e25": { + "lizard": [ + "1" + ] + }, + "b3996e4ba5": { + "ape": [ + "1", + "2" + ] + }, + "b3d9ca2aee": { + "leopard": [ + "1" + ] + }, + "b3dde1e1e9": { + "lizard": [ + "1" + ] + }, + "b3eb7f05eb": { + "bird": [ + "1" + ] + }, + "b40b25055c": { + "fox": [ + "2" + ], + "cat": [ + "1" + ] + }, + "b41e0f1f19": { + "horse": [ + "2" + ], + "person": [ + "1", + "3" + ] + }, + "b44e32a42b": { + "giraffe": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "b4807569a5": { + "truck": [ + "1", + "2", + "3" + ] + }, + "b48efceb3e": { + "deer": [ + "1" + ] + }, + "b493c25c7f": { + "monkey": [ + "1" + ] + }, + "b4b565aba1": { + "fox": [ + "1" + ] + }, + "b4b715a15b": { + "ape": [ + "1", + "2" + ] + }, + "b4d0c90bf4": { + "giraffe": [ + "1" + ] + }, + "b4d84bc371": { + "sheep": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "b4e5ad97aa": { + "person": [ + "2" + ] + }, + "b4eaea9e6b": { + "person": [ + "1" + ] + }, + "b50f4b90d5": { + "leopard": [ + "1" + ] + }, + "b53f675641": { + "person": [ + "1" + ] + }, + "b54278cd43": { + "tiger": [ + "1" + ] + }, + "b554843889": { + "person": [ + "1" + ] + }, + "b573c0677a": { + "lizard": [ + "1" + ] + }, + "b58d853734": { + "tiger": [ + "1" + ] + }, + "b5943b18ab": { + "dolphin": [ + "1" + ] + }, + "b5a09a83f3": { + "giraffe": [ + "1", + "2" + ] + }, + "b5aae1fe25": { + "bird": [ + "1", + "2" + ] + }, + "b5b9da5364": { + "cat": [ + "1" + ] + }, + "b5eb64d419": { + "truck": [ + "1" + ] + }, + "b5ebb1d000": { + "horse": [ + "1", + "2", + "3", + "4" + ] + }, + "b5f1c0c96a": { + "train": [ + "1" + ] + }, + "b5f7fece90": { + "rabbit": [ + "1" + ] + }, + "b6070de1bb": { + "ape": [ + "1", + "2" + ] + }, + "b60a76fe73": { + "person": [ + "1" + ], + "dog": [ + "2" + ] + }, + "b61f998772": { + "motorbike": [ + "2" + ] + }, + "b62c943664": { + "truck": [ + "2" + ], + "person": [ + "1", + "3" + ] + }, + "b63094ba0c": { + "leopard": [ + "1" + ] + }, + "b64fca8100": { + "person": [ + "1", + "2" + ], + "bus": [ + "3" + ] + }, + "b673e7dcfb": { + "giraffe": [ + "1" + ] + }, + "b678b7db00": { + "person": [ + "1", + "3" + ] + }, + "b68fc1b217": { + "frog": [ + "1" + ] + }, + "b69926d9fa": { + "train": [ + "4" + ], + "person": [ + "1", + "2", + "3" + ] + }, + "b6a1df3764": { + "frog": [ + "1", + "2" + ] + }, + "b6a4859528": { + "person": [ + "1", + "2", + "3", + "4" + ] + }, + "b6b4738b78": { + "person": [ + "1", + "2" + ] + }, + "b6b4f847b7": { + "camel": [ + "1" + ] + }, + "b6b8d502d4": { + "parrot": [ + "1", + "2", + "3" + ] + }, + "b6bb00e366": { + "fish": [ + "1", + "2" + ] + }, + "b6d65a9eef": { + "owl": [ + "1" + ] + }, + "b6d79a0845": { + "person": [ + "1" + ] + }, + "b6e9ec577f": { + "cow": [ + "2" + ], + "horse": [ + "3" + ], + "person": [ + "1" + ] + }, + "b6ec609f7b": { + "frog": [ + "1" + ] + }, + "b6f92a308d": { + "eagle": [ + "1" + ] + }, + "b70a5a0d50": { + "person": [ + "1" + ] + }, + "b70c052f2f": { + "sheep": [ + "1" + ] + }, + "b70d231781": { + "train": [ + "1" + ] + }, + "b72ac6e10b": { + "person": [ + "3" + ], + "dog": [ + "2" + ] + }, + "b7302d8226": { + "elephant": [ + "1" + ] + }, + "b73867d769": { + "person": [ + "1" + ] + }, + "b751e767f2": { + "whale": [ + "1" + ] + }, + "b76df6e059": { + "person": [ + "1" + ] + }, + "b77e5eddef": { + "person": [ + "1", + "2", + "3" + ] + }, + "b7a2c2c83c": {}, + "b7bcbe6466": { + "fox": [ + "1" + ] + }, + "b7c2a469c4": { + "rabbit": [ + "1" + ] + }, + "b7d69da8f0": { + "eagle": [ + "1" + ] + }, + "b7f31b7c36": { + "person": [ + "1", + "2", + "3" + ] + }, + "b7fb871660": { + "person": [ + "1", + "3" + ] + }, + "b82e5ad1c9": { + "bird": [ + "1" + ] + }, + "b841cfb932": { + "eagle": [ + "1" + ] + }, + "b84b8ae665": { + "snake": [ + "1" + ] + }, + "b85b78ac2b": { + "eagle": [ + "1", + "2" + ] + }, + "b86c17caa6": { + "giant_panda": [ + "1" + ] + }, + "b86e50d82d": {}, + "b871db031a": { + "duck": [ + "1", + "2" + ] + }, + "b87d56925a": { + "elephant": [ + "1" + ] + }, + "b8aaa59b75": { + "motorbike": [ + "3" + ], + "person": [ + "4" + ] + }, + "b8c03d1091": { + "person": [ + "2" + ] + }, + "b8e16df00b": { + "turtle": [ + "1" + ], + "person": [ + "2" + ] + }, + "b8f34cf72e": { + "giant_panda": [ + "1", + "2" + ] + }, + "b8fb75864e": {}, + "b9004db86c": { + "rabbit": [ + "1" + ] + }, + "b9166cbae9": { + "truck": [ + "1", + "2" + ] + }, + "b920b256a6": { + "penguin": [ + "1", + "2" + ] + }, + "b93963f214": { + "tiger": [ + "1" + ] + }, + "b941aef1a0": { + "whale": [ + "1" + ] + }, + "b94d34d14e": { + "cow": [ + "1", + "2" + ] + }, + "b964c57da4": {}, + "b96a95bc7a": { + "turtle": [ + "1", + "2", + "3" + ] + }, + "b96c57d2c7": { + "cat": [ + "2" + ], + "snake": [ + "1" + ] + }, + "b9b6bdde0c": {}, + "b9bcb3e0f2": { + "person": [ + "2" + ] + }, + "b9d3b92169": { + "person": [ + "1" + ] + }, + "b9dd4b306c": { + "fish": [ + "1", + "2" + ] + }, + "b9f43ef41e": { + "train": [ + "3" + ], + "sedan": [ + "1", + "4" + ], + "bus": [ + "2" + ] + }, + "ba1f03c811": { + "person": [ + "1", + "2", + "3" + ] + }, + "ba3a775d7b": { + "giant_panda": [ + "1" + ] + }, + "ba3c7f2a31": { + "shark": [ + "1" + ] + }, + "ba3fcd417d": { + "leopard": [ + "1" + ] + }, + "ba5e1f4faa": { + "sheep": [ + "1" + ], + "person": [ + "2" + ] + }, + "ba795f3089": { + "dog": [ + "1" + ] + }, + "ba8a291e6a": { + "dolphin": [ + "1" + ] + }, + "ba98512f97": { + "airplane": [ + "1" + ] + }, + "bac9db04f5": { + "person": [ + "1" + ] + }, + "baedae3442": { + "person": [ + "2" + ] + }, + "baff40d29d": { + "rabbit": [ + "1", + "2" + ] + }, + "bb04e28695": { + "person": [ + "2" + ] + }, + "bb1b0ee89f": { + "boat": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "bb1c770fe7": { + "lion": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "bb1fc34f99": { + "person": [ + "1" + ] + }, + "bb2d220506": { + "person": [ + "1" + ] + }, + "bb334e5cdb": { + "giant_panda": [ + "1", + "2" + ] + }, + "bb337f9830": { + "dog": [ + "1" + ] + }, + "bb721eb9aa": { + "person": [ + "1", + "2", + "3" + ] + }, + "bb87ff58bd": { + "person": [ + "2" + ] + }, + "bb89a6b18a": { + "person": [ + "1", + "2", + "3" + ] + }, + "bbaa9a036a": { + "owl": [ + "1" + ], + "person": [ + "2" + ] + }, + "bbb4302dda": { + "parrot": [ + "1" + ] + }, + "bbd31510cf": { + "train": [ + "1" + ] + }, + "bbe0256a75": { + "duck": [ + "1" + ], + "person": [ + "3" + ] + }, + "bc141b9ad5": { + "giant_panda": [ + "1", + "2" + ] + }, + "bc17ab8a99": { + "tiger": [ + "1" + ], + "dog": [ + "2" + ] + }, + "bc318160de": { + "deer": [ + "1" + ] + }, + "bc3b9ee033": { + "train": [ + "1", + "2" + ] + }, + "bc4240b43c": { + "cow": [ + "1" + ] + }, + "bc4ce49105": { + "elephant": [ + "1" + ] + }, + "bc4f71372d": { + "parrot": [ + "2" + ], + "person": [ + "1" + ] + }, + "bc6b8d6371": { + "monkey": [ + "1", + "2", + "3" + ] + }, + "bcaad44ad7": { + "earless_seal": [ + "1", + "2" + ] + }, + "bcc241b081": { + "bus": [ + "1", + "2" + ] + }, + "bcc5d8095e": { + "horse": [ + "2" + ], + "person": [ + "1" + ] + }, + "bcd1d39afb": { + "person": [ + "1" + ], + "bus": [ + "2" + ] + }, + "bd0d849da4": { + "whale": [ + "1", + "2" + ] + }, + "bd0e9ed437": { + "lizard": [ + "1" + ] + }, + "bd2c94730f": { + "shark": [ + "1" + ], + "person": [ + "2" + ] + }, + "bd321d2be6": { + "motorbike": [ + "1" + ] + }, + "bd3ec46511": { + "boat": [ + "1" + ] + }, + "bd7e02b139": { + "horse": [ + "2" + ], + "person": [ + "1" + ] + }, + "bd96f9943a": { + "deer": [ + "1", + "2" + ] + }, + "bda224cb25": { + "dog": [ + "1" + ] + }, + "bda4a82837": { + "cat": [ + "1", + "2" + ] + }, + "bdb74e333f": { + "monkey": [ + "1" + ] + }, + "bdccd69dde": { + "motorbike": [ + "1" + ] + }, + "bddcc15521": { + "deer": [ + "1" + ] + }, + "be116aab29": { + "sheep": [ + "1" + ] + }, + "be15e18f1e": { + "leopard": [ + "1" + ] + }, + "be1a284edb": { + "penguin": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "be2a367a7b": { + "lizard": [ + "1" + ] + }, + "be376082d0": { + "shark": [ + "1" + ] + }, + "be3e3cffbd": { + "bird": [ + "1", + "2" + ] + }, + "be5d1d89a0": { + "deer": [ + "1", + "2" + ] + }, + "be8b72fe37": { + "person": [ + "1" + ] + }, + "be9b29e08e": { + "sheep": [ + "1" + ] + }, + "bea1f6e62c": { + "bear": [ + "1" + ] + }, + "bea83281b5": { + "cow": [ + "1", + "2", + "3" + ] + }, + "beb921a4c9": { + "sedan": [ + "2" + ], + "bus": [ + "1" + ] + }, + "bec5e9edcd": { + "person": [ + "1" + ] + }, + "beeb8a3f92": { + "turtle": [ + "1", + "2", + "3" + ] + }, + "bf2232b58d": {}, + "bf28751739": { + "lizard": [ + "1" + ] + }, + "bf443804e8": { + "duck": [ + "1", + "2", + "3" + ] + }, + "bf461df850": { + "rabbit": [ + "1", + "2", + "3" + ] + }, + "bf5374f122": { + "hedgehog": [ + "1" + ] + }, + "bf551a6f60": { + "person": [ + "1" + ] + }, + "bf8d0f5ada": { + "zebra": [ + "1", + "2" + ] + }, + "bf961167a6": { + "cat": [ + "1" + ] + }, + "bfab1ad8f9": { + "penguin": [ + "1", + "2" + ] + }, + "bfcb05d88d": { + "dog": [ + "1", + "2" + ] + }, + "bfd8f6e6c9": { + "boat": [ + "3" + ], + "person": [ + "1" + ] + }, + "bfd91d0742": { + "snail": [ + "1" + ] + }, + "bfe262322f": { + "train": [ + "1" + ] + }, + "c013f42ed7": { + "duck": [ + "1" + ] + }, + "c01878083f": { + "giant_panda": [ + "1" + ] + }, + "c01faff1ed": { + "person": [ + "2", + "3" + ] + }, + "c046fd0edb": { + "tiger": [ + "1" + ] + }, + "c053e35f97": { + "train": [ + "1" + ] + }, + "c079a6482d": { + "person": [ + "1", + "2", + "3" + ] + }, + "c0847b521a": { + "elephant": [ + "1" + ], + "person": [ + "2" + ] + }, + "c0a1e06710": { + "person": [ + "1" + ] + }, + "c0e8d4635c": { + "dog": [ + "1" + ] + }, + "c0e973ad85": { + "elephant": [ + "1" + ], + "person": [ + "2" + ] + }, + "c0f49c6579": { + "person": [ + "1" + ] + }, + "c0f5b222d7": { + "train": [ + "1" + ] + }, + "c10d07c90d": { + "person": [ + "1" + ] + }, + "c1268d998c": { + "cow": [ + "1", + "2" + ] + }, + "c130c3fc0c": { + "deer": [ + "1" + ] + }, + "c14826ad5e": { + "person": [ + "1" + ] + }, + "c15b922281": { + "snake": [ + "1" + ] + }, + "c16f09cb63": { + "person": [ + "1" + ] + }, + "c18e19d922": { + "whale": [ + "1" + ] + }, + "c1c830a735": { + "sedan": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "c1e8aeea45": { + "leopard": [ + "1" + ], + "person": [ + "2" + ] + }, + "c20a5ccc99": { + "fox": [ + "1" + ] + }, + "c20fd5e597": { + "parrot": [ + "1", + "2" + ] + }, + "c219d6f8dc": { + "eagle": [ + "1", + "2" + ] + }, + "c2406ae462": { + "person": [ + "2" + ], + "dog": [ + "1" + ] + }, + "c26f7b5824": { + "lizard": [ + "1" + ] + }, + "c279e641ee": { + "bear": [ + "1", + "2" + ] + }, + "c27adaeac5": { + "dolphin": [ + "1", + "2", + "3" + ] + }, + "c2a35c1cda": {}, + "c2a9903b8b": { + "ape": [ + "1", + "2" + ] + }, + "c2b62567c1": { + "person": [ + "1" + ] + }, + "c2b974ec8c": { + "dolphin": [ + "1", + "2", + "3" + ] + }, + "c2baaff7bf": { + "giant_panda": [ + "1", + "2" + ] + }, + "c2be6900f2": { + "person": [ + "1" + ], + "motorbike": [ + "2" + ] + }, + "c304dd44d5": { + "rabbit": [ + "1" + ], + "person": [ + "2" + ] + }, + "c307f33da2": { + "giraffe": [ + "1", + "2", + "3" + ] + }, + "c30a7b62c9": { + "train": [ + "1" + ] + }, + "c3128733ee": { + "monkey": [ + "1" + ] + }, + "c31fa6c598": { + "giant_panda": [ + "1", + "2" + ] + }, + "c325c8201e": { + "giraffe": [ + "1", + "2" + ] + }, + "c32d4aa5d1": { + "person": [ + "2" + ] + }, + "c33f28249a": { + "eagle": [ + "1" + ] + }, + "c34365e2d7": { + "lizard": [ + "1" + ] + }, + "c3457af795": { + "sedan": [ + "1", + "2" + ] + }, + "c34d120a88": {}, + "c3509e728d": { + "person": [ + "3" + ], + "dog": [ + "1", + "2" + ] + }, + "c35e4fa6c4": { + "lizard": [ + "1" + ] + }, + "c36240d96f": { + "parrot": [ + "1", + "2" + ] + }, + "c3641dfc5a": { + "duck": [ + "1" + ] + }, + "c37b17a4a9": { + "rabbit": [ + "1" + ] + }, + "c39559ddf6": { + "snake": [ + "1" + ] + }, + "c3b0c6e180": { + "giant_panda": [ + "1" + ] + }, + "c3b3d82e6c": { + "rabbit": [ + "1", + "2" + ] + }, + "c3be369fdb": { + "zebra": [ + "1" + ], + "giraffe": [ + "2", + "3" + ] + }, + "c3bf1e40c2": { + "person": [ + "1", + "2", + "3" + ] + }, + "c3c760b015": { + "earless_seal": [ + "1" + ] + }, + "c3dd38bf98": { + "snake": [ + "1" + ] + }, + "c3e4274614": { + "giraffe": [ + "1", + "2", + "3", + "4" + ] + }, + "c3edc48cbd": { + "person": [ + "1" + ] + }, + "c41e6587f5": {}, + "c4272227b0": { + "elephant": [ + "1", + "2" + ] + }, + "c42917fe82": { + "person": [ + "1", + "2" + ] + }, + "c438858117": { + "person": [ + "1" + ] + }, + "c44676563f": { + "fox": [ + "1", + "2" + ] + }, + "c44beb7472": { + "leopard": [ + "1", + "2" + ] + }, + "c45411dacb": { + "truck": [ + "1" + ], + "sedan": [ + "2" + ] + }, + "c4571bedc8": { + "sedan": [ + "3" + ], + "motorbike": [ + "1", + "2" + ] + }, + "c46deb2956": { + "parrot": [ + "1" + ] + }, + "c479ee052e": {}, + "c47d551843": { + "lizard": [ + "1" + ] + }, + "c49f07d46d": { + "turtle": [ + "1", + "2" + ] + }, + "c4cc40c1fc": { + "cow": [ + "1" + ] + }, + "c4f256f5d5": { + "lizard": [ + "1", + "2", + "3", + "4" + ] + }, + "c4f5b1ddcc": { + "fox": [ + "1" + ] + }, + "c4ff9b4885": { + "frog": [ + "1", + "2", + "3", + "4", + "5", + "6" + ] + }, + "c52bce43db": { + "person": [ + "2" + ], + "dog": [ + "1" + ] + }, + "c544da6854": { + "person": [ + "1" + ], + "motorbike": [ + "2" + ] + }, + "c55784c766": { + "person": [ + "1", + "3", + "5" + ] + }, + "c557b69fbf": { + "parrot": [ + "1" + ], + "person": [ + "2" + ] + }, + "c593a3f7ab": { + "elephant": [ + "1" + ] + }, + "c598faa682": { + "person": [ + "1" + ] + }, + "c5ab1f09c8": { + "snake": [ + "1" + ] + }, + "c5b6da8602": { + "person": [ + "1", + "2", + "3" + ] + }, + "c5b9128d94": { + "truck": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "c5e845c6b7": { + "sheep": [ + "1" + ] + }, + "c5fba7b341": { + "lion": [ + "1" + ] + }, + "c60897f093": { + "elephant": [ + "2" + ], + "person": [ + "1" + ] + }, + "c61fe6ed7c": { + "bear": [ + "1" + ] + }, + "c62188c536": {}, + "c64035b2e2": { + "leopard": [ + "1", + "2" + ] + }, + "c69689f177": { + "ape": [ + "1" + ] + }, + "c6a12c131f": { + "person": [ + "2" + ], + "motorbike": [ + "1" + ] + }, + "c6bb6d2d5c": { + "mouse": [ + "1" + ] + }, + "c6c18e860f": { + "ape": [ + "1" + ] + }, + "c6d9526e0d": { + "ape": [ + "1", + "2", + "3", + "4" + ] + }, + "c6e55c33f0": { + "cat": [ + "1" + ] + }, + "c7030b28bd": { + "sedan": [ + "2" + ], + "bus": [ + "1" + ] + }, + "c70682c7cc": { + "rabbit": [ + "1" + ], + "person": [ + "2" + ] + }, + "c70f9be8c5": { + "boat": [ + "2" + ], + "person": [ + "1" + ] + }, + "c71f30d7b6": { + "tiger": [ + "1" + ] + }, + "c73c8e747f": { + "leopard": [ + "1" + ], + "tiger": [ + "2" + ] + }, + "c760eeb8b3": { + "snake": [ + "1" + ] + }, + "c7637cab0a": { + "sheep": [ + "1" + ] + }, + "c7a1a17308": { + "dog": [ + "1" + ] + }, + "c7bf937af5": { + "duck": [ + "1", + "2", + "3" + ] + }, + "c7c2860db3": { + "person": [ + "1", + "3" + ] + }, + "c7cef4aee2": { + "person": [ + "1" + ] + }, + "c7ebfc5d57": { + "shark": [ + "1" + ] + }, + "c813dcf13c": { + "person": [ + "1" + ] + }, + "c82235a49a": { + "person": [ + "1", + "2", + "3" + ] + }, + "c82a7619a1": { + "turtle": [ + "1", + "2" + ] + }, + "c82ecb90cb": { + "earless_seal": [ + "1", + "2", + "3" + ] + }, + "c844f03dc7": { + "horse": [ + "2" + ], + "person": [ + "1" + ] + }, + "c8557963f3": { + "cow": [ + "1", + "2" + ] + }, + "c89147e6e8": { + "fish": [ + "1" + ] + }, + "c8a46ff0c8": { + "sheep": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "c8ab107dd5": { + "bird": [ + "1" + ] + }, + "c8b869a04a": { + "sedan": [ + "3" + ], + "person": [ + "1" + ], + "motorbike": [ + "4" + ] + }, + "c8c7b306a6": { + "person": [ + "1", + "2" + ] + }, + "c8c8b28781": { + "person": [ + "2" + ] + }, + "c8d79e3163": { + "duck": [ + "1", + "2", + "3", + "4" + ] + }, + "c8edab0415": { + "person": [ + "1", + "3" + ] + }, + "c8f494f416": { + "giraffe": [ + "1", + "2", + "3" + ] + }, + "c8f6cba9fd": { + "person": [ + "1" + ] + }, + "c909ceea97": { + "person": [ + "1", + "2", + "3" + ] + }, + "c9188f4980": { + "deer": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "c922365dd4": {}, + "c92c8c3c75": { + "person": [ + "1", + "2", + "3" + ] + }, + "c937eb0b83": { + "person": [ + "1" + ] + }, + "c94b31b5e5": { + "sheep": [ + "1" + ] + }, + "c95cd17749": {}, + "c96379c03c": { + "person": [ + "1" + ] + }, + "c96465ee65": { + "lizard": [ + "1", + "2" + ] + }, + "c965afa713": { + "rabbit": [ + "1", + "2", + "3" + ], + "person": [ + "4" + ] + }, + "c9734b451f": { + "truck": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "c9862d82dc": { + "whale": [ + "1" + ] + }, + "c98b6fe013": { + "dolphin": [ + "1" + ] + }, + "c9999b7c48": { + "person": [ + "1" + ], + "motorbike": [ + "2" + ] + }, + "c99e92aaf0": { + "giraffe": [ + "1", + "2" + ] + }, + "c9b3a8fbda": { + "sheep": [ + "1", + "2", + "3" + ] + }, + "c9bf64e965": { + "person": [ + "2" + ] + }, + "c9c3cb3797": { + "bird": [ + "1", + "2", + "3" + ] + }, + "c9d1c60cd0": { + "hedgehog": [ + "1" + ] + }, + "c9de9c22c4": { + "sedan": [ + "1" + ], + "motorbike": [ + "2" + ] + }, + "ca1828fa54": { + "bear": [ + "1", + "2" + ], + "fish": [ + "3" + ] + }, + "ca346f17eb": { + "person": [ + "1" + ] + }, + "ca3787d3d3": { + "rabbit": [ + "1" + ] + }, + "ca4b99cbac": { + "zebra": [ + "1" + ] + }, + "ca91c69e3b": { + "giant_panda": [ + "1", + "2" + ] + }, + "caa8e97f81": { + "cat": [ + "1" + ] + }, + "caac5807f8": { + "whale": [ + "1" + ] + }, + "cabba242c2": { + "sedan": [ + "1" + ] + }, + "cad5a656a9": { + "parrot": [ + "1" + ] + }, + "cad673e375": { + "rabbit": [ + "1" + ] + }, + "cad8a85930": { + "person": [ + "2" + ] + }, + "cae7b0a02b": { + "lizard": [ + "1" + ] + }, + "cae7ef3184": { + "lizard": [ + "1" + ] + }, + "caeb6b6cbb": { + "shark": [ + "1" + ] + }, + "caecf0a5db": { + "snake": [ + "2" + ], + "dog": [ + "1" + ] + }, + "cb15312003": { + "parrot": [ + "1" + ] + }, + "cb2e35d610": { + "owl": [ + "1" + ] + }, + "cb35a87504": { + "sheep": [ + "1", + "2" + ] + }, + "cb3f22b0cf": {}, + "cbb410da64": { + "person": [ + "1" + ] + }, + "cc8728052e": { + "snail": [ + "1" + ] + }, + "cc892997b8": { + "leopard": [ + "1", + "2" + ] + }, + "cce03c2a9b": { + "owl": [ + "1", + "2" + ] + }, + "cd47a23e31": { + "cat": [ + "2" + ] + }, + "cd4dc03dc0": { + "person": [ + "1" + ] + }, + "cd5ae611da": { + "person": [ + "1", + "2", + "3" + ] + }, + "cd603bb9d1": { + "person": [ + "1" + ] + }, + "cd8f49734c": { + "snake": [ + "1" + ] + }, + "cdc6b1c032": { + "person": [ + "1" + ] + }, + "cdcfe008ad": { + "whale": [ + "1" + ] + }, + "cdd57027c2": { + "giraffe": [ + "1" + ] + }, + "ce1af99b4b": { + "fox": [ + "1" + ] + }, + "ce1bc5743a": { + "fox": [ + "1", + "2", + "3" + ] + }, + "ce25872021": { + "bear": [ + "1" + ] + }, + "ce2776f78f": { + "hedgehog": [ + "1" + ] + }, + "ce49b1f474": { + "lion": [ + "1" + ] + }, + "ce4f0a266f": { + "giant_panda": [ + "1", + "2" + ] + }, + "ce5641b195": { + "hedgehog": [ + "1" + ] + }, + "ce6866aa19": { + "rabbit": [ + "1" + ] + }, + "ce712ed3c9": { + "elephant": [ + "2" + ] + }, + "ce7d1c8117": { + "parrot": [ + "1" + ], + "person": [ + "2" + ] + }, + "ce7dbeaa88": { + "dolphin": [ + "1" + ] + }, + "ce9b015a5e": { + "duck": [ + "1" + ] + }, + "cea7697b25": { + "person": [ + "1", + "2", + "3", + "4" + ] + }, + "cebbd826cf": { + "camel": [ + "1", + "2" + ] + }, + "cec3415361": { + "deer": [ + "1" + ] + }, + "cec41ad4f4": { + "person": [ + "1" + ] + }, + "ced49d26df": { + "ape": [ + "1" + ] + }, + "ced7705ab2": { + "snake": [ + "1" + ] + }, + "cef824a1e1": { + "cat": [ + "1" + ] + }, + "cf13f5c95a": { + "owl": [ + "1" + ] + }, + "cf4376a52d": { + "lizard": [ + "1" + ] + }, + "cf85ab28b5": { + "fish": [ + "1", + "2" + ] + }, + "cfc2e50b9d": { + "tiger": [ + "1" + ] + }, + "cfcd571fff": { + "earless_seal": [ + "1" + ] + }, + "cfd9d4ae47": { + "deer": [ + "1" + ] + }, + "cfda2dcce5": { + "mouse": [ + "1" + ] + }, + "cff035928b": { + "cow": [ + "2" + ], + "person": [ + "1", + "3" + ] + }, + "d01608c2a5": { + "bus": [ + "1", + "2", + "3", + "4" + ] + }, + "d01a8f1f83": { + "person": [ + "2" + ] + }, + "d021d68bca": { + "parrot": [ + "1" + ], + "person": [ + "2" + ] + }, + "d04258ca14": { + "leopard": [ + "1", + "2", + "3" + ] + }, + "d0483573dc": { + "monkey": [ + "1", + "2" + ] + }, + "d04a90aaff": { + "parrot": [ + "1" + ] + }, + "d05279c0bd": { + "ape": [ + "1" + ] + }, + "d0696bd5fc": { + "person": [ + "1", + "2", + "3" + ] + }, + "d072fda75b": { + "hedgehog": [ + "1" + ] + }, + "d0a83bcd9f": { + "cow": [ + "1" + ] + }, + "d0ab39112e": { + "giant_panda": [ + "1" + ] + }, + "d0acde820f": { + "zebra": [ + "1", + "2" + ] + }, + "d0b4442c71": { + "giant_panda": [ + "1" + ] + }, + "d0c65e9e95": { + "mouse": [ + "1", + "2" + ] + }, + "d0fb600c73": { + "giant_panda": [ + "1", + "2" + ] + }, + "d107a1457c": { + "person": [ + "1" + ] + }, + "d123d674c1": { + "person": [ + "2" + ] + }, + "d14d1e9289": { + "truck": [ + "1" + ], + "person": [ + "2" + ] + }, + "d154e3388e": { + "cat": [ + "2" + ], + "dog": [ + "1" + ] + }, + "d177e9878a": {}, + "d1802f69f8": { + "person": [ + "1", + "2" + ] + }, + "d182c4483a": { + "person": [ + "2" + ] + }, + "d195d31128": { + "monkey": [ + "1" + ] + }, + "d200838929": { + "monkey": [ + "1" + ] + }, + "d205e3cff5": { + "parrot": [ + "1" + ] + }, + "d247420c4c": { + "lizard": [ + "1" + ] + }, + "d2484bff33": { + "boat": [ + "1" + ] + }, + "d26f6ed9b0": { + "snake": [ + "1" + ] + }, + "d280fcd1cb": { + "earless_seal": [ + "1" + ] + }, + "d2857f0faa": { + "earless_seal": [ + "1", + "2" + ] + }, + "d295ea2dc7": { + "horse": [ + "1" + ] + }, + "d2a58b4fa6": { + "person": [ + "1" + ], + "dog": [ + "2" + ] + }, + "d2b026739a": { + "turtle": [ + "1" + ] + }, + "d2ebe0890f": { + "turtle": [ + "1" + ] + }, + "d2ede5d862": { + "person": [ + "1" + ] + }, + "d301ca58cc": { + "duck": [ + "1", + "2", + "3", + "4" + ] + }, + "d3069da8bb": { + "rabbit": [ + "1" + ] + }, + "d343d4a77d": { + "sheep": [ + "1", + "2", + "3" + ] + }, + "d355e634ef": { + "bear": [ + "1", + "2" + ] + }, + "d367fb5253": { + "boat": [ + "1" + ] + }, + "d36d16358e": { + "parrot": [ + "1" + ] + }, + "d38bc77e2c": { + "person": [ + "1", + "2", + "3" + ] + }, + "d38d1679e2": { + "parrot": [ + "1" + ] + }, + "d3932ad4bd": { + "cat": [ + "1", + "2", + "3" + ] + }, + "d3987b2930": { + "person": [ + "1" + ] + }, + "d39934abe3": { + "lizard": [ + "1" + ] + }, + "d3ae1c3f4c": { + "person": [ + "2" + ], + "dog": [ + "1" + ] + }, + "d3b088e593": { + "airplane": [ + "1", + "2" + ] + }, + "d3e6e05e16": { + "ape": [ + "1" + ] + }, + "d3eefae7c5": { + "snail": [ + "1" + ] + }, + "d3f55f5ab8": { + "person": [ + "1" + ] + }, + "d3f5c309cc": { + "person": [ + "1" + ] + }, + "d4034a7fdf": { + "eagle": [ + "1" + ] + }, + "d4193011f3": { + "turtle": [ + "1" + ] + }, + "d429c67630": { + "parrot": [ + "1" + ] + }, + "d42c0ff975": { + "fox": [ + "1" + ] + }, + "d44a764409": { + "camel": [ + "1" + ] + }, + "d44e6acd1d": { + "horse": [ + "1" + ] + }, + "d45158c175": { + "ape": [ + "1" + ] + }, + "d454e8444f": { + "sheep": [ + "1", + "2", + "3" + ] + }, + "d45f62717e": { + "person": [ + "2" + ], + "snake": [ + "1" + ] + }, + "d48ebdcf74": { + "lizard": [ + "1" + ] + }, + "d49ab52a25": { + "horse": [ + "2" + ], + "person": [ + "1", + "3" + ] + }, + "d4a607ad81": { + "cow": [ + "1" + ] + }, + "d4b063c7db": { + "ape": [ + "1" + ] + }, + "d4da13e9ba": { + "bear": [ + "1", + "2" + ] + }, + "d4dd1a7d00": { + "person": [ + "2" + ], + "snake": [ + "1" + ] + }, + "d4f4f7c9c3": { + "giraffe": [ + "3" + ], + "person": [ + "2" + ] + }, + "d521aba02e": { + "dolphin": [ + "1" + ] + }, + "d535bb1b97": { + "motorbike": [ + "2" + ] + }, + "d53b955f78": { + "sedan": [ + "3" + ], + "bus": [ + "1", + "2" + ] + }, + "d55cb7a205": { + "horse": [ + "2" + ], + "person": [ + "1" + ] + }, + "d55f247a45": { + "snake": [ + "1" + ] + }, + "d5695544d8": { + "leopard": [ + "1" + ] + }, + "d5853d9b8b": { + "crocodile": [ + "1", + "2" + ] + }, + "d5b6c6d94a": { + "person": [ + "1", + "2", + "3" + ] + }, + "d5cae12834": {}, + "d5df027f0c": { + "person": [ + "3" + ] + }, + "d5ee40e5d0": { + "person": [ + "2", + "3" + ] + }, + "d600046f73": { + "cat": [ + "2" + ], + "snake": [ + "1" + ] + }, + "d632fd3510": { + "person": [ + "1" + ] + }, + "d6476cad55": { + "giant_panda": [ + "1", + "2" + ] + }, + "d65a7bae86": { + "ape": [ + "1", + "2" + ] + }, + "d664c89912": { + "penguin": [ + "1" + ] + }, + "d689658f06": {}, + "d6917db4be": { + "squirrel": [ + "1" + ] + }, + "d69967143e": { + "boat": [ + "3" + ], + "person": [ + "2" + ] + }, + "d699d3d798": { + "giraffe": [ + "1", + "2", + "3" + ] + }, + "d69f757a3f": { + "fish": [ + "1", + "2" + ] + }, + "d6ac0e065c": { + "duck": [ + "1" + ] + }, + "d6c02bfda5": { + "giraffe": [ + "1", + "2", + "3" + ] + }, + "d6c1b5749e": { + "cat": [ + "1" + ] + }, + "d6e12ef6cc": { + "bear": [ + "1", + "2" + ] + }, + "d6eed152c4": { + "person": [ + "1", + "2" + ] + }, + "d6faaaf726": { + "airplane": [ + "1" + ] + }, + "d704766646": { + "snake": [ + "1" + ] + }, + "d708e1350c": { + "fish": [ + "1" + ] + }, + "d7135cf104": { + "monkey": [ + "1" + ] + }, + "d719cf9316": { + "sedan": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "d724134cfd": { + "person": [ + "1" + ] + }, + "d73a60a244": { + "earless_seal": [ + "1", + "2" + ] + }, + "d7411662da": { + "person": [ + "1" + ] + }, + "d74875ea7c": { + "person": [ + "1" + ] + }, + "d756f5a694": { + "person": [ + "1", + "2" + ], + "dog": [ + "3" + ] + }, + "d7572b7d8a": { + "person": [ + "1" + ] + }, + "d763bd6d96": { + "lizard": [ + "1" + ] + }, + "d7697c8b13": { + "person": [ + "1", + "2", + "3" + ] + }, + "d7797196b4": { + "giant_panda": [ + "1" + ] + }, + "d79c834768": { + "person": [ + "1" + ] + }, + "d7b34e5d73": { + "dog": [ + "1", + "2", + "3" + ] + }, + "d7bb6b37a7": { + "snake": [ + "1" + ] + }, + "d7c7e064a6": { + "parrot": [ + "1" + ] + }, + "d7fbf545b3": { + "airplane": [ + "1" + ] + }, + "d82a0aa15b": { + "monkey": [ + "1" + ] + }, + "d847e24abd": { + "dolphin": [ + "1", + "2" + ] + }, + "d8596701b7": { + "person": [ + "1" + ] + }, + "d86101499c": { + "lizard": [ + "1", + "2" + ] + }, + "d87069ba86": { + "lion": [ + "1" + ] + }, + "d87160957b": { + "lizard": [ + "1", + "2" + ] + }, + "d874654b52": { + "person": [ + "1", + "2" + ] + }, + "d88a403092": {}, + "d8aee40f3f": { + "snake": [ + "1" + ] + }, + "d8e77a222d": {}, + "d8eb07c381": { + "person": [ + "1" + ] + }, + "d9010348a1": { + "dog": [ + "1" + ] + }, + "d90e3cf281": { + "motorbike": [ + "1" + ] + }, + "d92532c7b2": { + "fox": [ + "1" + ], + "person": [ + "2" + ] + }, + "d927fae122": { + "mouse": [ + "2" + ], + "snake": [ + "1" + ] + }, + "d95707bca8": { + "person": [ + "1" + ] + }, + "d973b31c00": { + "sheep": [ + "1", + "2" + ] + }, + "d991cb471d": { + "giant_panda": [ + "1" + ] + }, + "d992c69d37": { + "ape": [ + "1" + ] + }, + "d99d770820": { + "crocodile": [ + "1" + ] + }, + "d9b63abc11": { + "person": [ + "2" + ] + }, + "d9db6f1983": { + "crocodile": [ + "1" + ] + }, + "d9e52be2d2": { + "person": [ + "1", + "2", + "3" + ] + }, + "d9edc82650": { + "eagle": [ + "1" + ] + }, + "da01070697": { + "person": [ + "1", + "2", + "3" + ] + }, + "da070ea4b7": { + "boat": [ + "2" + ], + "dolphin": [ + "1" + ] + }, + "da080507b9": { + "monkey": [ + "1" + ], + "person": [ + "2" + ] + }, + "da0e944cc4": { + "giant_panda": [ + "1" + ] + }, + "da28d94ff4": {}, + "da5d78b9d1": { + "lizard": [ + "1" + ] + }, + "da6003fc72": { + "lion": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "da690fee9f": { + "turtle": [ + "1" + ] + }, + "da6c68708f": { + "person": [ + "2" + ] + }, + "da7a816676": { + "sheep": [ + "1", + "2" + ] + }, + "dac361e828": { + "airplane": [ + "5" + ], + "person": [ + "1", + "2", + "3", + "4" + ] + }, + "dac71659b8": { + "sheep": [ + "1" + ] + }, + "dad980385d": { + "airplane": [ + "1" + ] + }, + "daebc12b77": { + "owl": [ + "1" + ] + }, + "db0968cdd3": { + "lion": [ + "1" + ] + }, + "db231a7100": { + "bus": [ + "1" + ] + }, + "db59282ace": { + "horse": [ + "2" + ], + "person": [ + "1" + ] + }, + "db7f267c3f": { + "snail": [ + "1" + ] + }, + "dba35b87fd": { + "person": [ + "2" + ] + }, + "dbba735a50": { + "person": [ + "1", + "2", + "3" + ] + }, + "dbca076acd": { + "snail": [ + "1" + ] + }, + "dbd66dc3ac": { + "snail": [ + "1" + ] + }, + "dbdc3c292b": { + "mouse": [ + "1" + ] + }, + "dbf4a5b32b": { + "deer": [ + "1" + ] + }, + "dbfc417d28": { + "giant_panda": [ + "1" + ] + }, + "dc1745e0a2": { + "boat": [ + "1" + ] + }, + "dc32a44804": { + "person": [ + "1" + ] + }, + "dc34b35e30": { + "deer": [ + "1" + ] + }, + "dc504a4f79": { + "duck": [ + "1" + ] + }, + "dc704dd647": { + "penguin": [ + "1", + "2", + "3" + ] + }, + "dc71bc6918": { + "train": [ + "1" + ] + }, + "dc7771b3be": { + "person": [ + "2" + ] + }, + "dcf8c93617": {}, + "dd0f4c9fb9": { + "person": [ + "2" + ] + }, + "dd415df125": { + "frog": [ + "1", + "2", + "3", + "4" + ] + }, + "dd601f9a3f": { + "giant_panda": [ + "1", + "2" + ] + }, + "dd61d903df": { + "monkey": [ + "1" + ] + }, + "dd77583736": { + "monkey": [ + "1", + "2" + ] + }, + "dd8636bd8b": { + "person": [ + "1" + ] + }, + "dd9fe6c6ac": { + "dog": [ + "1" + ] + }, + "ddb2da4c14": { + "turtle": [ + "1" + ] + }, + "ddcd450d47": { + "person": [ + "1", + "2" + ] + }, + "dde8e67fb4": { + "person": [ + "1", + "2", + "3" + ] + }, + "ddfc3f04d3": { + "ape": [ + "1" + ] + }, + "de2ab79dfa": { + "hedgehog": [ + "1", + "2" + ] + }, + "de2f35b2fd": { + "person": [ + "1", + "3" + ] + }, + "de30990a51": { + "person": [ + "1", + "2" + ] + }, + "de36b216da": { + "bear": [ + "1" + ] + }, + "de37403340": { + "parrot": [ + "1" + ] + }, + "de46e4943b": { + "person": [ + "1" + ] + }, + "de4ddbccb1": { + "rabbit": [ + "1" + ], + "person": [ + "2" + ] + }, + "de5e480f05": { + "parrot": [ + "1" + ] + }, + "de6a9382ca": { + "bear": [ + "1" + ] + }, + "de74a601d3": { + "cat": [ + "2" + ], + "lizard": [ + "1" + ] + }, + "de827c510d": { + "person": [ + "1", + "2", + "3", + "4" + ] + }, + "ded6069f7b": { + "parrot": [ + "1" + ] + }, + "defb71c741": { + "zebra": [ + "1" + ] + }, + "df01f277f1": { + "penguin": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "df05214b82": { + "cow": [ + "1", + "2" + ] + }, + "df11931ffe": {}, + "df1b0e4620": { + "lizard": [ + "1" + ] + }, + "df20a8650d": { + "cow": [ + "1" + ] + }, + "df2bc56d7c": { + "person": [ + "1" + ] + }, + "df365282c6": { + "shark": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "df39a0d9df": { + "sedan": [ + "1", + "2", + "3" + ] + }, + "df3c430c24": { + "train": [ + "1" + ] + }, + "df5536cfb9": { + "ape": [ + "1" + ] + }, + "df59cfd91d": { + "sedan": [ + "1", + "2", + "3" + ] + }, + "df5e2152b3": { + "person": [ + "1", + "2", + "3" + ] + }, + "df741313c9": { + "giraffe": [ + "2" + ] + }, + "df7626172f": { + "cat": [ + "1" + ] + }, + "df8ad5deb9": { + "camel": [ + "1" + ] + }, + "df96aa609a": { + "person": [ + "1" + ] + }, + "df9705605c": { + "sheep": [ + "1" + ] + }, + "df9c91c4da": { + "raccoon": [ + "1" + ] + }, + "dfc0d3d27a": { + "person": [ + "1" + ] + }, + "dfdbf91a99": { + "dolphin": [ + "1" + ] + }, + "e00baaae9b": { + "giant_panda": [ + "1" + ], + "person": [ + "2" + ] + }, + "e0a938c6e7": { + "horse": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "e0b2ceee6f": { + "person": [ + "1" + ] + }, + "e0be1f6e17": { + "airplane": [ + "1" + ] + }, + "e0c478f775": { + "hedgehog": [ + "1" + ] + }, + "e0de82caa7": { + "giant_panda": [ + "1" + ] + }, + "e0f217dd59": { + "duck": [ + "1", + "2" + ] + }, + "e0f7208874": { + "fish": [ + "1", + "2" + ] + }, + "e0fb58395e": { + "lizard": [ + "1" + ] + }, + "e1194c2e9d": { + "tiger": [ + "1" + ] + }, + "e11adcd05d": { + "person": [ + "1" + ] + }, + "e128124b9d": { + "train": [ + "1" + ] + }, + "e1495354e4": { + "giant_panda": [ + "1" + ] + }, + "e1561d6d4b": { + "giant_panda": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "e158805399": { + "boat": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "e19edcd34b": { + "penguin": [ + "1", + "2" + ] + }, + "e1a1544285": { + "person": [ + "1", + "2" + ] + }, + "e1ab7957f4": { + "ape": [ + "1", + "2" + ] + }, + "e1d26d35be": {}, + "e1e957085b": { + "person": [ + "2", + "3" + ] + }, + "e1f14510fa": { + "monkey": [ + "1", + "2", + "3" + ] + }, + "e214b160f4": { + "snake": [ + "1" + ] + }, + "e2167379b8": { + "lion": [ + "1" + ] + }, + "e21acb20ab": { + "rabbit": [ + "1" + ] + }, + "e221105579": { + "snake": [ + "1" + ] + }, + "e22ddf8a1b": { + "shark": [ + "1" + ] + }, + "e22de45950": { + "zebra": [ + "1" + ], + "bird": [ + "2", + "3" + ] + }, + "e22ffc469b": { + "shark": [ + "1" + ], + "person": [ + "2" + ] + }, + "e23cca5244": { + "sedan": [ + "2" + ], + "dog": [ + "1" + ] + }, + "e252f46f0b": { + "ape": [ + "1" + ] + }, + "e25fa6cf39": { + "shark": [ + "1" + ] + }, + "e26e486026": { + "frog": [ + "1" + ] + }, + "e275760245": { + "person": [ + "2", + "3" + ] + }, + "e27bbedbfe": { + "airplane": [ + "1" + ] + }, + "e29e9868a8": { + "person": [ + "1", + "3" + ] + }, + "e2b37ff8af": {}, + "e2b608d309": { + "leopard": [ + "1", + "2", + "3" + ] + }, + "e2bef4da9a": { + "duck": [ + "2" + ], + "person": [ + "1" + ] + }, + "e2c87a6421": { + "person": [ + "1" + ] + }, + "e2ea25542c": { + "turtle": [ + "1" + ] + }, + "e2fb1d6497": { + "snake": [ + "1" + ] + }, + "e2fcc99117": { + "giant_panda": [ + "1" + ] + }, + "e33c18412a": { + "snake": [ + "3" + ], + "dog": [ + "1", + "2" + ] + }, + "e348377191": { + "sedan": [ + "3" + ], + "person": [ + "2" + ], + "motorbike": [ + "1" + ] + }, + "e352cb59c8": { + "ape": [ + "1", + "2" + ] + }, + "e36ac982f0": { + "giraffe": [ + "1", + "2" + ] + }, + "e391bc981e": { + "sedan": [ + "1" + ] + }, + "e39e3e0a06": { + "sheep": [ + "1", + "2", + "3", + "4" + ] + }, + "e3bf38265f": { + "person": [ + "2" + ] + }, + "e3d5b2cd21": { + "raccoon": [ + "1" + ], + "dog": [ + "2" + ] + }, + "e3e3245492": { + "bear": [ + "1", + "2", + "3" + ] + }, + "e3e4134877": { + "ape": [ + "1", + "2" + ] + }, + "e3f4635e03": { + "fish": [ + "1" + ] + }, + "e4004ee048": { + "rabbit": [ + "1" + ] + }, + "e402d1afa5": { + "leopard": [ + "1" + ] + }, + "e415093d27": { + "cow": [ + "1" + ] + }, + "e41ceb5d81": { + "person": [ + "1" + ] + }, + "e424653b78": { + "person": [ + "1", + "2" + ] + }, + "e42b6d3dbb": { + "giraffe": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "e42d60f0d4": { + "duck": [ + "1", + "2", + "3" + ] + }, + "e436d0ff1e": { + "leopard": [ + "1", + "2" + ] + }, + "e43d7ae2c5": { + "airplane": [ + "1", + "2" + ] + }, + "e4428801bc": { + "bear": [ + "1" + ], + "bird": [ + "2", + "3" + ] + }, + "e44e0b4917": { + "fox": [ + "1" + ] + }, + "e470345ede": { + "tiger": [ + "1" + ] + }, + "e48e8b4263": { + "shark": [ + "1" + ] + }, + "e4922e3726": { + "lizard": [ + "1" + ] + }, + "e4936852bb": { + "cat": [ + "2" + ], + "dog": [ + "1" + ] + }, + "e499228f26": { + "monkey": [ + "1", + "2" + ] + }, + "e4af66e163": { + "parrot": [ + "1" + ] + }, + "e4b2095f58": { + "fox": [ + "1" + ] + }, + "e4d19c8283": { + "shark": [ + "1" + ] + }, + "e4d4872dab": { + "person": [ + "2" + ] + }, + "e4eaa63aab": { + "horse": [ + "1" + ] + }, + "e4ef0a3a34": { + "truck": [ + "2" + ], + "person": [ + "1" + ] + }, + "e4f8e5f46e": { + "bear": [ + "1" + ] + }, + "e4ffb6d0dd": { + "elephant": [ + "1", + "2", + "3" + ] + }, + "e53e21aa02": { + "rabbit": [ + "1" + ], + "person": [ + "2" + ] + }, + "e57f4f668b": { + "earless_seal": [ + "1", + "2" + ] + }, + "e588433c1e": { + "truck": [ + "1", + "2" + ], + "sedan": [ + "4" + ], + "person": [ + "3" + ] + }, + "e597442c99": { + "snake": [ + "1" + ] + }, + "e5abc0e96b": { + "person": [ + "1" + ], + "motorbike": [ + "2" + ] + }, + "e5be628030": { + "parrot": [ + "1" + ] + }, + "e5ce96a55d": { + "person": [ + "1", + "2" + ] + }, + "e5d6b70a9f": { + "person": [ + "1", + "2", + "3" + ] + }, + "e5fde1574c": { + "bear": [ + "1" + ] + }, + "e625e1d27b": { + "parrot": [ + "1" + ] + }, + "e6261d2348": { + "duck": [ + "1", + "2" + ] + }, + "e6267d46bc": { + "sedan": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "e6295f223f": { + "rabbit": [ + "1", + "2", + "3", + "4" + ] + }, + "e63463d8c6": { + "bird": [ + "1", + "2", + "3" + ] + }, + "e6387bd1e0": { + "giant_panda": [ + "1" + ] + }, + "e653883384": { + "train": [ + "1" + ] + }, + "e65f134e0b": { + "parrot": [ + "1", + "2" + ] + }, + "e668ef5664": { + "shark": [ + "1" + ], + "fish": [ + "2" + ] + }, + "e672ccd250": { + "truck": [ + "1", + "2" + ] + }, + "e674510b20": { + "airplane": [ + "1" + ] + }, + "e676107765": { + "snake": [ + "1" + ] + }, + "e699da0cdf": { + "duck": [ + "1" + ] + }, + "e6deab5e0b": { + "sedan": [ + "1" + ] + }, + "e6f065f2b9": {}, + "e71629e7b5": { + "person": [ + "1" + ] + }, + "e72a7d7b0b": { + "camel": [ + "1" + ] + }, + "e72f6104e1": { + "leopard": [ + "1" + ] + }, + "e75a466eea": { + "monkey": [ + "1" + ] + }, + "e76c55933f": { + "rabbit": [ + "2" + ], + "person": [ + "1" + ] + }, + "e7784ec8ad": { + "frog": [ + "1", + "2" + ] + }, + "e78d450a9c": { + "sedan": [ + "1", + "2" + ] + }, + "e7c6354e77": { + "person": [ + "1" + ] + }, + "e7c8de1fce": { + "fox": [ + "1", + "2" + ] + }, + "e7ea10db28": { + "tiger": [ + "1" + ] + }, + "e803918710": { + "person": [ + "2", + "4" + ] + }, + "e8073a140b": { + "snake": [ + "1" + ] + }, + "e828dd02db": { + "owl": [ + "1" + ] + }, + "e845994987": { + "person": [ + "1" + ] + }, + "e8485a2615": { + "sedan": [ + "4" + ], + "person": [ + "2" + ] + }, + "e85c5118a7": { + "parrot": [ + "1" + ] + }, + "e88b6736e4": { + "person": [ + "1" + ] + }, + "e8962324e3": { + "sedan": [ + "1", + "2" + ] + }, + "e8b3018d36": { + "motorbike": [ + "1" + ] + }, + "e8cee8bf0b": { + "person": [ + "1" + ] + }, + "e8d97ebece": { + "earless_seal": [ + "1" + ] + }, + "e8da49ea6a": {}, + "e8ed1a3ccf": {}, + "e8f7904326": { + "giant_panda": [ + "1" + ] + }, + "e8f8341dec": { + "raccoon": [ + "1" + ] + }, + "e8fa21eb13": { + "giant_panda": [ + "1", + "2" + ] + }, + "e90c10fc4c": { + "person": [ + "1" + ] + }, + "e914b8cac8": { + "lizard": [ + "1" + ] + }, + "e92e1b7623": { + "ape": [ + "1", + "2" + ] + }, + "e93f83e512": { + "person": [ + "1", + "2", + "3" + ] + }, + "e9460b55f9": { + "monkey": [ + "1" + ] + }, + "e9502628f6": { + "turtle": [ + "1", + "2" + ] + }, + "e950befd5f": { + "eagle": [ + "1" + ] + }, + "e9582bdd1b": { + "parrot": [ + "1" + ] + }, + "e95e5afe0f": { + "person": [ + "3" + ] + }, + "e97cfac475": { + "person": [ + "1" + ] + }, + "e98d57d99c": { + "sedan": [ + "1" + ] + }, + "e98eda8978": { + "bus": [ + "1", + "2" + ] + }, + "e9bc0760ba": { + "giant_panda": [ + "1", + "2" + ] + }, + "e9d3c78bf3": { + "truck": [ + "1", + "2" + ], + "sedan": [ + "3" + ] + }, + "e9ec1b7ea8": { + "person": [ + "2" + ], + "earless_seal": [ + "1" + ] + }, + "ea065cc205": { + "tiger": [ + "1", + "2" + ] + }, + "ea138b6617": { + "deer": [ + "1" + ] + }, + "ea16d3fd48": { + "person": [ + "1" + ] + }, + "ea2545d64b": { + "turtle": [ + "1" + ] + }, + "ea286a581c": { + "turtle": [ + "1", + "2", + "3" + ] + }, + "ea320da917": { + "person": [ + "4" + ], + "dog": [ + "1", + "2", + "3" + ] + }, + "ea345f3627": { + "bear": [ + "1", + "4" + ], + "bird": [ + "2", + "3" + ] + }, + "ea3b94a591": { + "person": [ + "1" + ] + }, + "ea444a37eb": { + "leopard": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "ea4a01216b": { + "monkey": [ + "1" + ] + }, + "ea5672ffa8": { + "person": [ + "1" + ] + }, + "eaa99191cb": { + "eagle": [ + "1" + ] + }, + "eaab4d746c": {}, + "eac7a59bc1": { + "snake": [ + "1" + ] + }, + "ead5d3835a": { + "motorbike": [ + "3" + ], + "person": [ + "2" + ] + }, + "eaec65cfa7": { + "rabbit": [ + "1" + ] + }, + "eaed1a87be": { + "boat": [ + "2" + ], + "earless_seal": [ + "1" + ] + }, + "eb2f821c6f": { + "whale": [ + "1" + ] + }, + "eb383cb82e": { + "sedan": [ + "1" + ], + "person": [ + "2" + ] + }, + "eb6992fe02": { + "deer": [ + "1" + ] + }, + "eb6ac20a01": { + "zebra": [ + "1", + "2" + ] + }, + "eb6d7ab39e": { + "person": [ + "1" + ] + }, + "eb7921facd": { + "person": [ + "1" + ] + }, + "eb8fce51a6": { + "parrot": [ + "1", + "2", + "3", + "4" + ] + }, + "ebbb90e9f9": { + "person": [ + "1", + "2" + ] + }, + "ebbf5c9ee1": { + "person": [ + "1" + ] + }, + "ebc4ec32e6": { + "horse": [ + "2" + ], + "person": [ + "1" + ] + }, + "ebe56e5ef8": { + "shark": [ + "1" + ] + }, + "ec1299aee4": { + "cat": [ + "1" + ] + }, + "ec139ff675": { + "parrot": [ + "1" + ] + }, + "ec193e1a01": { + "turtle": [ + "1" + ] + }, + "ec28252938": { + "person": [ + "1" + ] + }, + "ec387be051": { + "deer": [ + "1" + ] + }, + "ec3d4fac00": { + "bird": [ + "1" + ] + }, + "ec4186ce12": { + "boat": [ + "2" + ], + "person": [ + "1" + ] + }, + "ec579c2f96": { + "person": [ + "1" + ] + }, + "ecae59b782": { + "person": [ + "1", + "3" + ] + }, + "ecb33a0448": { + "snail": [ + "1" + ] + }, + "ece6bc9e92": { + "fox": [ + "1", + "2" + ] + }, + "ecfedd4035": { + "cow": [ + "1", + "2" + ] + }, + "ecfff22fd6": { + "crocodile": [ + "1" + ], + "person": [ + "2" + ] + }, + "ed3291c3d6": { + "dolphin": [ + "1" + ] + }, + "ed3cd5308d": { + "giant_panda": [ + "1" + ] + }, + "ed3e6fc1a5": { + "hedgehog": [ + "1" + ] + }, + "ed72ae8825": { + "hedgehog": [ + "1" + ] + }, + "ed7455da68": { + "sedan": [ + "1", + "2" + ] + }, + "ed844e879f": { + "person": [ + "1" + ] + }, + "ed8f814b2b": { + "dog": [ + "1" + ] + }, + "ed911a1f63": { + "camel": [ + "1" + ] + }, + "ed9ff4f649": { + "duck": [ + "1", + "2", + "3" + ] + }, + "eda8ab984b": { + "rabbit": [ + "1" + ], + "person": [ + "2" + ] + }, + "edb8878849": { + "cat": [ + "1" + ] + }, + "edbfdfe1b4": {}, + "edd22c46a2": { + "duck": [ + "1" + ] + }, + "edd663afa3": { + "tiger": [ + "1" + ] + }, + "ede3552eae": { + "giraffe": [ + "2" + ], + "person": [ + "1" + ] + }, + "edeab61ee0": { + "shark": [ + "1" + ] + }, + "ee07583fc0": { + "person": [ + "1" + ] + }, + "ee316eaed6": { + "person": [ + "1" + ], + "dog": [ + "2" + ] + }, + "ee3f509537": { + "ape": [ + "1", + "2", + "3" + ] + }, + "ee40a1e491": { + "horse": [ + "1" + ], + "sedan": [ + "2" + ] + }, + "ee4bf100f1": { + "dolphin": [ + "1", + "2", + "3", + "4" + ] + }, + "ee6f9b01f9": { + "tiger": [ + "1" + ] + }, + "ee947ed771": { + "person": [ + "1", + "2", + "3" + ] + }, + "ee9706ac7f": { + "person": [ + "1" + ] + }, + "ee9a7840ae": { + "giant_panda": [ + "1", + "2" + ] + }, + "eeb90cb569": { + "fish": [ + "1" + ] + }, + "eebf45e5c5": { + "horse": [ + "1" + ], + "person": [ + "2" + ] + }, + "eeed0c7d73": { + "cat": [ + "1" + ] + }, + "ef0061a309": { + "bear": [ + "1" + ] + }, + "ef07f1a655": { + "person": [ + "1" + ] + }, + "ef0a8e8f35": { + "bear": [ + "1" + ] + }, + "ef232a2aed": { + "raccoon": [ + "1" + ], + "dog": [ + "2" + ] + }, + "ef308ad2e9": { + "duck": [ + "1" + ] + }, + "ef44945428": { + "train": [ + "1" + ] + }, + "ef45ce3035": { + "earless_seal": [ + "1", + "2" + ] + }, + "ef5dde449d": { + "mouse": [ + "1", + "2", + "3" + ] + }, + "ef5e770988": { + "snake": [ + "1" + ] + }, + "ef6359cea3": { + "giraffe": [ + "1" + ], + "person": [ + "2" + ] + }, + "ef65268834": { + "deer": [ + "1" + ] + }, + "ef6cb5eae0": { + "bus": [ + "1" + ] + }, + "ef78972bc2": { + "person": [ + "2" + ] + }, + "ef8cfcfc4f": {}, + "ef96501dd0": { + "fox": [ + "2" + ], + "dog": [ + "1" + ] + }, + "ef9a2e976b": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "efb24f950f": { + "person": [ + "2" + ] + }, + "efce0c1868": { + "ape": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "efe5ac6901": { + "duck": [ + "1", + "2", + "3" + ] + }, + "efe828affa": { + "snake": [ + "1", + "2" + ] + }, + "efea4e0523": { + "ape": [ + "1" + ] + }, + "f0268aa627": { + "duck": [ + "1", + "2", + "3", + "4" + ] + }, + "f0483250c8": { + "raccoon": [ + "1" + ] + }, + "f04cf99ee6": { + "person": [ + "1", + "2" + ] + }, + "f05b189097": { + "truck": [ + "1" + ], + "sedan": [ + "3" + ], + "person": [ + "2" + ] + }, + "f08928c6d3": { + "sedan": [ + "4" + ], + "person": [ + "2" + ], + "motorbike": [ + "1" + ] + }, + "f09d74856f": { + "owl": [ + "1" + ] + }, + "f0a7607d63": { + "rabbit": [ + "1" + ] + }, + "f0ad38da27": { + "elephant": [ + "1" + ], + "person": [ + "2" + ] + }, + "f0c34e1213": { + "person": [ + "1", + "2" + ] + }, + "f0c7f86c29": { + "fox": [ + "1" + ] + }, + "f0dfa18ba7": { + "ape": [ + "1", + "2" + ] + }, + "f0eb3179f7": { + "person": [ + "2" + ], + "snake": [ + "1" + ] + }, + "f119bab27d": { + "mouse": [ + "1", + "2" + ] + }, + "f14409b6a3": { + "person": [ + "2" + ] + }, + "f1489baff4": { + "bear": [ + "1", + "3" + ], + "bird": [ + "2" + ] + }, + "f14c18cf6a": { + "deer": [ + "1" + ] + }, + "f1af214222": { + "elephant": [ + "1", + "2", + "3" + ] + }, + "f1b77bd309": { + "ape": [ + "1" + ] + }, + "f1ba9e1a3e": { + "lizard": [ + "1" + ] + }, + "f1d99239eb": { + "train": [ + "1" + ] + }, + "f1dc710cf4": { + "penguin": [ + "1", + "2" + ] + }, + "f1ec5c08fa": { + "duck": [ + "1" + ] + }, + "f22648fe12": { + "monkey": [ + "1", + "2" + ] + }, + "f22d21f1f1": { + "duck": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "f233257395": { + "person": [ + "1", + "2" + ] + }, + "f23e95dbe5": { + "giraffe": [ + "1" + ], + "person": [ + "2" + ] + }, + "f2445b1572": { + "snake": [ + "1" + ] + }, + "f253b3486d": { + "owl": [ + "1", + "2" + ] + }, + "f277c7a6a4": { + "airplane": [ + "1" + ] + }, + "f2ab2b84d6": { + "sedan": [ + "1" + ] + }, + "f2b7c9b1f3": { + "owl": [ + "1" + ], + "person": [ + "2" + ] + }, + "f2b83d5ce5": { + "duck": [ + "1" + ] + }, + "f2c276018f": { + "lizard": [ + "1" + ] + }, + "f2cfd94d64": { + "eagle": [ + "1" + ], + "person": [ + "2" + ] + }, + "f2dd6e3add": { + "person": [ + "1" + ] + }, + "f2e7653f16": { + "leopard": [ + "1" + ] + }, + "f2f333ad06": { + "motorbike": [ + "2" + ] + }, + "f2f55d6713": { + "crocodile": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "f2fdb6abec": { + "fish": [ + "1" + ] + }, + "f3085d6570": { + "truck": [ + "2" + ], + "person": [ + "1" + ] + }, + "f3325c3338": { + "person": [ + "1" + ] + }, + "f3400f1204": { + "ape": [ + "1" + ] + }, + "f34497c932": { + "train": [ + "1" + ] + }, + "f34a56525e": { + "person": [ + "1" + ] + }, + "f36483c824": { + "giraffe": [ + "1", + "2" + ] + }, + "f3704d5663": { + "fox": [ + "1" + ] + }, + "f3734c4913": { + "camel": [ + "1" + ] + }, + "f38e5aa5b4": { + "person": [ + "2", + "3" + ], + "bus": [ + "1" + ] + }, + "f3986fba44": { + "fox": [ + "1" + ] + }, + "f3a0ffc7d9": { + "camel": [ + "1" + ] + }, + "f3b24a7d28": { + "airplane": [ + "1" + ] + }, + "f3e6c35ec3": { + "leopard": [ + "1" + ] + }, + "f3fc0ea80b": { + "elephant": [ + "1" + ] + }, + "f40a683fbe": { + "crocodile": [ + "1" + ] + }, + "f4207ca554": { + "shark": [ + "1" + ] + }, + "f4377499c2": { + "ape": [ + "1" + ] + }, + "f46184f393": { + "raccoon": [ + "1", + "2" + ] + }, + "f46c2d0a6d": { + "giant_panda": [ + "1" + ] + }, + "f46c364dca": { + "snail": [ + "1", + "2" + ] + }, + "f46f7a0b63": { + "leopard": [ + "1" + ], + "person": [ + "2" + ] + }, + "f46fe141b0": { + "sedan": [ + "1" + ], + "person": [ + "2" + ] + }, + "f470b9aeb0": { + "person": [ + "1" + ] + }, + "f47eb7437f": { + "truck": [ + "1" + ] + }, + "f48b535719": { + "sedan": [ + "2" + ], + "bus": [ + "1" + ] + }, + "f49e4866ac": { + "monkey": [ + "1" + ] + }, + "f4aa882cfd": { + "snake": [ + "1", + "2" + ] + }, + "f4daa3dbd5": { + "person": [ + "2", + "3" + ] + }, + "f4dd51ac35": { + "person": [ + "1" + ] + }, + "f507a1b9dc": { + "train": [ + "1" + ] + }, + "f51c5ac84b": { + "boat": [ + "4" + ], + "person": [ + "1", + "3" + ] + }, + "f52104164b": { + "leopard": [ + "1", + "2" + ] + }, + "f54c67b9bb": { + "horse": [ + "1", + "2", + "3" + ] + }, + "f5966cadd2": { + "sheep": [ + "1", + "2", + "3", + "4" + ] + }, + "f5bddf5598": { + "person": [ + "1", + "2", + "3" + ] + }, + "f5d85cfd17": { + "truck": [ + "1" + ] + }, + "f5e2e7d6a0": { + "sedan": [ + "1" + ] + }, + "f5f051e9b4": { + "lizard": [ + "1" + ] + }, + "f5f8a93a76": { + "person": [ + "1" + ] + }, + "f6283e8af5": { + "sedan": [ + "1" + ] + }, + "f635e9568b": { + "ape": [ + "1", + "2" + ] + }, + "f6474735be": { + "person": [ + "1" + ] + }, + "f659251be2": { + "person": [ + "1" + ] + }, + "f66981af4e": { + "person": [ + "2", + "3" + ] + }, + "f6708fa398": { + "sedan": [ + "1", + "2" + ], + "motorbike": [ + "3" + ] + }, + "f697fe8e8f": { + "sedan": [ + "2" + ], + "person": [ + "3" + ] + }, + "f6adb12c42": { + "person": [ + "1" + ] + }, + "f6c7906ca4": { + "giant_panda": [ + "1" + ] + }, + "f6cd0a8016": { + "rabbit": [ + "1" + ] + }, + "f6d6f15ae7": { + "person": [ + "1" + ] + }, + "f6e501892c": { + "giraffe": [ + "1", + "2" + ] + }, + "f6f59d986f": { + "person": [ + "1" + ] + }, + "f6fe8c90a5": { + "owl": [ + "1" + ], + "person": [ + "2" + ] + }, + "f714160545": { + "fish": [ + "1", + "2" + ] + }, + "f74c3888d7": { + "person": [ + "1" + ] + }, + "f7782c430e": { + "person": [ + "3" + ] + }, + "f7783ae5f2": { + "sedan": [ + "2", + "3" + ], + "person": [ + "1" + ] + }, + "f77ab47923": {}, + "f788a98327": {}, + "f7961ac1f0": { + "person": [ + "1", + "2" + ] + }, + "f7a71e7574": { + "person": [ + "1", + "3" + ] + }, + "f7a8521432": { + "penguin": [ + "1" + ] + }, + "f7afbf4947": { + "person": [ + "1" + ] + }, + "f7b7cd5f44": { + "sedan": [ + "1" + ] + }, + "f7cf4b4a39": { + "cow": [ + "1", + "2", + "3" + ] + }, + "f7d49799ad": { + "person": [ + "1" + ] + }, + "f7e0c9bb83": { + "whale": [ + "1", + "2" + ] + }, + "f7e5b84928": { + "cow": [ + "1", + "2" + ] + }, + "f7e6bd58be": { + "airplane": [ + "1" + ] + }, + "f7f2a38ac6": { + "airplane": [ + "1" + ] + }, + "f7f6cb2d6d": { + "cow": [ + "1" + ] + }, + "f83f19e796": {}, + "f85796a921": { + "cat": [ + "1" + ] + }, + "f8603c26b2": { + "turtle": [ + "1" + ] + }, + "f8819b42ec": { + "owl": [ + "1" + ], + "cat": [ + "2" + ] + }, + "f891f8eaa1": { + "horse": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "f89288d10c": { + "elephant": [ + "1", + "2" + ], + "person": [ + "3" + ] + }, + "f895ae8cc1": { + "raccoon": [ + "1" + ] + }, + "f8af30d4b6": { + "bear": [ + "1", + "2" + ] + }, + "f8b4ac12f1": { + "person": [ + "2" + ] + }, + "f8c3fb2b01": { + "leopard": [ + "1", + "2" + ] + }, + "f8c8de2764": { + "giant_panda": [ + "1" + ] + }, + "f8db369b40": { + "boat": [ + "3" + ], + "person": [ + "2" + ] + }, + "f8fcb6a78c": { + "lizard": [ + "1" + ] + }, + "f94aafdeef": { + "raccoon": [ + "1" + ] + }, + "f95d217b70": { + "truck": [ + "1" + ] + }, + "f9681d5103": { + "dog": [ + "1" + ] + }, + "f9750192a4": { + "bear": [ + "1" + ], + "fish": [ + "2" + ] + }, + "f9823a32c2": { + "truck": [ + "1" + ], + "sedan": [ + "2", + "3" + ] + }, + "f991ddb4c2": {}, + "f99d535567": { + "truck": [ + "4" + ], + "sedan": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "f9ae3d98b7": { + "person": [ + "2" + ], + "penguin": [ + "1" + ] + }, + "f9b6217959": { + "person": [ + "1" + ] + }, + "f9bd1fabf5": { + "truck": [ + "4" + ], + "sedan": [ + "2" + ], + "motorbike": [ + "1", + "3" + ] + }, + "f9c68eaa64": { + "giant_panda": [ + "1" + ] + }, + "f9d3e04c4f": { + "bear": [ + "1", + "2", + "3" + ] + }, + "f9daf64494": { + "owl": [ + "1" + ] + }, + "f9e4cc5a0a": { + "zebra": [ + "1", + "2" + ] + }, + "f9ea6b7f31": { + "cow": [ + "1", + "2", + "3" + ] + }, + "f9f3852526": { + "person": [ + "1" + ] + }, + "fa04c615cf": { + "person": [ + "1" + ] + }, + "fa08e00a56": { + "monkey": [ + "1", + "2" + ] + }, + "fa4370d74d": { + "monkey": [ + "2" + ], + "ape": [ + "1" + ] + }, + "fa67744af3": { + "dolphin": [ + "1", + "2" + ] + }, + "fa88d48a92": { + "eagle": [ + "1" + ] + }, + "fa8b904cc9": { + "boat": [ + "1", + "3" + ] + }, + "fa9526bdf1": { + "owl": [ + "1" + ] + }, + "fa9b9d2426": { + "fox": [ + "2" + ], + "dog": [ + "1" + ] + }, + "fad633fbe1": { + "person": [ + "1" + ] + }, + "faf5222dc3": { + "airplane": [ + "1" + ] + }, + "faff0e15f1": { + "penguin": [ + "1", + "2" + ] + }, + "fb08c64e8c": { + "lizard": [ + "1" + ] + }, + "fb23455a7f": { + "ape": [ + "1" + ] + }, + "fb2e19fa6e": { + "tiger": [ + "1", + "2" + ] + }, + "fb34dfbb77": { + "giant_panda": [ + "1", + "2", + "3", + "4" + ] + }, + "fb47fcea1e": { + "dog": [ + "1", + "2", + "3" + ] + }, + "fb49738155": { + "person": [ + "1" + ] + }, + "fb4cbc514b": { + "airplane": [ + "1", + "2" + ] + }, + "fb4e6062f7": { + "person": [ + "1" + ] + }, + "fb5ba7ad6e": { + "bear": [ + "1" + ] + }, + "fb63cd1236": {}, + "fb81157a07": { + "person": [ + "1" + ] + }, + "fb92abdaeb": { + "monkey": [ + "1" + ] + }, + "fba22a6848": { + "person": [ + "1", + "2", + "3" + ] + }, + "fbaca0c9df": { + "airplane": [ + "3" + ], + "person": [ + "2" + ] + }, + "fbc645f602": { + "bird": [ + "1", + "3" + ] + }, + "fbd77444cd": { + "turtle": [ + "1" + ] + }, + "fbe53dc8e8": { + "person": [ + "1" + ] + }, + "fbe541dd73": { + "cat": [ + "1" + ] + }, + "fbe8488798": { + "person": [ + "1", + "2", + "3" + ] + }, + "fbfd25174f": { + "person": [ + "1" + ] + }, + "fc28cb305e": { + "person": [ + "1", + "2" + ] + }, + "fc33b1ffd6": { + "lizard": [ + "1" + ] + }, + "fc6186f0bb": { + "person": [ + "2" + ] + }, + "fc918e3a40": { + "fox": [ + "1" + ] + }, + "fc96cda9d8": { + "person": [ + "1", + "3" + ] + }, + "fc9832eea4": { + "eagle": [ + "1" + ] + }, + "fcb10d0f81": { + "deer": [ + "1", + "2" + ] + }, + "fcd20a2509": { + "deer": [ + "1" + ] + }, + "fcf637e3ab": { + "giant_panda": [ + "1", + "2" + ] + }, + "fcfd81727f": { + "dog": [ + "1" + ] + }, + "fd31890379": { + "camel": [ + "1" + ] + }, + "fd33551c28": { + "raccoon": [ + "1" + ] + }, + "fd542da05e": { + "shark": [ + "1" + ] + }, + "fd6789b3fe": { + "dolphin": [ + "1" + ], + "person": [ + "2" + ] + }, + "fd77828200": { + "frog": [ + "1" + ] + }, + "fd7af75f4d": { + "mouse": [ + "1" + ] + }, + "fdb28d0fbb": { + "person": [ + "1" + ] + }, + "fdb3d1fb1e": { + "dog": [ + "1", + "2", + "3" + ] + }, + "fdb8b04124": { + "cat": [ + "1" + ] + }, + "fdc6e3d581": { + "horse": [ + "1" + ] + }, + "fdfce7e6fc": { + "parrot": [ + "1" + ] + }, + "fe0f76d41b": { + "snail": [ + "1" + ] + }, + "fe24b0677d": { + "person": [ + "1", + "2" + ] + }, + "fe3c02699d": { + "turtle": [ + "1", + "2" + ] + }, + "fe58b48235": { + "squirrel": [ + "1" + ] + }, + "fe6a5596b8": { + "person": [ + "1" + ], + "cat": [ + "2" + ] + }, + "fe6c244f63": { + "boat": [ + "1", + "2" + ] + }, + "fe7afec086": { + "giant_panda": [ + "1" + ] + }, + "fe985d510a": {}, + "fe9db35d15": { + "person": [ + "1" + ] + }, + "fea8ffcd36": {}, + "feb1080388": { + "giant_panda": [ + "1" + ] + }, + "fed208bfca": { + "person": [ + "1" + ] + }, + "feda5ad1c2": { + "hedgehog": [ + "1" + ] + }, + "feec95b386": { + "sedan": [ + "1" + ] + }, + "ff15a5eff6": { + "person": [ + "1", + "3" + ], + "motorbike": [ + "2", + "4" + ] + }, + "ff204daf4b": { + "bear": [ + "1", + "2" + ] + }, + "ff25f55852": { + "person": [ + "2" + ], + "snake": [ + "1" + ] + }, + "ff2ada194f": { + "duck": [ + "1", + "2", + "3" + ] + }, + "ff2ce142e8": { + "sedan": [ + "1" + ] + }, + "ff49d36d20": { + "penguin": [ + "1", + "2" + ] + }, + "ff5a1ec4f3": { + "monkey": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "ff66152b25": { + "snake": [ + "1", + "2" + ] + }, + "ff692fdc56": { + "hedgehog": [ + "1" + ], + "person": [ + "2" + ] + }, + "ff773b1a1e": { + "sedan": [ + "1" + ], + "person": [ + "2" + ] + }, + "ff97129478": {}, + "ffb904207d": { + "lizard": [ + "1" + ] + }, + "ffc43fc345": { + "sheep": [ + "1", + "2", + "3" + ] + }, + "fffe5f8df6": { + "fox": [ + "1", + "2" + ] + } +} \ No newline at end of file diff --git a/mbench/numbered_valid_obj_ids_gpt-4o_nomask_randcap2.json b/mbench/numbered_valid_obj_ids_gpt-4o_nomask_randcap2.json new file mode 100644 index 0000000000000000000000000000000000000000..a54199b4f08d32680a3c14ae54a6ebe1031ae538 --- /dev/null +++ b/mbench/numbered_valid_obj_ids_gpt-4o_nomask_randcap2.json @@ -0,0 +1,2153 @@ +{ + "003234408d": { + "penguin": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "0043f083b5": { + "bus": [ + "1" + ], + "sedan": [ + "2", + "3" + ] + }, + "0044fa5fba": { + "giant_panda": [ + "1" + ] + }, + "005a527edd": { + "ape": [ + "1", + "2" + ] + }, + "0065b171f9": { + "giant_panda": [ + "1" + ] + }, + "00917dcfc4": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "00a23ccf53": { + "shark": [ + "1" + ] + }, + "00ad5016a4": { + "airplane": [ + "1" + ] + }, + "01082ae388": { + "leopard": [ + "1" + ] + }, + "011ac0a06f": { + "ape": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "013099c098": { + "giant_panda": [ + "1", + "2" + ] + }, + "0155498c85": { + "person": [ + "1" + ], + "motorbike": [ + "2" + ] + }, + "01694ad9c8": { + "bird": [ + "1" + ] + }, + "017ac35701": { + "giant_panda": [ + "1" + ] + }, + "01b80e8e1a": { + "zebra": [ + "1", + "2" + ] + }, + "01baa5a4e1": {}, + "01c3111683": { + "whale": [ + "1" + ] + }, + "01c4cb5ffe": { + "person": [ + "1", + "3" + ] + }, + "01c76f0a82": { + "sedan": [ + "1", + "4" + ] + }, + "01c783268c": { + "person": [ + "2" + ], + "ape": [ + "1" + ] + }, + "01e64dd36a": { + "cow": [ + "1", + "2", + "3" + ] + }, + "01ed275c6e": { + "giraffe": [ + "1", + "2" + ] + }, + "01ff60d1fa": { + "lizard": [ + "1" + ] + }, + "020cd28cd2": { + "person": [ + "1" + ] + }, + "02264db755": { + "fox": [ + "1" + ] + }, + "0248626d9a": { + "train": [ + "1" + ] + }, + "02668dbffa": { + "frog": [ + "1" + ] + }, + "0274193026": { + "person": [ + "2" + ] + }, + "02d28375aa": { + "fox": [ + "1" + ] + }, + "031ccc99b1": { + "person": [ + "1", + "2", + "3" + ] + }, + "0321b18c10": { + "elephant": [ + "3" + ], + "person": [ + "1", + "2" + ] + }, + "0348a45bca": { + "fish": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "0355e92655": { + "boat": [ + "3" + ], + "person": [ + "2" + ] + }, + "0358b938c1": { + "elephant": [ + "1", + "2", + "3", + "4" + ] + }, + "0368107cf1": { + "person": [ + "1", + "2" + ] + }, + "0379ddf557": { + "person": [ + "1" + ] + }, + "038b2cc71d": { + "lizard": [ + "1" + ] + }, + "038c15a5dd": { + "hedgehog": [ + "1" + ] + }, + "03a06cc98a": { + "giraffe": [ + "1", + "2", + "3" + ] + }, + "03a63e187f": { + "lizard": [ + "1" + ] + }, + "03c95b4dae": { + "elephant": [ + "1", + "2", + "3" + ] + }, + "03e2b57b0e": { + "lizard": [ + "1" + ] + }, + "04194e1248": { + "lizard": [ + "1" + ] + }, + "04259896e2": { + "lizard": [ + "1" + ] + }, + "0444918a5f": { + "truck": [ + "1", + "2", + "3", + "4" + ] + }, + "04460a7a52": { + "lizard": [ + "1" + ] + }, + "04474174a4": { + "ape": [ + "1", + "2" + ] + }, + "0450095513": { + "snail": [ + "1" + ] + }, + "045f00aed2": { + "tiger": [ + "1" + ], + "person": [ + "3" + ] + }, + "04667fabaa": { + "parrot": [ + "1" + ] + }, + "04735c5030": { + "cat": [ + "1", + "2" + ] + }, + "04990d1915": { + "sedan": [ + "1" + ], + "truck": [ + "3" + ], + "bus": [ + "2" + ] + }, + "04d62d9d98": { + "person": [ + "1" + ] + }, + "04f21da964": { + "monkey": [ + "1" + ] + }, + "04fbad476e": { + "parrot": [ + "1" + ] + }, + "04fe256562": { + "truck": [ + "2" + ], + "motorbike": [ + "1" + ] + }, + "0503bf89c9": { + "hedgehog": [ + "1" + ] + }, + "0536c9eed0": { + "cat": [ + "1" + ] + }, + "054acb238f": { + "owl": [ + "1" + ] + }, + "05579ca250": { + "sedan": [ + "3" + ], + "person": [ + "1" + ] + }, + "056c200404": {}, + "05774f3a2c": { + "ape": [ + "1", + "2", + "3" + ] + }, + "058a7592c8": { + "train": [ + "1" + ] + }, + "05a0a513df": { + "person": [ + "1", + "2" + ] + }, + "05a569d8aa": { + "cat": [ + "1" + ], + "mouse": [ + "2" + ] + }, + "05aa652648": { + "ape": [ + "1" + ] + }, + "05d7715782": {}, + "05e0b0f28f": { + "person": [ + "2" + ], + "mouse": [ + "1" + ] + }, + "05fdbbdd7a": {}, + "05ffcfed85": { + "monkey": [ + "1", + "2" + ] + }, + "0630391881": { + "person": [ + "1" + ] + }, + "06840b2bbe": { + "snake": [ + "1" + ] + }, + "068f7dce6f": { + "shark": [ + "1" + ] + }, + "0693719753": { + "turtle": [ + "1", + "2" + ] + }, + "06ce2b51fb": { + "person": [ + "1", + "2" + ] + }, + "06e224798e": { + "tiger": [ + "1" + ] + }, + "06ee361788": { + "duck": [ + "1", + "2", + "3" + ] + }, + "06fbb3fa2c": { + "eagle": [ + "1" + ] + }, + "0700264286": { + "cow": [ + "1", + "2" + ] + }, + "070c918ca7": { + "parrot": [ + "1" + ] + }, + "07129e14a4": { + "person": [ + "3" + ], + "parrot": [ + "1", + "2" + ] + }, + "07177017e9": { + "motorbike": [ + "1", + "2" + ] + }, + "07238ffc58": { + "monkey": [ + "1", + "2", + "3" + ] + }, + "07353b2a89": { + "sheep": [ + "1", + "2", + "3", + "4" + ] + }, + "0738493cbf": { + "airplane": [ + "1" + ] + }, + "075926c651": { + "person": [ + "1", + "2" + ] + }, + "075c701292": { + "duck": [ + "1", + "2", + "3", + "4" + ] + }, + "0762ea9a30": { + "person": [ + "1" + ] + }, + "07652ee4af": { + "person": [ + "1" + ] + }, + "076f206928": { + "person": [ + "3" + ], + "zebra": [ + "1", + "2" + ] + }, + "077d32af19": { + "person": [ + "1", + "2", + "3" + ], + "train": [ + "4" + ] + }, + "079049275c": { + "mouse": [ + "1" + ] + }, + "07913cdda7": { + "person": [ + "2", + "3" + ], + "train": [ + "1" + ] + }, + "07a11a35e8": { + "ape": [ + "1", + "2" + ] + }, + "07ac33b6df": { + "ape": [ + "1" + ] + }, + "07c62c3d11": { + "parrot": [ + "1", + "2", + "3" + ] + }, + "07cc1c7d74": { + "snake": [ + "1" + ] + }, + "080196ef01": { + "lizard": [ + "1" + ] + }, + "081207976e": {}, + "081ae4fa44": { + "shark": [ + "1", + "2" + ] + }, + "081d8250cb": { + "sedan": [ + "3" + ], + "person": [ + "1" + ] + }, + "082900c5d4": { + "duck": [ + "1", + "2", + "3" + ] + }, + "0860df21e2": {}, + "0866d4c5e3": { + "bird": [ + "1", + "2", + "3" + ] + }, + "0891ac2eb6": { + "person": [ + "1", + "2", + "3" + ] + }, + "08931bc458": { + "person": [ + "1" + ] + }, + "08aa2705d5": { + "snake": [ + "1" + ] + }, + "08c8450db7": {}, + "08d50b926c": { + "turtle": [ + "1", + "2" + ] + }, + "08e1e4de15": { + "monkey": [ + "1", + "2", + "3", + "4" + ] + }, + "08e48c1a48": { + "cow": [ + "1" + ] + }, + "08f561c65e": { + "person": [ + "2" + ], + "giant_panda": [ + "1" + ] + }, + "08feb87790": { + "sheep": [ + "1" + ] + }, + "09049f6fe3": { + "mouse": [ + "1", + "2" + ] + }, + "092e4ff450": { + "snake": [ + "1" + ] + }, + "09338adea8": { + "whale": [ + "1", + "2" + ] + }, + "093c335ccc": { + "person": [ + "2" + ] + }, + "0970d28339": { + "ape": [ + "1", + "2" + ] + }, + "0974a213dc": { + "giraffe": [ + "1", + "2", + "3" + ] + }, + "097b471ed8": { + "cat": [ + "1", + "2" + ] + }, + "0990941758": { + "giant_panda": [ + "1" + ] + }, + "09a348f4fa": { + "lizard": [ + "1" + ] + }, + "09a6841288": { + "duck": [ + "1", + "2" + ] + }, + "09c5bad17b": { + "airplane": [ + "1" + ] + }, + "09c9ce80c7": { + "giant_panda": [ + "1" + ] + }, + "09ff54fef4": { + "fox": [ + "1", + "2" + ] + }, + "0a23765d15": { + "person": [ + "1", + "2" + ] + }, + "0a275e7f12": { + "elephant": [ + "1" + ] + }, + "0a2f2bd294": { + "motorbike": [ + "1" + ] + }, + "0a7a2514aa": { + "lizard": [ + "2" + ], + "cat": [ + "1" + ] + }, + "0a7b27fde9": { + "parrot": [ + "1", + "2" + ] + }, + "0a8c467cc3": { + "fish": [ + "1", + "2", + "3" + ] + }, + "0ac8c560ae": { + "person": [ + "2", + "3" + ] + }, + "0b1627e896": { + "boat": [ + "1" + ] + }, + "0b285c47f6": { + "mouse": [ + "1" + ] + }, + "0b34ec1d55": { + "ape": [ + "1" + ] + }, + "0b5b5e8e5a": { + "sedan": [ + "2" + ], + "person": [ + "1" + ] + }, + "0b68535614": { + "rabbit": [ + "1" + ] + }, + "0b6f9105fc": { + "rabbit": [ + "1" + ] + }, + "0b7dbfa3cb": { + "cow": [ + "1" + ] + }, + "0b9cea51ca": { + "whale": [ + "1" + ] + }, + "0b9d012be8": { + "camel": [ + "1" + ] + }, + "0bcfc4177d": { + "truck": [ + "1" + ] + }, + "0bd37b23c1": { + "motorbike": [ + "1" + ] + }, + "0bd864064c": { + "eagle": [ + "1" + ] + }, + "0c11c6bf7b": { + "deer": [ + "1" + ] + }, + "0c26bc77ac": { + "crocodile": [ + "1" + ] + }, + "0c3a04798c": { + "duck": [ + "1" + ], + "fish": [ + "2" + ] + }, + "0c44a9d545": { + "tiger": [ + "1" + ] + }, + "0c817cc390": { + "dog": [ + "2" + ], + "hedgehog": [ + "1" + ] + }, + "0ca839ee9a": { + "ape": [ + "1", + "2" + ] + }, + "0cd7ac0ac0": { + "rabbit": [ + "1" + ] + }, + "0ce06e0121": { + "parrot": [ + "1", + "2" + ] + }, + "0cfe974a89": { + "turtle": [ + "1", + "2" + ] + }, + "0d2fcc0dcd": { + "zebra": [ + "1", + "2", + "3", + "4" + ] + }, + "0d3aad05d2": { + "person": [ + "1" + ] + }, + "0d40b015f4": { + "person": [ + "1" + ] + }, + "0d97fba242": { + "person": [ + "2" + ], + "dog": [ + "1" + ] + }, + "0d9cc80d7e": { + "person": [ + "1", + "2", + "3" + ] + }, + "0dab85b6d3": { + "lizard": [ + "1", + "2" + ] + }, + "0db5c427a5": { + "train": [ + "1" + ] + }, + "0dbaf284f1": { + "cat": [ + "1", + "2" + ] + }, + "0de4923598": {}, + "0df28a9101": { + "turtle": [ + "1", + "2", + "3" + ] + }, + "0e04f636c4": { + "frog": [ + "1" + ] + }, + "0e05f0e232": { + "lizard": [ + "1", + "2" + ] + }, + "0e0930474b": { + "sedan": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "0e27472bea": { + "turtle": [ + "1" + ] + }, + "0e30020549": { + "parrot": [ + "1" + ] + }, + "0e621feb6c": { + "lizard": [ + "1", + "2" + ] + }, + "0e803c7d73": {}, + "0e9ebe4e3c": { + "truck": [ + "1" + ] + }, + "0e9f2785ec": { + "person": [ + "2" + ] + }, + "0ea68d418b": { + "airplane": [ + "1" + ] + }, + "0eb403a222": {}, + "0ee92053d6": { + "person": [ + "1" + ] + }, + "0eefca067f": { + "giant_panda": [ + "1", + "2" + ] + }, + "0f17fa6fcb": { + "duck": [ + "1", + "2", + "3" + ] + }, + "0f1ac8e9a3": { + "frog": [ + "1" + ] + }, + "0f202e9852": { + "parrot": [ + "1" + ] + }, + "0f2ab8b1ff": { + "dolphin": [ + "1", + "2", + "3" + ] + }, + "0f51a78756": { + "sheep": [ + "1" + ] + }, + "0f5fbe16b0": { + "raccoon": [ + "1", + "2" + ] + }, + "0f6072077b": { + "person": [ + "1", + "2", + "3" + ] + }, + "0f6b69b2f4": { + "rabbit": [ + "1" + ] + }, + "0f6c2163de": { + "snail": [ + "1" + ] + }, + "0f74ec5599": { + "giant_panda": [ + "1" + ] + }, + "0f9683715b": { + "elephant": [ + "1" + ] + }, + "0fa7b59356": { + "duck": [ + "1" + ] + }, + "0fb173695b": { + "person": [ + "3" + ] + }, + "0fc958cde2": { + "owl": [ + "1" + ] + }, + "0fe7b1a621": { + "parrot": [ + "1" + ] + }, + "0ffcdb491c": { + "person": [ + "1", + "2", + "3" + ] + }, + "101caff7d4": { + "giant_panda": [ + "1", + "2" + ] + }, + "1022fe8417": { + "person": [ + "1", + "2", + "3" + ] + }, + "1032e80b37": { + "giraffe": [ + "1" + ] + }, + "103f501680": { + "fish": [ + "1" + ] + }, + "104e64565f": { + "elephant": [ + "1" + ] + }, + "104f1ab997": { + "person": [ + "1", + "2", + "3" + ] + }, + "106242403f": { + "person": [ + "1", + "2" + ] + }, + "10b31f5431": { + "person": [ + "1", + "3", + "4" + ] + }, + "10eced835e": { + "giant_panda": [ + "1", + "2" + ] + }, + "110d26fa3a": { + "shark": [ + "1" + ] + }, + "1122c1d16a": { + "person": [ + "6" + ], + "parrot": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "1145b49a5f": { + "rabbit": [ + "1" + ] + }, + "11485838c2": { + "giraffe": [ + "1", + "2", + "3" + ] + }, + "114e7676ec": { + "person": [ + "1" + ] + }, + "1157472b95": { + "parrot": [ + "1", + "2" + ] + }, + "115ee1072c": { + "cow": [ + "1" + ] + }, + "1171141012": { + "person": [ + "2" + ], + "turtle": [ + "1" + ] + }, + "117757b4b8": { + "snail": [ + "1" + ] + }, + "1178932d2f": { + "person": [ + "1", + "2" + ], + "motorbike": [ + "3" + ] + }, + "117cc76bda": { + "whale": [ + "1" + ] + }, + "1180cbf814": { + "fish": [ + "1", + "2" + ] + }, + "1187bbd0e3": { + "cat": [ + "1" + ] + }, + "1197e44b26": { + "giant_panda": [ + "1" + ] + }, + "119cf20728": { + "lizard": [ + "1" + ] + }, + "119dd54871": { + "lion": [ + "1", + "2" + ] + }, + "11a0c3b724": { + "mouse": [ + "1", + "2" + ] + }, + "11a6ba8c94": { + "person": [ + "1", + "2" + ] + }, + "11c722a456": { + "turtle": [ + "1", + "2" + ] + }, + "11cbcb0b4d": { + "zebra": [ + "1" + ] + }, + "11ccf5e99d": { + "person": [ + "2" + ] + }, + "11ce6f452e": { + "person": [ + "1", + "2", + "3" + ] + }, + "11feabe596": { + "rabbit": [ + "1" + ] + }, + "120cb9514d": { + "person": [ + "1", + "2", + "3" + ] + }, + "12156b25b3": { + "person": [ + "1" + ] + }, + "122896672d": { + "person": [ + "1", + "3" + ] + }, + "1233ac8596": { + "dog": [ + "1" + ] + }, + "1239c87234": { + "lizard": [ + "1" + ] + }, + "1250423f7c": { + "elephant": [ + "3", + "4" + ], + "person": [ + "2" + ] + }, + "1257a1bc67": { + "snake": [ + "1" + ] + }, + "125d1b19dd": { + "giant_panda": [ + "1", + "2" + ] + }, + "126d203967": { + "person": [ + "2" + ] + }, + "1295e19071": { + "airplane": [ + "1" + ] + }, + "12ad198c54": { + "person": [ + "1" + ] + }, + "12bddb2bcb": { + "person": [ + "2" + ] + }, + "12ec9b93ee": { + "giant_panda": [ + "1" + ] + }, + "12eebedc35": { + "bird": [ + "1" + ] + }, + "132852e094": { + "fox": [ + "1" + ] + }, + "1329409f2a": { + "fish": [ + "1" + ] + }, + "13325cfa14": { + "person": [ + "2" + ] + }, + "1336440745": { + "mouse": [ + "1", + "2" + ] + }, + "134d06dbf9": { + "cat": [ + "1" + ] + }, + "135625b53d": { + "parrot": [ + "1" + ] + }, + "13870016f9": { + "person": [ + "1" + ], + "cow": [ + "2", + "3" + ] + }, + "13960b3c84": { + "giraffe": [ + "1", + "2", + "3" + ] + }, + "13adaad9d9": { + "giant_panda": [ + "1" + ] + }, + "13ae097e20": { + "giant_panda": [ + "1" + ] + }, + "13e3070469": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "13f6a8c20d": { + "fish": [ + "1" + ] + }, + "1416925cf2": { + "truck": [ + "1", + "2" + ] + }, + "142d2621f5": { + "person": [ + "1", + "2" + ], + "motorbike": [ + "3" + ] + }, + "145d5d7c03": { + "giant_panda": [ + "1" + ] + }, + "145fdc3ac5": { + "lizard": [ + "1" + ] + }, + "1471274fa7": { + "person": [ + "1" + ] + }, + "14a6b5a139": { + "fish": [ + "1" + ] + }, + "14c21cea0d": { + "monkey": [ + "1", + "2" + ] + }, + "14dae0dc93": { + "person": [ + "2" + ] + }, + "14f9bd22b5": { + "tiger": [ + "1" + ] + }, + "14fd28ae99": { + "parrot": [ + "1" + ] + }, + "15097d5d4e": { + "parrot": [ + "1" + ] + }, + "150ea711f2": { + "whale": [ + "1" + ] + }, + "1514e3563f": { + "earless_seal": [ + "1", + "2" + ] + }, + "152aaa3a9e": { + "raccoon": [ + "1" + ] + }, + "152b7d3bd7": { + "giant_panda": [ + "1" + ] + }, + "15617297cc": { + "person": [ + "1" + ] + }, + "15abbe0c52": { + "person": [ + "1" + ] + }, + "15d1fb3de5": { + "owl": [ + "1" + ], + "cat": [ + "2" + ] + }, + "15f67b0fab": { + "person": [ + "1" + ] + }, + "161eb59aad": { + "giraffe": [ + "1" + ], + "cow": [ + "2", + "3" + ] + }, + "16288ea47f": { + "duck": [ + "1", + "2" + ] + }, + "164410ce62": { + "person": [ + "1" + ] + }, + "165c3c8cd4": { + "person": [ + "1", + "2", + "3" + ] + }, + "165c42b41b": { + "person": [ + "1", + "4" + ], + "motorbike": [ + "2", + "3" + ] + }, + "165ec9e22b": { + "person": [ + "1", + "2" + ] + }, + "1669502269": { + "person": [ + "1" + ] + }, + "16763cccbb": { + "ape": [ + "1" + ] + }, + "16adde065e": { + "person": [ + "3" + ], + "cat": [ + "2" + ] + }, + "16af445362": { + "airplane": [ + "1" + ] + }, + "16afd538ad": { + "parrot": [ + "1", + "2" + ] + }, + "16c3fa4d5d": { + "sedan": [ + "1" + ] + }, + "16d1d65c27": { + "monkey": [ + "1" + ] + }, + "16e8599e94": { + "giant_panda": [ + "1" + ] + }, + "16fe9fb444": { + "person": [ + "2" + ], + "motorbike": [ + "1" + ] + }, + "1705796b02": { + "train": [ + "1" + ] + }, + "1724db7671": { + "giant_panda": [ + "1" + ] + }, + "17418e81ea": { + "shark": [ + "1" + ] + }, + "175169edbb": { + "ape": [ + "1", + "2" + ] + }, + "17622326fd": { + "lizard": [ + "1" + ] + }, + "17656bae77": { + "elephant": [ + "1" + ] + }, + "17b0d94172": { + "airplane": [ + "1" + ] + }, + "17c220e4f6": { + "giant_panda": [ + "1" + ] + }, + "17c7bcd146": { + "train": [ + "1" + ] + }, + "17cb4afe89": { + "tiger": [ + "1" + ] + }, + "17cd79a434": { + "squirrel": [ + "1" + ] + }, + "17d18604c3": { + "person": [ + "1", + "2" + ] + }, + "17d8ca1a37": { + "owl": [ + "1" + ], + "person": [ + "2" + ] + }, + "17e33f4330": { + "monkey": [ + "1" + ] + }, + "17f7a6d805": { + "snail": [ + "1" + ] + }, + "180abc8378": { + "owl": [ + "1" + ], + "person": [ + "2" + ] + }, + "183ba3d652": { + "motorbike": [ + "3" + ], + "person": [ + "2" + ] + }, + "185bf64702": { + "zebra": [ + "1", + "2" + ] + }, + "18913cc690": { + "train": [ + "1" + ] + }, + "1892651815": { + "camel": [ + "1" + ] + }, + "189ac8208a": { + "giraffe": [ + "1", + "2" + ] + }, + "189b44e92c": { + "zebra": [ + "1" + ] + }, + "18ac264b76": { + "person": [ + "2" + ] + }, + "18b245ab49": { + "penguin": [ + "1", + "2", + "3", + "4" + ] + }, + "18b5cebc34": { + "mouse": [ + "1" + ] + }, + "18bad52083": { + "parrot": [ + "1", + "2" + ] + }, + "18bb5144d5": { + "lizard": [ + "1" + ] + }, + "18c6f205c5": { + "person": [ + "1", + "2", + "3" + ] + }, + "1903f9ea15": { + "bird": [ + "1", + "2", + "3" + ] + }, + "1917b209f2": { + "person": [ + "1" + ], + "cow": [ + "3", + "4" + ], + "horse": [ + "2" + ] + }, + "191e74c01d": { + "deer": [ + "1" + ] + }, + "19367bb94e": { + "fish": [ + "1", + "2", + "3" + ] + }, + "193ffaa217": { + "person": [ + "1", + "2", + "3" + ] + }, + "19696b67d3": { + "cow": [ + "1" + ] + }, + "197f3ab6f3": { + "giant_panda": [ + "1" + ] + }, + "1981e763cc": { + "sheep": [ + "1", + "2" + ] + }, + "198afe39ae": { + "person": [ + "1" + ] + }, + "19a6e62b9b": { + "monkey": [ + "1", + "2" + ] + }, + "19b60d5335": { + "hedgehog": [ + "1" + ] + }, + "19c00c11f9": { + "person": [ + "1" + ] + }, + "19e061eb88": { + "boat": [ + "1", + "2" + ] + }, + "19e8bc6178": { + "dog": [ + "1" + ] + }, + "19ee80dac6": { + "person": [ + "1", + "3", + "4" + ] + }, + "1a25a9170a": { + "cow": [ + "1" + ], + "person": [ + "2", + "3" + ] + }, + "1a359a6c1a": { + "sheep": [ + "1" + ] + }, + "1a3e87c566": { + "frog": [ + "1" + ] + }, + "1a5fe06b00": { + "bus": [ + "1" + ] + }, + "1a6c0fbd1e": { + "person": [ + "1" + ] + }, + "1a6f3b5a4b": { + "sedan": [ + "3" + ] + }, + "1a8afbad92": { + "zebra": [ + "1", + "2", + "3" + ] + }, + "1a8bdc5842": { + "parrot": [ + "1", + "2" + ] + }, + "1a95752aca": { + "duck": [ + "1", + "2" + ] + }, + "1a9c131cb7": { + "ape": [ + "1", + "2", + "3" + ] + }, + "1aa3da3ee3": { + "sheep": [ + "1", + "2", + "3", + "4" + ] + }, + "1ab27ec7ea": { + "deer": [ + "1" + ] + }, + "1abf16d21d": { + "turtle": [ + "1" + ] + }, + "1acd0f993b": { + "dog": [ + "1" + ], + "person": [ + "3" + ] + }, + "1ad202e499": { + "lizard": [ + "1", + "2" + ] + }, + "1af8d2395d": { + "person": [ + "1", + "2" + ], + "airplane": [ + "4" + ] + }, + "1afd39a1fa": { + "motorbike": [ + "2" + ] + }, + "1b2d31306f": { + "lizard": [ + "1" + ] + }, + "1b3fa67f0e": { + "airplane": [ + "1" + ] + }, + "1b43fa74b4": { + "owl": [ + "1", + "2" + ] + }, + "1b73ea9fc2": { + "parrot": [ + "1" + ] + }, + "1b7e8bb255": { + "person": [ + "2" + ] + }, + "1b8680f8cd": { + "person": [ + "2", + "3" + ] + }, + "1b883843c0": { + "person": [ + "1", + "2" + ] + }, + "1b8898785b": { + "monkey": [ + "1", + "2" + ] + }, + "1b88ba1aa4": { + "giant_panda": [ + "1" + ] + }, + "1b96a498e5": { + "ape": [ + "1" + ] + }, + "1bbc4c274f": { + "fish": [ + "2" + ] + }, + "1bd87fe9ab": { + "train": [ + "1" + ] + }, + "1c4090c75b": { + "whale": [ + "1" + ] + }, + "1c41934f84": { + "elephant": [ + "1", + "2" + ] + }, + "1c72b04b56": { + "lion": [ + "1" + ] + }, + "1c87955a3a": { + "crocodile": [ + "1" + ], + "turtle": [ + "2" + ] + }, + "1c9f9eb792": { + "person": [ + "2" + ] + }, + "1ca240fede": { + "train": [ + "1" + ] + }, + "1ca5673803": { + "person": [ + "1", + "3" + ] + }, + "1cada35274": { + "duck": [ + "1" + ] + }, + "1cb44b920d": { + "eagle": [ + "1", + "2" + ] + }, + "1cd10e62be": { + "leopard": [ + "1" + ] + }, + "1d3087d5e5": { + "fish": [ + "1", + "2", + "3", + "4", + "5" + ] + }, + "1d3685150a": { + "person": [ + "1", + "3" + ] + }, + "1d6ff083aa": { + "person": [ + "1", + "2" + ] + } +} \ No newline at end of file diff --git a/mbench/sampled_frame.json b/mbench/sampled_frame.json new file mode 100644 index 0000000000000000000000000000000000000000..659d9cbc482c39e42f40ecdcdfcff1e170349d3e --- /dev/null +++ b/mbench/sampled_frame.json @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:5ac6df555665b2f0cc411641ce023ac10565ea7e8a5c0586c4a9e775481bca62 +size 17415938 diff --git a/mbench/sampled_frame2.json b/mbench/sampled_frame2.json new file mode 100644 index 0000000000000000000000000000000000000000..35938a45bbbf6c8b9050dd908b0a9e1990c66a82 --- /dev/null +++ b/mbench/sampled_frame2.json @@ -0,0 +1,354108 @@ +{ + "003234408d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 171.0, + 406.0, + 348.0, + 581.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 507.0, + 385.0, + 729.0, + 580.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 113.0, + 256.0, + 399.0, + 373.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 749.0, + 155.0, + 904.0, + 251.0 + ] + }, + "5": { + "category_name": "penguin", + "bbox": [ + 292.0, + 78.0, + 490.0, + 146.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 169.0, + 418.0, + 345.0, + 597.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 513.0, + 398.0, + 727.0, + 589.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 109.0, + 268.0, + 395.0, + 387.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 741.0, + 166.0, + 900.0, + 260.0 + ] + }, + "5": { + "category_name": "penguin", + "bbox": [ + 289.0, + 91.0, + 482.0, + 158.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 96.0, + 360.0, + 260.0, + 546.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 423.0, + 346.0, + 651.0, + 534.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 26.0, + 217.0, + 319.0, + 342.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 668.0, + 108.0, + 837.0, + 204.0 + ] + }, + "5": { + "category_name": "penguin", + "bbox": [ + 214.0, + 40.0, + 414.0, + 106.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 184.0, + 348.0, + 413.0, + 525.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 508.0, + 318.0, + 721.0, + 515.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 118.0, + 201.0, + 399.0, + 329.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 752.0, + 87.0, + 910.0, + 183.0 + ] + }, + "5": { + "category_name": "penguin", + "bbox": [ + 303.0, + 24.0, + 502.0, + 91.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/003234408d" + }, + "0043f083b5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 1219.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1174.0, + 335.0, + 1277.0, + 413.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 1173.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1177.0, + 335.0, + 1256.0, + 398.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1132.0, + 360.0, + 1164.0, + 426.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 1113.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1141.0, + 331.0, + 1205.0, + 383.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1080.0, + 350.0, + 1139.0, + 408.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 1107.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1139.0, + 334.0, + 1196.0, + 384.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1074.0, + 349.0, + 1138.0, + 403.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0043f083b5" + }, + "0044fa5fba": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 454.0, + 143.0, + 918.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 473.0, + 132.0, + 933.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 469.0, + 114.0, + 925.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 479.0, + 83.0, + 942.0, + 708.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0044fa5fba" + }, + "005a527edd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 550.0, + 234.0, + 819.0, + 537.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 574.0, + 0.0, + 1067.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 455.0, + 80.0, + 721.0, + 441.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 497.0, + 3.0, + 1035.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 450.0, + 61.0, + 665.0, + 369.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 464.0, + 0.0, + 1030.0, + 586.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 420.0, + 241.0, + 665.0, + 563.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 502.0, + 2.0, + 1034.0, + 587.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/005a527edd" + }, + "0065b171f9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 576.0, + 168.0, + 857.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 536.0, + 129.0, + 929.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 426.0, + 19.0, + 1023.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 254.0, + 26.0, + 993.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0065b171f9" + }, + "00917dcfc4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 662.0, + 146.0, + 1172.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 412.0, + 191.0, + 809.0, + 719.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 68.0, + 45.0, + 340.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 605.0, + 206.0, + 1221.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 525.0, + 167.0, + 858.0, + 719.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 12.0, + 158.0, + 375.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 527.0, + 101.0, + 1244.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 598.0, + 286.0, + 813.0, + 711.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 253.0, + 314.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 503.0, + 94.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 600.0, + 259.0, + 790.0, + 716.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 265.0, + 319.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00065", + "00085", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/00917dcfc4" + }, + "00a23ccf53": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 584.0, + 127.0, + 855.0, + 262.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 509.0, + 90.0, + 931.0, + 278.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 402.0, + 17.0, + 931.0, + 189.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 393.0, + 0.0, + 908.0, + 194.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/00a23ccf53" + }, + "00ad5016a4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 19.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 256.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 236.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 250.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/00ad5016a4" + }, + "01082ae388": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 605.0, + 175.0, + 719.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 587.0, + 181.0, + 778.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 597.0, + 195.0, + 774.0, + 464.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 587.0, + 187.0, + 780.0, + 467.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01082ae388" + }, + "011ac0a06f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 22.0, + 83.0, + 526.0, + 656.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 140.0, + 138.0, + 618.0, + 652.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 100.0, + 191.0, + 552.0, + 658.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 96.0, + 62.0, + 570.0, + 649.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/011ac0a06f" + }, + "013099c098": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 44.0, + 111.0, + 649.0, + 566.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 382.0, + 206.0, + 821.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 138.0, + 231.0, + 691.0, + 569.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 311.0, + 375.0, + 737.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 214.0, + 207.0, + 687.0, + 546.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 351.0, + 250.0, + 813.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 172.0, + 212.0, + 741.0, + 555.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 317.0, + 259.0, + 833.0, + 559.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/013099c098" + }, + "0155498c85": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 488.0, + 190.0, + 595.0, + 385.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 499.0, + 273.0, + 585.0, + 442.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 505.0, + 247.0, + 636.0, + 448.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 516.0, + 321.0, + 621.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 535.0, + 358.0, + 678.0, + 568.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 580.0, + 439.0, + 654.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 357.0, + 371.0, + 457.0, + 532.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 366.0, + 436.0, + 458.0, + 574.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0155498c85" + }, + "01694ad9c8": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 639.0, + 65.0, + 901.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 636.0, + 99.0, + 906.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 608.0, + 112.0, + 848.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 615.0, + 65.0, + 847.0, + 593.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01694ad9c8" + }, + "017ac35701": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 377.0, + 64.0, + 946.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 487.0, + 96.0, + 1048.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 461.0, + 146.0, + 1038.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 473.0, + 143.0, + 1084.0, + 658.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/017ac35701" + }, + "01b80e8e1a": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 686.0, + 317.0, + 1039.0, + 536.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 257.0, + 411.0, + 450.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 721.0, + 327.0, + 1027.0, + 537.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 294.0, + 415.0, + 449.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 709.0, + 320.0, + 1006.0, + 534.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 287.0, + 414.0, + 455.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 695.0, + 317.0, + 972.0, + 518.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 282.0, + 399.0, + 449.0, + 551.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01b80e8e1a" + }, + "01baa5a4e1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 534.0, + 204.0, + 649.0, + 255.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 53.0, + 381.0, + 194.0, + 449.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01baa5a4e1" + }, + "01c3111683": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 246.0, + 203.0, + 550.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 446.0, + 201.0, + 674.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 476.0, + 109.0, + 709.0, + 469.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 183.0, + 323.0, + 553.0, + 600.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01c3111683" + }, + "01c4cb5ffe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 439.0, + 173.0, + 698.0, + 517.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 552.0, + 471.0, + 726.0, + 518.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 61.0, + 100.0, + 158.0, + 307.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 534.0, + 190.0, + 692.0, + 474.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 581.0, + 506.0, + 694.0, + 526.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 714.0, + 92.0, + 745.0, + 161.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 499.0, + 139.0, + 780.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 393.0, + 642.0, + 666.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 553.0, + 154.0, + 570.0, + 206.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 294.0, + 105.0, + 733.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 614.0, + 163.0, + 635.0, + 192.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01c4cb5ffe" + }, + "01c76f0a82": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 394.0, + 305.0, + 921.0, + 512.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 802.0, + 152.0, + 1030.0, + 356.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 595.0, + 176.0, + 750.0, + 305.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 409.0, + 244.0, + 969.0, + 504.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 996.0, + 86.0, + 1255.0, + 307.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 776.0, + 118.0, + 936.0, + 262.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 293.0, + 247.0, + 841.0, + 579.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 933.0, + 109.0, + 1177.0, + 330.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 719.0, + 134.0, + 876.0, + 308.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 1240.0, + 350.0, + 1279.0, + 428.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 227.0, + 167.0, + 831.0, + 605.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 835.0, + 38.0, + 1066.0, + 258.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 621.0, + 63.0, + 769.0, + 209.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 989.0, + 216.0, + 1279.0, + 373.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01c76f0a82" + }, + "01c783268c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 474.0, + 39.0, + 924.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 583.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 458.0, + 11.0, + 788.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 591.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 462.0, + 143.0, + 952.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 917.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 654.0, + 218.0, + 966.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 817.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01c783268c" + }, + "01e64dd36a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 577.0, + 183.0, + 917.0, + 467.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 296.0, + 50.0, + 679.0, + 394.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 782.0, + 62.0, + 1261.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 567.0, + 280.0, + 1176.0, + 618.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 155.0, + 300.0, + 577.0, + 377.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 631.0, + 101.0, + 879.0, + 305.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 547.0, + 321.0, + 1210.0, + 620.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 456.0, + 472.0, + 584.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 533.0, + 214.0, + 823.0, + 402.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 674.0, + 463.0, + 1178.0, + 590.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 181.0, + 62.0, + 434.0, + 270.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01e64dd36a" + }, + "01ed275c6e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 154.0, + 16.0, + 463.0, + 620.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 741.0, + 339.0, + 951.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 171.0, + 95.0, + 483.0, + 709.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 801.0, + 419.0, + 989.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 215.0, + 101.0, + 493.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 831.0, + 430.0, + 1006.0, + 650.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 193.0, + 85.0, + 533.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 886.0, + 423.0, + 1048.0, + 615.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01ed275c6e" + }, + "01ff60d1fa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 328.0, + 292.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 332.0, + 292.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 324.0, + 291.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 323.0, + 290.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01ff60d1fa" + }, + "020cd28cd2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 367.0, + 313.0, + 474.0, + 344.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 218.0, + 524.0, + 358.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 565.0, + 344.0, + 688.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 503.0, + 232.0, + 585.0, + 340.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/020cd28cd2" + }, + "02264db755": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 77.0, + 777.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 82.0, + 661.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 80.0, + 697.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 64.0, + 82.0, + 819.0, + 643.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/02264db755" + }, + "0248626d9a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0248626d9a" + }, + "02668dbffa": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 472.0, + 211.0, + 757.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 472.0, + 213.0, + 773.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 474.0, + 216.0, + 759.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 482.0, + 210.0, + 761.0, + 526.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/02668dbffa" + }, + "0274193026": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 491.0, + 567.0, + 884.0, + 648.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 530.0, + 65.0, + 819.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 633.0, + 504.0, + 746.0, + 544.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 624.0, + 149.0, + 742.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 446.0, + 71.0, + 688.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 518.0, + 518.0, + 673.0, + 606.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 500.0, + 71.0, + 698.0, + 582.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0274193026" + }, + "02d28375aa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 156.0, + 189.0, + 737.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 247.0, + 95.0, + 854.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 474.0, + 108.0, + 803.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 571.0, + 108.0, + 866.0, + 624.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/02d28375aa" + }, + "031ccc99b1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 221.0, + 132.0, + 720.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 522.0, + 143.0, + 980.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 814.0, + 171.0, + 1098.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 209.0, + 124.0, + 565.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 482.0, + 136.0, + 962.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 715.0, + 167.0, + 1032.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 481.0, + 115.0, + 724.0, + 688.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 684.0, + 112.0, + 958.0, + 627.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 842.0, + 120.0, + 993.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 508.0, + 112.0, + 719.0, + 690.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 679.0, + 112.0, + 962.0, + 625.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 733.0, + 121.0, + 970.0, + 581.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/031ccc99b1" + }, + "0321b18c10": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 790.0, + 171.0, + 908.0, + 358.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 632.0, + 158.0, + 796.0, + 377.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 526.0, + 308.0, + 1029.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 767.0, + 190.0, + 882.0, + 516.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 608.0, + 179.0, + 774.0, + 385.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 499.0, + 323.0, + 1038.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 722.0, + 200.0, + 840.0, + 382.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 552.0, + 193.0, + 728.0, + 392.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 414.0, + 343.0, + 987.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 628.0, + 193.0, + 742.0, + 409.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 443.0, + 174.0, + 627.0, + 396.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 254.0, + 350.0, + 898.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0321b18c10" + }, + "0348a45bca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 768.0, + 178.0, + 921.0, + 359.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 907.0, + 359.0, + 1044.0, + 537.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 651.0, + 301.0, + 832.0, + 508.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 531.0, + 378.0, + 699.0, + 616.0 + ] + }, + "5": { + "category_name": "fish", + "bbox": [ + 342.0, + 336.0, + 394.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 752.0, + 175.0, + 867.0, + 347.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 882.0, + 360.0, + 1053.0, + 531.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 643.0, + 281.0, + 821.0, + 472.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 579.0, + 366.0, + 758.0, + 574.0 + ] + }, + "5": { + "category_name": "fish", + "bbox": [ + 337.0, + 324.0, + 409.0, + 490.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 729.0, + 172.0, + 820.0, + 365.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 909.0, + 364.0, + 1082.0, + 541.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 634.0, + 254.0, + 769.0, + 472.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 775.0, + 334.0, + 905.0, + 545.0 + ] + }, + "5": { + "category_name": "fish", + "bbox": [ + 336.0, + 306.0, + 473.0, + 477.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 503.0, + 147.0, + 680.0, + 368.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 915.0, + 349.0, + 1072.0, + 553.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 530.0, + 301.0, + 587.0, + 448.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 818.0, + 356.0, + 921.0, + 573.0 + ] + }, + "5": { + "category_name": "fish", + "bbox": [ + 221.0, + 247.0, + 447.0, + 494.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0348a45bca" + }, + "0355e92655": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 288.0, + 126.0, + 742.0, + 479.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 480.0, + 190.0, + 781.0, + 462.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 248.0, + 1279.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 606.0, + 107.0, + 1008.0, + 463.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 623.0, + 207.0, + 814.0, + 367.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 230.0, + 1279.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 673.0, + 36.0, + 748.0, + 324.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 520.0, + 170.0, + 795.0, + 370.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 180.0, + 1279.0, + 370.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 589.0, + 112.0, + 874.0, + 454.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 569.0, + 218.0, + 845.0, + 386.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 180.0, + 1279.0, + 364.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0355e92655" + }, + "0358b938c1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 166.0, + 555.0, + 398.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1062.0, + 258.0, + 1279.0, + 467.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 184.0, + 177.0, + 805.0, + 426.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 187.0, + 119.0, + 377.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 564.0, + 205.0, + 1279.0, + 525.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 250.0, + 202.0, + 444.0, + 407.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 1114.0, + 425.0, + 1279.0, + 517.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 608.0, + 220.0, + 784.0, + 456.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 0.0, + 223.0, + 277.0, + 437.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00150", + "00165", + "00190", + "00215" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0358b938c1" + }, + "0368107cf1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 784.0, + 582.0, + 918.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1161.0, + 240.0, + 1279.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 784.0, + 583.0, + 919.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1154.0, + 249.0, + 1279.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 747.0, + 566.0, + 897.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1117.0, + 241.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 787.0, + 529.0, + 960.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1236.0, + 217.0, + 1279.0, + 428.0 + ] + } + } + ], + "frame_names": [ + "00160", + "00180", + "00210", + "00230" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0368107cf1" + }, + "0379ddf557": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 442.0, + 57.0, + 783.0, + 642.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 659.0, + 450.0, + 771.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 491.0, + 256.0, + 605.0, + 553.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 563.0, + 533.0, + 603.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 555.0, + 315.0, + 639.0, + 558.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 595.0, + 556.0, + 626.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 534.0, + 177.0, + 613.0, + 398.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 556.0, + 396.0, + 610.0, + 420.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0379ddf557" + }, + "038b2cc71d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 343.0, + 530.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 204.0, + 1.0, + 1173.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 170.0, + 0.0, + 1205.0, + 284.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 388.0, + 0.0, + 1279.0, + 347.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/038b2cc71d" + }, + "038c15a5dd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 377.0, + 402.0, + 721.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 383.0, + 420.0, + 908.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 444.0, + 426.0, + 1053.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 448.0, + 409.0, + 926.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/038c15a5dd" + }, + "03a06cc98a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 386.0, + 629.0, + 489.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 484.0, + 473.0, + 599.0, + 719.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 834.0, + 377.0, + 1127.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 360.0, + 606.0, + 469.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 456.0, + 465.0, + 592.0, + 719.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 847.0, + 380.0, + 1107.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 342.0, + 599.0, + 457.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 439.0, + 446.0, + 570.0, + 719.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 809.0, + 356.0, + 1115.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 202.0, + 622.0, + 305.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 310.0, + 449.0, + 419.0, + 719.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 657.0, + 357.0, + 1013.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/03a06cc98a" + }, + "03a63e187f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 95.0, + 353.0, + 274.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 5.0, + 173.0, + 930.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 13.0, + 191.0, + 963.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 26.0, + 185.0, + 956.0, + 567.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/03a63e187f" + }, + "03c95b4dae": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 1083.0, + 352.0, + 1273.0, + 467.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 610.0, + 258.0, + 756.0, + 361.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 496.0, + 307.0, + 611.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 1116.0, + 338.0, + 1277.0, + 458.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 598.0, + 252.0, + 736.0, + 361.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 499.0, + 306.0, + 613.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 1104.0, + 322.0, + 1276.0, + 439.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 574.0, + 245.0, + 713.0, + 351.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 504.0, + 303.0, + 613.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 1058.0, + 316.0, + 1209.0, + 437.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 513.0, + 247.0, + 661.0, + 352.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 471.0, + 314.0, + 591.0, + 408.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/03c95b4dae" + }, + "03e2b57b0e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 460.0, + 29.0, + 763.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 466.0, + 158.0, + 701.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 428.0, + 196.0, + 685.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 432.0, + 144.0, + 691.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/03e2b57b0e" + }, + "04194e1248": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 21.0, + 27.0, + 1216.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 5.0, + 72.0, + 1237.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 63.0, + 1235.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 36.0, + 1193.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04194e1248" + }, + "04259896e2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 538.0, + 706.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 12.0, + 0.0, + 737.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 182.0, + 0.0, + 857.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 184.0, + 30.0, + 705.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04259896e2" + }, + "0444918a5f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 36.0, + 131.0, + 486.0, + 546.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 563.0, + 271.0, + 722.0, + 437.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 708.0, + 256.0, + 930.0, + 439.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 297.0, + 90.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 126.0, + 425.0, + 550.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 485.0, + 275.0, + 639.0, + 442.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 623.0, + 264.0, + 841.0, + 443.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 124.0, + 425.0, + 564.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 452.0, + 284.0, + 610.0, + 447.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 594.0, + 271.0, + 807.0, + 449.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 9.0, + 107.0, + 533.0, + 562.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 522.0, + 315.0, + 656.0, + 437.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 642.0, + 260.0, + 861.0, + 441.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 322.0, + 12.0, + 470.0 + ] + } + } + ], + "frame_names": [ + "00165", + "00195", + "00210", + "00225" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0444918a5f" + }, + "04460a7a52": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 152.0, + 84.0, + 786.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 135.0, + 86.0, + 740.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 129.0, + 87.0, + 959.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 237.0, + 758.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04460a7a52" + }, + "04474174a4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 614.0, + 153.0, + 888.0, + 609.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 498.0, + 114.0, + 736.0, + 402.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 385.0, + 217.0, + 725.0, + 471.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 507.0, + 157.0, + 778.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 484.0, + 221.0, + 742.0, + 499.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 575.0, + 196.0, + 775.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 477.0, + 183.0, + 697.0, + 509.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 656.0, + 153.0, + 842.0, + 454.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04474174a4" + }, + "0450095513": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 398.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 388.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 388.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 398.0, + 0.0, + 1269.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0450095513" + }, + "045f00aed2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 494.0, + 385.0, + 1279.0, + 670.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 649.0, + 338.0, + 811.0, + 448.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 771.0, + 30.0, + 999.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 351.0, + 456.0, + 699.0, + 719.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 684.0, + 370.0, + 1048.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 917.0, + 47.0, + 1149.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 572.0, + 426.0, + 793.0, + 719.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 776.0, + 181.0, + 1131.0, + 595.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 899.0, + 0.0, + 1234.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 368.0, + 345.0, + 624.0, + 655.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 565.0, + 205.0, + 918.0, + 561.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 799.0, + 0.0, + 1080.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/045f00aed2" + }, + "04667fabaa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 122.0, + 204.0, + 284.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 130.0, + 208.0, + 308.0, + 460.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 169.0, + 239.0, + 355.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 141.0, + 252.0, + 347.0, + 478.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04667fabaa" + }, + "04735c5030": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 463.0, + 196.0, + 912.0, + 603.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 213.0, + 0.0, + 547.0, + 159.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 427.0, + 131.0, + 904.0, + 612.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 226.0, + 0.0, + 510.0, + 55.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 290.0, + 203.0, + 867.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 115.0, + 0.0, + 483.0, + 123.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 144.0, + 156.0, + 1127.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 376.0, + 173.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04735c5030" + }, + "04990d1915": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 511.0, + 290.0, + 669.0, + 433.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 722.0, + 88.0, + 1156.0, + 448.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 644.0, + 280.0, + 704.0, + 343.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 533.0, + 319.0, + 686.0, + 461.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 748.0, + 114.0, + 1192.0, + 490.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 666.0, + 309.0, + 724.0, + 375.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 575.0, + 340.0, + 725.0, + 482.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 791.0, + 121.0, + 1256.0, + 509.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 708.0, + 328.0, + 769.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 530.0, + 316.0, + 683.0, + 457.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 760.0, + 84.0, + 1275.0, + 496.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 671.0, + 303.0, + 737.0, + 368.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04990d1915" + }, + "04d62d9d98": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 731.0, + 73.0, + 895.0, + 529.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 814.0, + 512.0, + 912.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 638.0, + 49.0, + 989.0, + 539.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 816.0, + 505.0, + 1056.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 508.0, + 0.0, + 764.0, + 449.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 466.0, + 434.0, + 710.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 516.0, + 0.0, + 737.0, + 425.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 490.0, + 395.0, + 726.0, + 465.0 + ] + } + } + ], + "frame_names": [ + "00080", + "00110", + "00130", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04d62d9d98" + }, + "04f21da964": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 83.0, + 51.0, + 1279.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 84.0, + 34.0, + 1279.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 56.0, + 49.0, + 1279.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 62.0, + 32.0, + 1279.0, + 585.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04f21da964" + }, + "04fbad476e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 608.0, + 166.0, + 983.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 484.0, + 207.0, + 1009.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 482.0, + 216.0, + 965.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 300.0, + 229.0, + 1009.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04fbad476e" + }, + "04fe256562": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 76.0, + 318.0, + 1235.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 78.0, + 320.0, + 1237.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 80.0, + 320.0, + 1235.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 78.0, + 318.0, + 1235.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 519.0, + 320.0, + 570.0, + 334.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04fe256562" + }, + "0503bf89c9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 571.0, + 16.0, + 1087.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 502.0, + 35.0, + 1015.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 565.0, + 6.0, + 1078.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 542.0, + 0.0, + 1097.0, + 478.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0503bf89c9" + }, + "0536c9eed0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 226.0, + 75.0, + 977.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 308.0, + 223.0, + 997.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 376.0, + 250.0, + 1063.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 97.0, + 446.0, + 720.0, + 715.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0536c9eed0" + }, + "054acb238f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 482.0, + 196.0, + 1069.0, + 693.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 462.0, + 162.0, + 1047.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 470.0, + 208.0, + 1050.0, + 707.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 505.0, + 187.0, + 1087.0, + 682.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/054acb238f" + }, + "05579ca250": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 357.0, + 350.0, + 615.0, + 590.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 363.0, + 578.0, + 466.0, + 611.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 48.0, + 396.0, + 207.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 628.0, + 369.0, + 761.0, + 603.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 621.0, + 585.0, + 697.0, + 625.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 853.0, + 384.0, + 1022.0, + 575.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 919.0, + 563.0, + 1049.0, + 593.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 671.0, + 367.0, + 886.0, + 569.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 760.0, + 565.0, + 865.0, + 586.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05579ca250" + }, + "056c200404": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 542.0, + 151.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 53.0, + 855.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 0.0, + 833.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 24.0, + 851.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00050", + "00085", + "00105", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/056c200404" + }, + "05774f3a2c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 305.0, + 101.0, + 618.0, + 448.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 356.0, + 372.0, + 721.0, + 509.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 479.0, + 246.0, + 575.0, + 350.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 284.0, + 108.0, + 593.0, + 458.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 348.0, + 381.0, + 700.0, + 514.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 460.0, + 239.0, + 578.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 279.0, + 106.0, + 573.0, + 443.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 324.0, + 365.0, + 696.0, + 516.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 457.0, + 246.0, + 558.0, + 351.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 266.0, + 105.0, + 479.0, + 462.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 259.0, + 297.0, + 602.0, + 517.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 451.0, + 247.0, + 557.0, + 354.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05774f3a2c" + }, + "058a7592c8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 175.0, + 258.0, + 529.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 37.0, + 280.0, + 493.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 10.0, + 275.0, + 471.0, + 586.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 289.0, + 413.0, + 598.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/058a7592c8" + }, + "05a0a513df": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 458.0, + 622.0, + 522.0, + 718.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 909.0, + 694.0, + 959.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 476.0, + 624.0, + 542.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 864.0, + 693.0, + 916.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 560.0, + 647.0, + 645.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 773.0, + 675.0, + 827.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 594.0, + 647.0, + 676.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 664.0, + 643.0, + 789.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05a0a513df" + }, + "05a569d8aa": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 639.0, + 333.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 158.0, + 48.0, + 294.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 639.0, + 359.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 233.0, + 92.0, + 344.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 27.0, + 28.0, + 639.0, + 359.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 4.0, + 216.0, + 152.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 639.0, + 359.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 188.0, + 88.0, + 349.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05a569d8aa" + }, + "05aa652648": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 507.0, + 164.0, + 834.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 518.0, + 190.0, + 838.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 404.0, + 194.0, + 773.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 273.0, + 217.0, + 796.0, + 545.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05aa652648" + }, + "05d7715782": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 894.0, + 440.0, + 934.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 856.0, + 438.0, + 893.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 824.0, + 436.0, + 857.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 778.0, + 431.0, + 803.0, + 492.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05d7715782" + }, + "05e0b0f28f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 614.0, + 254.0, + 887.0, + 564.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 252.0, + 108.0, + 870.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 622.0, + 313.0, + 997.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 231.0, + 64.0, + 872.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 632.0, + 347.0, + 1097.0, + 711.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 268.0, + 91.0, + 904.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 586.0, + 381.0, + 967.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 192.0, + 72.0, + 842.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05e0b0f28f" + }, + "05fdbbdd7a": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 483.0, + 38.0, + 978.0, + 327.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 281.0, + 304.0, + 844.0, + 508.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 767.0, + 343.0, + 1071.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 538.0, + 104.0, + 1036.0, + 356.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 399.0, + 350.0, + 959.0, + 555.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 880.0, + 378.0, + 1231.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 512.0, + 113.0, + 1006.0, + 353.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 398.0, + 347.0, + 958.0, + 557.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 865.0, + 392.0, + 1275.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 469.0, + 97.0, + 960.0, + 318.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 538.0, + 292.0, + 1095.0, + 532.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 987.0, + 345.0, + 1279.0, + 526.0 + ] + } + } + ], + "frame_names": [ + "00085", + "00095", + "00100", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05fdbbdd7a" + }, + "05ffcfed85": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 726.0, + 0.0, + 997.0, + 437.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 545.0, + 0.0, + 671.0, + 123.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 679.0, + 0.0, + 1028.0, + 454.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 478.0, + 0.0, + 668.0, + 147.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 1038.0, + 238.0, + 1198.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 691.0, + 94.0, + 888.0, + 441.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 567.0, + 7.0, + 901.0, + 714.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05ffcfed85" + }, + "0630391881": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 166.0, + 278.0, + 316.0, + 615.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 143.0, + 459.0, + 173.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 240.0, + 337.0, + 380.0, + 629.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 350.0, + 394.0, + 372.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 202.0, + 336.0, + 324.0, + 638.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 296.0, + 314.0, + 312.0, + 355.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 293.0, + 355.0, + 517.0, + 718.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 491.0, + 437.0, + 512.0, + 482.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0630391881" + }, + "06840b2bbe": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 84.0, + 314.0, + 753.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 62.0, + 230.0, + 719.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 102.0, + 218.0, + 761.0, + 693.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 128.0, + 366.0, + 763.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/06840b2bbe" + }, + "068f7dce6f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 526.0, + 225.0, + 1277.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 530.0, + 219.0, + 1273.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 630.0, + 222.0, + 1275.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 424.0, + 307.0, + 1279.0, + 610.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/068f7dce6f" + }, + "0693719753": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 280.0, + 143.0, + 796.0, + 567.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 520.0, + 312.0, + 990.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 252.0, + 151.0, + 763.0, + 561.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 549.0, + 307.0, + 1013.0, + 676.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 254.0, + 148.0, + 759.0, + 567.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 558.0, + 297.0, + 1042.0, + 668.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 224.0, + 154.0, + 727.0, + 578.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 541.0, + 245.0, + 1187.0, + 643.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0693719753" + }, + "06ce2b51fb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 252.0, + 861.0, + 425.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 378.0, + 267.0, + 632.0, + 447.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 285.0, + 430.0, + 507.0, + 455.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 583.0, + 252.0, + 770.0, + 430.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 341.0, + 270.0, + 591.0, + 451.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 241.0, + 425.0, + 511.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 540.0, + 250.0, + 744.0, + 430.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 299.0, + 268.0, + 509.0, + 453.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 181.0, + 436.0, + 413.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 554.0, + 224.0, + 756.0, + 400.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 306.0, + 235.0, + 496.0, + 421.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 205.0, + 406.0, + 417.0, + 429.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/06ce2b51fb" + }, + "06e224798e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 513.0, + 278.0, + 742.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 531.0, + 288.0, + 752.0, + 425.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 525.0, + 304.0, + 846.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 523.0, + 315.0, + 914.0, + 560.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/06e224798e" + }, + "06ee361788": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 803.0, + 463.0, + 871.0, + 498.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 555.0, + 441.0, + 603.0, + 471.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 693.0, + 339.0, + 735.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 757.0, + 376.0, + 829.0, + 412.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 508.0, + 357.0, + 555.0, + 386.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 666.0, + 265.0, + 716.0, + 300.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 782.0, + 342.0, + 852.0, + 382.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 523.0, + 330.0, + 572.0, + 359.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 711.0, + 246.0, + 765.0, + 283.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 816.0, + 356.0, + 879.0, + 394.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 551.0, + 346.0, + 600.0, + 378.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 757.0, + 265.0, + 813.0, + 306.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/06ee361788" + }, + "06fbb3fa2c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 580.0, + 0.0, + 953.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 571.0, + 0.0, + 954.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 571.0, + 0.0, + 926.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 561.0, + 0.0, + 934.0, + 412.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/06fbb3fa2c" + }, + "0700264286": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 542.0, + 21.0, + 799.0, + 427.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 565.0, + 0.0, + 1279.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 520.0, + 17.0, + 767.0, + 450.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 512.0, + 0.0, + 1279.0, + 380.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 469.0, + 20.0, + 792.0, + 427.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 477.0, + 0.0, + 1279.0, + 379.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 423.0, + 29.0, + 755.0, + 443.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 445.0, + 0.0, + 1279.0, + 391.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0700264286" + }, + "070c918ca7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 368.0, + 158.0, + 649.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 361.0, + 236.0, + 649.0, + 710.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 371.0, + 318.0, + 705.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 449.0, + 175.0, + 693.0, + 646.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/070c918ca7" + }, + "07129e14a4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 257.0, + 248.0, + 475.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 202.0, + 355.0, + 376.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 252.0, + 302.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 204.0, + 145.0, + 463.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 205.0, + 342.0, + 386.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 229.0, + 305.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 196.0, + 147.0, + 467.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 212.0, + 333.0, + 393.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 226.0, + 304.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 1.0, + 238.0, + 295.0, + 401.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 237.0, + 337.0, + 400.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 357.0, + 329.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07129e14a4" + }, + "07177017e9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 247.0, + 557.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 773.0, + 129.0, + 865.0, + 221.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 215.0, + 538.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 780.0, + 121.0, + 885.0, + 243.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 324.0, + 556.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 903.0, + 127.0, + 1017.0, + 295.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 224.0, + 562.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07177017e9" + }, + "07238ffc58": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 559.0, + 429.0, + 774.0, + 635.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 345.0, + 141.0, + 802.0, + 591.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 117.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 549.0, + 428.0, + 761.0, + 639.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 392.0, + 143.0, + 791.0, + 577.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 314.0, + 0.0, + 980.0, + 442.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 438.0, + 383.0, + 679.0, + 626.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 370.0, + 115.0, + 759.0, + 588.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 633.0, + 0.0, + 1267.0, + 419.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 384.0, + 358.0, + 632.0, + 601.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 321.0, + 102.0, + 711.0, + 571.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 1127.0, + 0.0, + 1279.0, + 430.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00085", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07238ffc58" + }, + "07353b2a89": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 426.0, + 351.0, + 624.0, + 718.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 54.0, + 368.0, + 358.0, + 598.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 460.0, + 183.0, + 719.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 638.0, + 27.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 588.0, + 422.0, + 791.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 360.0, + 365.0, + 687.0, + 603.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 244.0, + 384.0, + 500.0, + 579.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 384.0, + 268.0, + 649.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 606.0, + 437.0, + 857.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 430.0, + 349.0, + 747.0, + 617.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 294.0, + 375.0, + 628.0, + 572.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 198.0, + 362.0, + 372.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 735.0, + 367.0, + 1047.0, + 663.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 606.0, + 296.0, + 953.0, + 640.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 532.0, + 306.0, + 878.0, + 575.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 388.0, + 272.0, + 743.0, + 513.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07353b2a89" + }, + "0738493cbf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 209.0, + 159.0, + 1132.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 206.0, + 166.0, + 1132.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 204.0, + 171.0, + 1133.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 256.0, + 166.0, + 1190.0, + 498.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0738493cbf" + }, + "075926c651": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 483.0, + 166.0, + 643.0, + 713.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 254.0, + 193.0, + 660.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 502.0, + 166.0, + 689.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 496.0, + 165.0, + 705.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 492.0, + 170.0, + 718.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/075926c651" + }, + "075c701292": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 990.0, + 357.0, + 1062.0, + 439.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 920.0, + 359.0, + 966.0, + 432.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 864.0, + 351.0, + 905.0, + 428.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 816.0, + 350.0, + 854.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 947.0, + 374.0, + 1013.0, + 458.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 895.0, + 373.0, + 947.0, + 453.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 840.0, + 368.0, + 888.0, + 449.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 789.0, + 369.0, + 840.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 900.0, + 377.0, + 958.0, + 466.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 856.0, + 378.0, + 897.0, + 462.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 800.0, + 386.0, + 846.0, + 461.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 756.0, + 371.0, + 807.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 860.0, + 446.0, + 903.0, + 531.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 815.0, + 443.0, + 866.0, + 530.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 752.0, + 463.0, + 816.0, + 526.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 710.0, + 441.0, + 758.0, + 529.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/075c701292" + }, + "0762ea9a30": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1082.0, + 405.0, + 1254.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1085.0, + 404.0, + 1253.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1091.0, + 405.0, + 1254.0, + 664.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1092.0, + 406.0, + 1255.0, + 666.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0762ea9a30" + }, + "07652ee4af": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 563.0, + 262.0, + 832.0, + 551.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 641.0, + 525.0, + 787.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 553.0, + 246.0, + 747.0, + 570.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 600.0, + 517.0, + 700.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 269.0, + 674.0, + 473.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 559.0, + 468.0, + 623.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 605.0, + 251.0, + 691.0, + 403.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 611.0, + 401.0, + 633.0, + 410.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07652ee4af" + }, + "076f206928": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 1091.0, + 333.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 781.0, + 18.0, + 1279.0, + 629.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 907.0, + 564.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 815.0, + 280.0, + 1279.0, + 692.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 957.0, + 534.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 825.0, + 265.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 275.0, + 588.0, + 714.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 343.0, + 328.0, + 890.0, + 648.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 800.0, + 0.0, + 1034.0, + 578.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/076f206928" + }, + "077d32af19": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1190.0, + 409.0, + 1228.0, + 553.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1117.0, + 394.0, + 1167.0, + 547.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 220.0, + 345.0, + 253.0, + 410.0 + ] + }, + "4": { + "category_name": "train", + "bbox": [ + 268.0, + 192.0, + 574.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1190.0, + 407.0, + 1227.0, + 549.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1106.0, + 393.0, + 1174.0, + 545.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 227.0, + 343.0, + 256.0, + 413.0 + ] + }, + "4": { + "category_name": "train", + "bbox": [ + 300.0, + 189.0, + 615.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1160.0, + 412.0, + 1195.0, + 554.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1083.0, + 399.0, + 1159.0, + 551.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 196.0, + 352.0, + 227.0, + 424.0 + ] + }, + "4": { + "category_name": "train", + "bbox": [ + 297.0, + 194.0, + 630.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1147.0, + 411.0, + 1184.0, + 554.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1077.0, + 397.0, + 1151.0, + 550.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 188.0, + 350.0, + 218.0, + 416.0 + ] + }, + "4": { + "category_name": "train", + "bbox": [ + 303.0, + 187.0, + 645.0, + 436.0 + ] + } + } + ], + "frame_names": [ + "00145", + "00165", + "00185", + "00195" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/077d32af19" + }, + "079049275c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 302.0, + 17.0, + 1009.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 326.0, + 18.0, + 1039.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 202.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 312.0, + 0.0, + 1103.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/079049275c" + }, + "07913cdda7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 758.0, + 207.0, + 920.0, + 305.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 146.0, + 216.0, + 203.0, + 350.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 542.0, + 233.0, + 561.0, + 302.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 714.0, + 300.0, + 879.0, + 404.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 104.0, + 299.0, + 160.0, + 436.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 499.0, + 321.0, + 522.0, + 389.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 798.0, + 296.0, + 977.0, + 403.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 208.0, + 314.0, + 260.0, + 447.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 603.0, + 329.0, + 619.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 824.0, + 284.0, + 1013.0, + 395.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 269.0, + 295.0, + 313.0, + 412.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 656.0, + 308.0, + 671.0, + 373.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07913cdda7" + }, + "07a11a35e8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 453.0, + 171.0, + 762.0, + 554.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 975.0, + 302.0, + 1145.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 468.0, + 185.0, + 777.0, + 563.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 988.0, + 315.0, + 1155.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 433.0, + 191.0, + 754.0, + 581.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 962.0, + 324.0, + 1129.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 377.0, + 161.0, + 764.0, + 568.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 746.0, + 333.0, + 975.0, + 588.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07a11a35e8" + }, + "07ac33b6df": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 774.0, + 168.0, + 1252.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 782.0, + 165.0, + 1250.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 786.0, + 163.0, + 1258.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 788.0, + 165.0, + 1266.0, + 609.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07ac33b6df" + }, + "07c62c3d11": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 838.0, + 307.0, + 956.0, + 446.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 1023.0, + 322.0, + 1134.0, + 441.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 877.0, + 292.0, + 973.0, + 464.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 1039.0, + 336.0, + 1141.0, + 453.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 182.0, + 213.0, + 266.0, + 324.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 183.0, + 259.0, + 976.0, + 481.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 900.0, + 311.0, + 982.0, + 485.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 191.0, + 262.0, + 281.0, + 329.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07c62c3d11" + }, + "07cc1c7d74": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 57.0, + 896.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 151.0, + 93.0, + 984.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 81.0, + 43.0, + 758.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 165.0, + 102.0, + 912.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07cc1c7d74" + }, + "080196ef01": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 580.0, + 200.0, + 1183.0, + 666.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 99.0, + 0.0, + 1179.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 570.0, + 205.0, + 1183.0, + 660.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 99.0, + 0.0, + 1179.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 610.0, + 209.0, + 1183.0, + 666.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 100.0, + 0.0, + 1180.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 626.0, + 234.0, + 1183.0, + 629.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 96.0, + 0.0, + 1179.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/080196ef01" + }, + "081207976e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 478.0, + 211.0, + 556.0, + 251.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 954.0, + 255.0, + 1012.0, + 283.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 414.0, + 155.0, + 476.0, + 184.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 924.0, + 184.0, + 983.0, + 207.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 249.0, + 180.0, + 299.0, + 203.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 696.0, + 195.0, + 736.0, + 212.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 269.0, + 199.0, + 312.0, + 220.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 648.0, + 221.0, + 692.0, + 238.0 + ] + } + } + ], + "frame_names": [ + "00080", + "00105", + "00130", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/081207976e" + }, + "081ae4fa44": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 811.0, + 319.0, + 1199.0, + 559.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 317.0, + 247.0, + 601.0, + 376.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 944.0, + 379.0, + 1171.0, + 605.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 436.0, + 410.0, + 793.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 893.0, + 359.0, + 1107.0, + 514.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 509.0, + 445.0, + 965.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 856.0, + 360.0, + 1093.0, + 536.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 442.0, + 456.0, + 978.0, + 613.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/081ae4fa44" + }, + "081d8250cb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 404.0, + 0.0, + 537.0, + 238.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 431.0, + 235.0, + 515.0, + 266.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 184.0, + 0.0, + 820.0, + 221.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 473.0, + 0.0, + 604.0, + 252.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 471.0, + 244.0, + 594.0, + 279.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 205.0, + 0.0, + 840.0, + 236.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 423.0, + 0.0, + 576.0, + 292.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 467.0, + 281.0, + 571.0, + 323.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 606.0, + 245.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 521.0, + 0.0, + 670.0, + 301.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 534.0, + 292.0, + 661.0, + 332.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 27.0, + 0.0, + 663.0, + 249.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/081d8250cb" + }, + "082900c5d4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 976.0, + 356.0, + 1166.0, + 432.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 556.0, + 178.0, + 692.0, + 245.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 596.0, + 16.0, + 684.0, + 91.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 962.0, + 506.0, + 1071.0, + 578.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 581.0, + 325.0, + 719.0, + 386.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 636.0, + 184.0, + 736.0, + 239.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 972.0, + 430.0, + 1094.0, + 503.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 615.0, + 241.0, + 758.0, + 307.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 701.0, + 104.0, + 791.0, + 160.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1023.0, + 351.0, + 1162.0, + 450.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 667.0, + 178.0, + 813.0, + 251.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 760.0, + 52.0, + 856.0, + 101.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00100", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/082900c5d4" + }, + "0860df21e2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 962.0, + 109.0, + 1005.0, + 120.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 363.0, + 385.0, + 376.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 360.0, + 383.0, + 503.0, + 518.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0860df21e2" + }, + "0866d4c5e3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 146.0, + 213.0, + 670.0, + 387.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 904.0, + 124.0, + 1279.0, + 317.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 934.0, + 366.0, + 1171.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 0.0, + 124.0, + 488.0, + 314.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 1028.0, + 0.0, + 1279.0, + 155.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 748.0, + 302.0, + 955.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 0.0, + 394.0, + 422.0, + 565.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 841.0, + 213.0, + 1249.0, + 399.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 709.0, + 617.0, + 989.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 0.0, + 294.0, + 496.0, + 479.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 870.0, + 130.0, + 1279.0, + 351.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 497.0, + 490.0, + 1037.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0866d4c5e3" + }, + "0891ac2eb6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 653.0, + 301.0, + 743.0, + 460.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 806.0, + 378.0, + 925.0, + 497.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 974.0, + 387.0, + 1049.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 555.0, + 292.0, + 636.0, + 427.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 718.0, + 365.0, + 833.0, + 484.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 884.0, + 373.0, + 956.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 449.0, + 306.0, + 520.0, + 427.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 634.0, + 371.0, + 748.0, + 487.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 794.0, + 376.0, + 863.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 16.0, + 310.0, + 141.0, + 444.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 282.0, + 368.0, + 390.0, + 485.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 447.0, + 382.0, + 516.0, + 503.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0891ac2eb6" + }, + "08931bc458": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 572.0, + 230.0, + 963.0, + 560.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 532.0, + 429.0, + 852.0, + 616.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 667.0, + 0.0, + 741.0, + 228.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 576.0, + 224.0, + 959.0, + 555.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 534.0, + 430.0, + 852.0, + 616.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 665.0, + 0.0, + 745.0, + 224.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 562.0, + 225.0, + 970.0, + 562.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 524.0, + 433.0, + 855.0, + 617.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 669.0, + 0.0, + 741.0, + 228.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 574.0, + 226.0, + 967.0, + 560.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 528.0, + 437.0, + 846.0, + 619.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 657.0, + 0.0, + 743.0, + 227.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08931bc458" + }, + "08aa2705d5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 113.0, + 241.0, + 405.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 94.0, + 237.0, + 405.0, + 643.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 86.0, + 237.0, + 405.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 228.0, + 399.0, + 625.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08aa2705d5" + }, + "08c8450db7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 402.0, + 144.0, + 889.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 366.0, + 86.0, + 875.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 108.0, + 0.0, + 895.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 110.0, + 0.0, + 1037.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00075", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08c8450db7" + }, + "08d50b926c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 644.0, + 97.0, + 883.0, + 364.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 670.0, + 25.0, + 941.0, + 421.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 667.0, + 181.0, + 943.0, + 404.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 599.0, + 165.0, + 895.0, + 460.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 718.0, + 0.0, + 841.0, + 140.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08d50b926c" + }, + "08e1e4de15": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 432.0, + 235.0, + 508.0, + 338.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 550.0, + 96.0, + 643.0, + 192.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 819.0, + 237.0, + 895.0, + 303.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 62.0, + 124.0, + 142.0, + 234.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 208.0, + 215.0, + 292.0, + 318.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 396.0, + 84.0, + 450.0, + 173.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 612.0, + 210.0, + 677.0, + 282.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 205.0, + 62.0, + 285.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 224.0, + 60.0, + 290.0, + 155.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 448.0, + 194.0, + 514.0, + 266.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 104.0, + 72.0, + 250.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 342.0, + 85.0, + 396.0, + 183.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 550.0, + 219.0, + 612.0, + 289.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08e1e4de15" + }, + "08e48c1a48": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 207.0, + 213.0, + 1121.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 340.0, + 335.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 206.0, + 300.0, + 1185.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 312.0, + 320.0, + 1077.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08e48c1a48" + }, + "08f561c65e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 350.0, + 469.0, + 691.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 571.0, + 36.0, + 774.0, + 679.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 370.0, + 504.0, + 709.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 598.0, + 64.0, + 818.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 306.0, + 542.0, + 691.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 583.0, + 75.0, + 803.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 312.0, + 527.0, + 707.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 595.0, + 60.0, + 807.0, + 697.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08f561c65e" + }, + "08feb87790": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 894.0, + 429.0, + 1169.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 920.0, + 435.0, + 1198.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 962.0, + 442.0, + 1237.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 950.0, + 447.0, + 1244.0, + 616.0 + ] + } + } + ], + "frame_names": [ + "00250", + "00265", + "00295", + "00300" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08feb87790" + }, + "09049f6fe3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 430.0, + 253.0, + 729.0, + 551.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 354.0, + 327.0, + 504.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 472.0, + 272.0, + 745.0, + 518.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 378.0, + 292.0, + 538.0, + 541.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 459.0, + 272.0, + 743.0, + 514.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 376.0, + 293.0, + 539.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 466.0, + 269.0, + 739.0, + 549.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 375.0, + 287.0, + 498.0, + 538.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/09049f6fe3" + }, + "092e4ff450": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 471.0, + 55.0, + 990.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 458.0, + 178.0, + 831.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 268.0, + 0.0, + 856.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 670.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/092e4ff450" + }, + "09338adea8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 204.0, + 91.0, + 553.0, + 252.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 248.0, + 286.0, + 892.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 52.0, + 598.0, + 441.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 0.0, + 437.0, + 977.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 174.0, + 594.0, + 633.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 0.0, + 436.0, + 1041.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 187.0, + 618.0, + 583.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 0.0, + 394.0, + 1063.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/09338adea8" + }, + "093c335ccc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 597.0, + 0.0, + 691.0, + 95.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 645.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 768.0, + 0.0, + 878.0, + 146.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 418.0, + 0.0, + 890.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 751.0, + 381.0, + 916.0, + 545.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 536.0, + 141.0, + 904.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 226.0, + 374.0, + 388.0, + 410.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 288.0, + 205.0, + 749.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/093c335ccc" + }, + "0970d28339": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 288.0, + 611.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 129.0, + 0.0, + 974.0, + 636.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 46.0, + 0.0, + 462.0, + 616.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 343.0, + 0.0, + 1130.0, + 639.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 422.0, + 198.0, + 590.0, + 487.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 538.0, + 179.0, + 920.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 416.0, + 255.0, + 564.0, + 492.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 527.0, + 234.0, + 829.0, + 497.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0970d28339" + }, + "0974a213dc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 379.0, + 201.0, + 607.0, + 578.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 563.0, + 139.0, + 929.0, + 691.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1081.0, + 221.0, + 1279.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 373.0, + 178.0, + 717.0, + 586.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 580.0, + 135.0, + 937.0, + 683.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1078.0, + 213.0, + 1279.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 374.0, + 193.0, + 644.0, + 566.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 513.0, + 112.0, + 924.0, + 682.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1077.0, + 209.0, + 1279.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 363.0, + 186.0, + 622.0, + 579.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 504.0, + 106.0, + 928.0, + 677.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1079.0, + 205.0, + 1279.0, + 508.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0974a213dc" + }, + "097b471ed8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 136.0, + 51.0, + 1175.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 289.0, + 285.0, + 503.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 48.0, + 0.0, + 1243.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 201.0, + 263.0, + 429.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 1063.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 375.0, + 113.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 1099.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 415.0, + 61.0, + 472.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/097b471ed8" + }, + "0990941758": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 449.0, + 270.0, + 642.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 494.0, + 250.0, + 625.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 467.0, + 232.0, + 652.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 496.0, + 282.0, + 685.0, + 592.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0990941758" + }, + "09a348f4fa": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 572.0, + 285.0, + 1141.0, + 658.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 586.0, + 287.0, + 1121.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 579.0, + 285.0, + 1114.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 501.0, + 286.0, + 1040.0, + 622.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/09a348f4fa" + }, + "09a6841288": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 315.0, + 79.0, + 417.0, + 131.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 285.0, + 131.0, + 383.0, + 178.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 316.0, + 57.0, + 387.0, + 118.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 280.0, + 112.0, + 384.0, + 157.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 304.0, + 55.0, + 375.0, + 116.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 281.0, + 108.0, + 390.0, + 149.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 300.0, + 65.0, + 336.0, + 124.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 295.0, + 103.0, + 405.0, + 150.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/09a6841288" + }, + "09c5bad17b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 345.0, + 1279.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 60.0, + 1279.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 115.0, + 1279.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 203.0, + 1279.0, + 589.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/09c5bad17b" + }, + "09c9ce80c7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 376.0, + 60.0, + 1017.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 338.0, + 70.0, + 1013.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 240.0, + 71.0, + 989.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 230.0, + 75.0, + 989.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/09c9ce80c7" + }, + "09ff54fef4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 344.0, + 347.0, + 476.0, + 472.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 532.0, + 282.0, + 716.0, + 373.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 346.0, + 343.0, + 506.0, + 479.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 381.0, + 275.0, + 725.0, + 390.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 323.0, + 213.0, + 543.0, + 377.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 489.0, + 151.0, + 744.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 271.0, + 128.0, + 709.0, + 441.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 526.0, + 0.0, + 748.0, + 444.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/09ff54fef4" + }, + "0a23765d15": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 645.0, + 107.0, + 935.0, + 339.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 497.0, + 264.0, + 618.0, + 410.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 547.0, + 409.0, + 583.0, + 425.0 + ] + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 313.0, + 836.0, + 718.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 711.0, + 81.0, + 952.0, + 432.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 451.0, + 243.0, + 658.0, + 435.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 530.0, + 432.0, + 578.0, + 456.0 + ] + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 764.0, + 363.0, + 837.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 776.0, + 77.0, + 1249.0, + 654.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 474.0, + 118.0, + 665.0, + 463.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 459.0, + 357.0, + 618.0, + 472.0 + ] + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 797.0, + 610.0, + 984.0, + 672.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 877.0, + 0.0, + 1279.0, + 677.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 288.0, + 78.0, + 869.0, + 543.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 477.0, + 468.0, + 582.0, + 576.0 + ] + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 1057.0, + 637.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00065", + "00075", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0a23765d15" + }, + "0a275e7f12": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 368.0, + 107.0, + 858.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 483.0, + 125.0, + 884.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 635.0, + 148.0, + 931.0, + 679.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 621.0, + 193.0, + 895.0, + 653.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0a275e7f12" + }, + "0a2f2bd294": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 267.0, + 1214.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 236.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 63.0, + 311.0, + 1152.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 99.0, + 329.0, + 1184.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0a2f2bd294" + }, + "0a7a2514aa": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 905.0, + 56.0, + 1114.0, + 576.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 295.0, + 565.0, + 539.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 1022.0, + 8.0, + 1259.0, + 526.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 420.0, + 500.0, + 645.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 920.0, + 1.0, + 1163.0, + 492.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 326.0, + 464.0, + 553.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 876.0, + 39.0, + 1146.0, + 530.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 311.0, + 506.0, + 558.0, + 573.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0a7a2514aa" + }, + "0a7b27fde9": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 550.0, + 277.0, + 757.0, + 631.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 715.0, + 313.0, + 897.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 462.0, + 237.0, + 629.0, + 595.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 458.0, + 243.0, + 779.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 398.0, + 235.0, + 570.0, + 574.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 546.0, + 255.0, + 711.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 508.0, + 128.0, + 689.0, + 468.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 679.0, + 171.0, + 829.0, + 451.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0a7b27fde9" + }, + "0a8c467cc3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 442.0, + 0.0, + 1128.0, + 468.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 100.0, + 61.0, + 472.0, + 470.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 279.0, + 227.0, + 300.0, + 245.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 385.0, + 0.0, + 925.0, + 361.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 244.0, + 151.0, + 616.0, + 550.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 305.0, + 430.0, + 582.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 497.0, + 0.0, + 1018.0, + 483.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 277.0, + 183.0, + 595.0, + 523.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 354.0, + 376.0, + 632.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 717.0, + 53.0, + 1053.0, + 577.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 310.0, + 358.0, + 612.0, + 717.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 559.0, + 539.0, + 640.0, + 711.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0a8c467cc3" + }, + "0ac8c560ae": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 541.0, + 338.0, + 624.0, + 445.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 609.0, + 355.0, + 650.0, + 453.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 597.0, + 357.0, + 639.0, + 464.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 530.0, + 347.0, + 611.0, + 459.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 518.0, + 348.0, + 605.0, + 461.0 + ] + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00060", + "00065", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0ac8c560ae" + }, + "0b1627e896": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 78.0, + 0.0, + 398.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 24.0, + 0.0, + 390.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 18.0, + 0.0, + 420.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 578.0, + 514.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b1627e896" + }, + "0b285c47f6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 598.0, + 47.0, + 969.0, + 485.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 538.0, + 86.0, + 921.0, + 507.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 580.0, + 124.0, + 929.0, + 552.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 594.0, + 37.0, + 953.0, + 482.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b285c47f6" + }, + "0b34ec1d55": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 149.0, + 94.0, + 870.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 164.0, + 70.0, + 870.0, + 673.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 210.0, + 73.0, + 707.0, + 673.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 455.0, + 0.0, + 914.0, + 568.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b34ec1d55" + }, + "0b5b5e8e5a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 626.0, + 238.0, + 677.0, + 367.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 437.0, + 727.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 612.0, + 239.0, + 664.0, + 368.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 443.0, + 712.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 624.0, + 244.0, + 674.0, + 386.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 445.0, + 671.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 630.0, + 292.0, + 678.0, + 433.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 503.0, + 646.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00070", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b5b5e8e5a" + }, + "0b68535614": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 302.0, + 84.0, + 1161.0, + 698.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 242.0, + 0.0, + 1127.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 132.0, + 163.0, + 843.0, + 683.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 172.0, + 206.0, + 919.0, + 644.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 335.0, + 378.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00065", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b68535614" + }, + "0b6f9105fc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 255.0, + 194.0, + 870.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 280.0, + 0.0, + 933.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 286.0, + 0.0, + 919.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 278.0, + 0.0, + 939.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b6f9105fc" + }, + "0b7dbfa3cb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 348.0, + 147.0, + 1083.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 344.0, + 159.0, + 1052.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 352.0, + 158.0, + 1075.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 347.0, + 167.0, + 1085.0, + 509.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00070", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b7dbfa3cb" + }, + "0b9cea51ca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 338.0, + 290.0, + 1073.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 352.0, + 305.0, + 1083.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 438.0, + 274.0, + 971.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 442.0, + 272.0, + 977.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b9cea51ca" + }, + "0b9d012be8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 721.0, + 353.0, + 1277.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 831.0, + 342.0, + 1247.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 999.0, + 361.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 941.0, + 323.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b9d012be8" + }, + "0bcfc4177d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 256.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 342.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 378.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 399.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00105", + "00135", + "00150", + "00180" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0bcfc4177d" + }, + "0bd37b23c1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 49.0, + 0.0, + 1212.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1244.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 29.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00190", + "00205", + "00225", + "00250" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0bd37b23c1" + }, + "0bd864064c": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 298.0, + 214.0, + 812.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 310.0, + 204.0, + 842.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 299.0, + 203.0, + 828.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 284.0, + 210.0, + 828.0, + 483.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0bd864064c" + }, + "0c11c6bf7b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 47.0, + 409.0, + 515.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 71.0, + 408.0, + 543.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 135.0, + 218.0, + 886.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 223.0, + 176.0, + 906.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0c11c6bf7b" + }, + "0c26bc77ac": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 652.0, + 98.0, + 844.0, + 390.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 690.0, + 64.0, + 870.0, + 288.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 699.0, + 66.0, + 868.0, + 269.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 755.0, + 43.0, + 900.0, + 189.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0c26bc77ac" + }, + "0c3a04798c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 769.0, + 317.0, + 1004.0, + 440.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 402.0, + 402.0, + 762.0, + 462.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 643.0, + 351.0, + 963.0, + 472.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 409.0, + 431.0, + 690.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 796.0, + 286.0, + 1005.0, + 384.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 399.0, + 387.0, + 737.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 785.0, + 260.0, + 1039.0, + 388.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 484.0, + 340.0, + 657.0, + 448.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0c3a04798c" + }, + "0c44a9d545": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 595.0, + 352.0, + 844.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 487.0, + 422.0, + 643.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 652.0, + 328.0, + 962.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 670.0, + 315.0, + 1020.0, + 653.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0c44a9d545" + }, + "0c817cc390": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 693.0, + 379.0, + 857.0, + 500.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 342.0, + 206.0, + 678.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 697.0, + 386.0, + 871.0, + 508.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 341.0, + 210.0, + 678.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 688.0, + 397.0, + 864.0, + 529.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 294.0, + 262.0, + 587.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 697.0, + 396.0, + 852.0, + 529.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 292.0, + 256.0, + 589.0, + 514.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0c817cc390" + }, + "0ca839ee9a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 70.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 273.0, + 344.0, + 1078.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 78.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 239.0, + 363.0, + 1069.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 50.0, + 0.0, + 1265.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 279.0, + 339.0, + 958.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 56.0, + 0.0, + 1257.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 287.0, + 345.0, + 948.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0ca839ee9a" + }, + "0cd7ac0ac0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 439.0, + 59.0, + 690.0, + 188.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 467.0, + 86.0, + 679.0, + 214.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 596.0, + 175.0, + 819.0, + 304.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 833.0, + 62.0, + 1115.0, + 398.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0cd7ac0ac0" + }, + "0ce06e0121": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 328.0, + 141.0, + 662.0, + 392.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 561.0, + 306.0, + 733.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 325.0, + 142.0, + 719.0, + 394.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 582.0, + 302.0, + 757.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 153.0, + 163.0, + 422.0, + 267.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 101.0, + 0.0, + 1090.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 575.0, + 205.0, + 786.0, + 391.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 119.0, + 68.0, + 641.0, + 434.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0ce06e0121" + }, + "0cfe974a89": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 268.0, + 0.0, + 815.0, + 493.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 716.0, + 153.0, + 1175.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 210.0, + 37.0, + 753.0, + 550.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 709.0, + 148.0, + 1129.0, + 651.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 190.0, + 5.0, + 757.0, + 521.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 678.0, + 117.0, + 1109.0, + 611.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 180.0, + 0.0, + 713.0, + 499.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 658.0, + 123.0, + 1145.0, + 581.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0cfe974a89" + }, + "0d2fcc0dcd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 1110.0, + 258.0, + 1279.0, + 515.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 1012.0, + 231.0, + 1279.0, + 497.0 + ] + }, + "4": { + "category_name": "zebra", + "bbox": [ + 377.0, + 173.0, + 802.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 1032.0, + 258.0, + 1279.0, + 523.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 948.0, + 237.0, + 1279.0, + 497.0 + ] + }, + "4": { + "category_name": "zebra", + "bbox": [ + 429.0, + 172.0, + 781.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 1141.0, + 276.0, + 1279.0, + 561.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 921.0, + 217.0, + 1279.0, + 521.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 835.0, + 160.0, + 1263.0, + 504.0 + ] + }, + "4": { + "category_name": "zebra", + "bbox": [ + 439.0, + 88.0, + 675.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 1119.0, + 280.0, + 1279.0, + 562.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 943.0, + 202.0, + 1279.0, + 524.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 852.0, + 165.0, + 1276.0, + 508.0 + ] + }, + "4": { + "category_name": "zebra", + "bbox": [ + 564.0, + 171.0, + 857.0, + 442.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0d2fcc0dcd" + }, + "0d3aad05d2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 442.0, + 41.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 368.0, + 345.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 451.0, + 116.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 12.0, + 0.0, + 524.0, + 490.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 356.0, + 41.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 419.0, + 399.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 477.0, + 6.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 442.0, + 320.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0d3aad05d2" + }, + "0d40b015f4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 641.0, + 233.0, + 749.0, + 567.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 635.0, + 514.0, + 761.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 528.0, + 257.0, + 622.0, + 498.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 512.0, + 459.0, + 594.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 598.0, + 221.0, + 695.0, + 494.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 604.0, + 449.0, + 723.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 598.0, + 188.0, + 709.0, + 485.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 628.0, + 476.0, + 719.0, + 514.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0d40b015f4" + }, + "0d97fba242": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 135.0, + 360.0, + 1000.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 503.0, + 0.0, + 1034.0, + 466.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 79.0, + 268.0, + 1184.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 301.0, + 0.0, + 788.0, + 298.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 39.0, + 152.0, + 1016.0, + 716.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 383.0, + 223.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 321.0, + 163.0, + 894.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00140", + "00160", + "00175", + "00210" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0d97fba242" + }, + "0d9cc80d7e": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 78.0, + 199.0, + 518.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 343.0, + 174.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 72.0, + 206.0, + 520.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 358.0, + 174.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 223.0, + 68.0, + 356.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 103.0, + 226.0, + 536.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 381.0, + 200.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 30.0, + 264.0, + 200.0, + 676.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 216.0, + 247.0, + 594.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 14.0, + 397.0, + 268.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 151.0, + 276.0, + 328.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00025" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0d9cc80d7e" + }, + "0dab85b6d3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 256.0, + 0.0, + 574.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 691.0, + 311.0, + 889.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 280.0, + 0.0, + 569.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 683.0, + 318.0, + 889.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 105.0, + 17.0, + 622.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 639.0, + 322.0, + 869.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 52.0, + 106.0, + 626.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 766.0, + 322.0, + 877.0, + 481.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00040", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0dab85b6d3" + }, + "0db5c427a5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1183.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1151.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1159.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1151.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0db5c427a5" + }, + "0dbaf284f1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 75.0, + 1024.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 432.0, + 72.0, + 939.0, + 305.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 49.0, + 1020.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 445.0, + 42.0, + 821.0, + 267.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 206.0, + 787.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 407.0, + 12.0, + 805.0, + 309.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 176.0, + 863.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 404.0, + 27.0, + 814.0, + 222.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0dbaf284f1" + }, + "0de4923598": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 290.0, + 162.0, + 937.0, + 458.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 284.0, + 181.0, + 927.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 208.0, + 215.0, + 991.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 254.0, + 218.0, + 1043.0, + 582.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0de4923598" + }, + "0df28a9101": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 516.0, + 325.0, + 772.0, + 565.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 770.0, + 264.0, + 1044.0, + 517.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 712.0, + 136.0, + 892.0, + 324.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 441.0, + 312.0, + 761.0, + 522.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 707.0, + 240.0, + 1044.0, + 499.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 686.0, + 94.0, + 863.0, + 290.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 415.0, + 267.0, + 726.0, + 484.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 684.0, + 220.0, + 1030.0, + 452.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 635.0, + 46.0, + 831.0, + 242.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 348.0, + 238.0, + 668.0, + 449.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 691.0, + 153.0, + 985.0, + 408.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 602.0, + 5.0, + 784.0, + 203.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0df28a9101" + }, + "0e04f636c4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 492.0, + 217.0, + 919.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 444.0, + 169.0, + 1053.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 326.0, + 107.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 196.0, + 0.0, + 1279.0, + 706.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e04f636c4" + }, + "0e05f0e232": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 26.0, + 329.0, + 877.0, + 662.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 152.0, + 219.0, + 817.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 889.0, + 277.0, + 1279.0, + 561.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 52.0, + 358.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 999.0, + 304.0, + 1279.0, + 561.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 58.0, + 488.0, + 479.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e05f0e232" + }, + "0e0930474b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 205.0, + 75.0, + 1043.0, + 602.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 59.0, + 246.0, + 137.0, + 451.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 173.0, + 236.0, + 258.0, + 458.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 206.0, + 73.0, + 1028.0, + 609.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 25.0, + 245.0, + 114.0, + 452.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 175.0, + 235.0, + 258.0, + 454.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 209.0, + 72.0, + 1017.0, + 609.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 9.0, + 243.0, + 115.0, + 468.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 173.0, + 236.0, + 258.0, + 457.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 214.0, + 70.0, + 1008.0, + 605.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 11.0, + 242.0, + 101.0, + 466.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 173.0, + 234.0, + 259.0, + 455.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e0930474b" + }, + "0e27472bea": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 3.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 994.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 290.0, + 116.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 121.0, + 943.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 408.0, + 179.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 378.0, + 1036.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 593.0, + 354.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e27472bea" + }, + "0e30020549": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 141.0, + 124.0, + 868.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 159.0, + 102.0, + 822.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 209.0, + 102.0, + 672.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 207.0, + 144.0, + 804.0, + 658.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e30020549" + }, + "0e621feb6c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 320.0, + 316.0, + 953.0, + 636.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 781.0, + 168.0, + 1095.0, + 490.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 252.0, + 319.0, + 899.0, + 622.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 723.0, + 176.0, + 1038.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 249.0, + 312.0, + 887.0, + 607.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 720.0, + 172.0, + 1035.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 260.0, + 245.0, + 909.0, + 502.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 741.0, + 102.0, + 1082.0, + 406.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e621feb6c" + }, + "0e803c7d73": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 185.0, + 215.0, + 808.0, + 347.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 126.0, + 5.0, + 1279.0, + 471.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 363.0, + 447.0, + 1066.0, + 712.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 260.0, + 320.0, + 870.0, + 445.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 176.0, + 6.0, + 1279.0, + 590.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 361.0, + 461.0, + 1069.0, + 712.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 276.0, + 328.0, + 904.0, + 462.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 179.0, + 5.0, + 1279.0, + 605.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 362.0, + 467.0, + 1069.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 267.0, + 368.0, + 885.0, + 476.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 183.0, + 6.0, + 1279.0, + 622.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 372.0, + 469.0, + 1063.0, + 703.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e803c7d73" + }, + "0e9ebe4e3c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 561.0, + 251.0, + 894.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 539.0, + 246.0, + 883.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 481.0, + 234.0, + 868.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 424.0, + 221.0, + 844.0, + 530.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e9ebe4e3c" + }, + "0e9f2785ec": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 130.0, + 0.0, + 1279.0, + 589.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 224.0, + 120.0, + 1037.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 179.0, + 0.0, + 1279.0, + 602.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 239.0, + 131.0, + 1082.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 177.0, + 0.0, + 1279.0, + 604.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 238.0, + 135.0, + 1059.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 173.0, + 0.0, + 1279.0, + 596.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 239.0, + 122.0, + 1058.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e9f2785ec" + }, + "0ea68d418b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 305.0, + 820.0, + 575.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 1053.0, + 333.0, + 1095.0, + 349.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 319.0, + 824.0, + 580.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 1027.0, + 343.0, + 1062.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 342.0, + 874.0, + 610.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 800.0, + 383.0, + 845.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 338.0, + 868.0, + 601.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00195", + "00200", + "00235", + "00240" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0ea68d418b" + }, + "0eb403a222": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 346.0, + 520.0, + 1123.0, + 638.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 650.0, + 25.0, + 1279.0, + 695.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 469.0, + 604.0, + 1270.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 778.0, + 327.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 286.0, + 433.0, + 1173.0, + 646.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 634.0, + 187.0, + 1279.0, + 705.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 300.0, + 402.0, + 1189.0, + 624.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 651.0, + 160.0, + 1279.0, + 670.0 + ] + } + } + ], + "frame_names": [ + "00100", + "00115", + "00135", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0eb403a222" + }, + "0ee92053d6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 745.0, + 317.0, + 806.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 790.0, + 316.0, + 844.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 907.0, + 314.0, + 967.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1003.0, + 315.0, + 1060.0, + 486.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0ee92053d6" + }, + "0eefca067f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 601.0, + 91.0, + 1112.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 155.0, + 341.0, + 761.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 585.0, + 87.0, + 1120.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 155.0, + 291.0, + 678.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 603.0, + 58.0, + 1114.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 155.0, + 292.0, + 698.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 585.0, + 83.0, + 1128.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 151.0, + 273.0, + 733.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0eefca067f" + }, + "0f17fa6fcb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 367.0, + 346.0, + 574.0, + 443.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 811.0, + 239.0, + 912.0, + 382.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 643.0, + 225.0, + 817.0, + 294.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 278.0, + 381.0, + 538.0, + 472.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 773.0, + 257.0, + 870.0, + 384.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 645.0, + 215.0, + 782.0, + 292.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 135.0, + 348.0, + 364.0, + 451.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 782.0, + 269.0, + 905.0, + 381.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 713.0, + 186.0, + 793.0, + 254.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 152.0, + 335.0, + 272.0, + 444.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 794.0, + 262.0, + 903.0, + 391.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 736.0, + 194.0, + 810.0, + 268.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f17fa6fcb" + }, + "0f1ac8e9a3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 639.0, + 209.0, + 900.0, + 443.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 648.0, + 186.0, + 937.0, + 386.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 650.0, + 187.0, + 923.0, + 395.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 639.0, + 179.0, + 911.0, + 402.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f1ac8e9a3" + }, + "0f202e9852": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 1.0, + 99.0, + 417.0, + 298.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 2.0, + 119.0, + 506.0, + 311.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 3.0, + 132.0, + 468.0, + 322.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 129.0, + 502.0, + 275.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f202e9852" + }, + "0f2ab8b1ff": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 415.0, + 163.0, + 666.0, + 716.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 720.0, + 248.0, + 1006.0, + 719.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 826.0, + 164.0, + 1100.0, + 439.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 444.0, + 204.0, + 737.0, + 683.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 593.0, + 263.0, + 861.0, + 719.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 681.0, + 156.0, + 967.0, + 528.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 54.0, + 227.0, + 248.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 494.0, + 270.0, + 628.0, + 710.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 685.0, + 174.0, + 995.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 321.0, + 448.0, + 690.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 552.0, + 197.0, + 823.0, + 719.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 797.0, + 287.0, + 1117.0, + 684.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f2ab8b1ff" + }, + "0f51a78756": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 506.0, + 380.0, + 630.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 448.0, + 373.0, + 515.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 372.0, + 364.0, + 470.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 344.0, + 350.0, + 422.0, + 450.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f51a78756" + }, + "0f5fbe16b0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 488.0, + 161.0, + 1179.0, + 719.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 80.0, + 178.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 530.0, + 153.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 137.0, + 352.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 536.0, + 75.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 111.0, + 774.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 351.0, + 73.0, + 1110.0, + 719.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 123.0, + 679.0, + 637.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f5fbe16b0" + }, + "0f6072077b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 228.0, + 161.0, + 571.0, + 575.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 353.0, + 102.0, + 820.0, + 716.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 968.0, + 191.0, + 1111.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 269.0, + 165.0, + 605.0, + 576.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 388.0, + 97.0, + 851.0, + 717.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1005.0, + 187.0, + 1147.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 290.0, + 159.0, + 631.0, + 572.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 411.0, + 87.0, + 881.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1026.0, + 179.0, + 1164.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 297.0, + 140.0, + 628.0, + 554.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 410.0, + 70.0, + 880.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1043.0, + 158.0, + 1167.0, + 552.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f6072077b" + }, + "0f6b69b2f4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 577.0, + 255.0, + 950.0, + 718.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 373.0, + 0.0, + 880.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 495.0, + 252.0, + 902.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 471.0, + 254.0, + 860.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f6b69b2f4" + }, + "0f6c2163de": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 184.0, + 742.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 575.0, + 120.0, + 1279.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 575.0, + 129.0, + 1279.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 537.0, + 125.0, + 1279.0, + 482.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f6c2163de" + }, + "0f74ec5599": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 72.0, + 0.0, + 1015.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 96.0, + 0.0, + 1085.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 92.0, + 0.0, + 1069.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 186.0, + 0.0, + 1161.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00085", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f74ec5599" + }, + "0f9683715b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 349.0, + 40.0, + 886.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 404.0, + 34.0, + 764.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 362.0, + 38.0, + 858.0, + 681.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 377.0, + 10.0, + 742.0, + 617.0 + ] + } + } + ], + "frame_names": [ + "00205", + "00220", + "00245", + "00270" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f9683715b" + }, + "0fa7b59356": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 408.0, + 279.0, + 528.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 529.0, + 289.0, + 629.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 659.0, + 320.0, + 773.0, + 475.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 653.0, + 373.0, + 739.0, + 517.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0fa7b59356" + }, + "0fb173695b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 983.0, + 592.0, + 1037.0, + 719.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 893.0, + 483.0, + 997.0, + 582.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 745.0, + 425.0, + 1116.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 1047.0, + 682.0, + 1081.0, + 713.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 892.0, + 566.0, + 1016.0, + 677.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 743.0, + 503.0, + 1088.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 1000.0, + 607.0, + 1046.0, + 719.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 886.0, + 449.0, + 1002.0, + 539.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 752.0, + 398.0, + 1101.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 913.0, + 477.0, + 1068.0, + 715.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 876.0, + 382.0, + 987.0, + 463.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 756.0, + 332.0, + 1120.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0fb173695b" + }, + "0fc958cde2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 378.0, + 201.0, + 847.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 399.0, + 149.0, + 963.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 289.0, + 253.0, + 964.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 420.0, + 294.0, + 893.0, + 427.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0fc958cde2" + }, + "0fe7b1a621": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 14.0, + 0.0, + 1148.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 63.0, + 0.0, + 1205.0, + 681.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 995.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 1004.0, + 488.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0fe7b1a621" + }, + "0ffcdb491c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 262.0, + 145.0, + 474.0, + 532.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 28.0, + 245.0, + 212.0, + 523.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 598.0, + 180.0, + 699.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 284.0, + 142.0, + 496.0, + 520.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 58.0, + 242.0, + 238.0, + 509.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 620.0, + 170.0, + 723.0, + 442.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 332.0, + 136.0, + 552.0, + 524.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 182.0, + 274.0, + 338.0, + 526.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 675.0, + 134.0, + 773.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 326.0, + 124.0, + 584.0, + 594.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 224.0, + 268.0, + 342.0, + 510.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 695.0, + 131.0, + 799.0, + 386.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0ffcdb491c" + }, + "101caff7d4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 103.0, + 159.0, + 808.0, + 707.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 610.0, + 384.0, + 947.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 99.0, + 49.0, + 806.0, + 596.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 604.0, + 275.0, + 926.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 69.0, + 61.0, + 765.0, + 599.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 587.0, + 318.0, + 919.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 59.0, + 142.0, + 753.0, + 678.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 555.0, + 381.0, + 921.0, + 638.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/101caff7d4" + }, + "1022fe8417": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 844.0, + 171.0, + 974.0, + 383.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 987.0, + 158.0, + 1089.0, + 297.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1168.0, + 221.0, + 1258.0, + 365.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 837.0, + 173.0, + 974.0, + 409.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 974.0, + 156.0, + 1088.0, + 351.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1111.0, + 214.0, + 1275.0, + 350.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 800.0, + 183.0, + 952.0, + 459.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 947.0, + 171.0, + 1083.0, + 414.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1085.0, + 233.0, + 1254.0, + 375.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 793.0, + 171.0, + 955.0, + 465.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 953.0, + 165.0, + 1097.0, + 421.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1093.0, + 231.0, + 1277.0, + 422.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1022fe8417" + }, + "1032e80b37": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 474.0, + 139.0, + 993.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 369.0, + 84.0, + 842.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 317.0, + 50.0, + 812.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 386.0, + 50.0, + 779.0, + 623.0 + ] + } + } + ], + "frame_names": [ + "00170", + "00195", + "00200", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1032e80b37" + }, + "103f501680": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 91.0, + 325.0, + 321.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 140.0, + 291.0, + 337.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 184.0, + 329.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 8.0, + 191.0, + 341.0, + 451.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/103f501680" + }, + "104e64565f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 255.0, + 71.0, + 884.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 189.0, + 58.0, + 892.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 268.0, + 20.0, + 987.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 92.0, + 14.0, + 923.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00065", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/104e64565f" + }, + "104f1ab997": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 530.0, + 210.0, + 815.0, + 467.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 526.0, + 337.0, + 950.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 201.0, + 3.0, + 391.0, + 695.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 590.0, + 169.0, + 839.0, + 503.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 579.0, + 378.0, + 933.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 231.0, + 65.0, + 444.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 589.0, + 161.0, + 764.0, + 488.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 537.0, + 367.0, + 973.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 180.0, + 105.0, + 435.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 647.0, + 131.0, + 829.0, + 488.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 616.0, + 408.0, + 1053.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 274.0, + 91.0, + 454.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/104f1ab997" + }, + "106242403f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 666.0, + 0.0, + 1008.0, + 708.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 91.0, + 58.0, + 481.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 614.0, + 27.0, + 962.0, + 692.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 233.0, + 84.0, + 628.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 620.0, + 14.0, + 903.0, + 638.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 238.0, + 74.0, + 620.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 757.0, + 79.0, + 1016.0, + 633.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 351.0, + 126.0, + 668.0, + 710.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/106242403f" + }, + "10b31f5431": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 475.0, + 184.0, + 518.0, + 277.0 + ] + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 423.0, + 176.0, + 758.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 459.0, + 234.0, + 498.0, + 327.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 250.0, + 558.0, + 295.0 + ] + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 478.0, + 288.0, + 519.0, + 333.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 411.0, + 244.0, + 677.0, + 719.0 + ] + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 402.0, + 268.0, + 659.0, + 719.0 + ] + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00040", + "00050", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/10b31f5431" + }, + "10eced835e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 596.0, + 168.0, + 1199.0, + 678.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 94.0, + 0.0, + 967.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 544.0, + 197.0, + 1089.0, + 682.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 599.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 697.0, + 199.0, + 1279.0, + 657.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 512.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 566.0, + 264.0, + 1027.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/10eced835e" + }, + "110d26fa3a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 139.0, + 239.0, + 791.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 148.0, + 1063.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 131.0, + 1028.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 232.0, + 1090.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/110d26fa3a" + }, + "1122c1d16a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 352.0, + 602.0, + 645.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 685.0, + 19.0, + 977.0, + 419.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 458.0, + 168.0, + 855.0, + 561.0 + ] + }, + "4": { + "category_name": "parrot", + "bbox": [ + 560.0, + 65.0, + 705.0, + 339.0 + ] + }, + "5": { + "category_name": "parrot", + "bbox": [ + 384.0, + 460.0, + 540.0, + 609.0 + ] + }, + "6": { + "category_name": "person", + "bbox": [ + 468.0, + 40.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 356.0, + 668.0, + 669.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 641.0, + 110.0, + 1083.0, + 429.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 488.0, + 171.0, + 937.0, + 586.0 + ] + }, + "4": { + "category_name": "parrot", + "bbox": [ + 538.0, + 149.0, + 665.0, + 320.0 + ] + }, + "5": { + "category_name": "parrot", + "bbox": [ + 368.0, + 497.0, + 524.0, + 693.0 + ] + }, + "6": { + "category_name": "person", + "bbox": [ + 510.0, + 119.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 376.0, + 667.0, + 705.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 628.0, + 96.0, + 1057.0, + 435.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 504.0, + 156.0, + 971.0, + 538.0 + ] + }, + "4": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "parrot", + "bbox": [ + 396.0, + 497.0, + 570.0, + 683.0 + ] + }, + "6": { + "category_name": "person", + "bbox": [ + 499.0, + 103.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 398.0, + 664.0, + 725.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 604.0, + 54.0, + 816.0, + 479.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 394.0, + 171.0, + 789.0, + 557.0 + ] + }, + "4": { + "category_name": "parrot", + "bbox": [ + 510.0, + 133.0, + 675.0, + 320.0 + ] + }, + "5": { + "category_name": "parrot", + "bbox": [ + 356.0, + 512.0, + 538.0, + 715.0 + ] + }, + "6": { + "category_name": "person", + "bbox": [ + 294.0, + 48.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1122c1d16a" + }, + "1145b49a5f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 324.0, + 67.0, + 837.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 116.0, + 0.0, + 632.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 110.0, + 193.0, + 763.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 138.0, + 256.0, + 789.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1145b49a5f" + }, + "11485838c2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 549.0, + 147.0, + 1031.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 733.0, + 218.0, + 1102.0, + 711.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 233.0, + 345.0, + 625.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 588.0, + 116.0, + 1065.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 769.0, + 169.0, + 1149.0, + 688.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 278.0, + 322.0, + 658.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 646.0, + 53.0, + 1103.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 840.0, + 73.0, + 1279.0, + 658.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 363.0, + 324.0, + 640.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 650.0, + 61.0, + 1114.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 850.0, + 76.0, + 1279.0, + 669.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 374.0, + 367.0, + 669.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11485838c2" + }, + "114e7676ec": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 671.0, + 288.0, + 742.0, + 377.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 708.0, + 347.0, + 760.0, + 399.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 705.0, + 375.0, + 748.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 612.0, + 287.0, + 682.0, + 394.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 631.0, + 375.0, + 700.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 651.0, + 350.0, + 730.0, + 524.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 685.0, + 483.0, + 750.0, + 544.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/114e7676ec" + }, + "1157472b95": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 251.0, + 163.0, + 554.0, + 551.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 718.0, + 59.0, + 1041.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 248.0, + 0.0, + 559.0, + 491.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 731.0, + 0.0, + 1079.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 214.0, + 251.0, + 630.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 280.0, + 157.0, + 608.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 488.0, + 260.0, + 712.0, + 565.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 769.0, + 322.0, + 957.0, + 594.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1157472b95" + }, + "115ee1072c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 653.0, + 327.0, + 826.0, + 693.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 633.0, + 310.0, + 823.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 598.0, + 291.0, + 849.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 350.0, + 168.0, + 967.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/115ee1072c" + }, + "1171141012": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 330.0, + 521.0, + 686.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 684.0, + 518.0, + 906.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 351.0, + 432.0, + 720.0, + 718.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 694.0, + 481.0, + 979.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 171.0, + 486.0, + 582.0, + 671.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 350.0, + 514.0, + 600.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 281.0, + 384.0, + 611.0, + 651.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 312.0, + 437.0, + 435.0, + 487.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1171141012" + }, + "117757b4b8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/117757b4b8" + }, + "1178932d2f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 464.0, + 156.0, + 540.0, + 330.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 511.0, + 167.0, + 558.0, + 327.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 459.0, + 233.0, + 546.0, + 355.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 590.0, + 194.0, + 640.0, + 288.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 623.0, + 196.0, + 648.0, + 242.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 594.0, + 259.0, + 634.0, + 309.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 490.0, + 235.0, + 524.0, + 307.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 503.0, + 235.0, + 523.0, + 271.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 497.0, + 283.0, + 524.0, + 318.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 629.0, + 254.0, + 657.0, + 313.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 650.0, + 254.0, + 660.0, + 279.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 633.0, + 292.0, + 656.0, + 323.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1178932d2f" + }, + "117cc76bda": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 745.0, + 540.0, + 1011.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 282.0, + 505.0, + 1048.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 169.0, + 499.0, + 915.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 46.0, + 541.0, + 709.0, + 597.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/117cc76bda" + }, + "1180cbf814": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 720.0, + 188.0, + 900.0, + 290.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 231.0, + 367.0, + 372.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 746.0, + 189.0, + 865.0, + 290.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 267.0, + 329.0, + 393.0, + 377.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 785.0, + 228.0, + 889.0, + 325.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 409.0, + 395.0, + 554.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 754.0, + 193.0, + 802.0, + 301.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 521.0, + 335.0, + 643.0, + 381.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1180cbf814" + }, + "1187bbd0e3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 609.0, + 247.0, + 871.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 600.0, + 253.0, + 863.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 538.0, + 272.0, + 838.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 492.0, + 159.0, + 899.0, + 582.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1187bbd0e3" + }, + "1197e44b26": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 395.0, + 347.0, + 950.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 451.0, + 335.0, + 947.0, + 706.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 447.0, + 327.0, + 724.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 441.0, + 332.0, + 728.0, + 710.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00085", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1197e44b26" + }, + "119cf20728": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 426.0, + 171.0, + 904.0, + 400.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 575.0, + 393.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 465.0, + 178.0, + 749.0, + 351.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 135.0, + 611.0, + 467.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 477.0, + 55.0, + 786.0, + 261.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 98.0, + 526.0, + 533.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 521.0, + 131.0, + 855.0, + 332.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 285.0, + 457.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/119cf20728" + }, + "119dd54871": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 304.0, + 329.0, + 902.0, + 696.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 641.0, + 299.0, + 1026.0, + 466.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 315.0, + 319.0, + 915.0, + 691.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 694.0, + 298.0, + 1017.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 308.0, + 314.0, + 939.0, + 688.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 693.0, + 293.0, + 1037.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 311.0, + 334.0, + 922.0, + 690.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 675.0, + 293.0, + 1021.0, + 458.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00095", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/119dd54871" + }, + "11a0c3b724": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 430.0, + 99.0, + 1033.0, + 592.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 264.0, + 0.0, + 755.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 434.0, + 136.0, + 1081.0, + 594.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 244.0, + 10.0, + 771.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 410.0, + 140.0, + 1089.0, + 602.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 248.0, + 29.0, + 757.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 424.0, + 131.0, + 1009.0, + 600.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 238.0, + 21.0, + 735.0, + 433.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11a0c3b724" + }, + "11a6ba8c94": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 312.0, + 208.0, + 496.0, + 493.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 496.0, + 0.0, + 1019.0, + 670.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 568.0, + 608.0, + 907.0, + 706.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 412.0, + 184.0, + 586.0, + 459.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 498.0, + 0.0, + 805.0, + 711.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 286.0, + 581.0, + 634.0, + 681.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 422.0, + 181.0, + 574.0, + 410.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 504.0, + 95.0, + 1047.0, + 670.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 468.0, + 359.0, + 719.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 412.0, + 151.0, + 564.0, + 403.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 474.0, + 0.0, + 917.0, + 719.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 570.0, + 479.0, + 765.0, + 644.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11a6ba8c94" + }, + "11c722a456": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 387.0, + 276.0, + 659.0, + 445.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 731.0, + 319.0, + 1032.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 308.0, + 285.0, + 535.0, + 467.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 733.0, + 329.0, + 1039.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 317.0, + 276.0, + 562.0, + 465.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 927.0, + 319.0, + 1252.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 256.0, + 278.0, + 560.0, + 424.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 1076.0, + 278.0, + 1279.0, + 398.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11c722a456" + }, + "11cbcb0b4d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 324.0, + 215.0, + 815.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 277.0, + 236.0, + 694.0, + 371.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 65.0, + 0.0, + 635.0, + 183.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 317.0, + 176.0, + 962.0, + 460.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11cbcb0b4d" + }, + "11ccf5e99d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 325.0, + 264.0, + 356.0, + 294.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 181.0, + 90.0, + 437.0, + 711.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 614.0, + 360.0, + 644.0, + 389.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 359.0, + 281.0, + 389.0, + 308.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 258.0, + 100.0, + 516.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 690.0, + 367.0, + 720.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 186.0, + 318.0, + 450.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 629.0, + 385.0, + 661.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 224.0, + 114.0, + 478.0, + 717.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 654.0, + 353.0, + 686.0, + 383.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11ccf5e99d" + }, + "11ce6f452e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 563.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 784.0, + 0.0, + 942.0, + 297.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1016.0, + 0.0, + 1156.0, + 230.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 51.0, + 0.0, + 678.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 684.0, + 0.0, + 842.0, + 326.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 862.0, + 17.0, + 1018.0, + 299.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 105.0, + 0.0, + 670.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 631.0, + 40.0, + 778.0, + 339.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 798.0, + 63.0, + 936.0, + 325.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 473.0, + 35.0, + 864.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 693.0, + 59.0, + 742.0, + 170.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 778.0, + 72.0, + 870.0, + 261.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11ce6f452e" + }, + "11feabe596": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 694.0, + 0.0, + 1130.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 656.0, + 5.0, + 1088.0, + 596.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 557.0, + 118.0, + 1028.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 603.0, + 12.0, + 1078.0, + 564.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11feabe596" + }, + "120cb9514d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 156.0, + 91.0, + 1003.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 167.0, + 907.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 669.0, + 539.0, + 1221.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 382.0, + 205.0, + 925.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 486.0, + 435.0, + 895.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 688.0, + 227.0, + 909.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 252.0, + 92.0, + 673.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 827.0, + 175.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/120cb9514d" + }, + "12156b25b3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 392.0, + 173.0, + 588.0, + 516.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 492.0, + 358.0, + 621.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 447.0, + 144.0, + 566.0, + 428.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 447.0, + 343.0, + 569.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 463.0, + 184.0, + 613.0, + 486.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 512.0, + 369.0, + 640.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 403.0, + 93.0, + 564.0, + 492.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 425.0, + 406.0, + 532.0, + 549.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/12156b25b3" + }, + "122896672d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 419.0, + 170.0, + 587.0, + 487.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 435.0, + 200.0, + 509.0, + 359.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 697.0, + 122.0, + 863.0, + 534.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 703.0, + 157.0, + 774.0, + 366.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 970.0, + 54.0, + 1194.0, + 577.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 988.0, + 108.0, + 1077.0, + 361.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 408.0, + 0.0, + 725.0, + 480.0 + ] + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 501.0, + 456.0, + 671.0, + 518.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/122896672d" + }, + "1233ac8596": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 343.0, + 237.0, + 934.0, + 443.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 121.0, + 197.0, + 1118.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 83.0, + 244.0, + 1247.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 203.0, + 249.0, + 1277.0, + 504.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1233ac8596" + }, + "1239c87234": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 393.0, + 0.0, + 1279.0, + 428.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 514.0, + 0.0, + 1279.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 463.0, + 0.0, + 1279.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 297.0, + 0.0, + 1279.0, + 536.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1239c87234" + }, + "1250423f7c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 881.0, + 65.0, + 968.0, + 168.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 816.0, + 108.0, + 1004.0, + 526.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 0.0, + 195.0, + 613.0, + 663.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1089.0, + 94.0, + 1275.0, + 248.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 922.0, + 47.0, + 1014.0, + 155.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 876.0, + 91.0, + 1050.0, + 545.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 0.0, + 164.0, + 561.0, + 719.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1170.0, + 70.0, + 1279.0, + 229.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 1021.0, + 33.0, + 1114.0, + 149.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 977.0, + 79.0, + 1139.0, + 561.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 42.0, + 125.0, + 629.0, + 671.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 905.0, + 20.0, + 1007.0, + 142.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 856.0, + 75.0, + 1023.0, + 597.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 0.0, + 166.0, + 710.0, + 719.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1250423f7c" + }, + "1257a1bc67": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 380.0, + 141.0, + 859.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 375.0, + 141.0, + 855.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 375.0, + 145.0, + 848.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 380.0, + 144.0, + 841.0, + 584.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00045", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1257a1bc67" + }, + "125d1b19dd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 432.0, + 200.0, + 685.0, + 436.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 677.0, + 326.0, + 997.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 420.0, + 189.0, + 685.0, + 409.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 677.0, + 317.0, + 1005.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 448.0, + 184.0, + 689.0, + 396.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 679.0, + 332.0, + 1003.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 452.0, + 164.0, + 675.0, + 387.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 675.0, + 344.0, + 999.0, + 538.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/125d1b19dd" + }, + "126d203967": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 678.0, + 573.0, + 826.0, + 649.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 572.0, + 3.0, + 771.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 700.0, + 395.0, + 807.0, + 622.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 544.0, + 17.0, + 741.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 718.0, + 565.0, + 855.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 608.0, + 1.0, + 813.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 668.0, + 554.0, + 1006.0, + 698.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 608.0, + 0.0, + 861.0, + 618.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/126d203967" + }, + "1295e19071": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 197.0, + 782.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 14.0, + 196.0, + 800.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 202.0, + 708.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 148.0, + 647.0, + 455.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1295e19071" + }, + "12ad198c54": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 630.0, + 163.0, + 871.0, + 566.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 708.0, + 562.0, + 811.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 540.0, + 0.0, + 1151.0, + 607.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 664.0, + 548.0, + 1000.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 756.0, + 21.0, + 1030.0, + 585.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 799.0, + 540.0, + 1077.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 448.0, + 79.0, + 775.0, + 585.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 507.0, + 519.0, + 790.0, + 629.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/12ad198c54" + }, + "12bddb2bcb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 643.0, + 301.0, + 695.0, + 335.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 467.0, + 119.0, + 513.0, + 180.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 318.0, + 328.0, + 433.0, + 363.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 796.0, + 84.0, + 893.0, + 112.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/12bddb2bcb" + }, + "12ec9b93ee": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 63.0, + 736.0, + 462.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 23.0, + 61.0, + 994.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 313.0, + 20.0, + 898.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 607.0, + 58.0, + 904.0, + 632.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/12ec9b93ee" + }, + "12eebedc35": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 468.0, + 94.0, + 885.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 497.0, + 169.0, + 937.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 508.0, + 84.0, + 958.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 457.0, + 100.0, + 954.0, + 451.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/12eebedc35" + }, + "132852e094": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 22 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 195.0, + 179.0, + 722.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 234.0, + 169.0, + 1010.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 584.0, + 107.0, + 1122.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 759.0, + 17.0, + 1279.0, + 316.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/132852e094" + }, + "1329409f2a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 1045.0, + 20.0, + 1279.0, + 192.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 893.0, + 124.0, + 1193.0, + 289.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 705.0, + 117.0, + 953.0, + 298.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 649.0, + 97.0, + 879.0, + 306.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1329409f2a" + }, + "13325cfa14": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 0.0, + 0.0, + 958.0, + 685.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 315.0, + 133.0, + 856.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 0.0, + 0.0, + 931.0, + 716.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 302.0, + 185.0, + 849.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 0.0, + 0.0, + 951.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 343.0, + 189.0, + 883.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 0.0, + 0.0, + 961.0, + 691.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 282.0, + 93.0, + 899.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/13325cfa14" + }, + "1336440745": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 422.0, + 368.0, + 660.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 6.0, + 392.0, + 235.0, + 659.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 184.0, + 432.0, + 392.0, + 654.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 72.0, + 306.0, + 463.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 94.0, + 449.0, + 406.0, + 665.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 208.0, + 487.0, + 384.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1336440745" + }, + "134d06dbf9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 583.0, + 148.0, + 1273.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 560.0, + 173.0, + 1268.0, + 455.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 659.0, + 166.0, + 1260.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 729.0, + 146.0, + 1169.0, + 528.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/134d06dbf9" + }, + "135625b53d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 454.0, + 0.0, + 837.0, + 704.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 700.0, + 317.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 458.0, + 0.0, + 895.0, + 686.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 712.0, + 303.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 466.0, + 0.0, + 917.0, + 676.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 718.0, + 298.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 436.0, + 10.0, + 877.0, + 667.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 716.0, + 339.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/135625b53d" + }, + "13870016f9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 363.0, + 30.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 175.0, + 234.0, + 719.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 891.0, + 151.0, + 959.0, + 358.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 334.0, + 29.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 176.0, + 230.0, + 719.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 623.0, + 139.0, + 959.0, + 365.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 402.0, + 20.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 176.0, + 279.0, + 719.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 537.0, + 144.0, + 959.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 406.0, + 21.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 174.0, + 322.0, + 719.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 518.0, + 141.0, + 959.0, + 380.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/13870016f9" + }, + "13960b3c84": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 85.0, + 0.0, + 988.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 9.0, + 465.0, + 719.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 287.0, + 353.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 789.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 108.0, + 292.0, + 447.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 74.0, + 302.0, + 1237.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 765.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 82.0, + 295.0, + 422.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 76.0, + 315.0, + 1273.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 102.0, + 0.0, + 914.0, + 657.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 477.0, + 562.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 244.0, + 227.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/13960b3c84" + }, + "13adaad9d9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 498.0, + 204.0, + 809.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 500.0, + 180.0, + 805.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 488.0, + 175.0, + 804.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 574.0, + 287.0, + 716.0, + 500.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/13adaad9d9" + }, + "13ae097e20": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 88.0, + 119.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 84.0, + 14.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 134.0, + 0.0, + 1105.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 232.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/13ae097e20" + }, + "13e3070469": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 199.0, + 325.0, + 451.0, + 483.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 882.0, + 345.0, + 1024.0, + 455.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 775.0, + 290.0, + 1001.0, + 439.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 213.0, + 312.0, + 497.0, + 461.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 858.0, + 334.0, + 1018.0, + 441.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 751.0, + 292.0, + 984.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 164.0, + 304.0, + 432.0, + 458.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 768.0, + 326.0, + 916.0, + 441.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 663.0, + 288.0, + 881.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 100.0, + 297.0, + 373.0, + 449.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 670.0, + 321.0, + 805.0, + 439.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 534.0, + 280.0, + 779.0, + 417.0 + ] + } + } + ], + "frame_names": [ + "00120", + "00135", + "00155", + "00180" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/13e3070469" + }, + "13f6a8c20d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 728.0, + 426.0, + 1029.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 773.0, + 339.0, + 1096.0, + 448.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 798.0, + 369.0, + 1110.0, + 489.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 847.0, + 313.0, + 1170.0, + 428.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/13f6a8c20d" + }, + "1416925cf2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 492.0, + 187.0, + 1020.0, + 505.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 68.0, + 10.0, + 596.0, + 475.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 515.0, + 203.0, + 1026.0, + 509.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 101.0, + 27.0, + 616.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 482.0, + 206.0, + 962.0, + 503.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 77.0, + 36.0, + 579.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 452.0, + 218.0, + 884.0, + 493.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 62.0, + 55.0, + 530.0, + 466.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1416925cf2" + }, + "142d2621f5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 2.0, + 0.0, + 408.0, + 306.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 544.0, + 0.0, + 896.0, + 240.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 358.0, + 0.0, + 974.0, + 702.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 365.0, + 417.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 499.0, + 0.0, + 821.0, + 206.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 317.0, + 8.0, + 932.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 27.0, + 0.0, + 429.0, + 466.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 505.0, + 0.0, + 821.0, + 196.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 317.0, + 3.0, + 919.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 10.0, + 0.0, + 440.0, + 485.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 458.0, + 0.0, + 769.0, + 219.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 318.0, + 45.0, + 867.0, + 628.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/142d2621f5" + }, + "145d5d7c03": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 332.0, + 330.0, + 771.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 324.0, + 226.0, + 719.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 270.0, + 184.0, + 659.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 346.0, + 203.0, + 697.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/145d5d7c03" + }, + "145fdc3ac5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 166.0, + 840.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 170.0, + 828.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 160.0, + 847.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 160.0, + 882.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/145fdc3ac5" + }, + "1471274fa7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 415.0, + 168.0, + 615.0, + 654.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 409.0, + 194.0, + 617.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 333.0, + 196.0, + 558.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 313.0, + 187.0, + 558.0, + 615.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1471274fa7" + }, + "14a6b5a139": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 61.0, + 163.0, + 280.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 6.0, + 169.0, + 238.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 39.0, + 180.0, + 289.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 22.0, + 190.0, + 363.0, + 531.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/14a6b5a139" + }, + "14c21cea0d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 293.0, + 94.0, + 744.0, + 623.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 742.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 166.0, + 47.0, + 757.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 746.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 78.0, + 0.0, + 711.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 785.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 222.0, + 1.0, + 741.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 632.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/14c21cea0d" + }, + "14dae0dc93": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 532.0, + 11.0, + 1020.0, + 434.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 576.0, + 153.0, + 850.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 493.0, + 5.0, + 977.0, + 422.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 525.0, + 137.0, + 801.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 413.0, + 124.0, + 879.0, + 534.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 415.0, + 259.0, + 689.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 444.0, + 121.0, + 917.0, + 531.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 447.0, + 257.0, + 720.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/14dae0dc93" + }, + "14f9bd22b5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 521.0, + 272.0, + 980.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 433.0, + 333.0, + 978.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 139.0, + 19.0, + 906.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/14f9bd22b5" + }, + "14fd28ae99": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 371.0, + 325.0, + 606.0, + 505.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 8.0, + 463.0, + 542.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 365.0, + 341.0, + 616.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 20.0, + 473.0, + 506.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 325.0, + 357.0, + 625.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 448.0, + 450.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 334.0, + 358.0, + 629.0, + 718.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 25.0, + 376.0, + 504.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/14fd28ae99" + }, + "15097d5d4e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 457.0, + 72.0, + 792.0, + 665.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 108.0, + 1133.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 343.0, + 86.0, + 960.0, + 690.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 116.0, + 1150.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 405.0, + 105.0, + 928.0, + 486.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1025.0, + 683.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 163.0, + 229.0, + 773.0, + 491.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1012.0, + 710.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/15097d5d4e" + }, + "150ea711f2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 20.0, + 117.0, + 1279.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 34.0, + 1279.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 25.0, + 1279.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 18.0, + 1279.0, + 533.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/150ea711f2" + }, + "1514e3563f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 597.0, + 36.0, + 1020.0, + 479.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 543.0, + 175.0, + 962.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 491.0, + 13.0, + 1238.0, + 344.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 433.0, + 183.0, + 1106.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 455.0, + 61.0, + 1279.0, + 486.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 233.0, + 110.0, + 1279.0, + 479.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1514e3563f" + }, + "152aaa3a9e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 39.0, + 19.0, + 984.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 29.0, + 115.0, + 1006.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 51.0, + 110.0, + 994.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 13.0, + 83.0, + 922.0, + 651.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/152aaa3a9e" + }, + "152b7d3bd7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 341.0, + 64.0, + 1080.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 338.0, + 62.0, + 1097.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 344.0, + 62.0, + 1091.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 348.0, + 62.0, + 1075.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/152b7d3bd7" + }, + "15617297cc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 786.0, + 209.0, + 910.0, + 333.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 844.0, + 328.0, + 896.0, + 350.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 795.0, + 263.0, + 949.0, + 421.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 790.0, + 428.0, + 947.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 599.0, + 516.0, + 712.0, + 715.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/15617297cc" + }, + "15abbe0c52": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 689.0, + 426.0, + 753.0, + 572.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 711.0, + 565.0, + 751.0, + 586.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 643.0, + 421.0, + 727.0, + 562.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 680.0, + 561.0, + 708.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 487.0, + 469.0, + 581.0, + 575.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 481.0, + 470.0, + 623.0, + 578.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/15abbe0c52" + }, + "15d1fb3de5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 850.0, + 133.0, + 1182.0, + 613.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 155.0, + 540.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 861.0, + 152.0, + 1168.0, + 631.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 201.0, + 572.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 854.0, + 156.0, + 1168.0, + 636.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 225.0, + 569.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 879.0, + 154.0, + 1207.0, + 643.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 215.0, + 595.0, + 567.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00075", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/15d1fb3de5" + }, + "15f67b0fab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 786.0, + 135.0, + 877.0, + 379.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 783.0, + 359.0, + 857.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 700.0, + 97.0, + 1074.0, + 501.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 764.0, + 388.0, + 830.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 315.0, + 105.0, + 637.0, + 486.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 413.0, + 473.0, + 619.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 437.0, + 53.0, + 583.0, + 474.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 475.0, + 460.0, + 581.0, + 491.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/15f67b0fab" + }, + "161eb59aad": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 592.0, + 277.0, + 828.0, + 539.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 528.0, + 441.0, + 601.0, + 500.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 731.0, + 474.0, + 798.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 530.0, + 271.0, + 676.0, + 555.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 719.0, + 434.0, + 797.0, + 490.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 925.0, + 459.0, + 1000.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 486.0, + 267.0, + 612.0, + 565.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 746.0, + 416.0, + 821.0, + 473.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 952.0, + 445.0, + 1026.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 491.0, + 197.0, + 592.0, + 529.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 781.0, + 331.0, + 853.0, + 388.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 983.0, + 358.0, + 1060.0, + 398.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/161eb59aad" + }, + "16288ea47f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 326.0, + 322.0, + 990.0, + 655.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 447.0, + 126.0, + 859.0, + 315.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 263.0, + 327.0, + 918.0, + 678.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 468.0, + 105.0, + 785.0, + 327.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 228.0, + 342.0, + 885.0, + 698.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 382.0, + 135.0, + 748.0, + 345.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 170.0, + 360.0, + 850.0, + 698.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 331.0, + 157.0, + 712.0, + 343.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16288ea47f" + }, + "164410ce62": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 174.0, + 57.0, + 454.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 310.0, + 61.0, + 593.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 357.0, + 58.0, + 623.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 467.0, + 63.0, + 784.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/164410ce62" + }, + "165c3c8cd4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 161.0, + 291.0, + 309.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 269.0, + 256.0, + 364.0, + 618.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 251.0, + 64.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 512.0, + 171.0, + 666.0, + 618.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 507.0, + 162.0, + 585.0, + 512.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 206.0, + 93.0, + 329.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 625.0, + 174.0, + 769.0, + 640.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 595.0, + 160.0, + 682.0, + 503.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 291.0, + 90.0, + 414.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 591.0, + 116.0, + 742.0, + 604.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 488.0, + 98.0, + 621.0, + 447.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 104.0, + 12.0, + 302.0, + 619.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/165c3c8cd4" + }, + "165c42b41b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 379.0, + 290.0, + 531.0, + 465.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 454.0, + 338.0, + 618.0, + 466.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 23.0, + 314.0, + 200.0, + 443.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 293.0, + 80.0, + 443.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 689.0, + 337.0, + 908.0, + 542.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 754.0, + 395.0, + 945.0, + 542.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 655.0, + 361.0, + 748.0, + 497.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 598.0, + 320.0, + 696.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 577.0, + 369.0, + 825.0, + 601.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 624.0, + 435.0, + 881.0, + 608.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 741.0, + 392.0, + 946.0, + 544.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 705.0, + 348.0, + 912.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 886.0, + 247.0, + 1187.0, + 498.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 827.0, + 351.0, + 1279.0, + 660.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/165c42b41b" + }, + "165ec9e22b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 124.0, + 664.0, + 384.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 469.0, + 221.0, + 573.0, + 413.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 85.0, + 376.0, + 109.0, + 468.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 65.0, + 384.0, + 77.0, + 427.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 542.0, + 193.0, + 655.0, + 446.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/165ec9e22b" + }, + "1669502269": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 476.0, + 242.0, + 698.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 510.0, + 200.0, + 701.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 685.0, + 17.0, + 969.0, + 169.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 623.0, + 185.0, + 792.0, + 390.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1669502269" + }, + "16763cccbb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 429.0, + 155.0, + 807.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 385.0, + 101.0, + 765.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 349.0, + 94.0, + 738.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 343.0, + 91.0, + 729.0, + 573.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16763cccbb" + }, + "16adde065e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 449.0, + 192.0, + 744.0, + 360.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 419.0, + 196.0, + 733.0, + 587.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 88.0, + 0.0, + 939.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 412.0, + 233.0, + 729.0, + 407.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 388.0, + 186.0, + 734.0, + 604.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 106.0, + 0.0, + 1270.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 863.0, + 225.0, + 1102.0, + 441.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 414.0, + 16.0, + 1016.0, + 571.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 485.0, + 0.0, + 1097.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 663.0, + 200.0, + 902.0, + 412.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 55.0, + 86.0, + 857.0, + 590.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 223.0, + 0.0, + 971.0, + 543.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16adde065e" + }, + "16af445362": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 208.0, + 241.0, + 1241.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 170.0, + 220.0, + 1183.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 154.0, + 229.0, + 1147.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 158.0, + 231.0, + 1107.0, + 527.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16af445362" + }, + "16afd538ad": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 317.0, + 50.0, + 559.0, + 506.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 540.0, + 135.0, + 1279.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 339.0, + 79.0, + 573.0, + 487.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 566.0, + 176.0, + 1279.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 307.0, + 143.0, + 505.0, + 521.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 478.0, + 222.0, + 1279.0, + 709.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 253.0, + 141.0, + 547.0, + 526.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 494.0, + 238.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16afd538ad" + }, + "16c3fa4d5d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 301.0, + 240.0, + 942.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 155.0, + 146.0, + 1128.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 155.0, + 137.0, + 1126.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 154.0, + 83.0, + 1123.0, + 684.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16c3fa4d5d" + }, + "16d1d65c27": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 786.0, + 228.0, + 929.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 681.0, + 197.0, + 873.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 550.0, + 133.0, + 733.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 433.0, + 95.0, + 598.0, + 307.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16d1d65c27" + }, + "16e8599e94": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 549.0, + 235.0, + 964.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 450.0, + 203.0, + 991.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 346.0, + 247.0, + 887.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 353.0, + 290.0, + 761.0, + 576.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16e8599e94" + }, + "16fe9fb444": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 19.0, + 703.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 87.0, + 283.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 151.0, + 695.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 23.0, + 92.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 134.0, + 713.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 151.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 91.0, + 91.0, + 758.0, + 570.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 37.0, + 0.0, + 642.0, + 285.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16fe9fb444" + }, + "1705796b02": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 238.0, + 685.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 225.0, + 685.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 219.0, + 687.0, + 639.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 226.0, + 695.0, + 643.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1705796b02" + }, + "1724db7671": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 244.0, + 160.0, + 805.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 258.0, + 109.0, + 805.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 228.0, + 154.0, + 861.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 220.0, + 135.0, + 843.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1724db7671" + }, + "17418e81ea": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 571.0, + 219.0, + 1279.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 605.0, + 257.0, + 1279.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 579.0, + 167.0, + 1279.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 593.0, + 150.0, + 848.0, + 547.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17418e81ea" + }, + "175169edbb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 824.0, + 0.0, + 1279.0, + 543.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 355.0, + 271.0, + 1006.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 784.0, + 0.0, + 1279.0, + 510.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 446.0, + 263.0, + 900.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 852.0, + 0.0, + 1279.0, + 505.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 459.0, + 259.0, + 901.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 818.0, + 0.0, + 1279.0, + 563.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 444.0, + 137.0, + 950.0, + 594.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/175169edbb" + }, + "17622326fd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 430.0, + 48.0, + 805.0, + 611.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 422.0, + 0.0, + 809.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 560.0, + 0.0, + 985.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 560.0, + 0.0, + 923.0, + 529.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17622326fd" + }, + "17656bae77": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 787.0, + 38.0, + 1183.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 671.0, + 52.0, + 1015.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 661.0, + 61.0, + 1013.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 616.0, + 41.0, + 1005.0, + 573.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17656bae77" + }, + "17b0d94172": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 69.0, + 59.0, + 642.0, + 380.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 24.0, + 624.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 50.0, + 18.0, + 592.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 504.0, + 366.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00020", + "00030", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17b0d94172" + }, + "17c220e4f6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 413.0, + 252.0, + 722.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 405.0, + 221.0, + 749.0, + 528.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 401.0, + 190.0, + 781.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 300.0, + 149.0, + 782.0, + 562.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17c220e4f6" + }, + "17c7bcd146": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 359.0, + 324.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 348.0, + 414.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 348.0, + 454.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 318.0, + 659.0, + 506.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17c7bcd146" + }, + "17cb4afe89": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 5.0, + 1279.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 12.0, + 56.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 53.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 55.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17cb4afe89" + }, + "17cd79a434": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 233.0, + 0.0, + 1126.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 183.0, + 0.0, + 1072.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 169.0, + 0.0, + 1066.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 193.0, + 0.0, + 1076.0, + 645.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17cd79a434" + }, + "17d18604c3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 227.0, + 336.0, + 686.0, + 713.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 542.0, + 114.0, + 643.0, + 378.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1166.0, + 539.0, + 1221.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 13.0, + 356.0, + 547.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 432.0, + 116.0, + 536.0, + 563.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1067.0, + 534.0, + 1124.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 573.0, + 86.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 210.0, + 97.0, + 324.0, + 591.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 831.0, + 571.0, + 895.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 647.0, + 44.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 187.0, + 94.0, + 302.0, + 591.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 816.0, + 571.0, + 882.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17d18604c3" + }, + "17d8ca1a37": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 420.0, + 266.0, + 706.0, + 543.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 55.0, + 680.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 441.0, + 336.0, + 697.0, + 615.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 87.0, + 705.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 535.0, + 316.0, + 748.0, + 625.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 93.0, + 755.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 547.0, + 334.0, + 751.0, + 635.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 101.0, + 773.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17d8ca1a37" + }, + "17e33f4330": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 596.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 512.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 552.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 673.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17e33f4330" + }, + "17f7a6d805": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 131.0, + 0.0, + 1230.0, + 596.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 138.0, + 0.0, + 1239.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 223.0, + 0.0, + 1227.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 267.0, + 0.0, + 1266.0, + 632.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17f7a6d805" + }, + "180abc8378": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 583.0, + 241.0, + 825.0, + 595.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 190.0, + 16.0, + 748.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 609.0, + 221.0, + 860.0, + 595.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 194.0, + 0.0, + 764.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 597.0, + 208.0, + 871.0, + 592.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 169.0, + 0.0, + 767.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 503.0, + 255.0, + 720.0, + 609.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 147.0, + 13.0, + 719.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/180abc8378" + }, + "183ba3d652": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 563.0, + 132.0, + 641.0, + 192.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 477.0, + 177.0, + 701.0, + 468.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 474.0, + 169.0, + 824.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 623.0, + 72.0, + 709.0, + 139.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 509.0, + 123.0, + 757.0, + 448.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 511.0, + 118.0, + 903.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 701.0, + 225.0, + 792.0, + 297.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 586.0, + 280.0, + 846.0, + 627.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 589.0, + 273.0, + 999.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 846.0, + 288.0, + 936.0, + 361.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 725.0, + 345.0, + 984.0, + 688.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 727.0, + 326.0, + 1136.0, + 704.0 + ] + } + } + ], + "frame_names": [ + "00170", + "00180", + "00205", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/183ba3d652" + }, + "185bf64702": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 760.0, + 360.0, + 1112.0, + 683.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 841.0, + 288.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 441.0, + 162.0, + 1068.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 29.0, + 363.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 336.0, + 41.0, + 798.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 243.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 303.0, + 612.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/185bf64702" + }, + "18913cc690": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 642.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 636.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 620.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 596.0, + 546.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/18913cc690" + }, + "1892651815": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 213.0, + 111.0, + 554.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 283.0, + 95.0, + 571.0, + 636.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 3.0, + 156.0, + 673.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 1.0, + 232.0, + 502.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1892651815" + }, + "189ac8208a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 410.0, + 103.0, + 626.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 956.0, + 142.0, + 1279.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 440.0, + 112.0, + 653.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 914.0, + 191.0, + 1279.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 456.0, + 110.0, + 653.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 796.0, + 212.0, + 1278.0, + 672.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 460.0, + 109.0, + 653.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 648.0, + 187.0, + 1076.0, + 651.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/189ac8208a" + }, + "189b44e92c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 216.0, + 277.0, + 904.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 240.0, + 255.0, + 906.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 343.0, + 206.0, + 1125.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 387.0, + 221.0, + 950.0, + 580.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/189b44e92c" + }, + "18ac264b76": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 468.0, + 498.0, + 616.0, + 661.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 554.0, + 229.0, + 873.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 593.0, + 364.0, + 673.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 157.0, + 362.0, + 221.0, + 466.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 47.0, + 479.0, + 217.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/18ac264b76" + }, + "18b245ab49": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 620.0, + 333.0, + 982.0, + 669.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 368.0, + 364.0, + 664.0, + 517.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 5.0, + 274.0, + 359.0, + 448.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 632.0, + 142.0, + 845.0, + 372.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 610.0, + 361.0, + 947.0, + 673.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 443.0, + 361.0, + 671.0, + 518.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 70.0, + 283.0, + 429.0, + 446.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 670.0, + 158.0, + 922.0, + 389.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 368.0, + 360.0, + 811.0, + 638.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 534.0, + 353.0, + 787.0, + 488.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 117.0, + 272.0, + 464.0, + 441.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 737.0, + 154.0, + 955.0, + 395.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 258.0, + 484.0, + 646.0, + 614.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 419.0, + 325.0, + 776.0, + 518.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 134.0, + 228.0, + 447.0, + 444.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 772.0, + 108.0, + 937.0, + 396.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/18b245ab49" + }, + "18b5cebc34": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 272.0, + 0.0, + 829.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 284.0, + 0.0, + 843.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 316.0, + 0.0, + 871.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 302.0, + 0.0, + 897.0, + 533.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/18b5cebc34" + }, + "18bad52083": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 180.0, + 231.0, + 580.0, + 687.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 559.0, + 0.0, + 1019.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 192.0, + 256.0, + 580.0, + 700.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 561.0, + 0.0, + 1081.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 231.0, + 307.0, + 578.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 552.0, + 62.0, + 1008.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 258.0, + 253.0, + 563.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 604.0, + 99.0, + 983.0, + 646.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/18bad52083" + }, + "18bb5144d5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 106.0, + 6.0, + 512.0, + 375.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 126.0, + 34.0, + 536.0, + 362.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 254.0, + 11.0, + 546.0, + 329.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 314.0, + 30.0, + 673.0, + 335.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/18bb5144d5" + }, + "18c6f205c5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 353.0, + 162.0, + 380.0, + 224.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 721.0, + 155.0, + 745.0, + 212.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1089.0, + 159.0, + 1117.0, + 215.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 360.0, + 168.0, + 385.0, + 232.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 730.0, + 160.0, + 752.0, + 185.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1118.0, + 163.0, + 1149.0, + 221.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 384.0, + 172.0, + 404.0, + 234.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 743.0, + 163.0, + 767.0, + 206.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1159.0, + 165.0, + 1189.0, + 225.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 393.0, + 174.0, + 424.0, + 239.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 768.0, + 164.0, + 792.0, + 225.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1193.0, + 166.0, + 1218.0, + 225.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/18c6f205c5" + }, + "1903f9ea15": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 560.0, + 334.0, + 819.0, + 696.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 697.0, + 379.0, + 1068.0, + 719.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 378.0, + 364.0, + 622.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 356.0, + 155.0, + 588.0, + 465.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 511.0, + 196.0, + 862.0, + 719.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 176.0, + 197.0, + 633.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 408.0, + 514.0, + 647.0, + 719.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 832.0, + 601.0, + 965.0, + 719.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 335.0, + 581.0, + 460.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 59.0, + 501.0, + 306.0, + 718.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 531.0, + 628.0, + 622.0, + 719.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 1.0, + 523.0, + 130.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1903f9ea15" + }, + "1917b209f2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 498.0, + 76.0, + 831.0, + 579.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 509.0, + 301.0, + 791.0, + 719.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 762.0, + 439.0, + 1043.0, + 719.0 + ] + }, + "4": { + "category_name": "cow", + "bbox": [ + 190.0, + 347.0, + 565.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 460.0, + 63.0, + 828.0, + 536.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 509.0, + 276.0, + 879.0, + 719.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 734.0, + 441.0, + 1037.0, + 719.0 + ] + }, + "4": { + "category_name": "cow", + "bbox": [ + 226.0, + 347.0, + 553.0, + 712.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 513.0, + 40.0, + 855.0, + 518.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 513.0, + 256.0, + 871.0, + 719.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 789.0, + 413.0, + 1047.0, + 719.0 + ] + }, + "4": { + "category_name": "cow", + "bbox": [ + 211.0, + 322.0, + 571.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 529.0, + 27.0, + 864.0, + 484.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 551.0, + 235.0, + 885.0, + 719.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 770.0, + 400.0, + 1017.0, + 719.0 + ] + }, + "4": { + "category_name": "cow", + "bbox": [ + 181.0, + 316.0, + 588.0, + 684.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1917b209f2" + }, + "191e74c01d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 206.0, + 0.0, + 1243.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 96.0, + 0.0, + 1091.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 83.0, + 985.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 164.0, + 54.0, + 1185.0, + 553.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/191e74c01d" + }, + "19367bb94e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 240.0, + 189.0, + 1279.0, + 665.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 296.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 108.0, + 879.0, + 570.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 939.0, + 156.0, + 1279.0, + 501.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 723.0, + 0.0, + 1207.0, + 138.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 261.0, + 330.0, + 526.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 580.0, + 214.0, + 1279.0, + 679.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 446.0, + 62.0, + 485.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 356.0, + 260.0, + 1279.0, + 680.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19367bb94e" + }, + "193ffaa217": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 459.0, + 191.0, + 534.0, + 417.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 542.0, + 137.0, + 654.0, + 434.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 394.0, + 170.0, + 479.0, + 384.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 494.0, + 135.0, + 606.0, + 424.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 188.0, + 311.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 521.0, + 204.0, + 593.0, + 372.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 590.0, + 166.0, + 695.0, + 438.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 237.0, + 318.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 435.0, + 164.0, + 500.0, + 367.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 478.0, + 142.0, + 583.0, + 405.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 318.0, + 543.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/193ffaa217" + }, + "19696b67d3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 568.0, + 388.0, + 833.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 564.0, + 401.0, + 855.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 567.0, + 404.0, + 859.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 574.0, + 385.0, + 754.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19696b67d3" + }, + "197f3ab6f3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 526.0, + 134.0, + 887.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 520.0, + 70.0, + 775.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 538.0, + 106.0, + 823.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 542.0, + 109.0, + 821.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/197f3ab6f3" + }, + "1981e763cc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 225.0, + 288.0, + 460.0, + 716.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 851.0, + 210.0, + 998.0, + 391.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 174.0, + 284.0, + 407.0, + 715.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 880.0, + 209.0, + 1015.0, + 392.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 288.0, + 311.0, + 567.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 875.0, + 189.0, + 1019.0, + 374.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 323.0, + 211.0, + 684.0, + 655.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 822.0, + 193.0, + 979.0, + 370.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1981e763cc" + }, + "198afe39ae": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 748.0, + 359.0, + 853.0, + 545.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 366.0, + 384.0, + 497.0, + 592.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 264.0, + 527.0, + 486.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 544.0, + 289.0, + 750.0, + 515.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 544.0, + 495.0, + 623.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 671.0, + 370.0, + 864.0, + 611.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 720.0, + 598.0, + 894.0, + 644.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/198afe39ae" + }, + "19a6e62b9b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 120.0, + 845.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 64.0, + 837.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 16.0, + 0.0, + 921.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 278.0, + 167.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 294.0, + 426.0, + 1251.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19a6e62b9b" + }, + "19b60d5335": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 28.0, + 33.0, + 755.0, + 637.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 395.0, + 0.0, + 774.0, + 245.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 41.0, + 42.0, + 798.0, + 632.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 488.0, + 0.0, + 727.0, + 240.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 53.0, + 32.0, + 810.0, + 627.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 527.0, + 0.0, + 714.0, + 200.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 48.0, + 25.0, + 809.0, + 620.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 539.0, + 0.0, + 733.0, + 99.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19b60d5335" + }, + "19c00c11f9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 551.0, + 421.0, + 667.0, + 554.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 527.0, + 561.0, + 617.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 658.0, + 436.0, + 761.0, + 548.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 657.0, + 546.0, + 716.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 562.0, + 444.0, + 657.0, + 531.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 607.0, + 379.0, + 707.0, + 576.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 561.0, + 572.0, + 671.0, + 590.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19c00c11f9" + }, + "19e061eb88": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 77.0, + 381.0, + 1273.0, + 540.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 385.0, + 275.0, + 464.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 150.0, + 380.0, + 1279.0, + 534.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 395.0, + 294.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 232.0, + 367.0, + 1279.0, + 503.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 7.0, + 356.0, + 315.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 316.0, + 376.0, + 1278.0, + 508.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 370.0, + 278.0, + 441.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19e061eb88" + }, + "19e8bc6178": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 202.0, + 30.0, + 849.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 420.0, + 158.0, + 981.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 366.0, + 101.0, + 997.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 404.0, + 113.0, + 943.0, + 611.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19e8bc6178" + }, + "19ee80dac6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 577.0, + 388.0, + 630.0, + 435.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 293.0, + 473.0, + 766.0, + 655.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 691.0, + 404.0, + 997.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 475.0, + 284.0, + 550.0, + 444.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 480.0, + 438.0, + 536.0, + 468.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 129.0, + 509.0, + 633.0, + 653.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 471.0, + 143.0, + 600.0, + 355.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 518.0, + 353.0, + 597.0, + 373.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 424.0, + 372.0, + 613.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 262.0, + 269.0, + 484.0, + 451.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19ee80dac6" + }, + "1a25a9170a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 386.0, + 171.0, + 823.0, + 501.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 398.0, + 0.0, + 594.0, + 395.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 406.0, + 244.0, + 671.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 178.0, + 117.0, + 771.0, + 492.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 424.0, + 0.0, + 608.0, + 321.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 418.0, + 188.0, + 644.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 390.0, + 203.0, + 642.0, + 580.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 512.0, + 57.0, + 679.0, + 441.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 614.0, + 270.0, + 825.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 402.0, + 207.0, + 653.0, + 577.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 518.0, + 57.0, + 677.0, + 449.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 667.0, + 281.0, + 865.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00065", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a25a9170a" + }, + "1a359a6c1a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 200.0, + 351.0, + 592.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 242.0, + 315.0, + 474.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 260.0, + 312.0, + 393.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 250.0, + 313.0, + 379.0, + 428.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a359a6c1a" + }, + "1a3e87c566": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 526.0, + 148.0, + 961.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 520.0, + 149.0, + 957.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 635.0, + 88.0, + 1037.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 606.0, + 155.0, + 985.0, + 392.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a3e87c566" + }, + "1a5fe06b00": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 470.0, + 275.0, + 845.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 442.0, + 223.0, + 881.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 449.0, + 156.0, + 917.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 412.0, + 156.0, + 885.0, + 612.0 + ] + } + } + ], + "frame_names": [ + "00065", + "00075", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a5fe06b00" + }, + "1a6c0fbd1e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 474.0, + 179.0, + 703.0, + 589.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 458.0, + 566.0, + 743.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 637.0, + 150.0, + 855.0, + 568.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 673.0, + 551.0, + 879.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 777.0, + 148.0, + 823.0, + 394.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 961.0, + 499.0, + 1027.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 737.0, + 157.0, + 961.0, + 531.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 741.0, + 503.0, + 969.0, + 559.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a6c0fbd1e" + }, + "1a6f3b5a4b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 563.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 243.0, + 306.0, + 908.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 671.0, + 152.0, + 741.0, + 185.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 567.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 330.0, + 280.0, + 1000.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 684.0, + 135.0, + 778.0, + 172.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 572.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 286.0, + 299.0, + 945.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 629.0, + 150.0, + 733.0, + 188.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 573.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 216.0, + 312.0, + 876.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 551.0, + 149.0, + 675.0, + 187.0 + ] + } + } + ], + "frame_names": [ + "00130", + "00145", + "00165", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a6f3b5a4b" + }, + "1a8afbad92": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 159.0, + 436.0, + 366.0, + 557.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 473.0, + 28.0, + 510.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 605.0, + 404.0, + 793.0, + 549.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 263.0, + 436.0, + 456.0, + 580.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 968.0, + 348.0, + 1172.0, + 494.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 627.0, + 374.0, + 848.0, + 528.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 1141.0, + 365.0, + 1231.0, + 469.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 784.0, + 364.0, + 1034.0, + 542.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 3.0, + 417.0, + 203.0, + 565.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a8afbad92" + }, + "1a8bdc5842": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 624.0, + 64.0, + 1141.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 244.0, + 138.0, + 1097.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 669.0, + 67.0, + 1117.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 274.0, + 84.0, + 1107.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 675.0, + 162.0, + 1149.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 162.0, + 49.0, + 881.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 639.0, + 43.0, + 1227.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 272.0, + 110.0, + 518.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00040", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a8bdc5842" + }, + "1a95752aca": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 229.0, + 668.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 585.0, + 254.0, + 1203.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 432.0, + 680.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 434.0, + 507.0, + 567.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 481.0, + 689.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 723.0, + 350.0, + 932.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 461.0, + 664.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 712.0, + 448.0, + 876.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a95752aca" + }, + "1a9c131cb7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 356.0, + 352.0, + 693.0, + 596.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 1134.0, + 496.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 963.0, + 127.0, + 1194.0, + 367.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 385.0, + 358.0, + 684.0, + 601.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 1166.0, + 513.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 949.0, + 73.0, + 1173.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 328.0, + 336.0, + 611.0, + 609.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 1102.0, + 537.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 935.0, + 64.0, + 1157.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 341.0, + 339.0, + 626.0, + 628.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 1052.0, + 565.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 928.0, + 60.0, + 1152.0, + 394.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a9c131cb7" + }, + "1aa3da3ee3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 1006.0, + 374.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 577.0, + 321.0, + 1009.0, + 719.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 355.0, + 341.0, + 626.0, + 719.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 310.0, + 337.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1210.0, + 345.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 715.0, + 315.0, + 1106.0, + 719.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 640.0, + 341.0, + 777.0, + 719.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 347.0, + 322.0, + 672.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1168.0, + 347.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 868.0, + 341.0, + 1174.0, + 719.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 792.0, + 329.0, + 937.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 1064.0, + 328.0, + 1279.0, + 719.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 956.0, + 325.0, + 1138.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1aa3da3ee3" + }, + "1ab27ec7ea": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 188.0, + 122.0, + 372.0, + 316.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 231.0, + 108.0, + 370.0, + 318.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 268.0, + 50.0, + 401.0, + 279.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 244.0, + 98.0, + 377.0, + 316.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ab27ec7ea" + }, + "1abf16d21d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 668.0, + 200.0, + 895.0, + 323.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 630.0, + 171.0, + 911.0, + 293.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 603.0, + 205.0, + 925.0, + 315.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 705.0, + 482.0, + 887.0, + 674.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1abf16d21d" + }, + "1acd0f993b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 383.0, + 399.0, + 697.0, + 634.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 370.0, + 458.0, + 423.0, + 508.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 20.0, + 65.0, + 258.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 299.0, + 462.0, + 688.0, + 644.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 308.0, + 511.0, + 348.0, + 567.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 18.0, + 73.0, + 220.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 358.0, + 421.0, + 720.0, + 636.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 328.0, + 498.0, + 396.0, + 571.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 72.0, + 114.0, + 380.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 270.0, + 368.0, + 595.0, + 636.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 239.0, + 438.0, + 310.0, + 491.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 52.0, + 84.0, + 260.0, + 637.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1acd0f993b" + }, + "1ad202e499": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 404.0, + 0.0, + 1175.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 446.0, + 62.0, + 1130.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 544.0, + 56.0, + 1013.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 530.0, + 0.0, + 873.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 524.0, + 38.0, + 1023.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 503.0, + 0.0, + 859.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 478.0, + 38.0, + 977.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 472.0, + 0.0, + 821.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ad202e499" + }, + "1af8d2395d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 780.0, + 326.0, + 1107.0, + 456.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 772.0, + 196.0, + 1082.0, + 386.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 567.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 505.0, + 254.0, + 836.0, + 582.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 370.0, + 3.0, + 1131.0, + 561.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 45.0, + 108.0, + 213.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 358.0, + 290.0, + 777.0, + 696.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 306.0, + 136.0, + 1117.0, + 648.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 785.0, + 0.0, + 905.0, + 19.0 + ] + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 366.0, + 257.0, + 891.0, + 636.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 328.0, + 110.0, + 907.0, + 577.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1af8d2395d" + }, + "1afd39a1fa": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 649.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 597.0, + 1224.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 628.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 4.0, + 570.0, + 1195.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 577.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 31.0, + 511.0, + 1264.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 1087.0, + 551.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 1.0, + 530.0, + 1151.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1afd39a1fa" + }, + "1b2d31306f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 155.0, + 969.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 65.0, + 971.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 60.0, + 973.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 64.0, + 973.0, + 601.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b2d31306f" + }, + "1b3fa67f0e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 231.0, + 250.0, + 1076.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 264.0, + 276.0, + 1149.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 258.0, + 252.0, + 1161.0, + 466.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 211.0, + 239.0, + 1211.0, + 465.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b3fa67f0e" + }, + "1b43fa74b4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 542.0, + 159.0, + 869.0, + 489.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 522.0, + 313.0, + 751.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 557.0, + 149.0, + 877.0, + 491.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 508.0, + 300.0, + 746.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 553.0, + 155.0, + 863.0, + 484.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 523.0, + 303.0, + 756.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 556.0, + 154.0, + 865.0, + 481.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 514.0, + 307.0, + 749.0, + 618.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b43fa74b4" + }, + "1b73ea9fc2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 419.0, + 254.0, + 993.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 575.0, + 186.0, + 854.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 528.0, + 202.0, + 827.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 473.0, + 194.0, + 822.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b73ea9fc2" + }, + "1b7e8bb255": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 731.0, + 187.0, + 782.0, + 239.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 695.0, + 224.0, + 841.0, + 518.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 673.0, + 500.0, + 765.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 751.0, + 188.0, + 803.0, + 250.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 763.0, + 221.0, + 871.0, + 535.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 753.0, + 514.0, + 837.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 544.0, + 113.0, + 585.0, + 146.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 467.0, + 142.0, + 626.0, + 459.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 484.0, + 451.0, + 583.0, + 490.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 486.0, + 82.0, + 534.0, + 126.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 391.0, + 114.0, + 581.0, + 440.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 371.0, + 420.0, + 520.0, + 469.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b7e8bb255" + }, + "1b8680f8cd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 687.0, + 454.0, + 716.0, + 484.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 714.0, + 423.0, + 780.0, + 606.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 512.0, + 340.0, + 568.0, + 392.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 947.0, + 577.0, + 969.0, + 600.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 836.0, + 446.0, + 976.0, + 674.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 435.0, + 338.0, + 464.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 927.0, + 402.0, + 960.0, + 447.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 935.0, + 442.0, + 1013.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 444.0, + 339.0, + 477.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 992.0, + 556.0, + 1013.0, + 584.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 995.0, + 497.0, + 1133.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 436.0, + 333.0, + 461.0, + 397.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b8680f8cd" + }, + "1b883843c0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 270.0, + 82.0, + 999.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 240.0, + 94.0, + 668.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 228.0, + 116.0, + 1039.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 142.0, + 204.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 270.0, + 156.0, + 925.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 143.0, + 174.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 254.0, + 207.0, + 809.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 298.0, + 116.0, + 607.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b883843c0" + }, + "1b8898785b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 651.0, + 89.0, + 732.0, + 573.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 780.0, + 4.0, + 900.0, + 139.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 626.0, + 108.0, + 797.0, + 531.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 798.0, + 16.0, + 918.0, + 157.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 665.0, + 115.0, + 874.0, + 489.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 781.0, + 28.0, + 902.0, + 164.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 573.0, + 310.0, + 854.0, + 596.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 757.0, + 0.0, + 875.0, + 116.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b8898785b" + }, + "1b88ba1aa4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 599.0, + 284.0, + 1024.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 599.0, + 290.0, + 1026.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 601.0, + 289.0, + 1026.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 599.0, + 296.0, + 1022.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b88ba1aa4" + }, + "1b96a498e5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 275.0, + 59.0, + 1138.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 126.0, + 0.0, + 1277.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 75.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 102.0, + 28.0, + 785.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b96a498e5" + }, + "1bbc4c274f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "fish", + "bbox": [ + 517.0, + 363.0, + 551.0, + 396.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "fish", + "bbox": [ + 334.0, + 428.0, + 415.0, + 473.0 + ] + } + }, + { + "1": {}, + "2": {} + }, + { + "1": {}, + "2": {} + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1bbc4c274f" + }, + "1bd87fe9ab": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1274.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00100", + "00105", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1bd87fe9ab" + }, + "1c4090c75b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 131.0, + 66.0, + 655.0, + 238.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 402.0, + 79.0, + 649.0, + 157.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 190.0, + 37.0, + 707.0, + 154.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 250.0, + 40.0, + 757.0, + 201.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00085", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1c4090c75b" + }, + "1c41934f84": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 512.0, + 92.0, + 963.0, + 580.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 424.0, + 134.0, + 698.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 527.0, + 77.0, + 907.0, + 577.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 413.0, + 108.0, + 697.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 511.0, + 59.0, + 896.0, + 552.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 397.0, + 83.0, + 796.0, + 469.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 515.0, + 65.0, + 919.0, + 562.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 436.0, + 125.0, + 822.0, + 489.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1c41934f84" + }, + "1c72b04b56": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 127.0, + 10.0, + 1279.0, + 718.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 17.0, + 33.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 99.0, + 97.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 201.0, + 62.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1c72b04b56" + }, + "1c87955a3a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 33.0, + 167.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 491.0, + 38.0, + 1057.0, + 296.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 37.0, + 172.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 484.0, + 39.0, + 1059.0, + 299.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 51.0, + 173.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 504.0, + 41.0, + 1078.0, + 307.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 70.0, + 180.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 497.0, + 47.0, + 1078.0, + 316.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1c87955a3a" + }, + "1c9f9eb792": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 645.0, + 492.0, + 695.0, + 534.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 621.0, + 375.0, + 717.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 654.0, + 532.0, + 736.0, + 619.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 625.0, + 354.0, + 774.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 691.0, + 588.0, + 838.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 641.0, + 352.0, + 881.0, + 677.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1147.0, + 471.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1c9f9eb792" + }, + "1ca240fede": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 773.0, + 28.0, + 1243.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 753.0, + 13.0, + 1241.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 721.0, + 27.0, + 1237.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 703.0, + 4.0, + 1241.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ca240fede" + }, + "1ca5673803": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1176.0, + 338.0, + 1243.0, + 531.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 1135.0, + 386.0, + 1177.0, + 416.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 405.0, + 309.0, + 444.0, + 369.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1038.0, + 327.0, + 1103.0, + 516.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 1042.0, + 390.0, + 1075.0, + 433.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 428.0, + 305.0, + 454.0, + 360.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1006.0, + 314.0, + 1101.0, + 509.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 980.0, + 322.0, + 1011.0, + 350.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 429.0, + 301.0, + 453.0, + 362.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 937.0, + 400.0, + 1069.0, + 626.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 473.0, + 303.0, + 496.0, + 355.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ca5673803" + }, + "1cada35274": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 534.0, + 330.0, + 1097.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 429.0, + 400.0, + 950.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 303.0, + 131.0, + 1112.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 56.0, + 211.0, + 1060.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1cada35274" + }, + "1cb44b920d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 522.0, + 213.0, + 1269.0, + 529.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 411.0, + 441.0, + 606.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 537.0, + 210.0, + 1269.0, + 537.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 428.0, + 320.0, + 615.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 436.0, + 230.0, + 1269.0, + 509.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 414.0, + 443.0, + 658.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 458.0, + 149.0, + 1269.0, + 503.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 368.0, + 426.0, + 640.0, + 562.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1cb44b920d" + }, + "1cd10e62be": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 135.0, + 851.0, + 695.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 13.0, + 765.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 4.0, + 713.0, + 694.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 22.0, + 717.0, + 670.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00070", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1cd10e62be" + }, + "1d3087d5e5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 470.0, + 370.0, + 486.0, + 421.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 715.0, + 308.0, + 753.0, + 371.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 749.0, + 194.0, + 771.0, + 270.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 942.0, + 277.0, + 999.0, + 352.0 + ] + }, + "5": { + "category_name": "fish", + "bbox": [ + 928.0, + 392.0, + 960.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 455.0, + 382.0, + 487.0, + 437.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 717.0, + 333.0, + 750.0, + 395.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 742.0, + 211.0, + 767.0, + 285.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 921.0, + 285.0, + 981.0, + 357.0 + ] + }, + "5": { + "category_name": "fish", + "bbox": [ + 892.0, + 398.0, + 927.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 463.0, + 379.0, + 504.0, + 434.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 717.0, + 338.0, + 750.0, + 400.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 742.0, + 220.0, + 767.0, + 295.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 903.0, + 279.0, + 960.0, + 356.0 + ] + }, + "5": { + "category_name": "fish", + "bbox": [ + 864.0, + 397.0, + 902.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 508.0, + 378.0, + 547.0, + 433.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 734.0, + 307.0, + 755.0, + 371.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 770.0, + 222.0, + 785.0, + 297.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 896.0, + 285.0, + 961.0, + 364.0 + ] + }, + "5": { + "category_name": "fish", + "bbox": [ + 864.0, + 411.0, + 912.0, + 452.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1d3087d5e5" + }, + "1d3685150a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 319.0, + 347.0, + 409.0, + 630.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 519.0, + 109.0, + 646.0, + 456.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 643.0, + 350.0, + 759.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 328.0, + 290.0, + 435.0, + 582.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 499.0, + 22.0, + 635.0, + 394.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 734.0, + 279.0, + 831.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 325.0, + 276.0, + 437.0, + 567.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 485.0, + 7.0, + 625.0, + 383.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 722.0, + 269.0, + 848.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 378.0, + 303.0, + 483.0, + 610.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 488.0, + 24.0, + 629.0, + 413.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 793.0, + 311.0, + 949.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1d3685150a" + }, + "1d6ff083aa": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 392.0, + 66.0, + 847.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 366.0, + 0.0, + 491.0, + 89.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 398.0, + 151.0, + 1099.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 358.0, + 1.0, + 544.0, + 195.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 400.0, + 328.0, + 1215.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 396.0, + 0.0, + 564.0, + 223.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 424.0, + 268.0, + 1181.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 385.0, + 0.0, + 587.0, + 188.0 + ] + } + } + ], + "frame_names": [ + "00060", + "00085", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1d6ff083aa" + }, + "1d746352a6": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 14.0, + 236.0, + 490.0, + 625.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 571.0, + 356.0, + 898.0, + 623.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 807.0, + 375.0, + 957.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 13.0, + 245.0, + 502.0, + 623.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 459.0, + 323.0, + 759.0, + 613.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 621.0, + 370.0, + 957.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 13.0, + 242.0, + 564.0, + 622.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 409.0, + 285.0, + 741.0, + 574.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 624.0, + 324.0, + 856.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 160.0, + 232.0, + 785.0, + 610.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 391.0, + 450.0, + 535.0, + 557.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 645.0, + 359.0, + 698.0, + 534.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1d746352a6" + }, + "1da256d146": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 300.0, + 103.0, + 883.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 268.0, + 105.0, + 865.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 282.0, + 96.0, + 843.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 294.0, + 89.0, + 875.0, + 540.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1da256d146" + }, + "1da4e956b1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 626.0, + 201.0, + 794.0, + 483.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 708.0, + 480.0, + 781.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 588.0, + 0.0, + 849.0, + 527.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 641.0, + 480.0, + 945.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 584.0, + 172.0, + 783.0, + 485.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 612.0, + 465.0, + 687.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 569.0, + 235.0, + 620.0, + 389.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 575.0, + 381.0, + 616.0, + 398.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1da4e956b1" + }, + "1daf812218": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 91.0, + 804.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 26.0, + 706.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 48.0, + 704.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 36.0, + 744.0, + 715.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1daf812218" + }, + "1dba687bce": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 314.0, + 133.0, + 1101.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 348.0, + 56.0, + 1201.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 200.0, + 130.0, + 1017.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 422.0, + 22.0, + 1209.0, + 623.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1dba687bce" + }, + "1dce57d05d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 569.0, + 110.0, + 1079.0, + 541.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 584.0, + 123.0, + 1094.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 537.0, + 76.0, + 1049.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 572.0, + 65.0, + 1090.0, + 500.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1dce57d05d" + }, + "1de4a9e537": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 344.0, + 86.0, + 816.0, + 611.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 392.0, + 182.0, + 730.0, + 457.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1046.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 379.0, + 162.0, + 709.0, + 393.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 878.0, + 0.0, + 1274.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 391.0, + 144.0, + 724.0, + 374.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 896.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1de4a9e537" + }, + "1dec5446c8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 705.0, + 208.0, + 790.0, + 286.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 705.0, + 275.0, + 792.0, + 310.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 886.0, + 231.0, + 986.0, + 336.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 805.0, + 316.0, + 973.0, + 367.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1015.0, + 300.0, + 1118.0, + 396.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 1039.0, + 382.0, + 1149.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 923.0, + 200.0, + 1022.0, + 316.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 819.0, + 251.0, + 998.0, + 335.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1dec5446c8" + }, + "1dfbe6f586": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 627.0, + 195.0, + 916.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 636.0, + 163.0, + 974.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 393.0, + 185.0, + 809.0, + 708.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 225.0, + 269.0, + 728.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1dfbe6f586" + }, + "1e1a18c45a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 187.0, + 177.0, + 486.0, + 523.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 517.0, + 216.0, + 877.0, + 458.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 198.0, + 108.0, + 518.0, + 371.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 506.0, + 318.0, + 837.0, + 587.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 344.0, + 0.0, + 643.0, + 309.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 659.0, + 461.0, + 967.0, + 675.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 14.0, + 310.0, + 221.0 + ] + }, + "4": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 466.0, + 115.0, + 777.0, + 447.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 913.0, + 596.0, + 1131.0, + 719.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 148.0, + 90.0, + 490.0, + 356.0 + ] + }, + "4": { + "category_name": "earless_seal", + "bbox": [ + 973.0, + 41.0, + 1279.0, + 138.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1e1a18c45a" + }, + "1e1e42529d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 354.0, + 277.0, + 682.0, + 553.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 648.0, + 278.0, + 1000.0, + 543.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 982.0, + 290.0, + 1279.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 334.0, + 285.0, + 667.0, + 551.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 608.0, + 276.0, + 949.0, + 546.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 959.0, + 290.0, + 1279.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 207.0, + 289.0, + 558.0, + 557.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 467.0, + 283.0, + 867.0, + 566.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 874.0, + 300.0, + 1193.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 253.0, + 403.0, + 532.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 366.0, + 255.0, + 747.0, + 541.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 763.0, + 277.0, + 1069.0, + 539.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1e1e42529d" + }, + "1e4be70796": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 806.0, + 70.0, + 1165.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 208.0, + 80.0, + 907.0, + 719.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 27.0, + 362.0, + 234.0, + 483.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1192.0, + 327.0, + 1277.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 790.0, + 74.0, + 1145.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 214.0, + 82.0, + 894.0, + 719.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 40.0, + 366.0, + 251.0, + 486.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1208.0, + 328.0, + 1277.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 791.0, + 87.0, + 1111.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 200.0, + 96.0, + 856.0, + 719.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 31.0, + 372.0, + 238.0, + 494.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1204.0, + 336.0, + 1277.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 747.0, + 100.0, + 1074.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 207.0, + 96.0, + 860.0, + 719.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 20.0, + 377.0, + 233.0, + 500.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1198.0, + 333.0, + 1277.0, + 493.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1e4be70796" + }, + "1eb60959c8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 19 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 277.0, + 278.0, + 561.0, + 696.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 525.0, + 0.0, + 911.0, + 344.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 618.0, + 235.0, + 1023.0, + 607.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 517.0, + 2.0, + 892.0, + 338.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 648.0, + 205.0, + 1086.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 514.0, + 0.0, + 882.0, + 320.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 322.0, + 746.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 525.0, + 0.0, + 890.0, + 318.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1eb60959c8" + }, + "1ec8b2566b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 529.0, + 265.0, + 724.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 511.0, + 250.0, + 708.0, + 489.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 521.0, + 262.0, + 706.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 529.0, + 270.0, + 698.0, + 503.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ec8b2566b" + }, + "1ecdc2941c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 81.0, + 113.0, + 902.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 280.0, + 126.0, + 959.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 127.0, + 79.0, + 850.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 78.0, + 703.0, + 504.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ecdc2941c" + }, + "1ee0ac70ff": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 399.0, + 126.0, + 1194.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 366.0, + 100.0, + 1245.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 360.0, + 84.0, + 1255.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 346.0, + 26.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ee0ac70ff" + }, + "1ef8e17def": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 237.0, + 330.0, + 952.0, + 403.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 519.0, + 3.0, + 536.0, + 26.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 859.0, + 0.0, + 934.0, + 21.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 243.0, + 327.0, + 944.0, + 403.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 511.0, + 0.0, + 531.0, + 25.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 901.0, + 0.0, + 947.0, + 21.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 260.0, + 326.0, + 961.0, + 403.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 485.0, + 1.0, + 506.0, + 37.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 1106.0, + 32.0, + 1126.0, + 52.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 274.0, + 326.0, + 963.0, + 403.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 443.0, + 14.0, + 467.0, + 57.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 1120.0, + 42.0, + 1160.0, + 61.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ef8e17def" + }, + "1f1a2a9fc0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 387.0, + 224.0, + 439.0, + 261.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 359.0, + 247.0, + 465.0, + 495.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 893.0, + 111.0, + 988.0, + 348.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 373.0, + 291.0, + 422.0, + 334.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 338.0, + 332.0, + 457.0, + 563.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 850.0, + 188.0, + 935.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 418.0, + 308.0, + 479.0, + 341.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 411.0, + 330.0, + 510.0, + 521.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 824.0, + 208.0, + 934.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 427.0, + 286.0, + 483.0, + 324.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 426.0, + 306.0, + 532.0, + 550.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 726.0, + 177.0, + 870.0, + 433.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00085", + "00105", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f1a2a9fc0" + }, + "1f1beb8daa": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 295.0, + 179.0, + 1279.0, + 592.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 251.0, + 165.0, + 1279.0, + 556.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 127.0, + 108.0, + 1279.0, + 601.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 848.0, + 220.0, + 1279.0, + 537.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00070", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f1beb8daa" + }, + "1f2609ee13": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 514.0, + 151.0, + 1125.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 391.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 306.0, + 156.0, + 1195.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 419.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 170.0, + 177.0, + 1179.0, + 639.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 505.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 92.0, + 237.0, + 1123.0, + 689.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 534.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f2609ee13" + }, + "1f3876f8d0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 395.0, + 190.0, + 699.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 402.0, + 232.0, + 731.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 263.0, + 230.0, + 650.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 205.0, + 193.0, + 600.0, + 463.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f3876f8d0" + }, + "1f4ec0563d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1000.0, + 311.0, + 1130.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1029.0, + 314.0, + 1091.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1059.0, + 276.0, + 1122.0, + 408.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 531.0, + 143.0, + 865.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f4ec0563d" + }, + "1f64955634": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 398.0, + 150.0, + 939.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 410.0, + 334.0, + 741.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 685.0, + 272.0, + 981.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 677.0, + 251.0, + 1091.0, + 492.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f64955634" + }, + "1f7d31b5b2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 408.0, + 41.0, + 811.0, + 383.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 558.0, + 315.0, + 780.0, + 683.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 396.0, + 0.0, + 909.0, + 186.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 431.0, + 42.0, + 849.0, + 398.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 606.0, + 329.0, + 865.0, + 695.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 484.0, + 0.0, + 1003.0, + 191.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 362.0, + 46.0, + 889.0, + 456.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 576.0, + 413.0, + 821.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1061.0, + 0.0, + 1279.0, + 283.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 340.0, + 23.0, + 915.0, + 472.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 542.0, + 446.0, + 791.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f7d31b5b2" + }, + "1f8014b7fd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 646.0, + 413.0, + 724.0, + 489.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 453.0, + 355.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 200.0, + 98.0, + 294.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 746.0, + 505.0, + 818.0, + 583.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 42.0, + 0.0, + 568.0, + 430.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 108.0, + 279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 837.0, + 583.0, + 908.0, + 661.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 120.0, + 0.0, + 655.0, + 555.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 26.0, + 60.0, + 269.0, + 559.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 107.0, + 309.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 914.0, + 659.0, + 990.0, + 716.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 220.0, + 65.0, + 734.0, + 614.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 82.0, + 129.0, + 346.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 577.0, + 143.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f8014b7fd" + }, + "1f9c7d10f1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 524.0, + 0.0, + 1279.0, + 401.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 781.0, + 109.0, + 1279.0, + 483.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 921.0, + 104.0, + 1279.0, + 318.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 701.0, + 250.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f9c7d10f1" + }, + "1fa350df76": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 623.0, + 266.0, + 1024.0, + 658.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 324.0, + 369.0, + 559.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 353.0, + 272.0, + 492.0, + 347.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 623.0, + 266.0, + 1024.0, + 658.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 324.0, + 369.0, + 559.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 247.0, + 263.0, + 437.0, + 341.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 623.0, + 266.0, + 1024.0, + 658.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 324.0, + 369.0, + 559.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 179.0, + 256.0, + 364.0, + 333.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 623.0, + 266.0, + 1024.0, + 658.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 324.0, + 369.0, + 559.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 45.0, + 242.0, + 226.0, + 318.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1fa350df76" + }, + "1fc9538993": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 562.0, + 61.0, + 828.0, + 575.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 529.0, + 539.0, + 866.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 433.0, + 19.0, + 823.0, + 562.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 394.0, + 513.0, + 708.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 538.0, + 31.0, + 800.0, + 544.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 621.0, + 525.0, + 775.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 145.0, + 787.0, + 457.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 490.0, + 357.0, + 742.0, + 486.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1fc9538993" + }, + "1fe2f0ec59": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 177.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 153.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 111.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 123.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1fe2f0ec59" + }, + "2000c02f9d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 709.0, + 352.0, + 864.0, + 407.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 657.0, + 73.0, + 904.0, + 390.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 651.0, + 315.0, + 808.0, + 387.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 617.0, + 47.0, + 872.0, + 373.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 553.0, + 348.0, + 711.0, + 463.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 572.0, + 0.0, + 756.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 454.0, + 527.0, + 765.0, + 706.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 454.0, + 0.0, + 877.0, + 665.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2000c02f9d" + }, + "20142b2f05": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 41.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 208.0, + 1135.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 258.0, + 937.0, + 519.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/20142b2f05" + }, + "201a8d75e5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 453.0, + 202.0, + 1190.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 447.0, + 189.0, + 1279.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 334.0, + 86.0, + 1279.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 300.0, + 0.0, + 1279.0, + 587.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/201a8d75e5" + }, + "2023b3ee4f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 551.0, + 220.0, + 871.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 549.0, + 253.0, + 886.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 554.0, + 257.0, + 884.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 484.0, + 246.0, + 856.0, + 472.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2023b3ee4f" + }, + "202b767bbc": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 309.0, + 68.0, + 1013.0, + 534.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1009.0, + 309.0, + 1048.0, + 399.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 302.0, + 59.0, + 954.0, + 551.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 938.0, + 326.0, + 985.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 286.0, + 52.0, + 902.0, + 580.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 899.0, + 336.0, + 935.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 272.0, + 27.0, + 840.0, + 634.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 834.0, + 356.0, + 862.0, + 597.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/202b767bbc" + }, + "203594a418": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 400.0, + 60.0, + 1192.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 586.0, + 42.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 383.0, + 20.0, + 1046.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 349.0, + 14.0, + 990.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/203594a418" + }, + "2038987336": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 288.0, + 36.0, + 811.0, + 591.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 294.0, + 12.0, + 695.0, + 687.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 322.0, + 0.0, + 527.0, + 74.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 384.0, + 84.0, + 735.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 331.0, + 0.0, + 618.0, + 90.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 344.0, + 248.0, + 659.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 384.0, + 0.0, + 725.0, + 251.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2038987336" + }, + "2039c3aecb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 296.0, + 297.0, + 366.0, + 521.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 394.0, + 281.0, + 458.0, + 469.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 900.0, + 316.0, + 1126.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 256.0, + 278.0, + 343.0, + 520.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 373.0, + 267.0, + 447.0, + 464.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1030.0, + 280.0, + 1238.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 240.0, + 286.0, + 325.0, + 542.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 348.0, + 277.0, + 427.0, + 483.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 181.0, + 295.0, + 270.0, + 568.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 310.0, + 288.0, + 389.0, + 500.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1038.0, + 296.0, + 1220.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2039c3aecb" + }, + "204a90d81f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 411.0, + 0.0, + 1279.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 428.0, + 0.0, + 1279.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 419.0, + 0.0, + 1279.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 431.0, + 0.0, + 1279.0, + 520.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/204a90d81f" + }, + "207bc6cf01": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 304.0, + 55.0, + 861.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 294.0, + 109.0, + 849.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 290.0, + 106.0, + 833.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 284.0, + 113.0, + 827.0, + 576.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/207bc6cf01" + }, + "208833d1d1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 341.0, + 270.0, + 942.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 289.0, + 189.0, + 964.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 287.0, + 117.0, + 785.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 286.0, + 82.0, + 786.0, + 523.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/208833d1d1" + }, + "20e3e52e0a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 364.0, + 380.0, + 510.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 434.0, + 404.0, + 598.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 542.0, + 480.0, + 697.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 713.0, + 516.0, + 871.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/20e3e52e0a" + }, + "2117fa0c14": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 120.0, + 784.0, + 707.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 707.0, + 270.0, + 1100.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 121.0, + 767.0, + 706.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 705.0, + 264.0, + 1055.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 161.0, + 686.0, + 710.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 611.0, + 287.0, + 1049.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 165.0, + 812.0, + 707.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 608.0, + 298.0, + 1004.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2117fa0c14" + }, + "211bc5d102": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 369.0, + 67.0, + 1216.0, + 604.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 6.0, + 130.0, + 911.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 363.0, + 49.0, + 1244.0, + 575.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 428.0, + 136.0, + 1247.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 134.0, + 0.0, + 998.0, + 600.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 410.0, + 278.0, + 1247.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 232.0, + 0.0, + 992.0, + 574.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 536.0, + 321.0, + 1279.0, + 551.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/211bc5d102" + }, + "2120d9c3c3": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 558.0, + 244.0, + 751.0, + 560.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 336.0, + 278.0, + 507.0, + 529.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 129.0, + 165.0, + 285.0, + 439.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 695.0, + 270.0, + 918.0, + 615.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 465.0, + 301.0, + 661.0, + 589.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 221.0, + 183.0, + 417.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 888.0, + 298.0, + 1152.0, + 664.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 627.0, + 345.0, + 853.0, + 644.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 368.0, + 211.0, + 604.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1025.0, + 285.0, + 1279.0, + 686.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 737.0, + 312.0, + 975.0, + 669.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 448.0, + 252.0, + 761.0, + 551.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2120d9c3c3" + }, + "2125235a49": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 12.0, + 1055.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 226.0, + 136.0, + 908.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 100.0, + 995.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 121.0, + 175.0, + 759.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2125235a49" + }, + "21386f5978": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1074.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/21386f5978" + }, + "2142af8795": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 446.0, + 0.0, + 1279.0, + 456.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 136.0, + 120.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 306.0, + 0.0, + 1279.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 216.0, + 154.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2142af8795" + }, + "215dfc0f73": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 453.0, + 500.0, + 714.0, + 665.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 157.0, + 556.0, + 478.0, + 687.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 465.0, + 501.0, + 732.0, + 663.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 170.0, + 555.0, + 492.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 475.0, + 501.0, + 739.0, + 654.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 180.0, + 552.0, + 497.0, + 679.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 483.0, + 502.0, + 747.0, + 664.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 183.0, + 551.0, + 505.0, + 709.0 + ] + } + } + ], + "frame_names": [ + "00130", + "00140", + "00165", + "00175" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/215dfc0f73" + }, + "217bae91e5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 784.0, + 81.0, + 890.0, + 207.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 751.0, + 64.0, + 809.0, + 230.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 824.0, + 206.0, + 978.0, + 416.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 910.0, + 353.0, + 975.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 631.0, + 336.0, + 833.0, + 486.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 552.0, + 453.0, + 772.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 723.0, + 243.0, + 879.0, + 367.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 655.0, + 273.0, + 765.0, + 378.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/217bae91e5" + }, + "217c0d44e4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 487.0, + 18.0, + 889.0, + 580.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 514.0, + 256.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 595.0, + 20.0, + 960.0, + 703.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 762.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 586.0, + 0.0, + 948.0, + 670.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 720.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 104.0, + 74.0, + 840.0, + 508.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 352.0, + 203.0, + 655.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/217c0d44e4" + }, + "219057c87b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 488.0, + 197.0, + 803.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 498.0, + 177.0, + 732.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 573.0, + 135.0, + 855.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 564.0, + 193.0, + 849.0, + 598.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/219057c87b" + }, + "21d0edbf81": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 1082.0, + 246.0, + 1156.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 1031.0, + 248.0, + 1095.0, + 369.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 859.0, + 208.0, + 907.0, + 314.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 778.0, + 199.0, + 824.0, + 306.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/21d0edbf81" + }, + "21df87ad76": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 288.0, + 344.0, + 408.0, + 633.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 274.0, + 309.0, + 340.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 219.0, + 328.0, + 346.0, + 645.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 277.0, + 298.0, + 343.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 146.0, + 325.0, + 292.0, + 660.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 259.0, + 295.0, + 313.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 105.0, + 321.0, + 229.0, + 676.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 192.0, + 295.0, + 291.0, + 571.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/21df87ad76" + }, + "21f1d089f5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 923.0, + 459.0, + 1097.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 886.0, + 450.0, + 1060.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 858.0, + 468.0, + 1013.0, + 586.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 859.0, + 453.0, + 1001.0, + 568.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/21f1d089f5" + }, + "21f4019116": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 933.0, + 341.0, + 1203.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 738.0, + 344.0, + 943.0, + 688.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 352.0, + 319.0, + 560.0, + 592.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 279.0, + 317.0, + 385.0, + 544.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 143.0, + 291.0, + 267.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1211.0, + 510.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 857.0, + 371.0, + 1183.0, + 719.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 592.0, + 308.0, + 834.0, + 646.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 328.0, + 319.0, + 449.0, + 576.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 142.0, + 301.0, + 279.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1041.0, + 467.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 788.0, + 312.0, + 1059.0, + 686.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 380.0, + 325.0, + 584.0, + 617.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 170.0, + 293.0, + 302.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 1149.0, + 473.0, + 1279.0, + 719.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 801.0, + 342.0, + 1061.0, + 695.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 310.0, + 312.0, + 454.0, + 584.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/21f4019116" + }, + "222597030f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 404.0, + 423.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 922.0, + 310.0, + 1279.0, + 621.0 + ] + }, + "3": { + "category_name": "bike", + "bbox": [ + 406.0, + 457.0, + 957.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 400.0, + 421.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 925.0, + 319.0, + 1277.0, + 623.0 + ] + }, + "3": { + "category_name": "bike", + "bbox": [ + 407.0, + 456.0, + 964.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 391.0, + 412.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 914.0, + 306.0, + 1279.0, + 633.0 + ] + }, + "3": { + "category_name": "bike", + "bbox": [ + 397.0, + 446.0, + 953.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 345.0, + 409.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 906.0, + 295.0, + 1279.0, + 612.0 + ] + }, + "3": { + "category_name": "bike", + "bbox": [ + 404.0, + 437.0, + 953.0, + 536.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/222597030f" + }, + "222904eb5b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 576.0, + 195.0, + 780.0, + 392.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 584.0, + 161.0, + 858.0, + 517.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 107.0, + 353.0, + 1267.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 525.0, + 238.0, + 751.0, + 421.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 435.0, + 275.0, + 869.0, + 517.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 49.0, + 394.0, + 1267.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 506.0, + 242.0, + 704.0, + 431.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 578.0, + 240.0, + 724.0, + 400.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 3.0, + 399.0, + 1214.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 528.0, + 241.0, + 730.0, + 427.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 726.0, + 243.0, + 826.0, + 354.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 3.0, + 391.0, + 1221.0, + 505.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/222904eb5b" + }, + "223a0e0657": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 941.0, + 231.0, + 981.0, + 258.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 960.0, + 253.0, + 998.0, + 273.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 222.0, + 628.0, + 283.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 577.0, + 266.0, + 641.0, + 310.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 723.0, + 342.0, + 757.0, + 419.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 721.0, + 402.0, + 784.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 571.0, + 345.0, + 608.0, + 422.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 566.0, + 412.0, + 620.0, + 431.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/223a0e0657" + }, + "223bd973ab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 500.0, + 227.0, + 1279.0, + 591.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 258.0, + 1181.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 364.0, + 482.0, + 1237.0, + 575.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 253.0, + 1279.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 214.0, + 261.0, + 1279.0, + 577.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 544.0, + 0.0, + 1279.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 432.0, + 257.0, + 1279.0, + 568.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/223bd973ab" + }, + "224e7c833e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 286.0, + 38.0, + 873.0, + 670.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 226.0, + 691.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 340.0, + 63.0, + 787.0, + 680.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 86.0, + 118.0, + 246.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 240.0, + 64.0, + 723.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 144.0, + 32.0, + 833.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/224e7c833e" + }, + "225aba51d9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 179.0, + 37.0, + 439.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 385.0, + 207.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 146.0, + 34.0, + 412.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 363.0, + 218.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 142.0, + 15.0, + 492.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 361.0, + 216.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 152.0, + 24.0, + 432.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 365.0, + 218.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/225aba51d9" + }, + "2261d421ea": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 305.0, + 152.0, + 826.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 249.0, + 159.0, + 774.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 183.0, + 148.0, + 714.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 159.0, + 150.0, + 678.0, + 550.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2261d421ea" + }, + "2263a8782b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 533.0, + 250.0, + 647.0, + 417.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 573.0, + 411.0, + 645.0, + 458.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 535.0, + 239.0, + 681.0, + 423.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 491.0, + 408.0, + 643.0, + 463.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 538.0, + 236.0, + 666.0, + 399.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 501.0, + 384.0, + 636.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 477.0, + 328.0, + 559.0, + 437.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 486.0, + 431.0, + 563.0, + 451.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2263a8782b" + }, + "2268cb1ffd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 370.0, + 98.0, + 593.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 377.0, + 92.0, + 600.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 366.0, + 111.0, + 605.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 406.0, + 119.0, + 600.0, + 581.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2268cb1ffd" + }, + "2268e93b0a": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 192.0, + 121.0, + 1205.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 62.0, + 140.0, + 1191.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 6.0, + 165.0, + 1223.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 52.0, + 175.0, + 1157.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2268e93b0a" + }, + "2293c99f3f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 142.0, + 930.0, + 719.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 932.0, + 199.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 143.0, + 402.0, + 672.0, + 719.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 778.0, + 438.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 29.0, + 530.0, + 127.0, + 699.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 303.0, + 117.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1139.0, + 673.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 193.0, + 296.0, + 1274.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1135.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2293c99f3f" + }, + "22a1141970": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 564.0, + 187.0, + 795.0, + 457.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 988.0, + 187.0, + 1092.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 454.0, + 168.0, + 720.0, + 470.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 923.0, + 175.0, + 1076.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 403.0, + 143.0, + 696.0, + 469.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 902.0, + 145.0, + 1093.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 270.0, + 127.0, + 585.0, + 483.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 815.0, + 121.0, + 1039.0, + 516.0 + ] + } + } + ], + "frame_names": [ + "00220", + "00235", + "00245", + "00255" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/22a1141970" + }, + "22b13084b2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 612.0, + 240.0, + 691.0, + 443.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 638.0, + 205.0, + 751.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 632.0, + 164.0, + 766.0, + 458.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 635.0, + 66.0, + 831.0, + 478.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/22b13084b2" + }, + "22d9f5ab0c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 346.0, + 99.0, + 869.0, + 651.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 334.0, + 106.0, + 863.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 306.0, + 112.0, + 819.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 196.0, + 158.0, + 651.0, + 632.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/22d9f5ab0c" + }, + "22f02efe3a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 338.0, + 103.0, + 905.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 360.0, + 96.0, + 879.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 358.0, + 101.0, + 879.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 338.0, + 95.0, + 893.0, + 509.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/22f02efe3a" + }, + "232c09b75b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 489.0, + 236.0, + 863.0, + 619.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 467.0, + 275.0, + 819.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 495.0, + 311.0, + 781.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 509.0, + 300.0, + 788.0, + 572.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/232c09b75b" + }, + "2350d71b4b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 668.0, + 388.0, + 1210.0, + 648.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 489.0, + 0.0, + 756.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 521.0, + 286.0, + 1279.0, + 550.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 481.0, + 0.0, + 731.0, + 421.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 359.0, + 109.0, + 1279.0, + 657.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 390.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 259.0, + 0.0, + 1252.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2350d71b4b" + }, + "2376440551": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 498.0, + 362.0, + 560.0, + 425.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 659.0, + 543.0, + 723.0, + 633.0 + ] + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 705.0, + 600.0, + 751.0, + 698.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 712.0, + 658.0, + 793.0, + 701.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 797.0, + 395.0, + 880.0, + 461.0 + ] + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 729.0, + 627.0, + 812.0, + 668.0 + ] + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 1035.0, + 423.0, + 1060.0, + 490.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2376440551" + }, + "2383d8aafd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 438.0, + 316.0, + 943.0, + 652.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 872.0, + 330.0, + 1116.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 431.0, + 307.0, + 932.0, + 648.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 893.0, + 316.0, + 1107.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 408.0, + 322.0, + 934.0, + 652.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 887.0, + 315.0, + 1112.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 426.0, + 316.0, + 943.0, + 654.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 894.0, + 326.0, + 1107.0, + 563.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2383d8aafd" + }, + "238b84e67f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 350.0, + 160.0, + 727.0, + 719.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 454.0, + 139.0, + 742.0, + 698.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 1149.0, + 579.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 605.0, + 155.0, + 704.0, + 606.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 1064.0, + 551.0, + 1186.0, + 706.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 627.0, + 162.0, + 699.0, + 541.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 957.0, + 525.0, + 1097.0, + 650.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/238b84e67f" + }, + "238d4b86f6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 484.0, + 375.0, + 863.0, + 719.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 811.0, + 703.0, + 921.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 256.0, + 378.0, + 612.0, + 719.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 566.0, + 698.0, + 715.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 1185.0, + 0.0, + 1279.0, + 163.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 172.0, + 393.0, + 540.0, + 719.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 524.0, + 709.0, + 622.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 1175.0, + 0.0, + 1279.0, + 198.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 616.0, + 208.0, + 719.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 1119.0, + 57.0, + 1279.0, + 358.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/238d4b86f6" + }, + "23993ce90d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 563.0, + 125.0, + 868.0, + 643.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 586.0, + 122.0, + 880.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 602.0, + 82.0, + 933.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 596.0, + 99.0, + 926.0, + 606.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/23993ce90d" + }, + "23b0c8a9ab": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 772.0, + 211.0, + 956.0, + 388.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 819.0, + 386.0, + 915.0, + 395.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 798.0, + 174.0, + 904.0, + 369.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 764.0, + 339.0, + 908.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 620.0, + 234.0, + 767.0, + 423.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 592.0, + 411.0, + 724.0, + 441.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 623.0, + 277.0, + 758.0, + 505.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 629.0, + 477.0, + 754.0, + 527.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/23b0c8a9ab" + }, + "23b3beafcc": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 504.0, + 137.0, + 897.0, + 454.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 569.0, + 128.0, + 884.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 589.0, + 240.0, + 1054.0, + 653.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 531.0, + 36.0, + 820.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/23b3beafcc" + }, + "23d80299fe": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 517.0, + 112.0, + 990.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 514.0, + 114.0, + 999.0, + 679.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 514.0, + 115.0, + 993.0, + 679.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 517.0, + 122.0, + 1002.0, + 691.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/23d80299fe" + }, + "23f404a9fc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 47.0, + 0.0, + 1094.0, + 603.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 62.0, + 578.0, + 433.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 290.0, + 181.0, + 566.0, + 344.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 73.0, + 0.0, + 1106.0, + 610.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 53.0, + 77.0, + 584.0, + 439.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 358.0, + 195.0, + 584.0, + 352.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 228.0, + 60.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 115.0, + 4.0, + 1110.0, + 618.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 155.0, + 90.0, + 579.0, + 400.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 466.0, + 206.0, + 592.0, + 359.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 191.0, + 162.0, + 399.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 39.0, + 0.0, + 1034.0, + 628.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 181.0, + 61.0, + 486.0, + 389.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 170.0, + 192.0, + 386.0 + ] + } + } + ], + "frame_names": [ + "00125", + "00140", + "00160", + "00195" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/23f404a9fc" + }, + "240118e58a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 199.0, + 397.0, + 449.0, + 653.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 359.0, + 338.0, + 605.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 348.0, + 333.0, + 633.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 376.0, + 338.0, + 664.0, + 588.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/240118e58a" + }, + "2431dec2fd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 375.0, + 274.0, + 641.0, + 653.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 577.0, + 111.0, + 967.0, + 565.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 901.0, + 49.0, + 1150.0, + 503.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 255.0, + 279.0, + 595.0, + 656.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 433.0, + 127.0, + 871.0, + 556.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 826.0, + 90.0, + 1183.0, + 499.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 291.0, + 274.0, + 577.0, + 663.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 465.0, + 166.0, + 831.0, + 580.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 837.0, + 90.0, + 1102.0, + 495.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 983.0, + 98.0, + 1146.0, + 467.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 295.0, + 268.0, + 576.0, + 655.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 525.0, + 222.0, + 799.0, + 575.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 839.0, + 104.0, + 1118.0, + 495.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 1035.0, + 101.0, + 1162.0, + 486.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2431dec2fd" + }, + "24440e0ac7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 523.0, + 155.0, + 822.0, + 380.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 727.0, + 155.0, + 879.0, + 303.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 743.0, + 48.0, + 831.0, + 169.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 493.0, + 147.0, + 781.0, + 377.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 710.0, + 150.0, + 878.0, + 345.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 755.0, + 44.0, + 957.0, + 153.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 467.0, + 140.0, + 717.0, + 354.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 668.0, + 157.0, + 854.0, + 337.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 860.0, + 2.0, + 1002.0, + 87.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 466.0, + 142.0, + 711.0, + 344.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 666.0, + 158.0, + 845.0, + 324.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 852.0, + 1.0, + 1008.0, + 97.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/24440e0ac7" + }, + "2457274dbc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 536.0, + 595.0, + 1045.0, + 719.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 134.0, + 207.0, + 1141.0, + 684.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 258.0, + 3.0, + 835.0, + 368.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 542.0, + 600.0, + 1039.0, + 719.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 138.0, + 206.0, + 1141.0, + 680.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 272.0, + 0.0, + 843.0, + 378.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 540.0, + 580.0, + 1055.0, + 719.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 156.0, + 186.0, + 1157.0, + 675.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 334.0, + 0.0, + 857.0, + 365.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 534.0, + 595.0, + 1027.0, + 719.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 164.0, + 194.0, + 1207.0, + 683.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 318.0, + 0.0, + 837.0, + 391.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2457274dbc" + }, + "2465bf515d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 427.0, + 0.0, + 697.0, + 622.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 432.0, + 313.0, + 835.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 378.0, + 5.0, + 847.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 540.0, + 430.0, + 1007.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 512.0, + 44.0, + 871.0, + 630.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 508.0, + 304.0, + 983.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 591.0, + 52.0, + 940.0, + 641.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 467.0, + 318.0, + 621.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00140", + "00155", + "00170", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2465bf515d" + }, + "246b142c4d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 254.0, + 422.0, + 461.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 421.0, + 412.0, + 583.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 479.0, + 416.0, + 675.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 576.0, + 401.0, + 683.0, + 500.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00085", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/246b142c4d" + }, + "247d729e36": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 452.0, + 279.0, + 656.0, + 371.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 584.0, + 298.0, + 739.0, + 375.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 601.0, + 317.0, + 760.0, + 374.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 615.0, + 327.0, + 715.0, + 404.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/247d729e36" + }, + "2481ceafeb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 382.0, + 203.0, + 538.0, + 465.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 535.0, + 270.0, + 1083.0, + 702.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 379.0, + 208.0, + 511.0, + 478.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 494.0, + 267.0, + 1043.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 382.0, + 212.0, + 539.0, + 460.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 532.0, + 271.0, + 1063.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 398.0, + 215.0, + 522.0, + 469.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 497.0, + 268.0, + 1047.0, + 687.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2481ceafeb" + }, + "24866b4e6a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 570.0, + 362.0, + 1103.0, + 555.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 496.0, + 368.0, + 776.0, + 493.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 895.0, + 302.0, + 1279.0, + 454.0 + ] + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 614.0, + 302.0, + 1165.0, + 510.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 532.0, + 456.0, + 939.0, + 574.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 993.0, + 250.0, + 1279.0, + 460.0 + ] + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 641.0, + 239.0, + 1201.0, + 435.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 560.0, + 459.0, + 985.0, + 614.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 1063.0, + 222.0, + 1279.0, + 434.0 + ] + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 498.0, + 218.0, + 935.0, + 542.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 863.0, + 655.0, + 1015.0, + 719.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 915.0, + 298.0, + 1279.0, + 557.0 + ] + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/24866b4e6a" + }, + "2489d78320": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 267.0, + 325.0, + 684.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 304.0, + 427.0, + 651.0, + 649.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 546.0, + 256.0, + 728.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 612.0, + 267.0, + 759.0, + 713.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2489d78320" + }, + "24ab0b83e8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 352.0, + 3.0, + 885.0, + 691.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 279.0, + 459.0, + 806.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 559.0, + 169.0, + 1257.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 503.0, + 487.0, + 1021.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 673.0, + 99.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 202.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 546.0, + 126.0, + 1029.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/24ab0b83e8" + }, + "24b0868d92": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 602.0, + 368.0, + 1074.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 394.0, + 496.0, + 740.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 199.0, + 392.0, + 691.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 320.0, + 390.0, + 685.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/24b0868d92" + }, + "24b5207cd9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 751.0, + 227.0, + 929.0, + 676.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 671.0, + 82.0, + 815.0, + 708.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 430.0, + 0.0, + 730.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 739.0, + 260.0, + 955.0, + 649.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 683.0, + 105.0, + 828.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 454.0, + 0.0, + 709.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 833.0, + 150.0, + 1071.0, + 660.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 751.0, + 0.0, + 897.0, + 561.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 455.0, + 0.0, + 792.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 813.0, + 132.0, + 1053.0, + 589.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 739.0, + 0.0, + 879.0, + 485.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 531.0, + 0.0, + 777.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00120", + "00130", + "00165", + "00175" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/24b5207cd9" + }, + "24ddf05c03": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 547.0, + 5.0, + 778.0, + 477.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 455.0, + 165.0, + 692.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 490.0, + 4.0, + 754.0, + 484.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 388.0, + 222.0, + 652.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 415.0, + 5.0, + 694.0, + 506.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 305.0, + 225.0, + 581.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 415.0, + 14.0, + 691.0, + 525.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 300.0, + 245.0, + 598.0, + 539.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/24ddf05c03" + }, + "250116161c": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 475.0, + 426.0, + 523.0, + 592.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 384.0, + 431.0, + 435.0, + 587.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1181.0, + 456.0, + 1229.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 397.0, + 437.0, + 447.0, + 603.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 309.0, + 440.0, + 360.0, + 595.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1106.0, + 470.0, + 1151.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 373.0, + 449.0, + 420.0, + 615.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 286.0, + 454.0, + 336.0, + 609.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 388.0, + 463.0, + 435.0, + 629.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 302.0, + 466.0, + 354.0, + 623.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1099.0, + 495.0, + 1146.0, + 669.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/250116161c" + }, + "256ad2e3fc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 407.0, + 193.0, + 466.0, + 283.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 422.0, + 193.0, + 466.0, + 292.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 417.0, + 193.0, + 466.0, + 296.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 408.0, + 193.0, + 465.0, + 296.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/256ad2e3fc" + }, + "256bd83d5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 410.0, + 0.0, + 885.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 30.0, + 318.0, + 833.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 276.0, + 84.0, + 845.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 315.0, + 137.0, + 818.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/256bd83d5e" + }, + "256dcc8ab8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 356.0, + 299.0, + 868.0, + 626.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 539.0, + 143.0, + 709.0, + 500.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 281.0, + 187.0, + 744.0, + 612.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 355.0, + 101.0, + 645.0, + 392.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 126.0, + 224.0, + 517.0, + 633.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 272.0, + 432.0, + 680.0, + 622.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 147.0, + 127.0, + 421.0, + 585.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 284.0, + 448.0, + 740.0, + 625.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 920.0, + 213.0, + 959.0, + 707.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/256dcc8ab8" + }, + "2589956baa": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 714.0, + 129.0, + 1178.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 636.0, + 127.0, + 1122.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 631.0, + 124.0, + 1112.0, + 448.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 668.0, + 126.0, + 1126.0, + 441.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2589956baa" + }, + "258b3b33c6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 267.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 270.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 294.0, + 1275.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 309.0, + 1268.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/258b3b33c6" + }, + "25ad437e29": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 290.0, + 430.0, + 428.0, + 606.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 429.0, + 454.0, + 539.0, + 611.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 587.0, + 977.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 345.0, + 265.0, + 479.0, + 463.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 497.0, + 297.0, + 599.0, + 470.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 426.0, + 1072.0, + 616.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 489.0, + 270.0, + 601.0, + 455.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 634.0, + 292.0, + 732.0, + 464.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 131.0, + 414.0, + 1224.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 268.0, + 272.0, + 397.0, + 432.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 409.0, + 282.0, + 531.0, + 446.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 401.0, + 1048.0, + 590.0 + ] + } + } + ], + "frame_names": [ + "00130", + "00165", + "00170", + "00200" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/25ad437e29" + }, + "25ae395636": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 34.0, + 286.0, + 186.0, + 435.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 544.0, + 221.0, + 725.0, + 384.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 869.0, + 209.0, + 949.0, + 375.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 1205.0, + 190.0, + 1239.0, + 310.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 54.0, + 290.0, + 179.0, + 444.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 542.0, + 225.0, + 726.0, + 392.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 750.0, + 204.0, + 920.0, + 368.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 1045.0, + 131.0, + 1215.0, + 322.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 58.0, + 291.0, + 178.0, + 442.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 533.0, + 226.0, + 719.0, + 395.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 444.0, + 202.0, + 648.0, + 366.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 993.0, + 182.0, + 1136.0, + 340.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 40.0, + 292.0, + 172.0, + 446.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 497.0, + 223.0, + 696.0, + 387.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 307.0, + 230.0, + 508.0, + 376.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 965.0, + 178.0, + 1108.0, + 344.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/25ae395636" + }, + "25c750c6db": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 514.0, + 437.0, + 823.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 467.0, + 313.0, + 833.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 477.0, + 353.0, + 916.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 514.0, + 417.0, + 847.0, + 538.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/25c750c6db" + }, + "25d2c3fe5d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 416.0, + 216.0, + 749.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 436.0, + 77.0, + 707.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 444.0, + 122.0, + 697.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 470.0, + 155.0, + 743.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/25d2c3fe5d" + }, + "25dc80db7c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 226.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 307.0, + 230.0, + 1124.0, + 541.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 240.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 246.0, + 195.0, + 1124.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 81.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 189.0, + 126.0, + 1209.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 301.0, + 645.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 380.0, + 0.0, + 969.0, + 570.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/25dc80db7c" + }, + "25f97e926f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 268.0, + 0.0, + 1245.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 464.0, + 0.0, + 1279.0, + 528.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 598.0, + 0.0, + 1279.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 416.0, + 0.0, + 1279.0, + 568.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/25f97e926f" + }, + "26011bc28b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 189.0, + 118.0, + 589.0, + 679.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 429.0, + 55.0, + 866.0, + 670.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 834.0, + 451.0, + 1279.0, + 658.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 185.0, + 134.0, + 551.0, + 693.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 444.0, + 59.0, + 867.0, + 679.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 836.0, + 458.0, + 1279.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 173.0, + 136.0, + 541.0, + 687.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 449.0, + 114.0, + 871.0, + 675.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 800.0, + 459.0, + 1279.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 183.0, + 148.0, + 545.0, + 688.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 440.0, + 147.0, + 870.0, + 671.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 836.0, + 458.0, + 1279.0, + 663.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/26011bc28b" + }, + "260846ffbe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 295.0, + 200.0, + 669.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 267.0, + 188.0, + 702.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 283.0, + 245.0, + 669.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 280.0, + 169.0, + 721.0, + 490.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/260846ffbe" + }, + "260dd9ad33": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 943.0, + 291.0, + 1113.0, + 368.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 162.0, + 703.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1046.0, + 298.0, + 1202.0, + 371.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 161.0, + 703.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1106.0, + 302.0, + 1251.0, + 371.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 155.0, + 703.0, + 395.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1162.0, + 307.0, + 1279.0, + 369.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 158.0, + 700.0, + 400.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/260dd9ad33" + }, + "267964ee57": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 289.0, + 707.0, + 681.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1091.0, + 455.0, + 1163.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 291.0, + 683.0, + 682.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1081.0, + 458.0, + 1150.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 315.0, + 396.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 773.0, + 464.0, + 836.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 324.0, + 120.0, + 660.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 523.0, + 441.0, + 573.0, + 629.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/267964ee57" + }, + "2680861931": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 112.0, + 761.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 1097.0, + 693.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 154.0, + 855.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 19.0, + 99.0, + 1049.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 320.0, + 594.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 24.0, + 244.0, + 967.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 103.0, + 538.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 42.0, + 31.0, + 881.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2680861931" + }, + "268ac7d3fc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 470.0, + 200.0, + 814.0, + 652.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 435.0, + 296.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 404.0, + 197.0, + 826.0, + 625.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 453.0, + 299.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 402.0, + 270.0, + 829.0, + 658.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 413.0, + 300.0, + 868.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 424.0, + 251.0, + 817.0, + 684.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 333.0, + 306.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/268ac7d3fc" + }, + "26b895d91e": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 382.0, + 260.0, + 526.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 399.0, + 195.0, + 541.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 405.0, + 54.0, + 579.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 431.0, + 1.0, + 643.0, + 393.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/26b895d91e" + }, + "26bc786d4f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 870.0, + 250.0, + 1712.0, + 818.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 865.0, + 270.0, + 1733.0, + 857.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 845.0, + 235.0, + 1731.0, + 850.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 816.0, + 220.0, + 1677.0, + 820.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/26bc786d4f" + }, + "26ddd2ef12": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 101.0, + 742.0, + 719.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 747.0, + 141.0, + 1072.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 85.0, + 747.0, + 719.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 679.0, + 204.0, + 1084.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 204.0, + 693.0, + 719.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 801.0, + 204.0, + 1056.0, + 384.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 169.0, + 530.0, + 660.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 609.0, + 142.0, + 1132.0, + 577.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00050", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/26ddd2ef12" + }, + "26de3d18ca": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 377.0, + 694.0, + 496.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 599.0, + 493.0, + 671.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 390.0, + 642.0, + 486.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 530.0, + 485.0, + 624.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 772.0, + 325.0, + 875.0, + 456.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 742.0, + 453.0, + 786.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 529.0, + 414.0, + 644.0, + 497.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 576.0, + 494.0, + 671.0, + 520.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/26de3d18ca" + }, + "26f7784762": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 677.0, + 114.0, + 835.0, + 279.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 854.0, + 281.0, + 982.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 604.0, + 47.0, + 761.0, + 203.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 775.0, + 226.0, + 917.0, + 389.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 546.0, + 41.0, + 703.0, + 200.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 749.0, + 189.0, + 869.0, + 356.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 406.0, + 37.0, + 546.0, + 206.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 671.0, + 149.0, + 731.0, + 291.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/26f7784762" + }, + "2703e52a6a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 235.0, + 65.0, + 1056.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 218.0, + 6.0, + 1079.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 261.0, + 154.0, + 1094.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 225.0, + 71.0, + 986.0, + 538.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2703e52a6a" + }, + "270ed80c12": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 455.0, + 106.0, + 788.0, + 575.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 519.0, + 120.0, + 793.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 532.0, + 159.0, + 786.0, + 522.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/270ed80c12" + }, + "2719b742ab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 144.0, + 216.0, + 285.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 131.0, + 224.0, + 302.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 169.0, + 210.0, + 339.0, + 441.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 141.0, + 198.0, + 297.0, + 453.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2719b742ab" + }, + "272f4163d0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 744.0, + 372.0, + 858.0, + 494.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 30.0, + 854.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 583.0, + 439.0, + 676.0, + 493.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 569.0, + 179.0, + 762.0, + 462.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 369.0, + 575.0, + 555.0, + 632.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 311.0, + 167.0, + 577.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 692.0, + 515.0, + 934.0, + 584.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 629.0, + 69.0, + 856.0, + 565.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/272f4163d0" + }, + "27303333e1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 69.0, + 308.0, + 103.0, + 348.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 59.0, + 340.0, + 111.0, + 480.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 269.0, + 153.0, + 386.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 126.0, + 313.0, + 161.0, + 353.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 114.0, + 343.0, + 175.0, + 484.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 37.0, + 274.0, + 201.0, + 378.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 168.0, + 321.0, + 201.0, + 363.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 152.0, + 353.0, + 208.0, + 495.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 67.0, + 286.0, + 227.0, + 391.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 501.0, + 317.0, + 531.0, + 355.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 488.0, + 349.0, + 540.0, + 490.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 391.0, + 277.0, + 551.0, + 382.0 + ] + } + } + ], + "frame_names": [ + "00120", + "00140", + "00150", + "00170" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/27303333e1" + }, + "27659fa7d6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 243.0, + 183.0, + 471.0, + 540.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 625.0, + 124.0, + 677.0, + 144.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1256.0, + 236.0, + 1279.0, + 343.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 428.0, + 169.0, + 603.0, + 624.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 555.0, + 362.0, + 583.0, + 413.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 930.0, + 226.0, + 995.0, + 355.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 433.0, + 175.0, + 592.0, + 651.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 449.0, + 357.0, + 490.0, + 389.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 748.0, + 234.0, + 815.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 403.0, + 200.0, + 577.0, + 648.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 399.0, + 330.0, + 461.0, + 378.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 753.0, + 225.0, + 794.0, + 360.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/27659fa7d6" + }, + "279214115d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 12.0, + 0.0, + 1031.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 40.0, + 0.0, + 1063.0, + 405.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 50.0, + 0.0, + 1051.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 28.0, + 0.0, + 1015.0, + 293.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/279214115d" + }, + "27a5f92a9c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 244.0, + 67.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 272.0, + 83.0, + 1117.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 282.0, + 81.0, + 1079.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 278.0, + 96.0, + 1027.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/27a5f92a9c" + }, + "27cf2af1f3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 27.0, + 0.0, + 836.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 113.0, + 0.0, + 920.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 79.0, + 0.0, + 876.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 37.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/27cf2af1f3" + }, + "27f0d5f8a2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1039.0, + 252.0, + 1156.0, + 428.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 923.0, + 234.0, + 1028.0, + 408.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 831.0, + 247.0, + 937.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1036.0, + 248.0, + 1134.0, + 419.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 914.0, + 236.0, + 1025.0, + 399.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 828.0, + 231.0, + 921.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 996.0, + 250.0, + 1093.0, + 420.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 910.0, + 234.0, + 1006.0, + 397.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 766.0, + 239.0, + 903.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 957.0, + 246.0, + 1065.0, + 415.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 907.0, + 228.0, + 1020.0, + 396.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 770.0, + 218.0, + 872.0, + 411.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/27f0d5f8a2" + }, + "28075f33c1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 42.0, + 324.0, + 1188.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 44.0, + 321.0, + 1259.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 304.0, + 322.0, + 1251.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 509.0, + 340.0, + 1252.0, + 476.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28075f33c1" + }, + "281629cb41": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 447.0, + 133.0, + 1188.0, + 606.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 51.0, + 202.0, + 635.0, + 696.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 369.0, + 127.0, + 998.0, + 619.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 189.0, + 249.0, + 772.0, + 719.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 1135.0, + 597.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 241.0, + 24.0, + 766.0, + 495.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 167.0, + 166.0, + 745.0, + 649.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 838.0, + 513.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 165.0, + 0.0, + 660.0, + 430.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 149.0, + 133.0, + 768.0, + 616.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 688.0, + 484.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/281629cb41" + }, + "282b0d51f5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 320.0, + 264.0, + 751.0, + 661.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 825.0, + 257.0, + 1277.0, + 637.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1021.0, + 30.0, + 1176.0, + 271.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 176.0, + 273.0, + 941.0, + 700.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 548.0, + 230.0, + 1074.0, + 574.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 744.0, + 2.0, + 875.0, + 234.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 433.0, + 302.0, + 1089.0, + 699.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 514.0, + 253.0, + 987.0, + 586.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 694.0, + 5.0, + 832.0, + 240.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 691.0, + 260.0, + 1076.0, + 574.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 199.0, + 243.0, + 661.0, + 551.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 317.0, + 0.0, + 445.0, + 226.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/282b0d51f5" + }, + "282fcab00b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 394.0, + 112.0, + 667.0, + 366.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 811.0, + 67.0, + 1092.0, + 321.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 533.0, + 98.0, + 802.0, + 346.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 712.0, + 186.0, + 980.0, + 434.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/282fcab00b" + }, + "28449fa0dc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 594.0, + 188.0, + 855.0, + 505.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 292.0, + 226.0, + 574.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 594.0, + 195.0, + 855.0, + 500.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 290.0, + 265.0, + 596.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 593.0, + 192.0, + 860.0, + 498.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 299.0, + 293.0, + 593.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 593.0, + 269.0, + 1086.0, + 502.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 311.0, + 166.0, + 607.0, + 557.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28449fa0dc" + }, + "28475208ca": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 508.0, + 75.0, + 655.0, + 204.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 908.0, + 159.0, + 1124.0, + 262.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 384.0, + 682.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 547.0, + 73.0, + 675.0, + 179.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 926.0, + 165.0, + 1139.0, + 267.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 356.0, + 693.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 568.0, + 66.0, + 700.0, + 210.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 944.0, + 179.0, + 1138.0, + 364.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 468.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 542.0, + 147.0, + 703.0, + 281.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 775.0, + 669.0, + 959.0, + 719.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 140.0, + 530.0, + 655.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28475208ca" + }, + "285580b7c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 681.0, + 0.0, + 1163.0, + 264.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 797.0, + 8.0, + 1279.0, + 304.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 733.0, + 339.0, + 1168.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 440.0, + 371.0, + 775.0, + 595.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/285580b7c4" + }, + "285b69e223": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 371.0, + 104.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 106.0, + 407.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 437.0, + 23.0, + 1279.0, + 680.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 22.0, + 123.0, + 507.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 463.0, + 16.0, + 1278.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 29.0, + 106.0, + 505.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 473.0, + 6.0, + 1254.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 71.0, + 111.0, + 551.0, + 490.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/285b69e223" + }, + "288c117201": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 462.0, + 216.0, + 800.0, + 438.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 733.0, + 340.0, + 853.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 641.0, + 115.0, + 842.0, + 423.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 627.0, + 373.0, + 770.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 573.0, + 63.0, + 796.0, + 395.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 566.0, + 376.0, + 890.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 488.0, + 178.0, + 730.0, + 542.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 619.0, + 459.0, + 950.0, + 569.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/288c117201" + }, + "28a8eb9623": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 480.0, + 60.0, + 580.0, + 317.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 569.0, + 136.0, + 653.0, + 269.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 751.0, + 315.0, + 869.0, + 460.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 471.0, + 84.0, + 592.0, + 261.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 578.0, + 153.0, + 662.0, + 307.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 745.0, + 329.0, + 865.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 479.0, + 92.0, + 659.0, + 208.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 669.0, + 228.0, + 772.0, + 384.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 767.0, + 195.0, + 866.0, + 351.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 471.0, + 99.0, + 635.0, + 250.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 452.0, + 599.0, + 667.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28a8eb9623" + }, + "28bf9c3cf3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 135.0, + 985.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 56.0, + 182.0, + 873.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 180.0, + 847.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 188.0, + 735.0, + 603.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00085", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28bf9c3cf3" + }, + "28c6b8f86a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 469.0, + 137.0, + 870.0, + 676.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 473.0, + 131.0, + 856.0, + 681.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 487.0, + 102.0, + 952.0, + 679.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 877.0, + 0.0, + 1074.0, + 128.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 493.0, + 109.0, + 984.0, + 675.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 867.0, + 0.0, + 1064.0, + 124.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28c6b8f86a" + }, + "28c972dacd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 572.0, + 57.0, + 636.0, + 165.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 767.0, + 222.0, + 849.0, + 327.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 804.0, + 220.0, + 874.0, + 310.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 684.0, + 302.0, + 804.0, + 484.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28c972dacd" + }, + "28d9fa6016": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 446.0, + 170.0, + 684.0, + 364.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 606.0, + 136.0, + 782.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 499.0, + 164.0, + 777.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 539.0, + 197.0, + 673.0, + 318.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 431.0, + 143.0, + 881.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 356.0, + 131.0, + 644.0, + 318.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 485.0, + 227.0, + 770.0, + 522.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28d9fa6016" + }, + "28e392de91": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 370.0, + 236.0, + 607.0, + 705.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 199.0, + 272.0, + 863.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 380.0, + 246.0, + 624.0, + 711.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 207.0, + 294.0, + 844.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 254.0, + 262.0, + 703.0, + 687.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 195.0, + 319.0, + 874.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 246.0, + 226.0, + 687.0, + 607.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 225.0, + 264.0, + 909.0, + 692.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28e392de91" + }, + "28f4a45190": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 546.0, + 86.0, + 769.0, + 371.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 896.0, + 263.0, + 1103.0, + 325.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 413.0, + 139.0, + 868.0, + 289.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 854.0, + 252.0, + 1061.0, + 297.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 352.0, + 155.0, + 893.0, + 328.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 890.0, + 242.0, + 1037.0, + 294.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 380.0, + 107.0, + 871.0, + 314.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 810.0, + 240.0, + 1024.0, + 297.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28f4a45190" + }, + "298c844fc9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 394.0, + 168.0, + 535.0, + 451.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 273.0, + 252.0, + 536.0, + 556.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 840.0, + 150.0, + 931.0, + 304.0 + ] + }, + "4": { + "category_name": "horse", + "bbox": [ + 769.0, + 185.0, + 947.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 410.0, + 196.0, + 581.0, + 446.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 284.0, + 279.0, + 550.0, + 575.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 820.0, + 189.0, + 908.0, + 353.0 + ] + }, + "4": { + "category_name": "horse", + "bbox": [ + 770.0, + 223.0, + 892.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 420.0, + 207.0, + 554.0, + 467.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 306.0, + 297.0, + 557.0, + 573.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 785.0, + 199.0, + 872.0, + 357.0 + ] + }, + "4": { + "category_name": "horse", + "bbox": [ + 765.0, + 281.0, + 860.0, + 419.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 468.0, + 198.0, + 597.0, + 438.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 386.0, + 282.0, + 606.0, + 541.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 686.0, + 193.0, + 762.0, + 338.0 + ] + }, + "4": { + "category_name": "horse", + "bbox": [ + 622.0, + 238.0, + 812.0, + 422.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/298c844fc9" + }, + "29a0356a2b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 284.0, + 174.0, + 687.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 222.0, + 140.0, + 640.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 614.0, + 216.0, + 1009.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 304.0, + 65.0, + 653.0, + 583.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/29a0356a2b" + }, + "29d779f9e3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 176.0, + 101.0, + 536.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 391.0, + 49.0, + 1079.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 202.0, + 490.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 791.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 375.0, + 54.0, + 537.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 725.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 604.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00235", + "00255", + "00270", + "00275" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/29d779f9e3" + }, + "29dde5f12b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1101.0, + 493.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 166.0, + 215.0, + 1191.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 350.0, + 0.0, + 1005.0, + 419.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 753.0, + 238.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 386.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1029.0, + 462.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 338.0, + 0.0, + 811.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 693.0, + 0.0, + 1241.0, + 414.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1205.0, + 336.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00145", + "00160", + "00170", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/29dde5f12b" + }, + "29de7b6579": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 775.0, + 591.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 484.0, + 925.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 845.0, + 684.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 350.0, + 402.0, + 1105.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 126.0, + 1031.0, + 708.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 120.0, + 308.0, + 981.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 1069.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 128.0, + 280.0, + 999.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/29de7b6579" + }, + "29e630bdd0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 393.0, + 179.0, + 1057.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 339.0, + 90.0, + 1028.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 381.0, + 96.0, + 1078.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 368.0, + 72.0, + 1059.0, + 683.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/29e630bdd0" + }, + "29f2332d30": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 684.0, + 76.0, + 964.0, + 547.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 647.0, + 506.0, + 978.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 427.0, + 119.0, + 598.0, + 530.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 446.0, + 495.0, + 614.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 513.0, + 96.0, + 753.0, + 504.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 566.0, + 473.0, + 782.0, + 550.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 472.0, + 135.0, + 666.0, + 515.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 465.0, + 480.0, + 628.0, + 528.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/29f2332d30" + }, + "2a18873352": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 652.0, + 214.0, + 889.0, + 443.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 842.0, + 346.0, + 1095.0, + 462.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 629.0, + 199.0, + 836.0, + 421.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 799.0, + 344.0, + 1022.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 537.0, + 208.0, + 876.0, + 414.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 800.0, + 340.0, + 1071.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 438.0, + 240.0, + 709.0, + 441.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 558.0, + 314.0, + 832.0, + 451.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a18873352" + }, + "2a3824ff31": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parachute", + "bbox": [ + 845.0, + 354.0, + 993.0, + 460.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 378.0, + 140.0, + 843.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 883.0, + 402.0, + 1001.0, + 522.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 384.0, + 167.0, + 849.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 919.0, + 432.0, + 1021.0, + 542.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 378.0, + 179.0, + 859.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 1047.0, + 508.0, + 1127.0, + 574.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 444.0, + 195.0, + 933.0, + 555.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a3824ff31" + }, + "2a559dd27f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 626.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 526.0, + 0.0, + 1029.0, + 581.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 269.0, + 0.0, + 580.0, + 460.0 + ] + }, + "4": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 638.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 532.0, + 0.0, + 1043.0, + 575.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 281.0, + 0.0, + 576.0, + 454.0 + ] + }, + "4": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 659.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 532.0, + 0.0, + 1041.0, + 578.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 221.0, + 0.0, + 586.0, + 460.0 + ] + }, + "4": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 653.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 534.0, + 0.0, + 1057.0, + 591.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 249.0, + 0.0, + 602.0, + 477.0 + ] + }, + "4": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a559dd27f" + }, + "2a5c09acbd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 117.0, + 185.0, + 365.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1.0, + 242.0, + 405.0, + 689.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 232.0, + 49.0, + 581.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 108.0, + 199.0, + 376.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1.0, + 259.0, + 424.0, + 698.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 295.0, + 68.0, + 610.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 179.0, + 258.0, + 437.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 310.0, + 472.0, + 719.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 342.0, + 116.0, + 657.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 18.0, + 254.0, + 326.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 319.0, + 364.0, + 719.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 276.0, + 122.0, + 556.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a5c09acbd" + }, + "2a63eb1524": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 827.0, + 257.0, + 878.0, + 313.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 1077.0, + 343.0, + 1122.0, + 377.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1083.0, + 376.0, + 1135.0, + 421.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 871.0, + 250.0, + 927.0, + 305.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 1046.0, + 336.0, + 1090.0, + 371.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1049.0, + 368.0, + 1098.0, + 412.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 936.0, + 248.0, + 980.0, + 293.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 1045.0, + 335.0, + 1091.0, + 368.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1048.0, + 366.0, + 1097.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 988.0, + 258.0, + 1041.0, + 303.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 1069.0, + 339.0, + 1114.0, + 373.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1067.0, + 371.0, + 1110.0, + 410.0 + ] + } + } + ], + "frame_names": [ + "00175", + "00195", + "00210", + "00230" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a63eb1524" + }, + "2a6a30a4ea": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 110.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 192.0, + 165.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 147.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 218.0, + 155.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 166.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 200.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 70.0, + 276.0, + 458.0, + 633.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a6a30a4ea" + }, + "2a6d9099d1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 539.0, + 247.0, + 746.0, + 669.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 541.0, + 635.0, + 750.0, + 680.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 637.0, + 187.0, + 801.0, + 402.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 594.0, + 241.0, + 698.0, + 496.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 598.0, + 466.0, + 685.0, + 503.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 511.0, + 199.0, + 668.0, + 364.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 583.0, + 319.0, + 610.0, + 348.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a6d9099d1" + }, + "2a821394e3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 258.0, + 344.0, + 314.0, + 534.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 47.0, + 337.0, + 110.0, + 515.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 170.0, + 375.0, + 193.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 256.0, + 346.0, + 314.0, + 537.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 48.0, + 341.0, + 113.0, + 517.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 168.0, + 375.0, + 193.0, + 454.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 270.0, + 349.0, + 321.0, + 538.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 46.0, + 343.0, + 120.0, + 518.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 177.0, + 376.0, + 203.0, + 457.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 285.0, + 339.0, + 339.0, + 528.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 70.0, + 336.0, + 135.0, + 510.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 186.0, + 367.0, + 214.0, + 449.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a821394e3" + }, + "2a8c5b1342": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 305.0, + 180.0, + 343.0, + 214.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 370.0, + 57.0, + 637.0, + 199.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 330.0, + 177.0, + 360.0, + 207.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 310.0, + 24.0, + 495.0, + 199.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 333.0, + 159.0, + 358.0, + 193.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 331.0, + 32.0, + 591.0, + 186.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 343.0, + 149.0, + 366.0, + 189.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 343.0, + 91.0, + 612.0, + 183.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a8c5b1342" + }, + "2abc8d66d2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 296.0, + 110.0, + 985.0, + 706.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 556.0, + 334.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 514.0, + 153.0, + 1199.0, + 719.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 148.0, + 0.0, + 709.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 442.0, + 172.0, + 1133.0, + 719.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 266.0, + 0.0, + 689.0, + 428.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 474.0, + 194.0, + 1079.0, + 719.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 274.0, + 43.0, + 745.0, + 413.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2abc8d66d2" + }, + "2b08f37364": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 414.0, + 351.0, + 671.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 393.0, + 359.0, + 704.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 400.0, + 351.0, + 679.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 377.0, + 349.0, + 685.0, + 542.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2b08f37364" + }, + "2b351bfd7d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 464.0, + 0.0, + 865.0, + 443.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 442.0, + 0.0, + 861.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 443.0, + 0.0, + 855.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 444.0, + 0.0, + 849.0, + 438.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2b351bfd7d" + }, + "2b659a49d7": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 178.0, + 262.0, + 288.0, + 625.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 529.0, + 249.0, + 640.0, + 528.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 198.0, + 258.0, + 306.0, + 612.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 552.0, + 249.0, + 684.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 232.0, + 242.0, + 336.0, + 593.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 636.0, + 228.0, + 750.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 287.0, + 230.0, + 405.0, + 590.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 755.0, + 221.0, + 888.0, + 506.0 + ] + } + } + ], + "frame_names": [ + "00055", + "00060", + "00070", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2b659a49d7" + }, + "2b69ee5c26": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 41.0, + 189.0, + 206.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 130.0, + 303.0, + 325.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 357.0, + 140.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 93.0, + 447.0, + 305.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 412.0, + 110.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 82.0, + 496.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 362.0, + 200.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 132.0, + 456.0, + 350.0 + ] + } + } + ], + "frame_names": [ + "00135", + "00155", + "00170", + "00190" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2b69ee5c26" + }, + "2b6c30bbbd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 856.0, + 356.0, + 1155.0, + 596.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 1033.0, + 0.0, + 1142.0, + 196.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 861.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 911.0, + 397.0, + 1142.0, + 593.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 376.0, + 249.0, + 525.0, + 463.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 460.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 915.0, + 321.0, + 1148.0, + 522.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 359.0, + 432.0, + 542.0, + 536.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 495.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 163.0, + 309.0, + 626.0, + 522.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 360.0, + 446.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2b6c30bbbd" + }, + "2b88561cf2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 462.0, + 315.0, + 1019.0, + 698.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 431.0, + 314.0, + 1016.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 428.0, + 313.0, + 1017.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 432.0, + 316.0, + 1014.0, + 695.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2b88561cf2" + }, + "2b8b14954e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 196.0, + 21.0, + 957.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 186.0, + 9.0, + 963.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 212.0, + 37.0, + 979.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 158.0, + 31.0, + 965.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2b8b14954e" + }, + "2ba621c750": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 240.0, + 561.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 135.0, + 39.0, + 698.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 650.0, + 45.0, + 1279.0, + 374.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 599.0, + 0.0, + 1030.0, + 405.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2ba621c750" + }, + "2bab50f9a7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 737.0, + 0.0, + 1279.0, + 265.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 152.0, + 0.0, + 705.0, + 280.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 472.0, + 32.0, + 887.0, + 581.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 24.0, + 317.0, + 436.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 1119.0, + 102.0, + 1279.0, + 355.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 362.0, + 65.0, + 823.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 1103.0, + 57.0, + 1279.0, + 373.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 350.0, + 150.0, + 805.0, + 425.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2bab50f9a7" + }, + "2bb00c2434": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 539.0, + 0.0, + 910.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 533.0, + 0.0, + 922.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 521.0, + 0.0, + 902.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 483.0, + 22.0, + 870.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2bb00c2434" + }, + "2bbde474ef": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1.0, + 240.0, + 99.0, + 465.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 126.0, + 229.0, + 217.0, + 496.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 219.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1.0, + 280.0, + 55.0, + 485.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 81.0, + 240.0, + 192.0, + 511.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 196.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1.0, + 223.0, + 65.0, + 456.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 99.0, + 216.0, + 189.0, + 488.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 223.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 46.0, + 222.0, + 132.0, + 496.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 209.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2bbde474ef" + }, + "2bdd82fb86": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 522.0, + 208.0, + 633.0, + 328.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 520.0, + 312.0, + 606.0, + 346.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 627.0, + 309.0, + 739.0, + 386.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 615.0, + 382.0, + 737.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 645.0, + 194.0, + 722.0, + 284.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 591.0, + 210.0, + 680.0, + 286.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 505.0, + 261.0, + 684.0, + 364.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 441.0, + 324.0, + 562.0, + 369.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2bdd82fb86" + }, + "2be06fb855": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 388.0, + 273.0, + 408.0, + 298.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 368.0, + 290.0, + 417.0, + 370.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 361.0, + 312.0, + 412.0, + 386.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 370.0, + 274.0, + 391.0, + 303.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 345.0, + 292.0, + 402.0, + 370.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 340.0, + 317.0, + 398.0, + 402.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 423.0, + 263.0, + 458.0, + 291.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 377.0, + 283.0, + 486.0, + 421.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 381.0, + 306.0, + 490.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 607.0, + 257.0, + 660.0, + 300.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 540.0, + 287.0, + 695.0, + 494.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 513.0, + 317.0, + 717.0, + 554.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2be06fb855" + }, + "2bf545c2f5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 380.0, + 111.0, + 584.0, + 480.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 538.0, + 415.0, + 630.0, + 471.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 677.0, + 409.0, + 750.0, + 473.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 719.0, + 403.0, + 789.0, + 462.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 387.0, + 97.0, + 582.0, + 470.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 557.0, + 393.0, + 657.0, + 456.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 669.0, + 405.0, + 734.0, + 463.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 697.0, + 399.0, + 792.0, + 449.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 387.0, + 99.0, + 575.0, + 468.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 566.0, + 397.0, + 659.0, + 458.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 667.0, + 411.0, + 730.0, + 466.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 712.0, + 398.0, + 785.0, + 454.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 389.0, + 115.0, + 584.0, + 488.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 573.0, + 419.0, + 657.0, + 477.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 661.0, + 423.0, + 723.0, + 482.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 713.0, + 418.0, + 790.0, + 469.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2bf545c2f5" + }, + "2bffe4cf9a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 370.0, + 320.0, + 724.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 223.0, + 335.0, + 664.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 62.0, + 229.0, + 650.0, + 653.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 101.0, + 206.0, + 732.0, + 669.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2bffe4cf9a" + }, + "2c04b887b7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 319.0, + 100.0, + 728.0, + 408.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 202.0, + 280.0, + 786.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 315.0, + 96.0, + 800.0, + 405.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 231.0, + 292.0, + 890.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 375.0, + 105.0, + 844.0, + 462.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 262.0, + 308.0, + 893.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 355.0, + 92.0, + 850.0, + 446.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 280.0, + 299.0, + 932.0, + 609.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c04b887b7" + }, + "2c05209105": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 362.0, + 166.0, + 858.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 408.0, + 139.0, + 785.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 445.0, + 124.0, + 809.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 443.0, + 147.0, + 827.0, + 592.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c05209105" + }, + "2c0ad8cf39": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 171.0, + 0.0, + 886.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 88.0, + 702.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 141.0, + 0.0, + 885.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 170.0, + 609.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 104.0, + 0.0, + 857.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 182.0, + 554.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 104.0, + 0.0, + 848.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 272.0, + 561.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c0ad8cf39" + }, + "2c11fedca8": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 316.0, + 243.0, + 1024.0, + 402.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 286.0, + 230.0, + 1060.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 160.0, + 211.0, + 1068.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 59.0, + 193.0, + 1105.0, + 429.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c11fedca8" + }, + "2c1a94ebfb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 692.0, + 303.0, + 772.0, + 519.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 837.0, + 285.0, + 914.0, + 420.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 978.0, + 269.0, + 1042.0, + 424.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 611.0, + 296.0, + 726.0, + 537.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 812.0, + 283.0, + 897.0, + 453.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 967.0, + 260.0, + 1041.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 586.0, + 304.0, + 702.0, + 553.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 801.0, + 289.0, + 890.0, + 479.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 957.0, + 269.0, + 1037.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 369.0, + 326.0, + 538.0, + 662.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 678.0, + 318.0, + 790.0, + 546.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 887.0, + 298.0, + 978.0, + 494.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c1a94ebfb" + }, + "2c1e8c8e2f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 339.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 207.0, + 49.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 169.0, + 65.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 213.0, + 89.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c1e8c8e2f" + }, + "2c29fabcf1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 167.0, + 198.0, + 400.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 195.0, + 272.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 183.0, + 269.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 168.0, + 277.0, + 567.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c29fabcf1" + }, + "2c2c076c01": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 456.0, + 108.0, + 1115.0, + 466.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 426.0, + 90.0, + 1167.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 394.0, + 117.0, + 1105.0, + 462.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 450.0, + 119.0, + 1129.0, + 469.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c2c076c01" + }, + "2c3ea7ee7d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 36.0, + 1279.0, + 667.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 165.0, + 591.0, + 230.0, + 642.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 136.0, + 594.0, + 276.0, + 719.0 + ] + }, + "4": { + "category_name": "airplane", + "bbox": [ + 519.0, + 463.0, + 759.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 29.0, + 1279.0, + 671.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 200.0, + 594.0, + 265.0, + 646.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 150.0, + 597.0, + 301.0, + 719.0 + ] + }, + "4": { + "category_name": "airplane", + "bbox": [ + 502.0, + 466.0, + 767.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 10.0, + 1279.0, + 680.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 304.0, + 594.0, + 369.0, + 647.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 248.0, + 597.0, + 402.0, + 719.0 + ] + }, + "4": { + "category_name": "airplane", + "bbox": [ + 534.0, + 437.0, + 855.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 2.0, + 1279.0, + 681.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 318.0, + 593.0, + 380.0, + 647.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 262.0, + 596.0, + 416.0, + 719.0 + ] + }, + "4": { + "category_name": "airplane", + "bbox": [ + 544.0, + 434.0, + 877.0, + 535.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c3ea7ee7d" + }, + "2c41fa0648": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 335.0, + 309.0, + 471.0, + 382.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 650.0, + 282.0, + 744.0, + 576.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 668.0, + 212.0, + 781.0, + 363.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 740.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 184.0, + 232.0, + 369.0, + 306.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 601.0, + 201.0, + 700.0, + 507.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 597.0, + 144.0, + 746.0, + 323.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 764.0, + 0.0, + 1279.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 123.0, + 353.0, + 310.0, + 431.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 648.0, + 322.0, + 771.0, + 645.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 479.0, + 270.0, + 671.0, + 433.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 918.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 214.0, + 344.0, + 399.0, + 419.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 762.0, + 319.0, + 867.0, + 643.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 532.0, + 261.0, + 738.0, + 412.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 1055.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c41fa0648" + }, + "2c44bb6d1c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 679.0, + 283.0, + 742.0, + 367.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 733.0, + 325.0, + 800.0, + 380.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 721.0, + 331.0, + 792.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 813.0, + 200.0, + 882.0, + 244.0 + ] + } + } + ], + "frame_names": [ + "00165", + "00185", + "00205", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c44bb6d1c" + }, + "2c54cfbb78": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 729.0, + 303.0, + 906.0, + 410.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 642.0, + 210.0, + 742.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 767.0, + 144.0, + 982.0, + 443.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 126.0, + 858.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 641.0, + 0.0, + 1089.0, + 293.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 386.0, + 0.0, + 652.0, + 335.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 676.0, + 371.0, + 1279.0, + 674.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 472.0, + 62.0, + 721.0, + 666.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c54cfbb78" + }, + "2c5537eddf": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 763.0, + 226.0, + 866.0, + 374.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 679.0, + 344.0, + 910.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 591.0, + 457.0, + 662.0, + 566.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 519.0, + 425.0, + 550.0, + 516.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 522.0, + 421.0, + 550.0, + 479.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c5537eddf" + }, + "2c6e63b7de": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 24 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 671.0, + 77.0, + 794.0, + 360.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 694.0, + 283.0, + 794.0, + 424.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 787.0, + 195.0, + 962.0, + 463.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 842.0, + 440.0, + 970.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 859.0, + 292.0, + 1031.0, + 498.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 922.0, + 476.0, + 1056.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 742.0, + 244.0, + 953.0, + 474.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 603.0, + 428.0, + 803.0, + 485.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c6e63b7de" + }, + "2cb10c6a7e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 648.0, + 64.0, + 685.0, + 119.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 255.0, + 416.0, + 323.0, + 606.0 + ] + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 661.0, + 74.0, + 686.0, + 124.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 201.0, + 404.0, + 299.0, + 561.0 + ] + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 280.0, + 471.0, + 295.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 658.0, + 63.0, + 676.0, + 116.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 494.0, + 480.0, + 616.0, + 647.0 + ] + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 479.0, + 531.0, + 503.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 644.0, + 66.0, + 671.0, + 117.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 211.0, + 507.0, + 316.0, + 705.0 + ] + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 283.0, + 513.0, + 301.0, + 554.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2cb10c6a7e" + }, + "2cbcd5ccd1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 410.0, + 322.0, + 986.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 436.0, + 336.0, + 1067.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 423.0, + 330.0, + 1119.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 392.0, + 315.0, + 1076.0, + 605.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2cbcd5ccd1" + }, + "2cc5d9c5f6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 231.0, + 87.0, + 1174.0, + 420.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 409.0, + 183.0, + 510.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 241.0, + 85.0, + 1201.0, + 425.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 407.0, + 227.0, + 500.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 320.0, + 20.0, + 1279.0, + 406.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 326.0, + 351.0, + 600.0, + 458.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 326.0, + 301.0, + 550.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 233.0, + 24.0, + 1279.0, + 490.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 509.0, + 464.0, + 747.0, + 524.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 83.0, + 424.0, + 399.0, + 626.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2cc5d9c5f6" + }, + "2cd01cf915": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 415.0, + 60.0, + 633.0, + 261.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 419.0, + 111.0, + 832.0, + 529.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 412.0, + 27.0, + 633.0, + 232.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 415.0, + 91.0, + 825.0, + 508.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 607.0, + 95.0, + 829.0, + 301.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 618.0, + 154.0, + 1032.0, + 576.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 32.0, + 84.0, + 243.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 615.0, + 100.0, + 826.0, + 304.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 620.0, + 161.0, + 1036.0, + 579.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 40.0, + 89.0, + 244.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2cd01cf915" + }, + "2cdbf5f0a7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 176.0, + 358.0, + 775.0, + 702.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 753.0, + 166.0, + 1275.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 280.0, + 284.0, + 841.0, + 648.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 749.0, + 117.0, + 1251.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 261.0, + 256.0, + 782.0, + 639.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 664.0, + 112.0, + 1118.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 365.0, + 259.0, + 813.0, + 642.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 629.0, + 110.0, + 1045.0, + 501.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2cdbf5f0a7" + }, + "2ce660f123": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 687.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 713.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 711.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 707.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2ce660f123" + }, + "2cf114677e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 612.0, + 0.0, + 1279.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 597.0, + 0.0, + 1279.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 588.0, + 0.0, + 1279.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 623.0, + 0.0, + 1279.0, + 575.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2cf114677e" + }, + "2d01eef98e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 160.0, + 316.0, + 329.0, + 471.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 232.0, + 293.0, + 367.0, + 434.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 424.0, + 273.0, + 528.0, + 412.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 121.0, + 430.0, + 213.0, + 586.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 174.0, + 407.0, + 273.0, + 556.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 389.0, + 376.0, + 523.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 104.0, + 448.0, + 226.0, + 621.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 97.0, + 477.0, + 238.0, + 583.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 391.0, + 398.0, + 532.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 125.0, + 435.0, + 283.0, + 609.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 148.0, + 430.0, + 292.0, + 547.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 412.0, + 393.0, + 549.0, + 527.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d01eef98e" + }, + "2d03593bdc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 735.0, + 214.0, + 1011.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 172.0, + 185.0, + 472.0, + 719.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 357.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 703.0, + 246.0, + 1011.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 202.0, + 201.0, + 494.0, + 719.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 364.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 803.0, + 233.0, + 1047.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 202.0, + 190.0, + 494.0, + 719.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 369.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 709.0, + 212.0, + 1057.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 194.0, + 129.0, + 488.0, + 719.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 376.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d03593bdc" + }, + "2d183ac8c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 495.0, + 218.0, + 1063.0, + 426.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 362.0, + 461.0, + 654.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 604.0, + 182.0, + 1117.0, + 386.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 281.0, + 387.0, + 620.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 647.0, + 206.0, + 1161.0, + 393.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 281.0, + 384.0, + 617.0, + 473.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 736.0, + 281.0, + 1237.0, + 433.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 270.0, + 407.0, + 539.0, + 494.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d183ac8c4" + }, + "2d33ad3935": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 418.0, + 193.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 498.0, + 115.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 492.0, + 50.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 514.0, + 86.0, + 1147.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d33ad3935" + }, + "2d3991d83e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 496.0, + 188.0, + 1011.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 478.0, + 177.0, + 1017.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 466.0, + 169.0, + 1053.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 574.0, + 187.0, + 1089.0, + 572.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d3991d83e" + }, + "2d4333577b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 177.0, + 13.0, + 658.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 607.0, + 535.0, + 776.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 115.0, + 0.0, + 824.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 716.0, + 428.0, + 832.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 123.0, + 0.0, + 856.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 598.0, + 512.0, + 766.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 169.0, + 0.0, + 720.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 714.0, + 660.0, + 896.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d4333577b" + }, + "2d4d015c64": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 545.0, + 332.0, + 671.0, + 402.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 555.0, + 91.0, + 1279.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 536.0, + 353.0, + 655.0, + 412.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 557.0, + 92.0, + 1279.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 516.0, + 220.0, + 748.0, + 469.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 489.0, + 0.0, + 1279.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 526.0, + 227.0, + 754.0, + 477.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 491.0, + 0.0, + 1279.0, + 523.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d4d015c64" + }, + "2d8f5e5025": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 1225.0, + 101.0, + 1279.0, + 188.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 1057.0, + 359.0, + 1194.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 637.0, + 474.0, + 747.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d8f5e5025" + }, + "2d900bdb8e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 506.0, + 127.0, + 813.0, + 617.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 260.0, + 193.0, + 635.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 513.0, + 198.0, + 857.0, + 675.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 230.0, + 244.0, + 624.0, + 677.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 578.0, + 243.0, + 773.0, + 619.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 347.0, + 275.0, + 600.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 539.0, + 316.0, + 650.0, + 502.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 443.0, + 329.0, + 558.0, + 501.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d900bdb8e" + }, + "2db0576a5c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 299.0, + 383.0, + 511.0, + 540.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 694.0, + 261.0, + 816.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 331.0, + 409.0, + 541.0, + 577.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 714.0, + 289.0, + 832.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 375.0, + 429.0, + 581.0, + 603.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 728.0, + 324.0, + 870.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 441.0, + 370.0, + 643.0, + 551.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 800.0, + 258.0, + 930.0, + 477.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2db0576a5c" + }, + "2dc0838721": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 384.0, + 190.0, + 727.0, + 613.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 359.0, + 193.0, + 1165.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 207.0, + 118.0, + 1189.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 289.0, + 61.0, + 1000.0, + 520.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2dc0838721" + }, + "2dcc417f82": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 601.0, + 112.0, + 734.0, + 297.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 484.0, + 292.0, + 642.0, + 511.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 410.0, + 499.0, + 534.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 333.0, + 703.0, + 495.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 440.0, + 401.0, + 637.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 878.0, + 173.0, + 997.0, + 417.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 809.0, + 427.0, + 927.0, + 458.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2dcc417f82" + }, + "2df005b843": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 655.0, + 286.0, + 807.0, + 407.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 632.0, + 361.0, + 739.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 743.0, + 169.0, + 965.0, + 372.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 839.0, + 335.0, + 985.0, + 385.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 560.0, + 119.0, + 879.0, + 527.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 570.0, + 513.0, + 773.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 981.0, + 416.0, + 1167.0, + 697.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 877.0, + 633.0, + 1103.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2df005b843" + }, + "2df356de14": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 509.0, + 172.0, + 804.0, + 307.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 555.0, + 154.0, + 819.0, + 309.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 508.0, + 175.0, + 803.0, + 309.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 451.0, + 160.0, + 787.0, + 307.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 531.0, + 0.0, + 852.0, + 106.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2df356de14" + }, + "2e00393d96": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 1069.0, + 426.0, + 1279.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 723.0, + 0.0, + 945.0, + 319.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 74.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 439.0, + 94.0, + 649.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2e00393d96" + }, + "2e03b8127a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 179.0, + 374.0, + 361.0, + 656.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 453.0, + 365.0, + 676.0, + 686.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 9.0, + 95.0, + 170.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 665.0, + 294.0, + 923.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 331.0, + 161.0, + 487.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 798.0, + 241.0, + 1026.0, + 689.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 462.0, + 104.0, + 616.0, + 461.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2e03b8127a" + }, + "2e0f886168": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 517.0, + 322.0, + 643.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 317.0, + 665.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 602.0, + 311.0, + 738.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2e0f886168" + }, + "2e2bf37e6d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 301.0, + 107.0, + 852.0, + 630.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 309.0, + 110.0, + 858.0, + 630.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 309.0, + 114.0, + 842.0, + 631.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 374.0, + 226.0, + 857.0, + 631.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 87.0, + 333.0, + 467.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00065", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2e2bf37e6d" + }, + "2e42410932": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 149.0, + 173.0, + 1279.0, + 442.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 163.0, + 176.0, + 1279.0, + 443.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 141.0, + 188.0, + 1279.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 149.0, + 182.0, + 1279.0, + 441.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2e42410932" + }, + "2ea78f46e4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 606.0, + 327.0, + 837.0, + 632.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 839.0, + 655.0, + 1081.0, + 719.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 879.0, + 620.0, + 1167.0, + 719.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 492.0, + 3.0, + 957.0, + 668.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2ea78f46e4" + }, + "2ebb017a26": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 96.0, + 94.0, + 863.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 56.0, + 86.0, + 883.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 44.0, + 88.0, + 885.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 58.0, + 93.0, + 873.0, + 652.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2ebb017a26" + }, + "2ee2edba2a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 45.0, + 0.0, + 938.0, + 495.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 445.0, + 244.0, + 988.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 220.0, + 0.0, + 612.0, + 467.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 439.0, + 214.0, + 979.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 276.0, + 0.0, + 608.0, + 471.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 439.0, + 213.0, + 976.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 296.0, + 0.0, + 622.0, + 474.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 440.0, + 222.0, + 986.0, + 493.0 + ] + } + } + ], + "frame_names": [ + "00065", + "00095", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2ee2edba2a" + }, + "2efb07554a": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 615.0, + 178.0, + 920.0, + 589.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 573.0, + 149.0, + 900.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 549.0, + 70.0, + 900.0, + 540.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 457.0, + 77.0, + 877.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 517.0, + 61.0, + 950.0, + 453.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 386.0, + 0.0, + 831.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 515.0, + 83.0, + 948.0, + 495.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 388.0, + 34.0, + 848.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00105", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2efb07554a" + }, + "2f17e4fc1e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 265.0, + 69.0, + 1051.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 255.0, + 34.0, + 1136.0, + 668.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 255.0, + 0.0, + 1273.0, + 665.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 215.0, + 0.0, + 1279.0, + 705.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00070", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f17e4fc1e" + }, + "2f2c65c2f3": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 25 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 210.0, + 57.0, + 895.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 39.0, + 147.0, + 734.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 127.0, + 157.0, + 754.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 329.0, + 3.0, + 966.0, + 575.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f2c65c2f3" + }, + "2f2d9b33be": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 398.0, + 201.0, + 1279.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 453.0, + 220.0, + 1279.0, + 635.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 477.0, + 197.0, + 1279.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 517.0, + 194.0, + 1279.0, + 633.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f2d9b33be" + }, + "2f309c206b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 343.0, + 260.0, + 541.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 328.0, + 260.0, + 547.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 340.0, + 255.0, + 544.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 345.0, + 249.0, + 540.0, + 501.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f309c206b" + }, + "2f53822e88": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 367.0, + 295.0, + 437.0, + 385.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 348.0, + 385.0, + 441.0, + 408.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 481.0, + 291.0, + 548.0, + 376.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 483.0, + 347.0, + 556.0, + 390.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 508.0, + 357.0, + 545.0, + 370.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 474.0, + 231.0, + 505.0, + 253.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f53822e88" + }, + "2f53998171": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 240.0, + 168.0, + 1167.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 262.0, + 166.0, + 1161.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 230.0, + 223.0, + 1191.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 184.0, + 231.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00050", + "00065", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f53998171" + }, + "2f5b0c89b1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 64.0, + 155.0, + 275.0, + 495.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 132.0, + 449.0, + 295.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 551.0, + 182.0, + 756.0, + 575.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 609.0, + 527.0, + 785.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 605.0, + 20.0, + 809.0, + 551.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 665.0, + 383.0, + 786.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 705.0, + 23.0, + 836.0, + 500.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 700.0, + 468.0, + 852.0, + 526.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f5b0c89b1" + }, + "2f680909e6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 546.0, + 244.0, + 738.0, + 398.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 280.0, + 162.0, + 526.0, + 643.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 284.0, + 497.0, + 538.0, + 695.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 541.0, + 246.0, + 734.0, + 399.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 272.0, + 155.0, + 535.0, + 656.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 291.0, + 500.0, + 534.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 466.0, + 224.0, + 660.0, + 382.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 492.0, + 81.0, + 892.0, + 667.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 657.0, + 531.0, + 1014.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 486.0, + 334.0, + 698.0, + 473.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 762.0, + 110.0, + 1062.0, + 568.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 795.0, + 469.0, + 1160.0, + 582.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f680909e6" + }, + "2f710f66bd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 186.0, + 0.0, + 1081.0, + 528.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 194.0, + 10.0, + 1103.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 156.0, + 0.0, + 1101.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 110.0, + 93.0, + 1231.0, + 657.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00050", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f710f66bd" + }, + "2f724132b9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 49.0, + 705.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 14.0, + 51.0, + 705.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 75.0, + 737.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 73.0, + 716.0, + 595.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f724132b9" + }, + "2f7e3517ae": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 942.0, + 393.0, + 993.0, + 596.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 982.0, + 394.0, + 1063.0, + 626.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1036.0, + 479.0, + 1050.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 927.0, + 394.0, + 984.0, + 624.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1021.0, + 391.0, + 1087.0, + 633.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 968.0, + 388.0, + 1029.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 918.0, + 398.0, + 979.0, + 629.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1036.0, + 395.0, + 1106.0, + 636.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 957.0, + 386.0, + 1012.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 997.0, + 398.0, + 1088.0, + 658.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1098.0, + 551.0, + 1162.0, + 638.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 950.0, + 386.0, + 1020.0, + 640.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f7e3517ae" + }, + "2f96f5fc6f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 20 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 308.0, + 0.0, + 1279.0, + 520.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 513.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1157.0, + 365.0, + 1279.0, + 403.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 1150.0, + 436.0, + 1279.0, + 462.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 13.0, + 767.0, + 503.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 755.0, + 241.0, + 1279.0, + 451.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 384.0, + 342.0, + 1227.0, + 455.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 408.0, + 248.0, + 550.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 536.0, + 382.0, + 1279.0, + 577.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 50.0, + 524.0, + 1279.0, + 583.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f96f5fc6f" + }, + "2f97d9fecb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 194.0, + 1177.0, + 634.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 598.0, + 489.0, + 625.0, + 530.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 636.0, + 488.0, + 671.0, + 532.0 + ] + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 387.0, + 77.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 1.0, + 167.0, + 1200.0, + 607.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 628.0, + 466.0, + 655.0, + 507.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 657.0, + 462.0, + 695.0, + 507.0 + ] + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 361.0, + 107.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 155.0, + 155.0, + 1277.0, + 578.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1076.0, + 408.0, + 1133.0, + 492.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1118.0, + 406.0, + 1166.0, + 496.0 + ] + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 315.0, + 183.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 157.0, + 145.0, + 1260.0, + 565.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1087.0, + 391.0, + 1125.0, + 480.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1121.0, + 394.0, + 1171.0, + 485.0 + ] + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 302.0, + 199.0, + 457.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f97d9fecb" + }, + "2fbfa431ec": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 398.0, + 138.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 389.0, + 170.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 292.0, + 128.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 466.0, + 98.0, + 635.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2fbfa431ec" + }, + "2fc9520b53": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 1081.0, + 116.0, + 1189.0, + 274.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 939.0, + 179.0, + 1099.0, + 400.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 828.0, + 208.0, + 956.0, + 424.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 751.0, + 229.0, + 857.0, + 429.0 + ] + }, + "5": { + "category_name": "monkey", + "bbox": [ + 758.0, + 322.0, + 813.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 572.0, + 246.0, + 669.0, + 406.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 456.0, + 317.0, + 606.0, + 530.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 347.0, + 345.0, + 466.0, + 550.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 257.0, + 354.0, + 376.0, + 553.0 + ] + }, + "5": { + "category_name": "monkey", + "bbox": [ + 271.0, + 449.0, + 327.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 709.0, + 258.0, + 808.0, + 418.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 589.0, + 331.0, + 740.0, + 556.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 474.0, + 373.0, + 598.0, + 568.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 393.0, + 369.0, + 499.0, + 574.0 + ] + }, + "5": { + "category_name": "monkey", + "bbox": [ + 403.0, + 464.0, + 462.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 934.0, + 197.0, + 1071.0, + 388.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 732.0, + 242.0, + 960.0, + 552.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 594.0, + 306.0, + 766.0, + 571.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 503.0, + 307.0, + 636.0, + 568.0 + ] + }, + "5": { + "category_name": "monkey", + "bbox": [ + 500.0, + 432.0, + 588.0, + 685.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2fc9520b53" + }, + "2fcd9f4c62": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 166.0, + 294.0, + 999.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 186.0, + 323.0, + 1037.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 202.0, + 283.0, + 1097.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 168.0, + 330.0, + 1103.0, + 571.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2fcd9f4c62" + }, + "2feb30f208": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 203.0, + 389.0, + 496.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 205.0, + 387.0, + 456.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 236.0, + 386.0, + 511.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 215.0, + 387.0, + 470.0, + 548.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2feb30f208" + }, + "2ff7f5744f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 509.0, + 217.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 375.0, + 11.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 369.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 202.0, + 0.0, + 1187.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2ff7f5744f" + }, + "30085a2cc6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 260.0, + 265.0, + 646.0, + 553.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 48.0, + 441.0, + 235.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 318.0, + 264.0, + 694.0, + 543.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 98.0, + 445.0, + 261.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 316.0, + 263.0, + 740.0, + 539.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 156.0, + 450.0, + 336.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 343.0, + 271.0, + 754.0, + 535.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 231.0, + 452.0, + 392.0, + 539.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/30085a2cc6" + }, + "30176e3615": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 542.0, + 145.0, + 741.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 543.0, + 149.0, + 735.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 538.0, + 150.0, + 730.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 539.0, + 146.0, + 730.0, + 667.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/30176e3615" + }, + "301f72ee11": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 665.0, + 0.0, + 1009.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 787.0, + 0.0, + 1129.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 867.0, + 0.0, + 1197.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 807.0, + 4.0, + 1225.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/301f72ee11" + }, + "3026bb2f61": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 748.0, + 177.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 648.0, + 204.0, + 1276.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 455.0, + 274.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 313.0, + 274.0, + 1028.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3026bb2f61" + }, + "30318465dc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 507.0, + 137.0, + 771.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 526.0, + 235.0, + 814.0, + 685.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 563.0, + 233.0, + 862.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 584.0, + 250.0, + 888.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00100", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/30318465dc" + }, + "3054ca937d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 596.0, + 0.0, + 1279.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 568.0, + 0.0, + 1279.0, + 541.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 665.0, + 55.0, + 1279.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 668.0, + 55.0, + 1279.0, + 577.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3054ca937d" + }, + "306121e726": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 521.0, + 125.0, + 990.0, + 677.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 530.0, + 115.0, + 949.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 373.0, + 76.0, + 864.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 345.0, + 11.0, + 932.0, + 672.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/306121e726" + }, + "3064ad91e8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 431.0, + 10.0, + 780.0, + 706.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 754.0, + 195.0, + 840.0, + 493.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 374.0, + 25.0, + 737.0, + 702.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 646.0, + 92.0, + 792.0, + 527.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 345.0, + 10.0, + 994.0, + 703.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 763.0, + 276.0, + 794.0, + 387.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 451.0, + 178.0, + 960.0, + 627.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 668.0, + 165.0, + 794.0, + 257.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 387.0, + 244.0, + 590.0, + 430.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3064ad91e8" + }, + "307444a47f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 343.0, + 224.0, + 872.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 357.0, + 221.0, + 922.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 377.0, + 226.0, + 934.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 351.0, + 213.0, + 900.0, + 518.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/307444a47f" + }, + "307bbb7409": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 727.0, + 263.0, + 889.0, + 372.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 732.0, + 281.0, + 922.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 770.0, + 280.0, + 1024.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 679.0, + 224.0, + 1080.0, + 461.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/307bbb7409" + }, + "30a20194ab": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 293.0, + 276.0, + 686.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 297.0, + 247.0, + 654.0, + 475.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 316.0, + 232.0, + 649.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 109.0, + 310.0, + 607.0, + 456.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/30a20194ab" + }, + "30c35c64a4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 357.0, + 253.0, + 688.0, + 650.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 614.0, + 409.0, + 660.0, + 478.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 351.0, + 225.0, + 679.0, + 431.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 491.0, + 153.0, + 742.0, + 364.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 626.0, + 300.0, + 691.0, + 353.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 469.0, + 137.0, + 733.0, + 386.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 605.0, + 296.0, + 690.0, + 365.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/30c35c64a4" + }, + "30dbdb2cd6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 810.0, + 269.0, + 875.0, + 297.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 58.0, + 223.0, + 285.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 785.0, + 283.0, + 850.0, + 302.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 132.0, + 215.0, + 349.0, + 356.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 766.0, + 268.0, + 833.0, + 293.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 94.0, + 206.0, + 345.0, + 333.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 709.0, + 340.0, + 774.0, + 372.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 112.0, + 303.0, + 335.0, + 403.0 + ] + } + } + ], + "frame_names": [ + "00055", + "00070", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/30dbdb2cd6" + }, + "30fc77d72f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 385.0, + 235.0, + 606.0, + 476.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 625.0, + 181.0, + 845.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 349.0, + 221.0, + 509.0, + 499.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 595.0, + 212.0, + 779.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 291.0, + 248.0, + 469.0, + 532.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 484.0, + 243.0, + 722.0, + 457.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 286.0, + 256.0, + 484.0, + 518.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 548.0, + 225.0, + 783.0, + 445.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/30fc77d72f" + }, + "310021b58b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 376.0, + 141.0, + 560.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 636.0, + 151.0, + 830.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 220.0, + 131.0, + 394.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 523.0, + 111.0, + 906.0, + 691.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 185.0, + 168.0, + 401.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 570.0, + 121.0, + 959.0, + 693.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 156.0, + 148.0, + 486.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 538.0, + 117.0, + 954.0, + 663.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/310021b58b" + }, + "3113140ee8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 658.0, + 177.0, + 868.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 630.0, + 178.0, + 890.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 575.0, + 201.0, + 845.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 556.0, + 210.0, + 791.0, + 524.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3113140ee8" + }, + "3150b2ee57": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 120.0, + 84.0, + 999.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 605.0, + 425.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 173.0, + 84.0, + 986.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 136.0, + 486.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 227.0, + 121.0, + 1006.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 355.0, + 592.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 399.0, + 56.0, + 994.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 253.0, + 715.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3150b2ee57" + }, + "31539918c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 470.0, + 95.0, + 781.0, + 456.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 516.0, + 137.0, + 763.0, + 412.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 691.0, + 96.0, + 892.0, + 308.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 693.0, + 0.0, + 893.0, + 129.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 405.0, + 499.0, + 648.0, + 635.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/31539918c4" + }, + "318dfe2ce2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 480.0, + 0.0, + 1030.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 483.0, + 8.0, + 1040.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 478.0, + 12.0, + 1037.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 492.0, + 0.0, + 1065.0, + 701.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/318dfe2ce2" + }, + "3193da4835": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 447.0, + 695.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 266.0, + 855.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 82.0, + 164.0, + 997.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 110.0, + 158.0, + 1021.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3193da4835" + }, + "319f725ad9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 332.0, + 148.0, + 628.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 364.0, + 219.0, + 620.0, + 672.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 354.0, + 279.0, + 634.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 368.0, + 182.0, + 665.0, + 665.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00085", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/319f725ad9" + }, + "31bbd0d793": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 773.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 847.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 789.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 851.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/31bbd0d793" + }, + "322505c47f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 689.0, + 332.0, + 809.0, + 490.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 86.0, + 428.0, + 353.0, + 674.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 690.0, + 329.0, + 812.0, + 487.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 100.0, + 351.0, + 283.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 689.0, + 310.0, + 820.0, + 494.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 117.0, + 244.0, + 287.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 698.0, + 260.0, + 823.0, + 443.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 118.0, + 262.0, + 286.0, + 520.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/322505c47f" + }, + "322b237865": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 257.0, + 133.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 279.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 245.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 109.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/322b237865" + }, + "322da43910": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 382.0, + 141.0, + 1237.0, + 406.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 416.0, + 143.0, + 1250.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 497.0, + 158.0, + 1191.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 525.0, + 165.0, + 1163.0, + 399.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/322da43910" + }, + "3245e049fb": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 357.0, + 17.0, + 613.0, + 596.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 358.0, + 202.0, + 568.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 298.0, + 25.0, + 652.0, + 622.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 385.0, + 228.0, + 656.0, + 685.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 371.0, + 55.0, + 840.0, + 561.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 344.0, + 295.0, + 724.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 400.0, + 251.0, + 890.0, + 709.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 277.0, + 471.0, + 818.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3245e049fb" + }, + "324c4c38f6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 674.0, + 445.0, + 1154.0, + 715.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 450.0, + 278.0, + 582.0, + 477.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 722.0, + 419.0, + 1152.0, + 712.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 449.0, + 281.0, + 581.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 686.0, + 440.0, + 1080.0, + 719.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 450.0, + 274.0, + 585.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 764.0, + 380.0, + 982.0, + 719.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 450.0, + 271.0, + 581.0, + 475.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/324c4c38f6" + }, + "324e35111a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 215.0, + 215.0, + 1058.0, + 719.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 752.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 167.0, + 192.0, + 1036.0, + 677.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 744.0, + 0.0, + 1279.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 165.0, + 226.0, + 1062.0, + 711.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 798.0, + 1.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 203.0, + 91.0, + 1042.0, + 719.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 769.0, + 157.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/324e35111a" + }, + "3252398f09": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 591.0, + 285.0, + 704.0, + 390.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 315.0, + 233.0, + 615.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 303.0, + 222.0, + 583.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 287.0, + 321.0, + 553.0, + 625.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3252398f09" + }, + "327dc4cabf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 342.0, + 46.0, + 1129.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 348.0, + 58.0, + 1129.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 356.0, + 86.0, + 1111.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 442.0, + 58.0, + 1139.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/327dc4cabf" + }, + "328d918c7d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 296.0, + 0.0, + 600.0, + 230.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 737.0, + 154.0, + 1279.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 226.0, + 199.0, + 590.0, + 527.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 1060.0, + 495.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 357.0, + 155.0, + 773.0, + 568.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 469.0, + 120.0, + 904.0, + 611.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/328d918c7d" + }, + "3290c0de97": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 622.0, + 228.0, + 932.0, + 581.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 52.0, + 0.0, + 540.0, + 440.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1169.0, + 351.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 586.0, + 143.0, + 940.0, + 544.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 505.0, + 398.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1211.0, + 284.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 348.0, + 285.0, + 817.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 54.0, + 182.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1129.0, + 313.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 336.0, + 186.0, + 809.0, + 665.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 28.0, + 102.0, + 631.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1091.0, + 47.0, + 1279.0, + 670.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3290c0de97" + }, + "3299ae3116": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 172.0, + 0.0, + 849.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 442.0, + 0.0, + 877.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 488.0, + 0.0, + 997.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 346.0, + 0.0, + 1035.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3299ae3116" + }, + "32a7cd687b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 631.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 573.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 684.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 692.0, + 605.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/32a7cd687b" + }, + "33098cedb4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 38.0, + 273.0, + 770.0, + 716.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 702.0, + 262.0, + 941.0, + 715.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 827.0, + 336.0, + 1195.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 152.0, + 263.0, + 855.0, + 716.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 845.0, + 266.0, + 1054.0, + 717.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 942.0, + 337.0, + 1275.0, + 658.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 276.0, + 282.0, + 1002.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 972.0, + 274.0, + 1176.0, + 717.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 1068.0, + 349.0, + 1277.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 395.0, + 305.0, + 1096.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1096.0, + 297.0, + 1275.0, + 716.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 1188.0, + 362.0, + 1210.0, + 421.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/33098cedb4" + }, + "3332334ac4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 155.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 468.0, + 97.0, + 888.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 159.0, + 0.0, + 1252.0, + 671.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 319.0, + 382.0, + 758.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 119.0, + 0.0, + 1270.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 504.0, + 221.0, + 939.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 1092.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 29.0, + 655.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3332334ac4" + }, + "334cb835ac": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 406.0, + 410.0, + 501.0, + 543.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 440.0, + 515.0, + 501.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 367.0, + 324.0, + 438.0, + 434.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 376.0, + 416.0, + 427.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 448.0, + 329.0, + 487.0, + 430.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 424.0, + 418.0, + 487.0, + 458.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 371.0, + 360.0, + 462.0, + 456.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 397.0, + 441.0, + 423.0, + 466.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/334cb835ac" + }, + "3355e056eb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 238.0, + 861.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 215.0, + 945.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 98.0, + 203.0, + 1279.0, + 707.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 248.0, + 881.0, + 648.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3355e056eb" + }, + "33639a2847": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 151.0, + 144.0, + 405.0, + 399.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 149.0, + 133.0, + 405.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 36.0, + 114.0, + 405.0, + 373.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 51.0, + 133.0, + 405.0, + 386.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/33639a2847" + }, + "3373891cdc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 498.0, + 89.0, + 678.0, + 439.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 316.0, + 71.0, + 482.0, + 402.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 405.0, + 64.0, + 620.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 333.0, + 34.0, + 561.0, + 378.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00075", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3373891cdc" + }, + "337975816b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 400.0, + 275.0, + 658.0, + 566.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 584.0, + 394.0, + 931.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 413.0, + 262.0, + 669.0, + 552.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 589.0, + 375.0, + 911.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 442.0, + 254.0, + 689.0, + 526.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 606.0, + 364.0, + 931.0, + 636.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 434.0, + 233.0, + 701.0, + 517.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 617.0, + 337.0, + 937.0, + 614.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/337975816b" + }, + "33e29d7e91": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 667.0, + 218.0, + 785.0, + 570.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 821.0, + 184.0, + 1105.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 584.0, + 193.0, + 746.0, + 590.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 980.0, + 151.0, + 1099.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 555.0, + 214.0, + 679.0, + 604.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 989.0, + 139.0, + 1084.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 501.0, + 209.0, + 655.0, + 644.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 968.0, + 140.0, + 1091.0, + 545.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/33e29d7e91" + }, + "34046fe4f2": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 293.0, + 52.0, + 953.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 304.0, + 51.0, + 930.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 299.0, + 65.0, + 930.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 316.0, + 40.0, + 926.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34046fe4f2" + }, + "3424f58959": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 32.0, + 286.0, + 567.0, + 438.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 871.0, + 177.0, + 1100.0, + 275.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 250.0, + 569.0, + 492.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 839.0, + 140.0, + 1066.0, + 261.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 201.0, + 651.0, + 534.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 820.0, + 302.0, + 1016.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 116.0, + 700.0, + 534.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 844.0, + 285.0, + 992.0, + 398.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3424f58959" + }, + "34370a710f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 281.0, + 8.0, + 1279.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 307.0, + 16.0, + 1279.0, + 458.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 345.0, + 32.0, + 1279.0, + 467.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 359.0, + 51.0, + 1279.0, + 462.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34370a710f" + }, + "343bc6a65a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 280.0, + 953.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 364.0, + 889.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 345.0, + 616.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 306.0, + 574.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/343bc6a65a" + }, + "3450382ef7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 840.0, + 359.0, + 1060.0, + 648.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 734.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 860.0, + 382.0, + 1082.0, + 673.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 11.0, + 748.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 857.0, + 384.0, + 1081.0, + 671.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 749.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 853.0, + 399.0, + 1076.0, + 686.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 747.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3450382ef7" + }, + "3454303a08": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 636.0, + 356.0, + 1022.0, + 616.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 973.0, + 308.0, + 1168.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 714.0, + 350.0, + 998.0, + 607.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 954.0, + 283.0, + 1137.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 716.0, + 362.0, + 1014.0, + 606.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 957.0, + 278.0, + 1143.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 708.0, + 330.0, + 1012.0, + 599.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 963.0, + 272.0, + 1146.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3454303a08" + }, + "346aacf439": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 133.0, + 134.0, + 638.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 317.0, + 265.0, + 959.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 462.0, + 156.0, + 831.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 465.0, + 742.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/346aacf439" + }, + "346e92ff37": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 692.0, + 366.0, + 885.0, + 670.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 684.0, + 370.0, + 866.0, + 672.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 581.0, + 129.0, + 1003.0, + 519.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 594.0, + 143.0, + 796.0, + 481.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 930.0, + 17.0, + 996.0, + 147.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/346e92ff37" + }, + "34a5ece7dd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 736.0, + 324.0, + 1196.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 740.0, + 314.0, + 1186.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 838.0, + 244.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 846.0, + 224.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34a5ece7dd" + }, + "34b109755a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 320.0, + 138.0, + 695.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 334.0, + 140.0, + 717.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 318.0, + 133.0, + 703.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 318.0, + 136.0, + 699.0, + 498.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00085", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34b109755a" + }, + "34d1b37101": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 345.0, + 0.0, + 702.0, + 560.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 529.0, + 419.0, + 640.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 53.0, + 0.0, + 630.0, + 612.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 218.0, + 510.0, + 644.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 395.0, + 0.0, + 797.0, + 655.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 390.0, + 490.0, + 814.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 435.0, + 0.0, + 763.0, + 674.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 415.0, + 504.0, + 750.0, + 659.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34d1b37101" + }, + "34dd2c70a7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 528.0, + 210.0, + 1269.0, + 490.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 457.0, + 455.0, + 770.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 455.0, + 224.0, + 1269.0, + 517.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 454.0, + 479.0, + 815.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 438.0, + 226.0, + 1269.0, + 519.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 455.0, + 483.0, + 779.0, + 643.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 537.0, + 223.0, + 1269.0, + 507.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 416.0, + 431.0, + 786.0, + 636.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34dd2c70a7" + }, + "34efa703df": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 445.0, + 131.0, + 942.0, + 682.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 451.0, + 116.0, + 960.0, + 680.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 439.0, + 236.0, + 952.0, + 679.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 451.0, + 367.0, + 802.0, + 680.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34efa703df" + }, + "34fbee00a6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 776.0, + 29.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 1079.0, + 180.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 247.0, + 60.0, + 954.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 1180.0, + 225.0, + 1279.0, + 614.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 341.0, + 70.0, + 1015.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 589.0, + 2.0, + 1279.0, + 388.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34fbee00a6" + }, + "3504df2fda": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 859.0, + 0.0, + 1126.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 682.0, + 179.0, + 1026.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 502.0, + 264.0, + 1097.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 446.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3504df2fda" + }, + "35195a56a1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 416.0, + 151.0, + 745.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 364.0, + 129.0, + 823.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 360.0, + 117.0, + 773.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 310.0, + 301.0, + 620.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/35195a56a1" + }, + "351c822748": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 403.0, + 228.0, + 752.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 385.0, + 249.0, + 842.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 403.0, + 269.0, + 830.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 473.0, + 283.0, + 870.0, + 507.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/351c822748" + }, + "351cfd6bc5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 889.0, + 426.0, + 970.0, + 524.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 967.0, + 388.0, + 1078.0, + 438.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 862.0, + 331.0, + 985.0, + 368.0 + ] + }, + "4": { + "category_name": "parrot", + "bbox": [ + 1049.0, + 344.0, + 1158.0, + 386.0 + ] + }, + "5": { + "category_name": "parrot", + "bbox": [ + 949.0, + 267.0, + 1060.0, + 320.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 911.0, + 412.0, + 962.0, + 519.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 968.0, + 377.0, + 1098.0, + 414.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 880.0, + 307.0, + 991.0, + 361.0 + ] + }, + "4": { + "category_name": "parrot", + "bbox": [ + 949.0, + 324.0, + 1055.0, + 377.0 + ] + }, + "5": { + "category_name": "parrot", + "bbox": [ + 959.0, + 254.0, + 1077.0, + 304.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 909.0, + 397.0, + 980.0, + 520.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 822.0, + 370.0, + 956.0, + 405.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 874.0, + 291.0, + 998.0, + 354.0 + ] + }, + "4": { + "category_name": "parrot", + "bbox": [ + 956.0, + 366.0, + 1100.0, + 401.0 + ] + }, + "5": { + "category_name": "parrot", + "bbox": [ + 852.0, + 218.0, + 961.0, + 294.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 907.0, + 405.0, + 995.0, + 515.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 814.0, + 368.0, + 913.0, + 407.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 873.0, + 339.0, + 983.0, + 372.0 + ] + }, + "4": { + "category_name": "parrot", + "bbox": [ + 983.0, + 345.0, + 1080.0, + 433.0 + ] + }, + "5": { + "category_name": "parrot", + "bbox": [ + 717.0, + 351.0, + 859.0, + 394.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/351cfd6bc5" + }, + "3543d8334c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 302.0, + 384.0, + 542.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 520.0, + 357.0, + 745.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 807.0, + 394.0, + 973.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 879.0, + 408.0, + 1139.0, + 522.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3543d8334c" + }, + "35573455c7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 628.0, + 211.0, + 1024.0, + 560.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 139.0, + 102.0, + 229.0, + 200.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 694.0, + 383.0, + 1085.0, + 719.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 231.0, + 259.0, + 322.0, + 352.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 748.0, + 613.0, + 1082.0, + 719.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 299.0, + 467.0, + 376.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 730.0, + 381.0, + 1121.0, + 713.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 290.0, + 207.0, + 364.0, + 300.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/35573455c7" + }, + "35637a827f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 808.0, + 21.0, + 888.0, + 149.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 755.0, + 22.0, + 832.0, + 152.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 783.0, + 30.0, + 861.0, + 156.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 719.0, + 21.0, + 793.0, + 148.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/35637a827f" + }, + "357a710863": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 25.0, + 248.0, + 1273.0, + 599.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 1.0, + 237.0, + 1275.0, + 602.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 231.0, + 1279.0, + 596.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 213.0, + 1279.0, + 594.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/357a710863" + }, + "358bf16f9e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 682.0, + 334.0, + 796.0, + 543.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 868.0, + 282.0, + 979.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 705.0, + 234.0, + 816.0, + 458.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 893.0, + 188.0, + 1015.0, + 318.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 731.0, + 290.0, + 847.0, + 496.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 930.0, + 238.0, + 1054.0, + 366.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 747.0, + 257.0, + 853.0, + 479.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 943.0, + 224.0, + 1069.0, + 339.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/358bf16f9e" + }, + "35ab34cc34": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 137.0, + 134.0, + 546.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 91.0, + 132.0, + 588.0, + 541.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 110.0, + 149.0, + 692.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 56.0, + 140.0, + 653.0, + 516.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/35ab34cc34" + }, + "35c6235b8d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 414.0, + 9.0, + 705.0, + 436.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 544.0, + 382.0, + 741.0, + 459.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 703.0, + 349.0, + 785.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 454.0, + 0.0, + 655.0, + 384.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 501.0, + 344.0, + 696.0, + 413.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 281.0, + 294.0, + 387.0, + 342.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 326.0, + 3.0, + 543.0, + 449.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 390.0, + 415.0, + 579.0, + 478.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 273.0, + 0.0, + 553.0, + 405.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 404.0, + 356.0, + 575.0, + 435.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00125", + "00140", + "00155", + "00170" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/35c6235b8d" + }, + "35d01a438a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 135.0, + 703.0, + 311.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 587.0, + 276.0, + 706.0, + 320.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 566.0, + 146.0, + 671.0, + 281.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 608.0, + 176.0, + 711.0, + 356.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 631.0, + 322.0, + 718.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 610.0, + 49.0, + 753.0, + 239.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 650.0, + 218.0, + 802.0, + 270.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/35d01a438a" + }, + "3605019d3b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 271.0, + 20.0, + 894.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 254.0, + 0.0, + 979.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 256.0, + 7.0, + 943.0, + 682.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 356.0, + 0.0, + 1019.0, + 611.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3605019d3b" + }, + "3609bc3f88": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 356.0, + 188.0, + 1279.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 322.0, + 171.0, + 1279.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 272.0, + 160.0, + 1279.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 80.0, + 83.0, + 1279.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3609bc3f88" + }, + "360e25da17": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 336.0, + 1279.0, + 580.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 523.0, + 167.0, + 767.0, + 427.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 735.0, + 57.0, + 799.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 17.0, + 342.0, + 1279.0, + 584.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 535.0, + 173.0, + 774.0, + 430.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 731.0, + 109.0, + 832.0, + 405.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 86.0, + 340.0, + 1279.0, + 597.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 561.0, + 184.0, + 839.0, + 447.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 439.0, + 354.0, + 979.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 79.0, + 343.0, + 1279.0, + 584.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 542.0, + 182.0, + 828.0, + 451.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 343.0, + 360.0, + 1016.0, + 503.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/360e25da17" + }, + "36299c687c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 558.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 546.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 544.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 538.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/36299c687c" + }, + "362c5bc56e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 363.0, + 747.0, + 614.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 735.0, + 379.0, + 786.0, + 479.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 491.0, + 112.0, + 522.0, + 173.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 631.0, + 361.0, + 732.0, + 602.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 523.0, + 101.0, + 555.0, + 169.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 184.0, + 430.0, + 314.0, + 691.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 617.0, + 107.0, + 658.0, + 163.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 442.0, + 412.0, + 586.0, + 666.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 526.0, + 448.0, + 537.0, + 457.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 684.0, + 105.0, + 716.0, + 161.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/362c5bc56e" + }, + "3649228783": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 414.0, + 295.0, + 496.0, + 401.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 456.0, + 406.0, + 548.0, + 419.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 635.0, + 266.0, + 683.0, + 385.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 629.0, + 375.0, + 754.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 689.0, + 471.0, + 808.0, + 545.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 711.0, + 542.0, + 764.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 698.0, + 493.0, + 808.0, + 549.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 668.0, + 545.0, + 804.0, + 580.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3649228783" + }, + "365b0501ea": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 645.0, + 162.0, + 1143.0, + 674.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 16.0, + 404.0, + 504.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 589.0, + 188.0, + 1103.0, + 656.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 66.0, + 353.0, + 556.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 427.0, + 239.0, + 1158.0, + 714.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 414.0, + 419.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 51.0, + 455.0, + 415.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 664.0, + 415.0, + 1012.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/365b0501ea" + }, + "365f459863": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 216.0, + 236.0, + 411.0, + 404.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 1045.0, + 260.0, + 1271.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 310.0, + 244.0, + 553.0, + 412.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 859.0, + 279.0, + 1121.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 438.0, + 302.0, + 624.0, + 456.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 761.0, + 305.0, + 1044.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 429.0, + 304.0, + 626.0, + 456.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 726.0, + 299.0, + 991.0, + 493.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/365f459863" + }, + "369893f3ad": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 319.0, + 117.0, + 646.0, + 551.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 86.0, + 932.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 473.0, + 105.0, + 802.0, + 585.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 86.0, + 959.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 476.0, + 102.0, + 802.0, + 566.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 87.0, + 959.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 507.0, + 94.0, + 807.0, + 545.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 28.0, + 86.0, + 959.0, + 629.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/369893f3ad" + }, + "369c9977e1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 323.0, + 0.0, + 1132.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 327.0, + 170.0, + 1024.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 327.0, + 172.0, + 1034.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 323.0, + 186.0, + 1042.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/369c9977e1" + }, + "369dde050a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 893.0, + 595.0, + 1241.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1118.0, + 226.0, + 1198.0, + 260.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 633.0, + 486.0, + 1017.0, + 705.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 936.0, + 112.0, + 1018.0, + 148.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 351.0, + 409.0, + 835.0, + 623.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 725.0, + 37.0, + 806.0, + 70.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 32.0, + 313.0, + 448.0, + 562.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 453.0, + 2.0, + 532.0, + 10.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/369dde050a" + }, + "36c7dac02f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 399.0, + 333.0, + 921.0, + 609.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 509.0, + 154.0, + 720.0, + 337.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 394.0, + 217.0, + 531.0, + 334.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 377.0, + 334.0, + 904.0, + 593.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 531.0, + 83.0, + 776.0, + 324.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 348.0, + 219.0, + 571.0, + 323.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 486.0, + 287.0, + 974.0, + 562.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 605.0, + 41.0, + 853.0, + 296.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 423.0, + 139.0, + 664.0, + 296.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 506.0, + 278.0, + 999.0, + 587.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 643.0, + 48.0, + 854.0, + 316.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 448.0, + 210.0, + 663.0, + 319.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/36c7dac02f" + }, + "36d5b1493b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 167.0, + 374.0, + 359.0, + 510.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 354.0, + 0.0, + 1279.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 196.0, + 361.0, + 418.0, + 490.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 398.0, + 0.0, + 1279.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 160.0, + 312.0, + 373.0, + 482.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 400.0, + 0.0, + 1279.0, + 682.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 160.0, + 312.0, + 372.0, + 481.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 399.0, + 0.0, + 1279.0, + 680.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/36d5b1493b" + }, + "36f5cc68fd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 721.0, + 300.0, + 1089.0, + 489.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 199.0, + 215.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 764.0, + 304.0, + 1148.0, + 500.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 263.0, + 275.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 796.0, + 290.0, + 1181.0, + 496.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 253.0, + 302.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 884.0, + 240.0, + 1216.0, + 483.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 227.0, + 372.0, + 438.0 + ] + } + } + ], + "frame_names": [ + "00080", + "00095", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/36f5cc68fd" + }, + "3735480d18": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 86.0, + 745.0, + 635.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 81.0, + 733.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 87.0, + 718.0, + 635.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 88.0, + 710.0, + 632.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3735480d18" + }, + "374b479880": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 454.0, + 219.0, + 801.0, + 515.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 440.0, + 219.0, + 783.0, + 522.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 430.0, + 199.0, + 865.0, + 539.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 432.0, + 195.0, + 863.0, + 546.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/374b479880" + }, + "375a49d38f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 508.0, + 0.0, + 1137.0, + 607.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 173.0, + 481.0, + 503.0, + 679.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 501.0, + 0.0, + 1135.0, + 621.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 281.0, + 247.0, + 484.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 483.0, + 0.0, + 1107.0, + 638.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 235.0, + 238.0, + 471.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 455.0, + 0.0, + 1081.0, + 678.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 92.0, + 358.0, + 385.0, + 540.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/375a49d38f" + }, + "375a5c0e09": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1159.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1251.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 180.0, + 292.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 235.0, + 73.0, + 285.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 378.0, + 258.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 282.0, + 252.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/375a5c0e09" + }, + "376bda9651": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 358.0, + 220.0, + 437.0, + 336.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 421.0, + 144.0, + 517.0, + 276.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 612.0, + 158.0, + 735.0, + 361.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 506.0, + 228.0, + 606.0, + 406.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/376bda9651" + }, + "377db65f60": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 431.0, + 155.0, + 762.0, + 537.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 115.0, + 198.0, + 495.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 447.0, + 113.0, + 796.0, + 553.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 117.0, + 209.0, + 499.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 427.0, + 94.0, + 780.0, + 540.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 109.0, + 221.0, + 489.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 52.0, + 55.0, + 723.0, + 719.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 274.0, + 204.0, + 929.0, + 602.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/377db65f60" + }, + "37d4ae24fc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 220.0, + 150.0, + 570.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 558.0, + 163.0, + 959.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 113.0, + 84.0, + 474.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 482.0, + 121.0, + 959.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 137.0, + 289.0, + 498.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 506.0, + 309.0, + 959.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 226.0, + 176.0, + 587.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 570.0, + 173.0, + 959.0, + 694.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/37d4ae24fc" + }, + "37ddce7f8b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 414.0, + 138.0, + 733.0, + 262.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 384.0, + 178.0, + 506.0, + 394.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/37ddce7f8b" + }, + "37e10d33af": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 712.0, + 306.0, + 970.0, + 453.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 261.0, + 31.0, + 714.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 736.0, + 286.0, + 972.0, + 456.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 259.0, + 27.0, + 713.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 724.0, + 271.0, + 992.0, + 443.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 250.0, + 23.0, + 710.0, + 391.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 724.0, + 278.0, + 958.0, + 452.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 221.0, + 23.0, + 673.0, + 388.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/37e10d33af" + }, + "37e45c6247": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 419.0, + 0.0, + 868.0, + 719.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 489.0, + 544.0, + 908.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 366.0, + 0.0, + 837.0, + 719.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 436.0, + 361.0, + 907.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 344.0, + 0.0, + 841.0, + 719.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 414.0, + 332.0, + 909.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 266.0, + 0.0, + 649.0, + 336.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 356.0, + 0.0, + 1055.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/37e45c6247" + }, + "37fa0001e8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 482.0, + 99.0, + 688.0, + 407.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 507.0, + 381.0, + 733.0, + 412.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 681.0, + 148.0, + 812.0, + 386.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 721.0, + 337.0, + 833.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 887.0, + 200.0, + 970.0, + 387.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 892.0, + 382.0, + 922.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1166.0, + 190.0, + 1224.0, + 369.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 1152.0, + 342.0, + 1167.0, + 353.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/37fa0001e8" + }, + "3802d458c0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 446.0, + 215.0, + 1068.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 317.0, + 182.0, + 1169.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 343.0, + 202.0, + 1135.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 397.0, + 125.0, + 1125.0, + 616.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3802d458c0" + }, + "382caa3cb4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 320.0, + 0.0, + 1279.0, + 702.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 382.0, + 0.0, + 1279.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 374.0, + 0.0, + 1279.0, + 596.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 376.0, + 0.0, + 1279.0, + 578.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/382caa3cb4" + }, + "383bb93111": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 54.0, + 400.0, + 613.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 171.0, + 446.0, + 732.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 326.0, + 446.0, + 848.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 266.0, + 416.0, + 812.0, + 705.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/383bb93111" + }, + "388843df90": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 251.0, + 0.0, + 750.0, + 689.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 56.0, + 221.0, + 620.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 311.0, + 24.0, + 846.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 206.0, + 346.0, + 687.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 297.0, + 30.0, + 810.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 78.0, + 281.0, + 673.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 117.0, + 74.0, + 744.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 37.0, + 431.0, + 456.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/388843df90" + }, + "38924f4a7f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 0.0, + 296.0, + 974.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 0.0, + 348.0, + 1052.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 0.0, + 155.0, + 624.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 0.0, + 89.0, + 584.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/38924f4a7f" + }, + "38b00f93d7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 145.0, + 539.0, + 437.0, + 683.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 34.0, + 496.0, + 203.0, + 717.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 118.0, + 559.0, + 166.0, + 596.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 227.0, + 490.0, + 514.0, + 633.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 123.0, + 448.0, + 290.0, + 709.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 208.0, + 511.0, + 251.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 283.0, + 460.0, + 565.0, + 602.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 169.0, + 413.0, + 340.0, + 669.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 263.0, + 485.0, + 312.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 214.0, + 445.0, + 478.0, + 590.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 81.0, + 393.0, + 251.0, + 653.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 183.0, + 472.0, + 231.0, + 517.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/38b00f93d7" + }, + "38c197c10e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 803.0, + 258.0, + 1256.0, + 615.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 376.0, + 112.0, + 798.0, + 659.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 306.0, + 221.0, + 736.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 838.0, + 221.0, + 1279.0, + 610.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 375.0, + 73.0, + 825.0, + 660.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 294.0, + 187.0, + 723.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 984.0, + 202.0, + 1279.0, + 658.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 506.0, + 0.0, + 1018.0, + 716.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 324.0, + 170.0, + 774.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 1059.0, + 244.0, + 1279.0, + 657.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 585.0, + 0.0, + 1114.0, + 719.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 350.0, + 179.0, + 895.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/38c197c10e" + }, + "38c9c3d801": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 359.0, + 170.0, + 892.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 349.0, + 192.0, + 922.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 333.0, + 100.0, + 986.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 275.0, + 101.0, + 938.0, + 551.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/38c9c3d801" + }, + "38eb2bf67f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": {}, + "2": {}, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": {}, + "2": {}, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/38eb2bf67f" + }, + "38fe9b3ed1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 792.0, + 0.0, + 1085.0, + 542.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 692.0, + 446.0, + 1182.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 812.0, + 31.0, + 1132.0, + 694.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 720.0, + 573.0, + 1213.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 787.0, + 194.0, + 1023.0, + 575.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 740.0, + 499.0, + 1030.0, + 589.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 702.0, + 0.0, + 1088.0, + 573.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 615.0, + 361.0, + 1054.0, + 640.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00050", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/38fe9b3ed1" + }, + "390352cced": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 891.0, + 123.0, + 1279.0, + 441.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 438.0, + 327.0, + 698.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 917.0, + 107.0, + 1279.0, + 408.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 424.0, + 62.0, + 818.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 863.0, + 123.0, + 1131.0, + 403.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 595.0, + 0.0, + 867.0, + 275.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 740.0, + 180.0, + 1117.0, + 470.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 375.0, + 0.0, + 614.0, + 63.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/390352cced" + }, + "390c51b987": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 993.0, + 242.0, + 1239.0, + 420.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 961.0, + 311.0, + 1003.0, + 406.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 939.0, + 79.0, + 1255.0, + 245.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 783.0, + 151.0, + 943.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 494.0, + 52.0, + 929.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 366.0, + 77.0, + 847.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/390c51b987" + }, + "390ca6f1d6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 737.0, + 123.0, + 1179.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 555.0, + 140.0, + 1174.0, + 550.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 539.0, + 162.0, + 1170.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 483.0, + 158.0, + 1146.0, + 557.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/390ca6f1d6" + }, + "392bc0f8a1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 534.0, + 94.0, + 678.0, + 350.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 573.0, + 340.0, + 668.0, + 378.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 40.0, + 0.0, + 456.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 599.0, + 80.0, + 751.0, + 351.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 630.0, + 336.0, + 730.0, + 380.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 13.0, + 0.0, + 445.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 556.0, + 58.0, + 722.0, + 357.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 581.0, + 352.0, + 688.0, + 393.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 378.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 523.0, + 45.0, + 722.0, + 370.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 527.0, + 353.0, + 665.0, + 411.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 338.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/392bc0f8a1" + }, + "392ecb43bd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 153.0, + 88.0, + 1222.0, + 690.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 843.0, + 158.0, + 1279.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 153.0, + 88.0, + 1222.0, + 690.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 843.0, + 159.0, + 1279.0, + 489.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 153.0, + 88.0, + 1222.0, + 690.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 841.0, + 158.0, + 1279.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 153.0, + 88.0, + 1222.0, + 690.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 843.0, + 161.0, + 1279.0, + 491.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/392ecb43bd" + }, + "3935291688": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 381.0, + 432.0, + 513.0, + 583.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 9.0, + 360.0, + 227.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 580.0, + 432.0, + 733.0, + 555.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 253.0, + 354.0, + 447.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 472.0, + 415.0, + 650.0, + 543.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 452.0, + 355.0, + 656.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 391.0, + 411.0, + 559.0, + 544.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 357.0, + 362.0, + 571.0, + 473.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3935291688" + }, + "3935e63b41": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 294.0, + 154.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 280.0, + 187.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 348.0, + 161.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 348.0, + 157.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3935e63b41" + }, + "394454fa9c": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 520.0, + 184.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 265.0, + 64.0, + 719.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 567.0, + 196.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 277.0, + 87.0, + 754.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 557.0, + 190.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 293.0, + 83.0, + 741.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 546.0, + 192.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 329.0, + 96.0, + 761.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00085", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/394454fa9c" + }, + "394638fc8b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 652.0, + 134.0, + 1020.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 220.0, + 171.0, + 672.0, + 605.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 927.0, + 178.0, + 1014.0, + 288.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 212.0, + 169.0, + 246.0, + 259.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 714.0, + 127.0, + 1088.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 201.0, + 147.0, + 667.0, + 654.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 972.0, + 173.0, + 1048.0, + 293.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 338.0, + 189.0, + 374.0, + 216.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 718.0, + 124.0, + 1100.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 189.0, + 138.0, + 673.0, + 659.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 986.0, + 170.0, + 1065.0, + 292.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 335.0, + 196.0, + 362.0, + 255.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 740.0, + 104.0, + 1170.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 53.0, + 120.0, + 748.0, + 719.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 1038.0, + 151.0, + 1134.0, + 305.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/394638fc8b" + }, + "39545e20b7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 281.0, + 82.0, + 959.0, + 635.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 277.0, + 99.0, + 959.0, + 631.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 248.0, + 207.0, + 959.0, + 631.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 86.0, + 252.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 371.0, + 174.0, + 959.0, + 634.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 89.0, + 279.0, + 444.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00075", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/39545e20b7" + }, + "397abeae8f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 70.0, + 943.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 365.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 106.0, + 858.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 395.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 824.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 351.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 840.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 375.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/397abeae8f" + }, + "3988074b88": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 58.0, + 56.0, + 217.0, + 425.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 442.0, + 80.0, + 531.0, + 248.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 214.0, + 157.0, + 1086.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 58.0, + 61.0, + 217.0, + 433.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 443.0, + 91.0, + 541.0, + 251.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 215.0, + 159.0, + 1087.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 54.0, + 74.0, + 213.0, + 446.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 442.0, + 104.0, + 536.0, + 264.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 210.0, + 172.0, + 1082.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 50.0, + 75.0, + 207.0, + 442.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 435.0, + 103.0, + 529.0, + 264.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 202.0, + 174.0, + 1075.0, + 602.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3988074b88" + }, + "398f5d5f19": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 22 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 445.0, + 170.0, + 1060.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 163.0, + 58.0, + 976.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 35.0, + 982.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1106.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00060", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/398f5d5f19" + }, + "39bc49a28c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 788.0, + 62.0, + 1101.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 462.0, + 58.0, + 1006.0, + 197.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 414.0, + 84.0, + 939.0, + 203.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 616.0, + 91.0, + 1136.0, + 212.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/39bc49a28c" + }, + "39befd99fb": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 25 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 47.0, + 1179.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 93.0, + 1191.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 512.0, + 0.0, + 1114.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 500.0, + 0.0, + 1139.0, + 674.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00080", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/39befd99fb" + }, + "39c3c7bf55": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 524.0, + 282.0, + 805.0, + 477.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 542.0, + 279.0, + 812.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 559.0, + 292.0, + 840.0, + 489.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 571.0, + 290.0, + 850.0, + 485.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/39c3c7bf55" + }, + "39d584b09f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 226.0, + 155.0, + 903.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 163.0, + 210.0, + 1125.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 159.0, + 219.0, + 1150.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 396.0, + 212.0, + 1043.0, + 542.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/39d584b09f" + }, + "39f6f6ffb1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 302.0, + 160.0, + 869.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 338.0, + 57.0, + 821.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 386.0, + 120.0, + 947.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 326.0, + 318.0, + 1029.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/39f6f6ffb1" + }, + "3a079fb484": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 292.0, + 559.0, + 558.0, + 640.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 414.0, + 432.0, + 762.0, + 639.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 479.0, + 215.0, + 838.0, + 635.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 274.0, + 296.0, + 566.0, + 608.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 169.0, + 467.0, + 694.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 272.0, + 175.0, + 416.0, + 519.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 209.0, + 90.0, + 543.0, + 523.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a079fb484" + }, + "3a0d3a81b7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 733.0, + 168.0, + 944.0, + 404.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 558.0, + 280.0, + 862.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 894.0, + 118.0, + 1106.0, + 525.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 912.0, + 498.0, + 1074.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 825.0, + 165.0, + 984.0, + 464.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 690.0, + 465.0, + 844.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 899.0, + 226.0, + 1038.0, + 511.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 945.0, + 504.0, + 1019.0, + 523.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a0d3a81b7" + }, + "3a1d55d22b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 366.0, + 181.0, + 531.0, + 244.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 394.0, + 176.0, + 570.0, + 241.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 344.0, + 197.0, + 530.0, + 264.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 245.0, + 145.0, + 434.0, + 218.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a1d55d22b" + }, + "3a20a7583e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 446.0, + 249.0, + 737.0, + 536.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 61.0, + 106.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 464.0, + 226.0, + 764.0, + 519.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 17.0, + 27.0, + 171.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 544.0, + 223.0, + 761.0, + 537.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 151.0, + 29.0, + 304.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 573.0, + 249.0, + 755.0, + 556.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 187.0, + 39.0, + 333.0, + 604.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a20a7583e" + }, + "3a2c1f66e5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 342.0, + 282.0, + 614.0, + 649.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 397.0, + 436.0, + 602.0, + 576.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 486.0, + 166.0, + 950.0, + 646.0 + ] + }, + "4": { + "category_name": "leopard", + "bbox": [ + 887.0, + 129.0, + 954.0, + 293.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 350.0, + 247.0, + 616.0, + 649.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 487.0, + 326.0, + 919.0, + 638.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 512.0, + 164.0, + 943.0, + 647.0 + ] + }, + "4": { + "category_name": "leopard", + "bbox": [ + 908.0, + 114.0, + 963.0, + 303.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 348.0, + 265.0, + 610.0, + 645.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 498.0, + 324.0, + 903.0, + 638.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 536.0, + 168.0, + 943.0, + 643.0 + ] + }, + "4": { + "category_name": "leopard", + "bbox": [ + 904.0, + 109.0, + 964.0, + 307.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 350.0, + 179.0, + 623.0, + 646.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 505.0, + 266.0, + 911.0, + 642.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 553.0, + 155.0, + 942.0, + 579.0 + ] + }, + "4": { + "category_name": "leopard", + "bbox": [ + 895.0, + 107.0, + 967.0, + 312.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a2c1f66e5" + }, + "3a33f4d225": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 193.0, + 271.0, + 614.0, + 595.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 390.0, + 201.0, + 630.0, + 397.0 + ] + }, + "3": { + "category_name": "hedgehog", + "bbox": [ + 635.0, + 261.0, + 1102.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 170.0, + 279.0, + 483.0, + 604.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 379.0, + 220.0, + 649.0, + 446.0 + ] + }, + "3": { + "category_name": "hedgehog", + "bbox": [ + 662.0, + 273.0, + 1133.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 198.0, + 281.0, + 500.0, + 596.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 399.0, + 208.0, + 672.0, + 439.0 + ] + }, + "3": { + "category_name": "hedgehog", + "bbox": [ + 663.0, + 269.0, + 1133.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 201.0, + 282.0, + 533.0, + 602.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 410.0, + 212.0, + 635.0, + 445.0 + ] + }, + "3": { + "category_name": "hedgehog", + "bbox": [ + 687.0, + 270.0, + 1134.0, + 549.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a33f4d225" + }, + "3a3bf84b13": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 397.0, + 306.0, + 834.0, + 576.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 306.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 377.0, + 307.0, + 822.0, + 592.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 273.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 504.0, + 267.0, + 872.0, + 577.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 598.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 484.0, + 278.0, + 987.0, + 590.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 545.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a3bf84b13" + }, + "3a4565e5ec": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 549.0, + 250.0, + 1279.0, + 511.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 152.0, + 259.0, + 426.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 499.0, + 260.0, + 593.0, + 356.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 555.0, + 245.0, + 1279.0, + 513.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 195.0, + 339.0, + 560.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 505.0, + 263.0, + 597.0, + 345.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 545.0, + 254.0, + 1279.0, + 524.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 227.0, + 323.0, + 564.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 495.0, + 266.0, + 589.0, + 366.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 563.0, + 258.0, + 1279.0, + 518.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 270.0, + 277.0, + 557.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 495.0, + 266.0, + 611.0, + 375.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a4565e5ec" + }, + "3a4e32ed5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 820.0, + 541.0, + 1164.0, + 639.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 463.0, + 226.0, + 720.0, + 524.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 470.0, + 112.0, + 702.0, + 305.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 841.0, + 566.0, + 1174.0, + 663.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 421.0, + 214.0, + 739.0, + 541.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 531.0, + 164.0, + 749.0, + 379.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 855.0, + 547.0, + 1187.0, + 638.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 405.0, + 271.0, + 650.0, + 531.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 561.0, + 236.0, + 735.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 862.0, + 553.0, + 1206.0, + 645.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 294.0, + 233.0, + 596.0, + 519.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 530.0, + 206.0, + 751.0, + 421.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a4e32ed5e" + }, + "3a7ad86ce0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 869.0, + 385.0, + 1269.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 879.0, + 376.0, + 1275.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 858.0, + 402.0, + 1252.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 973.0, + 323.0, + 1257.0, + 474.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a7ad86ce0" + }, + "3a7bdde9b8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 522.0, + 420.0, + 666.0, + 506.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 477.0, + 332.0, + 529.0, + 368.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 526.0, + 411.0, + 728.0, + 533.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 485.0, + 311.0, + 540.0, + 362.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 529.0, + 352.0, + 692.0, + 533.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 449.0, + 278.0, + 493.0, + 313.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 558.0, + 358.0, + 695.0, + 550.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 514.0, + 271.0, + 564.0, + 318.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a7bdde9b8" + }, + "3a98867cbe": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 396.0, + 240.0, + 529.0, + 551.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 562.0, + 222.0, + 642.0, + 552.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 676.0, + 221.0, + 761.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 327.0, + 269.0, + 474.0, + 509.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 430.0, + 279.0, + 575.0, + 527.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 562.0, + 178.0, + 682.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 309.0, + 293.0, + 449.0, + 497.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 374.0, + 389.0, + 563.0, + 532.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 550.0, + 232.0, + 664.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 231.0, + 352.0, + 378.0, + 494.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 310.0, + 381.0, + 437.0, + 515.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 371.0, + 372.0, + 591.0, + 526.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a98867cbe" + }, + "3aa3f1c9e8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 291.0, + 969.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 240.0, + 0.0, + 1044.0, + 719.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 681.0, + 292.0, + 894.0, + 454.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 583.0, + 236.0, + 976.0, + 470.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 835.0, + 439.0, + 976.0, + 533.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 744.0, + 327.0, + 993.0, + 506.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 968.0, + 283.0, + 1007.0, + 311.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 771.0, + 453.0, + 897.0, + 517.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 743.0, + 340.0, + 948.0, + 482.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3aa3f1c9e8" + }, + "3aa7fce8b6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 846.0, + 516.0, + 947.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 693.0, + 505.0, + 878.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 555.0, + 519.0, + 738.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 590.0, + 516.0, + 770.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 709.0, + 509.0, + 883.0, + 671.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 543.0, + 534.0, + 648.0, + 691.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 640.0, + 654.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 717.0, + 508.0, + 892.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 605.0, + 523.0, + 693.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 411.0, + 508.0, + 547.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 683.0, + 501.0, + 887.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 671.0, + 518.0, + 721.0, + 673.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3aa7fce8b6" + }, + "3aa876887d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 113.0, + 135.0, + 415.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 528.0, + 239.0, + 704.0, + 624.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 677.0, + 424.0, + 737.0, + 510.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 703.0, + 222.0, + 992.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 95.0, + 284.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 305.0, + 154.0, + 564.0, + 583.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 523.0, + 380.0, + 584.0, + 446.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 619.0, + 198.0, + 839.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 25.0, + 121.0, + 383.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 348.0, + 208.0, + 564.0, + 596.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 531.0, + 424.0, + 590.0, + 494.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 724.0, + 235.0, + 933.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 34.0, + 123.0, + 416.0, + 716.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 406.0, + 232.0, + 594.0, + 591.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 552.0, + 430.0, + 604.0, + 485.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 734.0, + 237.0, + 1040.0, + 553.0 + ] + } + } + ], + "frame_names": [ + "00050", + "00075", + "00105", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3aa876887d" + }, + "3ab807ded6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 54.0, + 205.0, + 474.0, + 404.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 353.0, + 206.0, + 1083.0, + 623.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 889.0, + 117.0, + 1267.0, + 333.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 229.0, + 266.0, + 453.0, + 336.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 418.0, + 250.0, + 987.0, + 582.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 818.0, + 128.0, + 1174.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 475.0, + 214.0, + 972.0, + 510.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 832.0, + 143.0, + 1185.0, + 361.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 429.0, + 269.0, + 517.0, + 306.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 520.0, + 256.0, + 940.0, + 462.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 849.0, + 180.0, + 1206.0, + 337.0 + ] + } + } + ], + "frame_names": [ + "00080", + "00110", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ab807ded6" + }, + "3ab9b1a85a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 579.0, + 290.0, + 914.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 859.0, + 292.0, + 1120.0, + 590.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 956.0, + 360.0, + 1054.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 561.0, + 293.0, + 876.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 820.0, + 306.0, + 1164.0, + 591.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 908.0, + 358.0, + 980.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 533.0, + 285.0, + 852.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 824.0, + 283.0, + 1210.0, + 598.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 810.0, + 397.0, + 861.0, + 475.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 547.0, + 271.0, + 876.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 766.0, + 288.0, + 1190.0, + 593.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ab9b1a85a" + }, + "3adac8d7da": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 424.0, + 311.0, + 543.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 404.0, + 350.0, + 617.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 391.0, + 381.0, + 595.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 406.0, + 347.0, + 601.0, + 520.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3adac8d7da" + }, + "3ae1a4016f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 984.0, + 302.0, + 1211.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 198.0, + 0.0, + 1005.0, + 712.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 994.0, + 277.0, + 1231.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 167.0, + 0.0, + 1013.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1029.0, + 277.0, + 1157.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 231.0, + 0.0, + 1025.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1013.0, + 276.0, + 1170.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 237.0, + 0.0, + 1034.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00140", + "00170", + "00195", + "00210" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ae1a4016f" + }, + "3ae2deaec2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 574.0, + 0.0, + 1079.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 574.0, + 0.0, + 1031.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 572.0, + 0.0, + 1141.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 574.0, + 0.0, + 1087.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ae2deaec2" + }, + "3ae81609d6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 535.0, + 177.0, + 669.0, + 384.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 481.0, + 220.0, + 664.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 654.0, + 0.0, + 1192.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 44.0, + 26.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 601.0, + 654.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 164.0, + 607.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 716.0, + 280.0, + 799.0, + 448.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 699.0, + 358.0, + 817.0, + 488.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ae81609d6" + }, + "3af847e62f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 100.0, + 0.0, + 371.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 438.0, + 0.0, + 783.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 745.0, + 0.0, + 1226.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 180.0, + 0.0, + 627.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 641.0, + 0.0, + 1038.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 952.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 216.0, + 0.0, + 780.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 921.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 821.0, + 3.0, + 1104.0, + 350.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 496.0, + 0.0, + 953.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 950.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 838.0, + 0.0, + 1158.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3af847e62f" + }, + "3b23792b84": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 792.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 752.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 609.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 75.0, + 730.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b23792b84" + }, + "3b3b0af2ee": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 544.0, + 290.0, + 818.0, + 595.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 801.0, + 493.0, + 1063.0, + 719.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 1187.0, + 568.0, + 1279.0, + 719.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 1205.0, + 468.0, + 1261.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 573.0, + 247.0, + 828.0, + 565.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 811.0, + 465.0, + 1107.0, + 719.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 1183.0, + 547.0, + 1279.0, + 719.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 1213.0, + 435.0, + 1265.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 656.0, + 249.0, + 896.0, + 556.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 885.0, + 450.0, + 1180.0, + 719.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 644.0, + 200.0, + 888.0, + 503.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 881.0, + 400.0, + 1197.0, + 719.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 1182.0, + 559.0, + 1279.0, + 719.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b3b0af2ee" + }, + "3b512dad74": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 547.0, + 242.0, + 756.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 546.0, + 246.0, + 746.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 549.0, + 250.0, + 761.0, + 691.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 546.0, + 270.0, + 767.0, + 705.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b512dad74" + }, + "3b6c7988f6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 346.0, + 195.0, + 661.0, + 309.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1014.0, + 157.0, + 1090.0, + 315.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 950.0, + 171.0, + 1075.0, + 356.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 611.0, + 207.0, + 791.0, + 389.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 787.0, + 108.0, + 882.0, + 272.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 346.0, + 195.0, + 511.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 575.0, + 210.0, + 861.0, + 425.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 812.0, + 92.0, + 898.0, + 264.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 99.0, + 193.0, + 303.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 500.0, + 240.0, + 1072.0, + 490.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 979.0, + 108.0, + 1049.0, + 278.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b6c7988f6" + }, + "3b6e983b5b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 531.0, + 356.0, + 698.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 737.0, + 362.0, + 950.0, + 516.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b6e983b5b" + }, + "3b74a0fc20": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 537.0, + 466.0, + 1069.0, + 719.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 337.0, + 107.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 615.0, + 458.0, + 1157.0, + 713.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 417.0, + 101.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 804.0, + 586.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 224.0, + 120.0, + 606.0, + 249.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 787.0, + 520.0, + 1267.0, + 719.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 253.0, + 69.0, + 632.0, + 213.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b74a0fc20" + }, + "3b7a50b80d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 351.0, + 99.0, + 1129.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 403.0, + 95.0, + 1122.0, + 421.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 362.0, + 78.0, + 1125.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 410.0, + 86.0, + 1135.0, + 528.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b7a50b80d" + }, + "3b96d3492f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 39.0, + 582.0, + 924.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 163.0, + 18.0, + 1081.0, + 442.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 383.0, + 689.0, + 453.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 198.0, + 61.0, + 1109.0, + 473.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 749.0, + 386.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 531.0, + 179.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 13.0, + 0.0, + 904.0, + 550.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b96d3492f" + }, + "3b9ad0c5a9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 554.0, + 281.0, + 711.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 560.0, + 291.0, + 720.0, + 439.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 522.0, + 276.0, + 726.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 409.0, + 221.0, + 843.0, + 635.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00040", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b9ad0c5a9" + }, + "3b9ba0894a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 706.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1225.0, + 624.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b9ba0894a" + }, + "3bb4e10ed7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 67.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 67.0, + 482.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 46.0, + 27.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 81.0, + 536.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 211.0, + 127.0, + 1089.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 521.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 296.0, + 72.0, + 1142.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 698.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3bb4e10ed7" + }, + "3bd9a9b515": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 142.0, + 162.0, + 1087.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 300.0, + 244.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 248.0, + 228.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 489.0, + 576.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 499.0, + 381.0, + 1218.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3bd9a9b515" + }, + "3beef45388": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 173.0, + 910.0, + 708.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 183.0, + 973.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 163.0, + 1006.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 88.0, + 1077.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 530.0, + 207.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3beef45388" + }, + "3c019c0a24": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 1048.0, + 339.0, + 1106.0, + 530.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 997.0, + 336.0, + 1074.0, + 532.0 + ] + } + }, + { + "1": {}, + "2": {} + }, + { + "1": {}, + "2": {} + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c019c0a24" + }, + "3c090704aa": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 389.0, + 0.0, + 629.0, + 289.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 340.0, + 206.0, + 637.0, + 348.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 343.0, + 0.0, + 594.0, + 313.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 279.0, + 225.0, + 608.0, + 376.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 498.0, + 0.0, + 756.0, + 304.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 340.0, + 256.0, + 800.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 508.0, + 0.0, + 789.0, + 290.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 348.0, + 227.0, + 826.0, + 331.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c090704aa" + }, + "3c2784fc0d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 426.0, + 35.0, + 1181.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 414.0, + 86.0, + 1189.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 248.0, + 69.0, + 963.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 342.0, + 0.0, + 1191.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c2784fc0d" + }, + "3c47ab95f8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 404.0, + 264.0, + 507.0, + 429.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 399.0, + 397.0, + 465.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 376.0, + 304.0, + 461.0, + 494.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 353.0, + 450.0, + 464.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 138.0, + 200.0, + 374.0, + 509.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 183.0, + 456.0, + 413.0, + 525.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c47ab95f8" + }, + "3c4db32d74": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 798.0, + 463.0, + 1120.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 251.0, + 578.0, + 458.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 44.0, + 280.0, + 381.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 822.0, + 350.0, + 1262.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 233.0, + 442.0, + 559.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 242.0, + 181.0, + 524.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 856.0, + 216.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 211.0, + 335.0, + 617.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 300.0, + 71.0, + 611.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 870.0, + 324.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 362.0, + 462.0, + 609.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 464.0, + 174.0, + 813.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00065", + "00080", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c4db32d74" + }, + "3c5ff93faf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 305.0, + 109.0, + 634.0, + 683.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 341.0, + 94.0, + 660.0, + 673.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 650.0, + 43.0, + 821.0, + 217.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 197.0, + 103.0, + 449.0, + 717.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 408.0, + 167.0, + 608.0, + 285.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 212.0, + 69.0, + 516.0, + 717.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 467.0, + 11.0, + 631.0, + 252.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c5ff93faf" + }, + "3c700f073e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 498.0, + 370.0, + 638.0, + 415.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 677.0, + 307.0, + 801.0, + 349.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 570.0, + 355.0, + 716.0, + 401.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 762.0, + 297.0, + 886.0, + 339.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 397.0, + 378.0, + 596.0, + 432.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 544.0, + 327.0, + 731.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 300.0, + 346.0, + 520.0, + 408.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 477.0, + 346.0, + 681.0, + 392.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c700f073e" + }, + "3c713cbf2f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 884.0, + 258.0, + 1078.0, + 363.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 281.0, + 200.0, + 457.0, + 265.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 972.0, + 302.0, + 1192.0, + 432.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 428.0, + 215.0, + 578.0, + 289.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1076.0, + 340.0, + 1279.0, + 499.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 489.0, + 223.0, + 641.0, + 305.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 576.0, + 235.0, + 751.0, + 328.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c713cbf2f" + }, + "3c8320669c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 465.0, + 399.0, + 511.0, + 427.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 351.0, + 411.0, + 520.0, + 570.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 282.0, + 552.0, + 493.0, + 592.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 693.0, + 280.0, + 742.0, + 305.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 644.0, + 303.0, + 789.0, + 528.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 688.0, + 510.0, + 857.0, + 556.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 1259.0, + 385.0, + 1279.0, + 448.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 611.0, + 325.0, + 662.0, + 352.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 518.0, + 348.0, + 674.0, + 552.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 586.0, + 507.0, + 781.0, + 568.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 795.0, + 349.0, + 889.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 567.0, + 243.0, + 622.0, + 273.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 471.0, + 271.0, + 692.0, + 439.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 684.0, + 338.0, + 869.0, + 494.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c8320669c" + }, + "3c90d225ee": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 149.0, + 997.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 153.0, + 1009.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 127.0, + 146.0, + 1180.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 37.0, + 146.0, + 1044.0, + 665.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c90d225ee" + }, + "3cadbcc404": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 410.0, + 1279.0, + 618.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 79.0, + 145.0, + 1214.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 409.0, + 1279.0, + 631.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 83.0, + 141.0, + 1214.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 406.0, + 1279.0, + 620.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 77.0, + 135.0, + 1212.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 407.0, + 1279.0, + 627.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 77.0, + 142.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3cadbcc404" + }, + "3cb9be84a5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 445.0, + 117.0, + 552.0, + 359.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 538.0, + 146.0, + 703.0, + 377.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 671.0, + 121.0, + 840.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 447.0, + 108.0, + 562.0, + 353.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 542.0, + 141.0, + 713.0, + 369.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 679.0, + 123.0, + 850.0, + 353.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 457.0, + 105.0, + 560.0, + 353.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 544.0, + 141.0, + 715.0, + 369.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 675.0, + 129.0, + 850.0, + 351.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 467.0, + 117.0, + 576.0, + 362.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 558.0, + 147.0, + 725.0, + 376.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 687.0, + 136.0, + 857.0, + 364.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3cb9be84a5" + }, + "3cc37fd487": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 403.0, + 217.0, + 575.0, + 545.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 649.0, + 244.0, + 779.0, + 522.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 52.0, + 303.0, + 192.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 399.0, + 232.0, + 564.0, + 542.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 644.0, + 249.0, + 764.0, + 505.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 66.0, + 312.0, + 186.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 403.0, + 243.0, + 550.0, + 548.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 637.0, + 243.0, + 775.0, + 535.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 49.0, + 317.0, + 195.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 421.0, + 239.0, + 570.0, + 547.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 616.0, + 233.0, + 759.0, + 520.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 62.0, + 299.0, + 213.0, + 533.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3cc37fd487" + }, + "3cc6f90cb2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 201.0, + 7.0, + 882.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 152.0, + 11.0, + 920.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 167.0, + 6.0, + 922.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 129.0, + 17.0, + 1004.0, + 625.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3cc6f90cb2" + }, + "3cd5e035ef": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 30.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 40.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 51.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 76.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3cd5e035ef" + }, + "3cdf03531b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 531.0, + 290.0, + 940.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 521.0, + 299.0, + 926.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 525.0, + 277.0, + 936.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 525.0, + 241.0, + 942.0, + 512.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3cdf03531b" + }, + "3cdf828f59": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 365.0, + 366.0, + 695.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 563.0, + 555.0, + 648.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 510.0, + 557.0, + 676.0, + 702.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 514.0, + 522.0, + 735.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3cdf828f59" + }, + "3d254b0bca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 698.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 325.0, + 189.0, + 854.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 738.0, + 19.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 319.0, + 149.0, + 810.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 942.0, + 233.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 635.0, + 259.0, + 991.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 413.0, + 162.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 371.0, + 478.0, + 777.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3d254b0bca" + }, + "3d5aeac5ba": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 508.0, + 359.0, + 951.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 615.0, + 184.0, + 1117.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 475.0, + 366.0, + 929.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 457.0, + 193.0, + 1114.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 366.0, + 418.0, + 915.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 518.0, + 325.0, + 1189.0, + 708.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 345.0, + 400.0, + 902.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 461.0, + 332.0, + 1161.0, + 670.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3d5aeac5ba" + }, + "3d690473e1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 196.0, + 198.0, + 997.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 393.0, + 0.0, + 1279.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 253.0, + 176.0, + 1095.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 457.0, + 0.0, + 1279.0, + 541.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 258.0, + 173.0, + 1102.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 472.0, + 0.0, + 1279.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 272.0, + 172.0, + 1105.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 474.0, + 0.0, + 1279.0, + 525.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3d690473e1" + }, + "3d69fed2fb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 164.0, + 18.0, + 1181.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 172.0, + 23.0, + 1189.0, + 680.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 162.0, + 23.0, + 1181.0, + 679.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 158.0, + 17.0, + 1179.0, + 673.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3d69fed2fb" + }, + "3d8997aeb6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 675.0, + 179.0, + 931.0, + 622.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 909.0, + 190.0, + 1135.0, + 627.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 162.0, + 178.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 492.0, + 184.0, + 747.0, + 615.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 711.0, + 195.0, + 957.0, + 647.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 516.0, + 119.0, + 785.0, + 557.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 775.0, + 134.0, + 1023.0, + 571.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 446.0, + 103.0, + 723.0, + 565.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 703.0, + 122.0, + 997.0, + 617.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3d8997aeb6" + }, + "3db0d6b07e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 482.0, + 350.0, + 574.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 518.0, + 337.0, + 668.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 540.0, + 289.0, + 898.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 561.0, + 197.0, + 1001.0, + 652.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3db0d6b07e" + }, + "3db1ddb8cf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 799.0, + 145.0, + 1144.0, + 334.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 634.0, + 163.0, + 1018.0, + 391.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 782.0, + 213.0, + 1123.0, + 392.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 653.0, + 244.0, + 1022.0, + 469.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 750.0, + 148.0, + 885.0, + 252.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 622.0, + 183.0, + 884.0, + 421.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 754.0, + 139.0, + 824.0, + 253.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 752.0, + 170.0, + 892.0, + 416.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3db1ddb8cf" + }, + "3db907ac77": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 547.0, + 238.0, + 954.0, + 690.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 504.0, + 186.0, + 977.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 394.0, + 220.0, + 949.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 354.0, + 285.0, + 803.0, + 626.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3db907ac77" + }, + "3dcbc0635b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 295.0, + 453.0, + 333.0, + 473.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 248.0, + 277.0, + 332.0, + 457.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 283.0, + 468.0, + 316.0, + 488.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 256.0, + 272.0, + 324.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 503.0, + 450.0, + 549.0, + 470.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 471.0, + 255.0, + 549.0, + 455.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 534.0, + 442.0, + 579.0, + 460.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 460.0, + 275.0, + 577.0, + 443.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00040", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3dcbc0635b" + }, + "3dd48ed55f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 1074.0, + 454.0, + 1128.0, + 581.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1103.0, + 223.0, + 1240.0, + 645.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 120.0, + 212.0, + 273.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 1001.0, + 453.0, + 1137.0, + 548.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 958.0, + 373.0, + 1154.0, + 649.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 105.0, + 201.0, + 252.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 677.0, + 416.0, + 787.0, + 648.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 522.0, + 130.0, + 773.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 608.0, + 435.0, + 787.0, + 654.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 570.0, + 130.0, + 769.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3dd48ed55f" + }, + "3de4ac4ec4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 172.0, + 531.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 229.0, + 154.0, + 996.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 299.0, + 226.0, + 1036.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 219.0, + 207.0, + 978.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3de4ac4ec4" + }, + "3decd63d88": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 407.0, + 89.0, + 747.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 405.0, + 87.0, + 740.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 261.0, + 89.0, + 735.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 364.0, + 84.0, + 807.0, + 578.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3decd63d88" + }, + "3e04a6be11": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 265.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 285.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 283.0, + 0.0, + 1246.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 347.0, + 96.0, + 1177.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e04a6be11" + }, + "3e108fb65a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 49.0, + 92.0, + 645.0, + 635.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1097.0, + 406.0, + 1159.0, + 473.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 54.0, + 110.0, + 606.0, + 607.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1052.0, + 395.0, + 1110.0, + 453.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 1250.0, + 373.0, + 1279.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 49.0, + 134.0, + 543.0, + 582.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 978.0, + 387.0, + 1025.0, + 434.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 1123.0, + 370.0, + 1173.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 68.0, + 164.0, + 509.0, + 570.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 927.0, + 383.0, + 965.0, + 421.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 1030.0, + 368.0, + 1070.0, + 417.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e108fb65a" + }, + "3e1448b01c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 361.0, + 109.0, + 730.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 528.0, + 155.0, + 927.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 336.0, + 180.0, + 598.0, + 377.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 352.0, + 176.0, + 691.0, + 380.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e1448b01c" + }, + "3e16c19634": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 132.0, + 121.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 72.0, + 127.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 120.0, + 97.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 238.0, + 136.0, + 1279.0, + 714.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e16c19634" + }, + "3e2845307e": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 572.0, + 271.0, + 1016.0, + 412.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 792.0, + 156.0, + 1079.0, + 306.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 561.0, + 282.0, + 1017.0, + 431.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 794.0, + 161.0, + 1097.0, + 303.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 523.0, + 281.0, + 994.0, + 467.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 790.0, + 165.0, + 1122.0, + 337.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 496.0, + 302.0, + 968.0, + 484.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 781.0, + 161.0, + 1055.0, + 311.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e2845307e" + }, + "3e38336da5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 273.0, + 509.0, + 419.0, + 609.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 289.0, + 164.0, + 897.0, + 596.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 689.0, + 209.0, + 783.0, + 280.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 313.0, + 482.0, + 395.0, + 620.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 341.0, + 170.0, + 918.0, + 588.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 718.0, + 223.0, + 804.0, + 290.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 539.0, + 511.0, + 605.0, + 616.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 501.0, + 116.0, + 1006.0, + 604.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 774.0, + 217.0, + 867.0, + 287.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 521.0, + 572.0, + 607.0, + 665.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 475.0, + 145.0, + 1058.0, + 622.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 791.0, + 238.0, + 848.0, + 308.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e38336da5" + }, + "3e3a819865": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 767.0, + 407.0, + 1079.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 616.0, + 314.0, + 923.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 568.0, + 260.0, + 836.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 465.0, + 278.0, + 777.0, + 529.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e3a819865" + }, + "3e3e4be915": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 488.0, + 332.0, + 511.0, + 400.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 508.0, + 336.0, + 531.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 446.0, + 334.0, + 470.0, + 423.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 466.0, + 338.0, + 494.0, + 428.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 398.0, + 329.0, + 430.0, + 441.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 420.0, + 328.0, + 460.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 268.0, + 351.0, + 307.0, + 506.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 290.0, + 352.0, + 347.0, + 528.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00100", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e3e4be915" + }, + "3e680622d7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 106.0, + 841.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 671.0, + 223.0, + 1123.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 237.0, + 920.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 767.0, + 201.0, + 1139.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 46.0, + 239.0, + 727.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 657.0, + 208.0, + 1081.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 70.0, + 220.0, + 744.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 681.0, + 242.0, + 1125.0, + 682.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e680622d7" + }, + "3e7d2aeb07": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 660.0, + 217.0, + 947.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1253.0, + 227.0, + 1279.0, + 282.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 610.0, + 230.0, + 948.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1139.0, + 262.0, + 1279.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 596.0, + 308.0, + 954.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1058.0, + 107.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 553.0, + 215.0, + 844.0, + 677.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1001.0, + 8.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e7d2aeb07" + }, + "3e7d8f363d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 120.0, + 810.0, + 680.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 840.0, + 188.0, + 1191.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 70.0, + 812.0, + 671.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 798.0, + 168.0, + 1187.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 52.0, + 851.0, + 680.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 779.0, + 171.0, + 1175.0, + 682.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 28.0, + 916.0, + 632.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 749.0, + 182.0, + 1161.0, + 693.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e7d8f363d" + }, + "3ea4c49bbe": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 573.0, + 295.0, + 797.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 570.0, + 304.0, + 796.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 580.0, + 300.0, + 812.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 592.0, + 212.0, + 871.0, + 429.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ea4c49bbe" + }, + "3eb39d11ab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 644.0, + 188.0, + 824.0, + 304.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 786.0, + 301.0, + 886.0, + 389.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 446.0, + 307.0, + 622.0, + 466.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 668.0, + 265.0, + 818.0, + 344.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 698.0, + 166.0, + 867.0, + 299.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 731.0, + 332.0, + 884.0, + 461.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 459.0, + 311.0, + 616.0, + 466.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 768.0, + 217.0, + 897.0, + 313.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 761.0, + 162.0, + 898.0, + 311.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 701.0, + 365.0, + 866.0, + 478.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 416.0, + 346.0, + 682.0, + 529.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 758.0, + 224.0, + 920.0, + 319.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 762.0, + 168.0, + 850.0, + 312.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 689.0, + 377.0, + 843.0, + 482.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 414.0, + 407.0, + 747.0, + 599.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3eb39d11ab" + }, + "3ec273c8d5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 502.0, + 250.0, + 577.0, + 405.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 858.0, + 293.0, + 901.0, + 375.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 512.0, + 247.0, + 585.0, + 405.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 892.0, + 273.0, + 935.0, + 371.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 479.0, + 239.0, + 549.0, + 404.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 886.0, + 265.0, + 939.0, + 372.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 455.0, + 233.0, + 541.0, + 403.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 893.0, + 260.0, + 947.0, + 387.0 + ] + } + } + ], + "frame_names": [ + "00060", + "00085", + "00110", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ec273c8d5" + }, + "3ed3f91271": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 975.0, + 229.0, + 1183.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 653.0, + 240.0, + 984.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 334.0, + 1031.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 496.0, + 213.0, + 871.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ed3f91271" + }, + "3ee062a2fd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 380.0, + 274.0, + 566.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 341.0, + 277.0, + 550.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 251.0, + 289.0, + 504.0, + 550.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 151.0, + 219.0, + 417.0, + 554.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ee062a2fd" + }, + "3eede9782c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 641.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 146.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 70.0, + 0.0, + 1279.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 99.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3eede9782c" + }, + "3ef2fa99cb": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 374.0, + 106.0, + 630.0, + 705.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 478.0, + 138.0, + 1011.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 314.0, + 107.0, + 616.0, + 693.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 428.0, + 140.0, + 1063.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 252.0, + 125.0, + 634.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 464.0, + 170.0, + 1147.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 100.0, + 60.0, + 600.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 397.0, + 126.0, + 1181.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00080", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ef2fa99cb" + }, + "3efc6e9892": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 766.0, + 138.0, + 904.0, + 536.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 636.0, + 131.0, + 769.0, + 467.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 447.0, + 139.0, + 604.0, + 458.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 757.0, + 146.0, + 903.0, + 544.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 603.0, + 136.0, + 757.0, + 493.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 432.0, + 145.0, + 568.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 785.0, + 154.0, + 929.0, + 554.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 647.0, + 138.0, + 791.0, + 509.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 434.0, + 148.0, + 581.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 782.0, + 154.0, + 919.0, + 556.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 641.0, + 140.0, + 788.0, + 529.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 431.0, + 143.0, + 583.0, + 513.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3efc6e9892" + }, + "3f0b0dfddd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 419.0, + 36.0, + 1018.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 120.0, + 0.0, + 687.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 200.0, + 256.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 429.0, + 33.0, + 1020.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 136.0, + 0.0, + 687.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 56.0, + 308.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 545.0, + 1.0, + 1026.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 477.0, + 0.0, + 675.0, + 617.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 16.0, + 0.0, + 554.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 525.0, + 0.0, + 1006.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 433.0, + 0.0, + 665.0, + 662.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 84.0, + 0.0, + 509.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3f0b0dfddd" + }, + "3f0c860359": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 594.0, + 435.0, + 649.0, + 574.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 240.0, + 441.0, + 292.0, + 568.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 863.0, + 463.0, + 948.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 576.0, + 440.0, + 628.0, + 574.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 231.0, + 445.0, + 284.0, + 567.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 866.0, + 446.0, + 932.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 437.0, + 623.0, + 571.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 232.0, + 443.0, + 289.0, + 560.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 856.0, + 444.0, + 921.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 426.0, + 575.0, + 558.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 211.0, + 427.0, + 260.0, + 549.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 815.0, + 432.0, + 875.0, + 526.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3f0c860359" + }, + "3f18728586": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 103.0, + 25.0, + 467.0, + 420.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 358.0, + 146.0, + 962.0, + 469.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 227.0, + 0.0, + 631.0, + 462.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 573.0, + 101.0, + 1016.0, + 696.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 273.0, + 0.0, + 838.0, + 381.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 125.0, + 155.0, + 724.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 409.0, + 0.0, + 950.0, + 362.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 279.0, + 308.0, + 1114.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3f18728586" + }, + "3f3b15f083": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 284.0, + 335.0, + 869.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 282.0, + 311.0, + 849.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 299.0, + 171.0, + 811.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 342.0, + 211.0, + 761.0, + 715.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3f3b15f083" + }, + "3f4f3bc803": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 452.0, + 292.0, + 719.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 136.0, + 95.0, + 852.0, + 695.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 853.0, + 385.0, + 1279.0, + 719.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 16.0, + 0.0, + 645.0, + 522.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 635.0, + 198.0, + 1279.0, + 719.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 548.0, + 362.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 100.0, + 50.0, + 1279.0, + 719.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 1185.0, + 367.0, + 1279.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 486.0, + 288.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 46.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 1123.0, + 290.0, + 1279.0, + 527.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3f4f3bc803" + }, + "3fd96c5267": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 50.0, + 0.0, + 854.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 350.0, + 4.0, + 844.0, + 235.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 410.0, + 67.0, + 833.0, + 249.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 481.0, + 158.0, + 757.0, + 273.0 + ] + } + } + ], + "frame_names": [ + "00055", + "00075", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3fd96c5267" + }, + "3fea675fab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 157.0, + 2.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 173.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 157.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1048.0, + 26.0, + 1279.0, + 234.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3fea675fab" + }, + "3fee8cbc9f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 622.0, + 142.0, + 963.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 699.0, + 105.0, + 1040.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 620.0, + 107.0, + 1004.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 622.0, + 61.0, + 1050.0, + 662.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3fee8cbc9f" + }, + "3fff16d112": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 101.0, + 0.0, + 975.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 238.0, + 342.0, + 664.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 128.0, + 0.0, + 979.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 304.0, + 319.0, + 732.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 38.0, + 0.0, + 1275.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 6.0, + 930.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 84.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1040.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3fff16d112" + }, + "401888b36c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 574.0, + 39.0, + 860.0, + 477.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 597.0, + 35.0, + 862.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 649.0, + 39.0, + 860.0, + 479.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/401888b36c" + }, + "4019231330": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 598.0, + 400.0, + 728.0, + 657.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 637.0, + 171.0, + 669.0, + 224.0 + ] + }, + "4": {}, + "5": { + "category_name": "person", + "bbox": [ + 554.0, + 386.0, + 687.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 653.0, + 146.0, + 676.0, + 204.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1057.0, + 138.0, + 1086.0, + 187.0 + ] + }, + "4": {}, + "5": { + "category_name": "person", + "bbox": [ + 492.0, + 337.0, + 532.0, + 378.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 615.0, + 152.0, + 644.0, + 197.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 1184.0, + 136.0, + 1204.0, + 185.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 850.0, + 409.0, + 924.0, + 673.0 + ] + }, + "4": {}, + "5": { + "category_name": "person", + "bbox": [ + 340.0, + 201.0, + 362.0, + 259.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1036.0, + 206.0, + 1062.0, + 267.0 + ] + }, + "2": {}, + "3": {}, + "4": {}, + "5": {} + } + ], + "frame_names": [ + "00030", + "00040", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4019231330" + }, + "402316532d": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 585.0, + 198.0, + 979.0, + 556.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 550.0, + 68.0, + 1060.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 468.0, + 156.0, + 872.0, + 591.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 426.0, + 79.0, + 983.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 645.0, + 110.0, + 923.0, + 564.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 554.0, + 94.0, + 987.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 650.0, + 109.0, + 912.0, + 545.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 93.0, + 987.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/402316532d" + }, + "402680df52": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 254.0, + 126.0, + 703.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 201.0, + 101.0, + 643.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 183.0, + 80.0, + 647.0, + 467.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 161.0, + 19.0, + 637.0, + 408.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/402680df52" + }, + "404d02e0c0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 334.0, + 297.0, + 554.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 171.0, + 350.0, + 387.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 36.0, + 328.0, + 264.0, + 463.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 303.0, + 302.0, + 545.0, + 441.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/404d02e0c0" + }, + "40709263a8": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 510.0, + 508.0, + 847.0, + 715.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 808.0, + 367.0, + 963.0, + 644.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 534.0, + 330.0, + 829.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 625.0, + 533.0, + 968.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 932.0, + 388.0, + 1088.0, + 671.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 645.0, + 352.0, + 951.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 681.0, + 546.0, + 1025.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 971.0, + 401.0, + 1146.0, + 686.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 705.0, + 363.0, + 998.0, + 586.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 1026.0, + 647.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1022.0, + 456.0, + 1279.0, + 702.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/40709263a8" + }, + "4083cfbe15": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 948.0, + 45.0, + 1130.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 951.0, + 50.0, + 1161.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 732.0, + 455.0, + 789.0, + 469.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 667.0, + 391.0, + 780.0, + 462.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 948.0, + 46.0, + 1163.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 667.0, + 448.0, + 717.0, + 456.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 645.0, + 388.0, + 711.0, + 464.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 929.0, + 63.0, + 1129.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4083cfbe15" + }, + "40a96c5cb1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 541.0, + 126.0, + 1047.0, + 394.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 345.0, + 0.0, + 1279.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 583.0, + 157.0, + 1036.0, + 398.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 471.0, + 0.0, + 1279.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 551.0, + 175.0, + 954.0, + 368.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 435.0, + 0.0, + 1279.0, + 356.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 559.0, + 182.0, + 932.0, + 355.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 603.0, + 13.0, + 1279.0, + 344.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/40a96c5cb1" + }, + "40b8e50f82": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 167.0, + 181.0, + 607.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 233.0, + 67.0, + 635.0, + 702.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 773.0, + 0.0, + 1211.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 244.0, + 203.0, + 693.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 327.0, + 92.0, + 727.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 970.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 238.0, + 192.0, + 692.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 314.0, + 80.0, + 726.0, + 713.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1013.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 174.0, + 212.0, + 603.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 250.0, + 99.0, + 664.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1067.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00050", + "00065", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/40b8e50f82" + }, + "40f4026bf5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 536.0, + 602.0, + 815.0, + 719.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 793.0, + 436.0, + 989.0, + 719.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 953.0, + 416.0, + 1279.0, + 719.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 488.0, + 675.0, + 550.0, + 719.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 745.0, + 460.0, + 931.0, + 719.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 913.0, + 343.0, + 1279.0, + 719.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 174.0, + 461.0, + 332.0, + 663.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 410.0, + 560.0, + 793.0, + 719.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 709.0, + 258.0, + 977.0, + 624.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 196.0, + 427.0, + 396.0, + 643.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 512.0, + 498.0, + 763.0, + 719.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 769.0, + 284.0, + 1073.0, + 551.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00040", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/40f4026bf5" + }, + "4100b57a3a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 278.0, + 5.0, + 621.0, + 423.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 277.0, + 393.0, + 422.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 884.0, + 184.0, + 1206.0, + 556.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 846.0, + 401.0, + 1016.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 668.0, + 390.0, + 960.0, + 542.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 760.0, + 525.0, + 838.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 425.0, + 404.0, + 826.0, + 555.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 607.0, + 541.0, + 723.0, + 590.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4100b57a3a" + }, + "41059fdd0b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 576.0, + 302.0, + 735.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 606.0, + 344.0, + 789.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 497.0, + 291.0, + 730.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 546.0, + 236.0, + 713.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/41059fdd0b" + }, + "41124e36de": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 454.0, + 216.0, + 1007.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 462.0, + 214.0, + 1003.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 462.0, + 214.0, + 1007.0, + 698.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 458.0, + 216.0, + 1003.0, + 701.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/41124e36de" + }, + "4122aba5f9": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 108.0, + 255.0, + 249.0, + 474.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 655.0, + 235.0, + 799.0, + 443.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 202.0, + 225.0, + 342.0, + 469.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1170.0, + 228.0, + 1247.0, + 385.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 273.0, + 213.0, + 408.0, + 471.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 679.0, + 216.0, + 812.0, + 437.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 813.0, + 229.0, + 956.0, + 424.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4122aba5f9" + }, + "413bab0f0d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 368.0, + 171.0, + 557.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 340.0, + 159.0, + 737.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 150.0, + 576.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 114.0, + 867.0, + 629.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/413bab0f0d" + }, + "4164faee0b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 147.0, + 3.0, + 959.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 895.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 6.0, + 57.0, + 922.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 959.0, + 560.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4164faee0b" + }, + "418035eec9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 298.0, + 229.0, + 832.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 314.0, + 208.0, + 843.0, + 360.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 576.0, + 186.0, + 1210.0, + 356.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 714.0, + 50.0, + 1279.0, + 338.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/418035eec9" + }, + "4182d51532": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 206.0, + 0.0, + 469.0, + 398.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 325.0, + 307.0, + 562.0, + 463.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 107.0, + 0.0, + 342.0, + 351.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 207.0, + 254.0, + 551.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 155.0, + 0.0, + 357.0, + 280.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 153.0, + 244.0, + 485.0, + 382.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 216.0, + 0.0, + 468.0, + 369.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 288.0, + 320.0, + 654.0, + 463.0 + ] + } + } + ], + "frame_names": [ + "00050", + "00065", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4182d51532" + }, + "418bb97e10": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 658.0, + 317.0, + 840.0, + 461.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 609.0, + 417.0, + 723.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 815.0, + 416.0, + 934.0, + 533.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 862.0, + 498.0, + 942.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 922.0, + 362.0, + 1038.0, + 518.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 829.0, + 509.0, + 950.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 907.0, + 401.0, + 1024.0, + 580.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 850.0, + 564.0, + 999.0, + 594.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/418bb97e10" + }, + "41a34c20e7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 434.0, + 135.0, + 573.0, + 355.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 571.0, + 238.0, + 728.0, + 349.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 406.0, + 133.0, + 581.0, + 356.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 806.0, + 197.0, + 884.0, + 350.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 421.0, + 129.0, + 596.0, + 354.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 821.0, + 196.0, + 912.0, + 349.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 355.0, + 139.0, + 587.0, + 352.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 822.0, + 209.0, + 920.0, + 347.0 + ] + } + } + ], + "frame_names": [ + "00060", + "00080", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/41a34c20e7" + }, + "41dab05200": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 558.0, + 324.0, + 622.0, + 499.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 507.0, + 301.0, + 628.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 583.0, + 350.0, + 656.0, + 492.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 546.0, + 335.0, + 642.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 468.0, + 425.0, + 572.0, + 569.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 463.0, + 278.0, + 584.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 297.0, + 291.0, + 564.0, + 619.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 355.0, + 14.0, + 562.0, + 319.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00050", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/41dab05200" + }, + "41ff6d5e2a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 596.0, + 248.0, + 652.0, + 305.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 670.0, + 273.0, + 722.0, + 315.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 414.0, + 239.0, + 600.0, + 401.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 661.0, + 308.0, + 719.0, + 356.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 209.0, + 184.0, + 573.0, + 460.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 666.0, + 303.0, + 727.0, + 353.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 662.0, + 324.0, + 729.0, + 380.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/41ff6d5e2a" + }, + "420caf0859": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 188.0, + 476.0, + 392.0, + 605.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 858.0, + 517.0, + 987.0, + 596.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 780.0, + 509.0, + 870.0, + 566.0 + ] + }, + "4": { + "category_name": "zebra", + "bbox": [ + 281.0, + 560.0, + 330.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 187.0, + 476.0, + 391.0, + 607.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 892.0, + 514.0, + 1008.0, + 592.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 779.0, + 504.0, + 895.0, + 589.0 + ] + }, + "4": { + "category_name": "zebra", + "bbox": [ + 305.0, + 521.0, + 391.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 188.0, + 477.0, + 390.0, + 605.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 911.0, + 520.0, + 1010.0, + 592.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 795.0, + 510.0, + 896.0, + 602.0 + ] + }, + "4": { + "category_name": "zebra", + "bbox": [ + 318.0, + 501.0, + 411.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 187.0, + 477.0, + 394.0, + 605.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 896.0, + 520.0, + 1022.0, + 593.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 778.0, + 509.0, + 887.0, + 585.0 + ] + }, + "4": { + "category_name": "zebra", + "bbox": [ + 349.0, + 498.0, + 423.0, + 601.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/420caf0859" + }, + "42264230ba": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bucket", + "bbox": [ + 876.0, + 345.0, + 1078.0, + 593.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 450.0, + 0.0, + 855.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 970.0, + 301.0, + 1213.0, + 581.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 454.0, + 0.0, + 923.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 975.0, + 297.0, + 1225.0, + 573.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 446.0, + 0.0, + 923.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 900.0, + 231.0, + 1165.0, + 507.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 294.0, + 0.0, + 867.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/42264230ba" + }, + "425a0c96e0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 104.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 97.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 11.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 102.0, + 72.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/425a0c96e0" + }, + "42da96b87c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 397.0, + 33.0, + 744.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 467.0, + 146.0, + 936.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 495.0, + 225.0, + 920.0, + 718.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 445.0, + 84.0, + 1020.0, + 668.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/42da96b87c" + }, + "42eb5a5b0f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 220.0, + 0.0, + 837.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 300.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 269.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 781.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/42eb5a5b0f" + }, + "42f17cd14d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 438.0, + 113.0, + 853.0, + 658.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 448.0, + 120.0, + 854.0, + 690.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 465.0, + 133.0, + 1118.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 433.0, + 121.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/42f17cd14d" + }, + "42f5c61c49": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 472.0, + 51.0, + 746.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 555.0, + 483.0, + 667.0, + 542.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 549.0, + 88.0, + 752.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 590.0, + 549.0, + 672.0, + 588.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 579.0, + 155.0, + 738.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 591.0, + 572.0, + 651.0, + 601.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 548.0, + 267.0, + 738.0, + 576.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/42f5c61c49" + }, + "42ffdcdee9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 577.0, + 304.0, + 900.0, + 492.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 529.0, + 203.0, + 811.0, + 383.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 556.0, + 370.0, + 849.0, + 588.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 368.0, + 350.0, + 725.0, + 582.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/42ffdcdee9" + }, + "432f9884f9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 737.0, + 214.0, + 910.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 662.0, + 115.0, + 866.0, + 441.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 207.0, + 725.0, + 596.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 463.0, + 53.0, + 760.0, + 482.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/432f9884f9" + }, + "43326d9940": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 501.0, + 241.0, + 872.0, + 436.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 0.0, + 157.0, + 283.0, + 378.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 149.0, + 159.0, + 443.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 187.0, + 173.0, + 453.0, + 393.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 401.0, + 203.0, + 631.0, + 410.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/43326d9940" + }, + "4350f3ab60": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 857.0, + 232.0, + 1279.0, + 540.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 276.0, + 536.0, + 545.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 629.0, + 338.0, + 743.0, + 419.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 1031.0, + 268.0, + 1279.0, + 564.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 283.0, + 484.0, + 578.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 762.0, + 374.0, + 876.0, + 448.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 1061.0, + 276.0, + 1279.0, + 574.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 288.0, + 472.0, + 589.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 792.0, + 381.0, + 902.0, + 455.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 1217.0, + 341.0, + 1279.0, + 438.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 340.0, + 657.0, + 629.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 946.0, + 423.0, + 1062.0, + 499.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4350f3ab60" + }, + "4399ffade3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 337.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 341.0, + 225.0, + 719.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 494.0, + 3.0, + 870.0, + 205.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 299.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 218.0, + 287.0, + 719.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 607.0, + 0.0, + 1093.0, + 153.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 221.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 110.0, + 181.0, + 404.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 869.0, + 0.0, + 1235.0, + 80.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 217.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 126.0, + 174.0, + 411.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 892.0, + 0.0, + 1137.0, + 63.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4399ffade3" + }, + "43a6c21f37": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 522.0, + 293.0, + 846.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 408.0, + 227.0, + 737.0, + 412.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 530.0, + 171.0, + 766.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 540.0, + 168.0, + 743.0, + 460.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/43a6c21f37" + }, + "43b5555faa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 565.0, + 323.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 36.0, + 434.0, + 417.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 19.0, + 278.0, + 597.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 60.0, + 273.0, + 721.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/43b5555faa" + }, + "43d63b752a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 215.0, + 809.0, + 412.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 523.0, + 360.0, + 556.0, + 424.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 238.0, + 819.0, + 589.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 636.0, + 576.0, + 731.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 710.0, + 158.0, + 868.0, + 584.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 640.0, + 545.0, + 937.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 515.0, + 106.0, + 711.0, + 532.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 443.0, + 494.0, + 805.0, + 551.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/43d63b752a" + }, + "4416bdd6ac": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 90.0, + 81.0, + 481.0, + 663.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 699.0, + 115.0, + 871.0, + 719.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 1015.0, + 328.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 89.0, + 95.0, + 482.0, + 681.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 699.0, + 135.0, + 876.0, + 719.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 1023.0, + 345.0, + 1279.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 45.0, + 69.0, + 468.0, + 667.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 690.0, + 118.0, + 883.0, + 719.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 1010.0, + 340.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 42.0, + 98.0, + 469.0, + 671.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 700.0, + 138.0, + 894.0, + 719.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 1018.0, + 386.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4416bdd6ac" + }, + "4444753edd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1039.0, + 148.0, + 1143.0, + 350.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 214.0, + 728.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1055.0, + 142.0, + 1160.0, + 373.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 541.0, + 192.0, + 689.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1102.0, + 154.0, + 1238.0, + 376.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 479.0, + 1.0, + 603.0, + 246.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1121.0, + 158.0, + 1257.0, + 378.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 446.0, + 142.0, + 572.0, + 373.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4444753edd" + }, + "444aa274e7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 228.0, + 189.0, + 1059.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 146.0, + 294.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 238.0, + 149.0, + 1067.0, + 701.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 74.0, + 257.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 232.0, + 168.0, + 1077.0, + 717.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 92.0, + 273.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 186.0, + 158.0, + 1035.0, + 711.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 102.0, + 275.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/444aa274e7" + }, + "444d4e0596": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 44.0, + 188.0, + 388.0, + 718.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 98.0, + 48.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 27.0, + 194.0, + 379.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 339.0, + 54.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 0.0, + 188.0, + 358.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 166.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 0.0, + 200.0, + 311.0, + 705.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 590.0, + 47.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/444d4e0596" + }, + "446b8b5f7a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 119.0, + 103.0, + 257.0, + 251.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 209.0, + 142.0, + 290.0, + 223.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 117.0, + 107.0, + 274.0, + 249.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 219.0, + 141.0, + 297.0, + 223.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 123.0, + 112.0, + 297.0, + 248.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 225.0, + 157.0, + 310.0, + 222.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 144.0, + 103.0, + 300.0, + 250.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 215.0, + 157.0, + 311.0, + 222.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/446b8b5f7a" + }, + "4478f694bb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 224.0, + 350.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 266.0, + 231.0, + 477.0, + 437.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 931.0, + 253.0, + 1047.0, + 362.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 216.0, + 367.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 240.0, + 236.0, + 464.0, + 443.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 911.0, + 271.0, + 1033.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 170.0, + 358.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 236.0, + 232.0, + 458.0, + 447.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 899.0, + 259.0, + 1019.0, + 367.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 258.0, + 403.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 288.0, + 266.0, + 498.0, + 478.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 897.0, + 284.0, + 1030.0, + 412.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4478f694bb" + }, + "44b1da0d87": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 440.0, + 319.0, + 741.0, + 474.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 471.0, + 318.0, + 720.0, + 477.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 360.0, + 395.0, + 461.0, + 437.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 491.0, + 330.0, + 698.0, + 496.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 319.0, + 409.0, + 426.0, + 450.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 492.0, + 331.0, + 691.0, + 497.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 308.0, + 411.0, + 415.0, + 452.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/44b1da0d87" + }, + "44b4dad8c9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 551.0, + 57.0, + 623.0, + 123.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 756.0, + 108.0, + 817.0, + 179.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 534.0, + 116.0, + 570.0, + 167.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 395.0, + 42.0, + 480.0, + 107.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 619.0, + 71.0, + 748.0, + 141.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 387.0, + 85.0, + 412.0, + 121.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 399.0, + 0.0, + 497.0, + 65.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 863.0, + 44.0, + 957.0, + 112.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 539.0, + 17.0, + 644.0, + 79.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 287.0, + 65.0, + 408.0, + 161.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 842.0, + 152.0, + 941.0, + 256.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 508.0, + 110.0, + 614.0, + 182.0 + ] + } + } + ], + "frame_names": [ + "00065", + "00085", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/44b4dad8c9" + }, + "44b5ece1b9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 270.0, + 0.0, + 749.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 228.0, + 0.0, + 719.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 234.0, + 84.0, + 765.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 236.0, + 59.0, + 761.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/44b5ece1b9" + }, + "44d239b24e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 555.0, + 120.0, + 819.0, + 489.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 545.0, + 275.0, + 800.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 592.0, + 177.0, + 755.0, + 443.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 581.0, + 257.0, + 740.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 680.0, + 215.0, + 857.0, + 501.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 661.0, + 314.0, + 834.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 985.0, + 433.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/44d239b24e" + }, + "44eaf8f51e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 197.0, + 0.0, + 810.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 373.0, + 0.0, + 1247.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 459.0, + 85.0, + 1061.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 352.0, + 92.0, + 1005.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/44eaf8f51e" + }, + "44f4f57099": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 468.0, + 234.0, + 1061.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 218.0, + 181.0, + 980.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 303.0, + 183.0, + 1099.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 442.0, + 207.0, + 1197.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00050", + "00075", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/44f4f57099" + }, + "44f7422af2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 272.0, + 284.0, + 1273.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 264.0, + 301.0, + 1271.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 266.0, + 292.0, + 1279.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 259.0, + 298.0, + 1270.0, + 530.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/44f7422af2" + }, + "450787ac97": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 209.0, + 85.0, + 844.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 135.0, + 218.0, + 794.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 157.0, + 218.0, + 802.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 281.0, + 102.0, + 892.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/450787ac97" + }, + "4523656564": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 911.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 76.0, + 947.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 985.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 134.0, + 951.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 955.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 70.0, + 899.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 961.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 63.0, + 907.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00080", + "00100", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4523656564" + }, + "4536c882e5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 673.0, + 252.0, + 761.0, + 377.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 682.0, + 357.0, + 732.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 714.0, + 360.0, + 820.0, + 466.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 796.0, + 455.0, + 832.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 659.0, + 125.0, + 767.0, + 212.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 632.0, + 109.0, + 667.0, + 281.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 614.0, + 441.0, + 656.0, + 483.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4536c882e5" + }, + "453b65daa4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 100.0, + 62.0, + 825.0, + 670.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 270.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 152.0, + 69.0, + 924.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 238.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 6.0, + 15.0, + 853.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 145.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 82.0, + 65.0, + 913.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 171.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/453b65daa4" + }, + "454f227427": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 505.0, + 127.0, + 681.0, + 583.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 681.0, + 175.0, + 835.0, + 603.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 809.0, + 103.0, + 1016.0, + 639.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 475.0, + 127.0, + 647.0, + 607.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 644.0, + 170.0, + 819.0, + 635.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 790.0, + 97.0, + 1005.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 457.0, + 116.0, + 631.0, + 607.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 629.0, + 167.0, + 825.0, + 637.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 793.0, + 88.0, + 1010.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 379.0, + 111.0, + 568.0, + 641.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 543.0, + 168.0, + 767.0, + 680.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 696.0, + 71.0, + 1007.0, + 708.0 + ] + } + } + ], + "frame_names": [ + "00170", + "00185", + "00195", + "00215" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/454f227427" + }, + "45636d806a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 509.0, + 213.0, + 736.0, + 462.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 507.0, + 139.0, + 743.0, + 389.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 514.0, + 134.0, + 741.0, + 382.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 490.0, + 154.0, + 711.0, + 403.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/45636d806a" + }, + "456fb9362e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 111.0, + 216.0, + 537.0, + 697.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 425.0, + 238.0, + 1084.0, + 653.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 291.0, + 26.0, + 877.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 157.0, + 192.0, + 552.0, + 699.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 435.0, + 244.0, + 1005.0, + 652.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 308.0, + 27.0, + 885.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 103.0, + 127.0, + 552.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 433.0, + 204.0, + 1106.0, + 649.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 365.0, + 30.0, + 893.0, + 403.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 152.0, + 98.0, + 725.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 527.0, + 327.0, + 1095.0, + 666.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 338.0, + 33.0, + 911.0, + 398.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/456fb9362e" + }, + "457e717a14": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 565.0, + 145.0, + 872.0, + 488.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 570.0, + 171.0, + 840.0, + 531.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 604.0, + 497.0, + 841.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 350.0, + 186.0, + 618.0, + 475.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 463.0, + 392.0, + 964.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 364.0, + 127.0, + 641.0, + 481.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 510.0, + 456.0, + 826.0, + 534.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/457e717a14" + }, + "45a89f35e1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 544.0, + 335.0, + 628.0, + 504.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 405.0, + 398.0, + 559.0, + 540.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 361.0, + 424.0, + 446.0, + 555.0 + ] + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 81.0, + 477.0, + 231.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 556.0, + 405.0, + 645.0, + 548.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 435.0, + 407.0, + 575.0, + 537.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 354.0, + 426.0, + 446.0, + 550.0 + ] + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 82.0, + 481.0, + 234.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 557.0, + 404.0, + 649.0, + 549.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 436.0, + 410.0, + 583.0, + 534.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 355.0, + 428.0, + 451.0, + 547.0 + ] + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 86.0, + 490.0, + 239.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 543.0, + 408.0, + 645.0, + 541.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 392.0, + 477.0, + 555.0, + 666.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 337.0, + 412.0, + 497.0, + 516.0 + ] + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 72.0, + 499.0, + 209.0, + 611.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/45a89f35e1" + }, + "45bf0e947d": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 25 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 616.0, + 484.0, + 919.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 442.0, + 416.0, + 755.0, + 719.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 310.0, + 316.0, + 500.0, + 579.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 875.0, + 475.0, + 1125.0, + 719.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 704.0, + 378.0, + 947.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 608.0, + 478.0, + 875.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 322.0, + 454.0, + 723.0, + 719.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 440.0, + 317.0, + 649.0, + 485.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 1139.0, + 556.0, + 1279.0, + 719.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 1069.0, + 491.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 759.0, + 572.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 378.0, + 460.0, + 689.0, + 719.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 614.0, + 387.0, + 933.0, + 705.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 921.0, + 595.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 348.0, + 461.0, + 659.0, + 719.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 685.0, + 387.0, + 1079.0, + 704.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00075", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/45bf0e947d" + }, + "45c36a9eab": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 199.0, + 567.0, + 660.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 855.0, + 291.0, + 1183.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 23.0, + 199.0, + 587.0, + 667.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 873.0, + 303.0, + 1197.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 22.0, + 176.0, + 597.0, + 639.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 889.0, + 285.0, + 1215.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 184.0, + 557.0, + 664.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 853.0, + 303.0, + 1186.0, + 604.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/45c36a9eab" + }, + "45d9fc1357": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 282.0, + 264.0, + 787.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 102.0, + 199.0, + 1053.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 102.0, + 163.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 464.0, + 210.0, + 1279.0, + 714.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/45d9fc1357" + }, + "45f8128b97": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1229.0, + 424.0, + 1279.0, + 701.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 179.0, + 326.0, + 203.0, + 343.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 701.0, + 171.0, + 873.0, + 598.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 262.0, + 372.0, + 284.0, + 384.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 623.0, + 253.0, + 868.0, + 587.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 744.0, + 217.0, + 908.0, + 620.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 731.0, + 366.0, + 769.0, + 394.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 229.0, + 175.0, + 256.0, + 214.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/45f8128b97" + }, + "4607f6c03c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 342.0, + 272.0, + 544.0, + 574.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 639.0, + 93.0, + 673.0, + 205.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 314.0, + 137.0, + 358.0, + 194.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 27.0, + 274.0, + 430.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 10.0, + 0.0, + 647.0, + 553.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 363.0, + 0.0, + 862.0, + 407.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4607f6c03c" + }, + "46146dfd39": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 352.0, + 275.0, + 572.0, + 684.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 664.0, + 259.0, + 797.0, + 534.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 632.0, + 218.0, + 704.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 369.0, + 258.0, + 583.0, + 687.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 643.0, + 247.0, + 771.0, + 513.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 564.0, + 210.0, + 634.0, + 360.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 480.0, + 195.0, + 682.0, + 666.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 637.0, + 186.0, + 751.0, + 460.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 469.0, + 150.0, + 543.0, + 279.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 633.0, + 168.0, + 849.0, + 632.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 763.0, + 156.0, + 848.0, + 249.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 474.0, + 131.0, + 548.0, + 294.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/46146dfd39" + }, + "4620e66b1e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 628.0, + 339.0, + 915.0, + 666.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 588.0, + 255.0, + 765.0, + 654.0 + ] + }, + "3": { + "category_name": "frog", + "bbox": [ + 413.0, + 176.0, + 706.0, + 355.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 494.0, + 509.0, + 867.0, + 647.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 438.0, + 288.0, + 620.0, + 594.0 + ] + }, + "3": { + "category_name": "frog", + "bbox": [ + 531.0, + 142.0, + 706.0, + 358.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 596.0, + 390.0, + 945.0, + 582.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 506.0, + 285.0, + 767.0, + 404.0 + ] + }, + "3": { + "category_name": "frog", + "bbox": [ + 531.0, + 38.0, + 769.0, + 265.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 622.0, + 351.0, + 916.0, + 567.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 538.0, + 272.0, + 703.0, + 378.0 + ] + }, + "3": { + "category_name": "frog", + "bbox": [ + 531.0, + 22.0, + 776.0, + 251.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4620e66b1e" + }, + "4625f3f2d3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 428.0, + 385.0, + 1065.0, + 719.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 639.0, + 283.0, + 1093.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 394.0, + 385.0, + 1017.0, + 719.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 602.0, + 281.0, + 1055.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 404.0, + 377.0, + 1051.0, + 719.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 618.0, + 271.0, + 1089.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 418.0, + 374.0, + 1049.0, + 719.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 612.0, + 272.0, + 1083.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4625f3f2d3" + }, + "462b22f263": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 554.0, + 282.0, + 690.0, + 421.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 822.0, + 336.0, + 1011.0, + 448.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 729.0, + 351.0, + 803.0, + 412.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 551.0, + 277.0, + 712.0, + 432.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 955.0, + 329.0, + 1263.0, + 493.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 737.0, + 350.0, + 831.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 540.0, + 264.0, + 766.0, + 453.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 781.0, + 351.0, + 924.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 530.0, + 253.0, + 800.0, + 465.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 813.0, + 355.0, + 987.0, + 463.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/462b22f263" + }, + "4634736113": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 565.0, + 253.0, + 932.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 417.0, + 292.0, + 826.0, + 589.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 193.0, + 201.0, + 1279.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 562.0, + 306.0, + 1233.0, + 678.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4634736113" + }, + "463c0f4fdd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 265.0, + 89.0, + 669.0, + 551.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 187.0, + 502.0, + 422.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 236.0, + 88.0, + 648.0, + 592.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 200.0, + 550.0, + 401.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 227.0, + 85.0, + 603.0, + 368.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 144.0, + 503.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 97.0, + 89.0, + 608.0, + 365.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 257.0, + 436.0, + 631.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/463c0f4fdd" + }, + "46565a75f8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 732.0, + 0.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 426.0, + 488.0, + 845.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 732.0, + 0.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 402.0, + 477.0, + 851.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 738.0, + 0.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 356.0, + 435.0, + 868.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 729.0, + 0.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 345.0, + 436.0, + 875.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/46565a75f8" + }, + "46630b55ae": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 391.0, + 98.0, + 640.0, + 555.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 377.0, + 316.0, + 640.0, + 690.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 380.0, + 110.0, + 663.0, + 629.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 383.0, + 366.0, + 674.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 385.0, + 130.0, + 727.0, + 711.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 415.0, + 432.0, + 738.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 371.0, + 119.0, + 778.0, + 719.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 411.0, + 467.0, + 711.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/46630b55ae" + }, + "466839cb37": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 527.0, + 145.0, + 1117.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 533.0, + 155.0, + 1103.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 525.0, + 189.0, + 915.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 354.0, + 231.0, + 742.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/466839cb37" + }, + "466ba4ae0c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 324.0, + 319.0, + 607.0, + 593.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 616.0, + 273.0, + 913.0, + 636.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 665.0, + 333.0, + 984.0, + 624.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 274.0, + 222.0, + 644.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 985.0, + 338.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 335.0, + 359.0, + 612.0, + 670.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 1176.0, + 529.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 473.0, + 355.0, + 750.0, + 657.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/466ba4ae0c" + }, + "4680236c9d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 2.0, + 248.0, + 401.0, + 603.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 121.0, + 215.0, + 471.0, + 576.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 194.0, + 188.0, + 506.0, + 556.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 331.0, + 267.0, + 714.0, + 649.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4680236c9d" + }, + "46bf4e8709": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 414.0, + 112.0, + 492.0, + 172.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 499.0, + 10.0, + 767.0, + 145.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 392.0, + 112.0, + 428.0, + 154.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 380.0, + 124.0, + 451.0, + 178.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 502.0, + 5.0, + 766.0, + 144.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 365.0, + 123.0, + 395.0, + 162.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 372.0, + 122.0, + 439.0, + 175.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 502.0, + 16.0, + 766.0, + 146.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 361.0, + 126.0, + 384.0, + 161.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 468.0, + 126.0, + 533.0, + 173.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 501.0, + 5.0, + 765.0, + 145.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00125", + "00145", + "00155", + "00195" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/46bf4e8709" + }, + "46e18e42f1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 1231.0, + 302.0, + 1279.0, + 570.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 909.0, + 250.0, + 1127.0, + 566.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 683.0, + 255.0, + 935.0, + 626.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 272.0, + 187.0, + 416.0, + 384.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1039.0, + 273.0, + 1237.0, + 589.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 805.0, + 330.0, + 1052.0, + 679.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 326.0, + 190.0, + 466.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 588.0, + 241.0, + 764.0, + 466.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 895.0, + 285.0, + 1103.0, + 548.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/46e18e42f1" + }, + "46f5093c59": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 445.0, + 50.0, + 803.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 442.0, + 85.0, + 789.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 491.0, + 225.0, + 1128.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 501.0, + 135.0, + 1149.0, + 666.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/46f5093c59" + }, + "47269e0499": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 144.0, + 314.0, + 399.0, + 467.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 154.0, + 315.0, + 379.0, + 442.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 90.0, + 226.0, + 237.0, + 528.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 47.0, + 103.0, + 259.0, + 452.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/47269e0499" + }, + "472da1c484": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 40.0, + 131.0, + 1279.0, + 709.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 10.0, + 70.0, + 1279.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 193.0, + 16.0, + 1279.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/472da1c484" + }, + "47354fab09": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 140.0, + 149.0, + 717.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 132.0, + 184.0, + 699.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 126.0, + 180.0, + 707.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 148.0, + 182.0, + 703.0, + 508.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/47354fab09" + }, + "4743bb84a7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 336.0, + 447.0, + 373.0, + 569.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 118.0, + 459.0, + 180.0, + 696.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 7.0, + 438.0, + 109.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 303.0, + 450.0, + 341.0, + 578.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 89.0, + 467.0, + 156.0, + 704.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 3.0, + 442.0, + 81.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 257.0, + 459.0, + 297.0, + 590.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 36.0, + 476.0, + 115.0, + 713.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1.0, + 454.0, + 43.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 183.0, + 466.0, + 221.0, + 602.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4743bb84a7" + }, + "474a796272": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 683.0, + 63.0, + 1049.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 641.0, + 61.0, + 982.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 589.0, + 113.0, + 940.0, + 653.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 657.0, + 251.0, + 967.0, + 718.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/474a796272" + }, + "4783d2ab87": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 411.0, + 261.0, + 578.0, + 525.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 704.0, + 198.0, + 841.0, + 515.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 427.0, + 205.0, + 738.0, + 456.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 167.0, + 318.0, + 470.0, + 579.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 508.0, + 248.0, + 641.0, + 568.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 245.0, + 252.0, + 547.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 159.0, + 349.0, + 498.0, + 609.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 504.0, + 274.0, + 634.0, + 591.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 235.0, + 285.0, + 539.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 186.0, + 382.0, + 552.0, + 613.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 522.0, + 294.0, + 630.0, + 609.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 207.0, + 305.0, + 535.0, + 560.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4783d2ab87" + }, + "479cad5da3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 21.0, + 877.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 837.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 821.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 867.0, + 538.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/479cad5da3" + }, + "479f5d7ef6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 18.0, + 333.0, + 512.0, + 717.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 605.0, + 348.0, + 820.0, + 609.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 459.0, + 303.0, + 536.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 294.0, + 229.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 399.0, + 314.0, + 627.0, + 609.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 41.0, + 238.0, + 117.0, + 300.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 277.0, + 253.0, + 717.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 431.0, + 302.0, + 670.0, + 598.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 42.0, + 247.0, + 75.0, + 287.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 266.0, + 170.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 433.0, + 281.0, + 678.0, + 597.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00055", + "00085", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/479f5d7ef6" + }, + "47a05fbd1d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 331.0, + 191.0, + 665.0, + 576.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 249.0, + 287.0, + 412.0, + 576.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 235.0, + 358.0, + 494.0, + 567.0 + ] + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 916.0, + 276.0, + 1083.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 311.0, + 193.0, + 665.0, + 571.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 217.0, + 310.0, + 405.0, + 572.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 241.0, + 364.0, + 471.0, + 569.0 + ] + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 925.0, + 277.0, + 1086.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 291.0, + 165.0, + 571.0, + 564.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 187.0, + 303.0, + 418.0, + 592.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 319.0, + 332.0, + 573.0, + 557.0 + ] + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 921.0, + 284.0, + 1114.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 299.0, + 156.0, + 568.0, + 566.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 208.0, + 306.0, + 353.0, + 562.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 272.0, + 339.0, + 576.0, + 560.0 + ] + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 925.0, + 287.0, + 1114.0, + 599.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/47a05fbd1d" + }, + "4804ee2767": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 128.0, + 103.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 656.0, + 351.0, + 1042.0, + 716.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 687.0, + 196.0, + 1057.0, + 456.0 + ] + }, + "4": { + "category_name": "dog", + "bbox": [ + 1043.0, + 204.0, + 1226.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 281.0, + 296.0, + 773.0, + 715.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 436.0, + 127.0, + 909.0, + 428.0 + ] + }, + "4": { + "category_name": "dog", + "bbox": [ + 864.0, + 119.0, + 1086.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 350.0, + 719.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 478.0, + 83.0, + 829.0, + 543.0 + ] + }, + "4": { + "category_name": "dog", + "bbox": [ + 680.0, + 98.0, + 880.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 418.0, + 719.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 464.0, + 158.0, + 906.0, + 504.0 + ] + }, + "4": { + "category_name": "dog", + "bbox": [ + 530.0, + 314.0, + 1037.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4804ee2767" + }, + "4810c3fbca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 464.0, + 621.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 95.0, + 409.0, + 796.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 407.0, + 784.0, + 530.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00085", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4810c3fbca" + }, + "482fb439c2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 254.0, + 221.0, + 604.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 230.0, + 220.0, + 582.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 230.0, + 222.0, + 556.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 254.0, + 189.0, + 606.0, + 455.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/482fb439c2" + }, + "48375af288": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 995.0, + 370.0, + 1017.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 991.0, + 365.0, + 1015.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 982.0, + 365.0, + 1005.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 986.0, + 366.0, + 1004.0, + 434.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/48375af288" + }, + "484ab44de4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 310.0, + 402.0, + 977.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 399.0, + 397.0, + 1078.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 233.0, + 144.0, + 959.0, + 314.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 264.0, + 161.0, + 982.0, + 329.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/484ab44de4" + }, + "485f3944cd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 529.0, + 492.0, + 722.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 769.0, + 473.0, + 926.0, + 716.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 616.0, + 469.0, + 734.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 522.0, + 532.0, + 733.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 764.0, + 518.0, + 940.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 624.0, + 469.0, + 735.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 526.0, + 527.0, + 751.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 786.0, + 496.0, + 943.0, + 716.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 660.0, + 464.0, + 760.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 466.0, + 529.0, + 782.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 790.0, + 471.0, + 980.0, + 665.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 568.0, + 467.0, + 757.0, + 642.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00070", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/485f3944cd" + }, + "4867b84887": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 687.0, + 368.0, + 1081.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 677.0, + 368.0, + 1029.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 557.0, + 348.0, + 897.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 492.0, + 277.0, + 1279.0, + 523.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00070", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4867b84887" + }, + "486a8ac57e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 393.0, + 190.0, + 735.0, + 426.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 392.0, + 181.0, + 728.0, + 410.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 437.0, + 252.0, + 777.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 446.0, + 251.0, + 770.0, + 484.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/486a8ac57e" + }, + "486e69c5bd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 95.0, + 36.0, + 958.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 77.0, + 0.0, + 1058.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 178.0, + 1012.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 149.0, + 167.0, + 950.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/486e69c5bd" + }, + "48812cf33e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 300.0, + 339.0, + 804.0, + 550.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 300.0, + 327.0, + 817.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 298.0, + 326.0, + 815.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 309.0, + 327.0, + 822.0, + 543.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00070", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/48812cf33e" + }, + "4894b3b9ea": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 430.0, + 316.0, + 556.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 444.0, + 310.0, + 570.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 472.0, + 189.0, + 636.0, + 372.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 519.0, + 187.0, + 641.0, + 316.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4894b3b9ea" + }, + "48bd66517d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 480.0, + 396.0, + 701.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 472.0, + 350.0, + 719.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 656.0, + 350.0, + 849.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 644.0, + 298.0, + 828.0, + 536.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/48bd66517d" + }, + "48d83b48a4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 678.0, + 261.0, + 743.0, + 307.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 739.0, + 258.0, + 817.0, + 304.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 845.0, + 255.0, + 903.0, + 303.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 675.0, + 257.0, + 736.0, + 310.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 736.0, + 253.0, + 812.0, + 298.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 847.0, + 251.0, + 907.0, + 301.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 625.0, + 238.0, + 681.0, + 287.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 682.0, + 232.0, + 753.0, + 278.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 812.0, + 231.0, + 873.0, + 275.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 565.0, + 193.0, + 630.0, + 243.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 629.0, + 190.0, + 694.0, + 233.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 777.0, + 184.0, + 840.0, + 229.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/48d83b48a4" + }, + "4918d10ff0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 109.0, + 0.0, + 463.0, + 708.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 426.0, + 13.0, + 1130.0, + 569.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 515.0, + 0.0, + 716.0, + 100.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 161.0, + 0.0, + 569.0, + 642.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 514.0, + 0.0, + 1210.0, + 513.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 727.0, + 0.0, + 810.0, + 49.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 191.0, + 0.0, + 613.0, + 632.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 565.0, + 0.0, + 1228.0, + 509.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 751.0, + 0.0, + 850.0, + 54.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 283.0, + 0.0, + 658.0, + 587.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 633.0, + 0.0, + 1279.0, + 512.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 785.0, + 0.0, + 1014.0, + 39.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4918d10ff0" + }, + "4932911f80": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 227.0, + 10.0, + 824.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 621.0, + 518.0, + 909.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 61.0, + 0.0, + 611.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 467.0, + 690.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 32.0, + 245.0, + 528.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 393.0, + 395.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 255.0, + 500.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 375.0, + 312.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4932911f80" + }, + "49405b7900": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 309.0, + 0.0, + 1060.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 312.0, + 93.0, + 837.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 365.0, + 105.0, + 717.0, + 503.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 504.0, + 91.0, + 797.0, + 480.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49405b7900" + }, + "49972c2d14": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 400.0, + 187.0, + 621.0, + 546.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 570.0, + 374.0, + 646.0, + 422.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 620.0, + 404.0, + 828.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 379.0, + 243.0, + 701.0, + 655.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 398.0, + 505.0, + 471.0, + 578.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 473.0, + 458.0, + 658.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 399.0, + 378.0, + 762.0, + 665.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 422.0, + 226.0, + 500.0, + 246.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 503.0, + 192.0, + 656.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 497.0, + 302.0, + 759.0, + 637.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 628.0, + 657.0, + 721.0, + 677.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 139.0, + 510.0, + 331.0, + 692.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49972c2d14" + }, + "499bf07002": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 103.0, + 535.0, + 314.0, + 637.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 185.0, + 530.0, + 395.0, + 631.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 193.0, + 526.0, + 408.0, + 628.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 157.0, + 550.0, + 376.0, + 657.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 8.0, + 1279.0, + 629.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/499bf07002" + }, + "49b16e9377": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 620.0, + 244.0, + 771.0, + 559.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 585.0, + 538.0, + 800.0, + 586.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 578.0, + 163.0, + 790.0, + 531.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 473.0, + 493.0, + 827.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 580.0, + 171.0, + 839.0, + 566.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 619.0, + 556.0, + 800.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 578.0, + 258.0, + 720.0, + 488.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 552.0, + 467.0, + 734.0, + 493.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49b16e9377" + }, + "49c104258e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 414.0, + 276.0, + 1279.0, + 522.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 200.0, + 256.0, + 477.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 404.0, + 272.0, + 1279.0, + 526.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 200.0, + 262.0, + 477.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 394.0, + 272.0, + 1279.0, + 525.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 195.0, + 258.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 390.0, + 278.0, + 1279.0, + 524.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 195.0, + 254.0, + 486.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49c104258e" + }, + "49c879f82d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 543.0, + 214.0, + 701.0, + 717.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 3.0, + 432.0, + 252.0, + 622.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 509.0, + 17.0, + 679.0, + 717.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1.0, + 252.0, + 290.0, + 438.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 453.0, + 2.0, + 610.0, + 652.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 95.0, + 283.0, + 290.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 1171.0, + 157.0, + 1277.0, + 676.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 787.0, + 116.0, + 1125.0, + 307.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 55.0, + 163.0, + 101.0, + 338.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49c879f82d" + }, + "49e7326789": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 208.0, + 319.0, + 1003.0, + 472.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 294.0, + 273.0, + 1073.0, + 447.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 320.0, + 270.0, + 983.0, + 432.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1200.0, + 353.0, + 1244.0, + 398.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 261.0, + 438.0, + 397.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00075", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49e7326789" + }, + "49ec3e406a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 183.0, + 173.0, + 926.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 527.0, + 350.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 164.0, + 105.0, + 688.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 507.0, + 298.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 122.0, + 18.0, + 743.0, + 674.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 438.0, + 222.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 168.0, + 54.0, + 895.0, + 714.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 522.0, + 272.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49ec3e406a" + }, + "49fbf0c98a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 486.0, + 371.0, + 617.0, + 476.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 496.0, + 158.0, + 601.0, + 378.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 890.0, + 184.0, + 1051.0, + 533.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 968.0, + 181.0, + 1059.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 316.0, + 354.0, + 483.0, + 465.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 429.0, + 156.0, + 546.0, + 415.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 801.0, + 187.0, + 969.0, + 532.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 814.0, + 175.0, + 933.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 339.0, + 358.0, + 498.0, + 477.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 429.0, + 154.0, + 541.0, + 417.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 804.0, + 192.0, + 967.0, + 535.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 833.0, + 182.0, + 940.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 408.0, + 360.0, + 516.0, + 455.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 445.0, + 146.0, + 552.0, + 363.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 821.0, + 190.0, + 968.0, + 528.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 846.0, + 176.0, + 958.0, + 524.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49fbf0c98a" + }, + "4a0255c865": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 182.0, + 0.0, + 656.0, + 584.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 497.0, + 445.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 359.0, + 0.0, + 682.0, + 605.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 483.0, + 429.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 427.0, + 66.0, + 670.0, + 510.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 511.0, + 390.0, + 1114.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 424.0, + 84.0, + 661.0, + 449.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 518.0, + 351.0, + 1110.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a0255c865" + }, + "4a088fe99a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 407.0, + 267.0, + 740.0, + 516.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 827.0, + 590.0, + 1191.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 421.0, + 269.0, + 720.0, + 488.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 827.0, + 604.0, + 1159.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 415.0, + 277.0, + 705.0, + 489.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 797.0, + 603.0, + 1157.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 382.0, + 253.0, + 679.0, + 466.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 809.0, + 565.0, + 1163.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a088fe99a" + }, + "4a341402d0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 96.0, + 140.0, + 604.0, + 445.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 530.0, + 99.0, + 953.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 176.0, + 175.0, + 667.0, + 464.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 574.0, + 117.0, + 929.0, + 442.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 170.0, + 145.0, + 885.0, + 559.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 542.0, + 37.0, + 931.0, + 389.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 697.0, + 198.0, + 1279.0, + 539.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 194.0, + 0.0, + 578.0, + 343.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a341402d0" + }, + "4a3471bdf5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 397.0, + 51.0, + 630.0, + 570.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 582.0, + 352.0, + 961.0, + 501.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1001.0, + 56.0, + 1090.0, + 212.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 358.0, + 88.0, + 653.0, + 571.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 574.0, + 381.0, + 942.0, + 527.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 981.0, + 89.0, + 1066.0, + 241.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 324.0, + 88.0, + 684.0, + 590.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 394.0, + 383.0, + 857.0, + 534.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 921.0, + 94.0, + 996.0, + 243.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 349.0, + 87.0, + 745.0, + 597.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 434.0, + 387.0, + 881.0, + 539.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 937.0, + 97.0, + 1016.0, + 248.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00080", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a3471bdf5" + }, + "4a4b50571c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 366.0, + 278.0, + 1279.0, + 584.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 322.0, + 0.0, + 723.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 310.0, + 279.0, + 1279.0, + 648.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 284.0, + 0.0, + 713.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 186.0, + 278.0, + 1027.0, + 572.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 40.0, + 0.0, + 766.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 190.0, + 277.0, + 1043.0, + 527.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 78.0, + 0.0, + 764.0, + 425.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a4b50571c" + }, + "4a50f3d2e9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 608.0, + 205.0, + 905.0, + 421.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 160.0, + 303.0, + 515.0, + 419.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 183.0, + 340.0, + 585.0, + 541.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 258.0, + 507.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 613.0, + 188.0, + 854.0, + 383.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 161.0, + 271.0, + 521.0, + 386.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 186.0, + 309.0, + 592.0, + 510.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 225.0, + 508.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 614.0, + 257.0, + 792.0, + 428.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 166.0, + 322.0, + 526.0, + 441.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 184.0, + 359.0, + 588.0, + 566.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 274.0, + 510.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 604.0, + 265.0, + 744.0, + 406.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 166.0, + 326.0, + 523.0, + 440.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 183.0, + 366.0, + 592.0, + 565.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 286.0, + 511.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00160", + "00170", + "00190", + "00215" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a50f3d2e9" + }, + "4a6e3faaa1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 104.0, + 380.0, + 511.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 380.0, + 0.0, + 855.0, + 342.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 225.0, + 240.0, + 591.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 699.0, + 0.0, + 1083.0, + 343.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 208.0, + 430.0, + 617.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 849.0, + 0.0, + 1279.0, + 368.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 326.0, + 0.0, + 1171.0, + 494.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a6e3faaa1" + }, + "4a7191f08a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 699.0, + 210.0, + 807.0, + 445.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 622.0, + 444.0, + 742.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 794.0, + 128.0, + 907.0, + 405.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 601.0, + 389.0, + 848.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 724.0, + 153.0, + 857.0, + 396.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 535.0, + 373.0, + 861.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 738.0, + 124.0, + 934.0, + 381.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 532.0, + 376.0, + 812.0, + 391.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a7191f08a" + }, + "4a86fcfc30": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 671.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 673.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 5.0, + 747.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 753.0, + 694.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a86fcfc30" + }, + "4a885fa3ef": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 464.0, + 279.0, + 962.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 559.0, + 282.0, + 970.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 590.0, + 276.0, + 943.0, + 683.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 367.0, + 238.0, + 638.0, + 718.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a885fa3ef" + }, + "4aa2e0f865": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 214.0, + 118.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 230.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 260.0, + 46.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 266.0, + 90.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4aa2e0f865" + }, + "4aa9d6527f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 398.0, + 163.0, + 711.0, + 410.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 365.0, + 162.0, + 652.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 376.0, + 142.0, + 674.0, + 389.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 397.0, + 128.0, + 694.0, + 373.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4aa9d6527f" + }, + "4abb74bb52": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 496.0, + 91.0, + 708.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 493.0, + 111.0, + 710.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 493.0, + 99.0, + 712.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 517.0, + 92.0, + 947.0, + 597.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4abb74bb52" + }, + "4ae13de1cd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 72.0, + 0.0, + 410.0, + 578.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 0.0, + 285.0, + 414.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 712.0, + 123.0, + 834.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 224.0, + 0.0, + 498.0, + 575.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 191.0, + 285.0, + 467.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 739.0, + 135.0, + 860.0, + 439.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 273.0, + 9.0, + 515.0, + 519.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 222.0, + 301.0, + 512.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 733.0, + 137.0, + 845.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 361.0, + 23.0, + 579.0, + 518.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 316.0, + 287.0, + 552.0, + 715.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 775.0, + 150.0, + 885.0, + 428.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4ae13de1cd" + }, + "4af8cb323f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 499.0, + 20.0, + 1279.0, + 629.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 171.0, + 528.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 599.0, + 30.0, + 1279.0, + 636.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 158.0, + 628.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 648.0, + 0.0, + 1279.0, + 580.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 266.0, + 739.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 779.0, + 0.0, + 1279.0, + 492.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 134.0, + 798.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4af8cb323f" + }, + "4b02c272b3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 469.0, + 318.0, + 966.0, + 638.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 175.0, + 243.0, + 525.0, + 550.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 457.0, + 344.0, + 974.0, + 623.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 142.0, + 226.0, + 485.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 474.0, + 271.0, + 892.0, + 609.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 191.0, + 245.0, + 504.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 425.0, + 279.0, + 766.0, + 685.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 198.0, + 305.0, + 530.0, + 638.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b02c272b3" + }, + "4b19c529fb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 18.0, + 28.0, + 947.0, + 526.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 529.0, + 129.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 28.0, + 0.0, + 913.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 527.0, + 167.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 90.0, + 37.0, + 1005.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 523.0, + 223.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 174.0, + 97.0, + 979.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 498.0, + 252.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b19c529fb" + }, + "4b2974eff4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 820.0, + 281.0, + 1128.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 760.0, + 140.0, + 1178.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 754.0, + 133.0, + 970.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 782.0, + 112.0, + 1214.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b2974eff4" + }, + "4b3154c159": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 511.0, + 547.0, + 1094.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 242.0, + 333.0, + 696.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 946.0, + 220.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 258.0, + 215.0, + 653.0, + 687.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 813.0, + 211.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 336.0, + 225.0, + 627.0, + 658.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 692.0, + 200.0, + 1279.0, + 674.0 + ] + } + } + ], + "frame_names": [ + "00090", + "00110", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b3154c159" + }, + "4b54d2587f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 182.0, + 401.0, + 496.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 653.0, + 221.0, + 1279.0, + 666.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b54d2587f" + }, + "4b556740ff": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 361.0, + 46.0, + 1114.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 440.0, + 62.0, + 1107.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 433.0, + 55.0, + 1110.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 401.0, + 35.0, + 1067.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 898.0, + 277.0, + 1146.0, + 594.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b556740ff" + }, + "4b67aa9ef6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 596.0, + 304.0, + 1003.0, + 512.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 589.0, + 289.0, + 1005.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 573.0, + 319.0, + 975.0, + 504.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 567.0, + 299.0, + 988.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 567.0, + 317.0, + 969.0, + 483.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 573.0, + 321.0, + 990.0, + 489.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 565.0, + 316.0, + 955.0, + 484.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 607.0, + 318.0, + 979.0, + 488.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b67aa9ef6" + }, + "4b97cc7b8d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 235.0, + 18.0, + 568.0, + 298.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 523.0, + 136.0, + 783.0, + 306.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 273.0, + 20.0, + 528.0, + 338.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 560.0, + 142.0, + 793.0, + 321.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 296.0, + 17.0, + 526.0, + 360.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 574.0, + 134.0, + 799.0, + 338.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 189.0, + 22.0, + 519.0, + 358.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 569.0, + 142.0, + 798.0, + 338.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00090", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b97cc7b8d" + }, + "4baa1ed4aa": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 207.0, + 1057.0, + 652.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 867.0, + 346.0, + 910.0, + 390.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 923.0, + 348.0, + 979.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 204.0, + 932.0, + 623.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 738.0, + 354.0, + 781.0, + 418.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 797.0, + 358.0, + 860.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 48.0, + 212.0, + 971.0, + 608.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 777.0, + 368.0, + 817.0, + 430.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 835.0, + 373.0, + 898.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 309.0, + 863.0, + 679.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 663.0, + 450.0, + 709.0, + 508.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 723.0, + 451.0, + 790.0, + 503.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4baa1ed4aa" + }, + "4bc8c676bb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 356.0, + 179.0, + 812.0, + 391.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 365.0, + 156.0, + 979.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 371.0, + 119.0, + 1279.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 273.0, + 102.0, + 1279.0, + 588.0 + ] + } + } + ], + "frame_names": [ + "00170", + "00190", + "00210", + "00225" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4bc8c676bb" + }, + "4beaea4dbe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 441.0, + 198.0, + 633.0, + 394.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 231.0, + 222.0, + 873.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 429.0, + 219.0, + 637.0, + 383.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 230.0, + 171.0, + 905.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 315.0, + 256.0, + 585.0, + 377.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 233.0, + 157.0, + 930.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 269.0, + 263.0, + 549.0, + 376.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 208.0, + 158.0, + 893.0, + 538.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4beaea4dbe" + }, + "4bf5763d24": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 628.0, + 205.0, + 807.0, + 597.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 856.0, + 325.0, + 988.0, + 602.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 811.0, + 358.0, + 885.0, + 437.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 245.0, + 301.0, + 906.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 612.0, + 194.0, + 781.0, + 581.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 846.0, + 315.0, + 984.0, + 604.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 825.0, + 350.0, + 887.0, + 434.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 254.0, + 285.0, + 886.0, + 586.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 602.0, + 205.0, + 762.0, + 598.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 738.0, + 362.0, + 960.0, + 624.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 848.0, + 356.0, + 921.0, + 470.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 295.0, + 297.0, + 979.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 665.0, + 184.0, + 813.0, + 585.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 857.0, + 357.0, + 1050.0, + 622.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 890.0, + 340.0, + 1024.0, + 444.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 287.0, + 289.0, + 921.0, + 584.0 + ] + } + } + ], + "frame_names": [ + "00105", + "00120", + "00140", + "00175" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4bf5763d24" + }, + "4bffa92b67": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 688.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 760.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 796.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 782.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4bffa92b67" + }, + "4c25dfa8ec": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 52.0, + 379.0, + 187.0, + 674.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 332.0, + 365.0, + 508.0, + 629.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 715.0, + 354.0, + 872.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 388.0, + 366.0, + 530.0, + 680.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 718.0, + 398.0, + 909.0, + 684.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1119.0, + 405.0, + 1258.0, + 685.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 441.0, + 398.0, + 595.0, + 705.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 788.0, + 389.0, + 971.0, + 683.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1168.0, + 379.0, + 1279.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 347.0, + 468.0, + 509.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 734.0, + 470.0, + 952.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1130.0, + 454.0, + 1260.0, + 693.0 + ] + } + } + ], + "frame_names": [ + "00100", + "00115", + "00130", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4c25dfa8ec" + }, + "4c397b6fd4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 248.0, + 153.0, + 674.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 269.0, + 222.0, + 610.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 227.0, + 246.0, + 604.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 252.0, + 212.0, + 604.0, + 623.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4c397b6fd4" + }, + "4c51e75d66": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 140.0, + 162.0, + 205.0, + 456.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 7.0, + 194.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 240.0, + 170.0, + 292.0, + 455.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 10.0, + 11.0, + 280.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 232.0, + 155.0, + 367.0, + 401.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 200.0, + 23.0, + 342.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 293.0, + 186.0, + 374.0, + 422.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 116.0, + 60.0, + 336.0, + 469.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4c51e75d66" + }, + "4c7710908f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 57.0, + 144.0, + 802.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 183.0, + 12.0, + 970.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 151.0, + 29.0, + 852.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 209.0, + 43.0, + 826.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4c7710908f" + }, + "4c9b5017be": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 253.0, + 869.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 262.0, + 831.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 199.0, + 715.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 254.0, + 784.0, + 406.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4c9b5017be" + }, + "4ca2ffc361": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 419.0, + 151.0, + 982.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 402.0, + 150.0, + 986.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 411.0, + 115.0, + 1084.0, + 672.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 184.0, + 0.0, + 1129.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4ca2ffc361" + }, + "4cad2e93bc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 362.0, + 38.0, + 1050.0, + 649.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 352.0, + 24.0, + 1043.0, + 639.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 370.0, + 32.0, + 1069.0, + 654.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 435.0, + 61.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cad2e93bc" + }, + "4cd427b535": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 23 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 458.0, + 520.0, + 743.0, + 719.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 784.0, + 445.0, + 1131.0, + 600.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 4.0, + 543.0, + 270.0, + 719.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 780.0, + 417.0, + 1087.0, + 542.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 837.0, + 171.0, + 1067.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 180.0, + 605.0, + 380.0, + 719.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 828.0, + 420.0, + 1092.0, + 597.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 888.0, + 72.0, + 1279.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 228.0, + 555.0, + 544.0, + 719.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 865.0, + 377.0, + 1105.0, + 556.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 972.0, + 71.0, + 1279.0, + 426.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00080", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cd427b535" + }, + "4cd9a4b1ef": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 68.0, + 541.0, + 496.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 52.0, + 528.0, + 538.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 176.0, + 457.0, + 711.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 484.0, + 372.0, + 819.0, + 525.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cd9a4b1ef" + }, + "4cdfe3c2b2": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 591.0, + 451.0, + 1236.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 633.0, + 452.0, + 1278.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 687.0, + 448.0, + 1279.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 800.0, + 441.0, + 1279.0, + 626.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00085", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cdfe3c2b2" + }, + "4cef87b649": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 125.0, + 217.0, + 889.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 164.0, + 0.0, + 899.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 10.0, + 43.0, + 424.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 104.0, + 0.0, + 1045.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 184.0, + 365.0, + 582.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 134.0, + 0.0, + 1097.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 210.0, + 390.0, + 612.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00100", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cef87b649" + }, + "4cf208e9b3": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 297.0, + 103.0, + 521.0, + 468.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cf208e9b3" + }, + "4cf5bc3e60": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 2.0, + 251.0, + 279.0, + 354.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 331.0, + 650.0, + 380.0, + 716.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 773.0, + 585.0, + 951.0, + 714.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 460.0, + 448.0, + 751.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 2.0, + 249.0, + 288.0, + 354.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 326.0, + 648.0, + 379.0, + 716.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 767.0, + 584.0, + 956.0, + 716.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 455.0, + 442.0, + 769.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 1.0, + 247.0, + 303.0, + 349.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 313.0, + 661.0, + 367.0, + 717.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 757.0, + 584.0, + 954.0, + 716.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 420.0, + 457.0, + 774.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 53.0, + 215.0, + 325.0, + 336.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 308.0, + 621.0, + 359.0, + 716.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 766.0, + 372.0, + 957.0, + 699.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 319.0, + 399.0, + 725.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cf5bc3e60" + }, + "4cfdd73249": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 65.0, + 73.0, + 779.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 35.0, + 92.0, + 789.0, + 441.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 33.0, + 58.0, + 727.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 604.0, + 566.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cfdd73249" + }, + "4cff5c9e42": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 217.0, + 96.0, + 807.0, + 666.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 466.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 154.0, + 14.0, + 741.0, + 578.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 255.0, + 372.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 120.0, + 0.0, + 759.0, + 569.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 239.0, + 366.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 234.0, + 89.0, + 795.0, + 576.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 394.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cff5c9e42" + }, + "4d26d41091": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 316.0, + 129.0, + 931.0, + 477.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1024.0, + 177.0, + 1270.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 399.0, + 248.0, + 804.0, + 511.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 340.0, + 379.0, + 403.0, + 480.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 867.0, + 253.0, + 1117.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 468.0, + 263.0, + 786.0, + 484.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 387.0, + 369.0, + 472.0, + 461.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 829.0, + 226.0, + 1104.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 499.0, + 262.0, + 793.0, + 469.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 416.0, + 359.0, + 504.0, + 451.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 826.0, + 204.0, + 1117.0, + 581.0 + ] + } + } + ], + "frame_names": [ + "00215", + "00240", + "00260", + "00270" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4d26d41091" + }, + "4d5c23c554": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 202.0, + 224.0, + 422.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 201.0, + 290.0, + 372.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 331.0, + 301.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 211.0, + 238.0, + 428.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 184.0, + 285.0, + 382.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 196.0, + 322.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 216.0, + 230.0, + 434.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 189.0, + 277.0, + 371.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 349.0, + 312.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 226.0, + 223.0, + 438.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 170.0, + 310.0, + 363.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 350.0, + 314.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4d5c23c554" + }, + "4d67c59727": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 73.0, + 0.0, + 1000.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1266.0, + 466.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1100.0, + 633.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4d67c59727" + }, + "4d983cad9f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 227.0, + 157.0, + 593.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 69.0, + 0.0, + 1054.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 7.0, + 968.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 69.0, + 74.0, + 1120.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4d983cad9f" + }, + "4da0d00b55": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 446.0, + 9.0, + 1144.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 710.0, + 0.0, + 1279.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 79.0, + 0.0, + 1104.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 30.0, + 0.0, + 1093.0, + 714.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4da0d00b55" + }, + "4daa179861": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 325.0, + 122.0, + 584.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 352.0, + 246.0, + 573.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 237.0, + 271.0, + 545.0, + 561.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4daa179861" + }, + "4dadd57153": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 410.0, + 240.0, + 1096.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 352.0, + 216.0, + 1058.0, + 466.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 326.0, + 245.0, + 1058.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 335.0, + 250.0, + 1204.0, + 548.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4dadd57153" + }, + "4db117e6c5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 885.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 711.0, + 701.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 83.0, + 97.0, + 664.0, + 563.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 946.0, + 327.0, + 1047.0, + 581.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1241.0, + 306.0, + 1279.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 208.0, + 190.0, + 530.0, + 452.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 479.0, + 290.0, + 600.0, + 570.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 808.0, + 278.0, + 862.0, + 437.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4db117e6c5" + }, + "4de4ce4dea": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 688.0, + 346.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 697.0, + 46.0, + 1206.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 86.0, + 681.0, + 479.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 682.0, + 180.0, + 1194.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 83.0, + 674.0, + 486.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 696.0, + 175.0, + 1190.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 113.0, + 669.0, + 508.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 692.0, + 273.0, + 1160.0, + 569.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4de4ce4dea" + }, + "4dfaee19e5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 273.0, + 189.0, + 722.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 215.0, + 380.0, + 722.0, + 680.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 249.0, + 81.0, + 718.0, + 683.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 429.0, + 269.0, + 792.0, + 682.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4dfaee19e5" + }, + "4dfdd7fab0": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 635.0, + 313.0, + 801.0, + 650.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 526.0, + 610.0, + 831.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 683.0, + 411.0, + 787.0, + 605.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 661.0, + 594.0, + 731.0, + 613.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 853.0, + 357.0, + 941.0, + 567.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 777.0, + 541.0, + 989.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 753.0, + 8.0, + 1239.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 484.0, + 571.0, + 743.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4dfdd7fab0" + }, + "4e3f346aa5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 650.0, + 122.0, + 1163.0, + 360.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 662.0, + 81.0, + 1151.0, + 356.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 660.0, + 77.0, + 1151.0, + 353.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 658.0, + 83.0, + 1146.0, + 355.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e3f346aa5" + }, + "4e49c2a9c7": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 178.0, + 87.0, + 543.0, + 316.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 6.0, + 34.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 152.0, + 77.0, + 488.0, + 293.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 63.0, + 41.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 160.0, + 97.0, + 515.0, + 298.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 186.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 164.0, + 83.0, + 562.0, + 284.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 213.0, + 359.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e49c2a9c7" + }, + "4e4e06a749": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 150.0, + 14.0, + 739.0, + 588.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 671.0, + 251.0, + 903.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 135.0, + 11.0, + 746.0, + 589.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 650.0, + 232.0, + 872.0, + 370.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 133.0, + 16.0, + 732.0, + 597.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 660.0, + 252.0, + 854.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 145.0, + 13.0, + 734.0, + 593.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 644.0, + 245.0, + 858.0, + 371.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e4e06a749" + }, + "4e70279712": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 165.0, + 175.0, + 1015.0, + 659.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 27.0, + 463.0, + 269.0, + 583.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 168.0, + 164.0, + 1024.0, + 652.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 31.0, + 455.0, + 268.0, + 574.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 522.0, + 30.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 195.0, + 157.0, + 1060.0, + 647.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 69.0, + 447.0, + 284.0, + 564.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 30.0, + 416.0, + 207.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 194.0, + 156.0, + 1058.0, + 647.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 108.0, + 446.0, + 275.0, + 566.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 86.0, + 400.0, + 245.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e70279712" + }, + "4e72856cc7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 755.0, + 389.0, + 1033.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 516.0, + 385.0, + 772.0, + 591.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1169.0, + 485.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 928.0, + 479.0, + 1177.0, + 682.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 326.0, + 438.0, + 404.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1062.0, + 420.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 865.0, + 435.0, + 1113.0, + 639.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 317.0, + 470.0, + 411.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 782.0, + 340.0, + 1039.0, + 716.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 578.0, + 351.0, + 818.0, + 553.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 40.0, + 410.0, + 128.0, + 584.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e72856cc7" + }, + "4e752f8075": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 959.0, + 122.0, + 1100.0, + 255.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 268.0, + 473.0, + 353.0, + 562.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 430.0, + 538.0, + 625.0, + 677.0 + ] + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 297.0, + 132.0, + 412.0, + 212.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 959.0, + 93.0, + 1106.0, + 244.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 270.0, + 476.0, + 426.0, + 576.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 528.0, + 576.0, + 651.0, + 719.0 + ] + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 288.0, + 139.0, + 409.0, + 220.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 961.0, + 151.0, + 1060.0, + 254.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 338.0, + 426.0, + 524.0, + 592.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 538.0, + 644.0, + 659.0, + 719.0 + ] + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 281.0, + 123.0, + 400.0, + 207.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 889.0, + 153.0, + 1034.0, + 255.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 337.0, + 442.0, + 481.0, + 596.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 552.0, + 656.0, + 657.0, + 719.0 + ] + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 281.0, + 127.0, + 402.0, + 210.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e752f8075" + }, + "4e7a28907f": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 321.0, + 268.0, + 680.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 309.0, + 269.0, + 664.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 301.0, + 260.0, + 623.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 276.0, + 236.0, + 599.0, + 487.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e7a28907f" + }, + "4e824b9247": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 787.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 495.0, + 265.0, + 871.0, + 507.0 + ] + }, + "3": { + "category_name": "tiger", + "bbox": [ + 805.0, + 152.0, + 1190.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 843.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 601.0, + 293.0, + 741.0, + 484.0 + ] + }, + "3": { + "category_name": "tiger", + "bbox": [ + 817.0, + 95.0, + 1279.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 849.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 644.0, + 279.0, + 943.0, + 484.0 + ] + }, + "3": { + "category_name": "tiger", + "bbox": [ + 731.0, + 138.0, + 1235.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 981.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 788.0, + 283.0, + 979.0, + 432.0 + ] + }, + "3": { + "category_name": "tiger", + "bbox": [ + 807.0, + 143.0, + 1279.0, + 458.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e824b9247" + }, + "4e82b1df57": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 317.0, + 187.0, + 588.0, + 628.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 524.0, + 444.0, + 582.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 277.0, + 162.0, + 668.0, + 591.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 526.0, + 269.0, + 660.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 317.0, + 149.0, + 672.0, + 547.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 470.0, + 232.0, + 628.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 315.0, + 144.0, + 507.0, + 485.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e82b1df57" + }, + "4e87a639bc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 329.0, + 311.0, + 523.0, + 456.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 519.0, + 298.0, + 626.0, + 429.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 188.0, + 247.0, + 851.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 358.0, + 288.0, + 598.0, + 456.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 541.0, + 300.0, + 651.0, + 422.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 198.0, + 254.0, + 878.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 349.0, + 319.0, + 566.0, + 466.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 535.0, + 310.0, + 648.0, + 442.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 194.0, + 260.0, + 876.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 334.0, + 303.0, + 565.0, + 485.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 522.0, + 304.0, + 642.0, + 455.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 188.0, + 272.0, + 856.0, + 656.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e87a639bc" + }, + "4ea77bfd15": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 263.0, + 125.0, + 862.0, + 719.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 0.0, + 656.0, + 348.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 403.0, + 61.0, + 1096.0, + 719.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 0.0, + 412.0, + 332.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 481.0, + 259.0, + 1078.0, + 719.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 0.0, + 418.0, + 307.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 497.0, + 210.0, + 1056.0, + 719.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 0.0, + 401.0, + 279.0, + 581.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4ea77bfd15" + }, + "4eb6fc23a2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 546.0, + 346.0, + 646.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 533.0, + 319.0, + 624.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 522.0, + 351.0, + 644.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 479.0, + 335.0, + 630.0, + 675.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4eb6fc23a2" + }, + "4ec9da329e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 471.0, + 1277.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 24.0, + 0.0, + 1279.0, + 555.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 484.0, + 1261.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 0.0, + 32.0, + 1279.0, + 684.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 558.0, + 258.0, + 604.0, + 310.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 458.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 491.0, + 303.0, + 556.0, + 364.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 86.0, + 598.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 0.0, + 264.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 332.0, + 309.0, + 392.0, + 364.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4ec9da329e" + }, + "4efb9a0720": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 402.0, + 225.0, + 685.0, + 351.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 643.0, + 231.0, + 911.0, + 363.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 801.0, + 227.0, + 1055.0, + 351.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 1103.0, + 337.0, + 1267.0, + 436.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4efb9a0720" + }, + "4f062fbc63": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 790.0, + 269.0, + 822.0, + 294.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 769.0, + 287.0, + 852.0, + 442.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 758.0, + 327.0, + 893.0, + 468.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 290.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 688.0, + 323.0, + 704.0, + 337.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 669.0, + 335.0, + 710.0, + 399.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 672.0, + 358.0, + 713.0, + 421.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 377.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 710.0, + 337.0, + 737.0, + 380.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 712.0, + 354.0, + 733.0, + 390.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 370.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 699.0, + 327.0, + 716.0, + 359.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 697.0, + 339.0, + 712.0, + 367.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 334.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f062fbc63" + }, + "4f35be0e0b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 3.0, + 266.0, + 309.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 141.0, + 263.0, + 470.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 293.0, + 275.0, + 635.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 554.0, + 313.0, + 960.0, + 685.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f35be0e0b" + }, + "4f37e86797": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 557.0, + 296.0, + 854.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 544.0, + 267.0, + 836.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 493.0, + 208.0, + 673.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 474.0, + 184.0, + 676.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f37e86797" + }, + "4f414dd6e7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 576.0, + 230.0, + 959.0, + 584.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 546.0, + 435.0, + 854.0, + 625.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 662.0, + 3.0, + 748.0, + 228.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 228.0, + 956.0, + 562.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 537.0, + 427.0, + 856.0, + 630.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 661.0, + 0.0, + 747.0, + 227.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 570.0, + 228.0, + 959.0, + 563.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 543.0, + 427.0, + 851.0, + 623.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 665.0, + 0.0, + 745.0, + 227.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 232.0, + 956.0, + 568.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 539.0, + 429.0, + 854.0, + 629.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 661.0, + 0.0, + 741.0, + 234.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f414dd6e7" + }, + "4f424abded": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 478.0, + 43.0, + 831.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 467.0, + 134.0, + 770.0, + 674.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 506.0, + 112.0, + 773.0, + 665.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 441.0, + 103.0, + 728.0, + 636.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f424abded" + }, + "4f470cc3ae": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 310.0, + 447.0, + 483.0, + 638.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 641.0, + 487.0, + 892.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 282.0, + 461.0, + 477.0, + 637.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 636.0, + 468.0, + 895.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 288.0, + 500.0, + 484.0, + 641.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 646.0, + 464.0, + 895.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 289.0, + 505.0, + 497.0, + 641.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 642.0, + 463.0, + 897.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f470cc3ae" + }, + "4f601d255a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 23.0, + 322.0, + 710.0, + 441.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 912.0, + 246.0, + 1210.0, + 423.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 280.0, + 353.0, + 828.0, + 480.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 1243.0, + 501.0, + 1276.0, + 527.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 612.0, + 289.0, + 823.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 356.0, + 393.0, + 877.0, + 496.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 655.0, + 341.0, + 867.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 294.0, + 349.0, + 769.0, + 491.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f601d255a" + }, + "4f7386a1ab": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 8.0, + 1045.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 80.0, + 927.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 76.0, + 843.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 81.0, + 843.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f7386a1ab" + }, + "4f824d3dcd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 319.0, + 213.0, + 849.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 312.0, + 171.0, + 856.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 309.0, + 164.0, + 858.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 294.0, + 125.0, + 848.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f824d3dcd" + }, + "4f827b0751": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 985.0, + 37.0, + 1265.0, + 195.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 205.0, + 399.0, + 912.0, + 715.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 469.0, + 83.0, + 1067.0, + 329.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 1021.0, + 0.0, + 1268.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 407.0, + 614.0, + 685.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 146.0, + 217.0, + 810.0, + 433.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 747.0, + 2.0, + 1007.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 277.0, + 585.0, + 789.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 444.0, + 247.0, + 1040.0, + 417.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 713.0, + 116.0, + 1169.0, + 523.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f827b0751" + }, + "4f8db33a13": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 142.0, + 178.0, + 474.0, + 388.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 744.0, + 433.0, + 951.0, + 653.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 143.0, + 174.0, + 422.0, + 390.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 747.0, + 444.0, + 954.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 109.0, + 204.0, + 422.0, + 420.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 719.0, + 437.0, + 1015.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 103.0, + 221.0, + 414.0, + 409.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 886.0, + 310.0, + 1102.0, + 589.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f8db33a13" + }, + "4fa160f8a3": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 146.0, + 422.0, + 515.0, + 611.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 118.0, + 428.0, + 498.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 259.0, + 191.0, + 548.0, + 643.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 383.0, + 195.0, + 649.0, + 684.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4fa160f8a3" + }, + "4fa9c30a45": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 661.0, + 373.0, + 1002.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 661.0, + 356.0, + 991.0, + 589.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 677.0, + 357.0, + 1007.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 999.0, + 673.0, + 1143.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00085", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4fa9c30a45" + }, + "4facd8f0e8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 1.0, + 52.0, + 300.0, + 200.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 263.0, + 159.0, + 283.0, + 198.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 83.0, + 394.0, + 227.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 266.0, + 189.0, + 288.0, + 214.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 25.0, + 89.0, + 424.0, + 246.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 272.0, + 204.0, + 291.0, + 229.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 173.0, + 106.0, + 559.0, + 297.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4facd8f0e8" + }, + "4fca07ad01": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 5.0, + 98.0, + 229.0, + 467.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 134.0, + 413.0, + 844.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 642.0, + 284.0, + 882.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 110.0, + 211.0, + 497.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 112.0, + 443.0, + 822.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 624.0, + 281.0, + 882.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 232.0, + 113.0, + 682.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 564.0, + 726.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 530.0, + 297.0, + 834.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 312.0, + 97.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 221.0, + 564.0, + 694.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 519.0, + 306.0, + 813.0, + 674.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4fca07ad01" + }, + "4fded94004": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 372.0, + 4.0, + 632.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 374.0, + 221.0, + 717.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 604.0, + 0.0, + 1093.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 875.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4fded94004" + }, + "4fdfef4dea": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 744.0, + 523.0, + 864.0, + 690.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 725.0, + 616.0, + 856.0, + 760.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 591.0, + 469.0, + 691.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 837.0, + 585.0, + 1011.0, + 825.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 807.0, + 726.0, + 1045.0, + 909.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 589.0, + 487.0, + 683.0, + 643.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1117.0, + 599.0, + 1352.0, + 883.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 1035.0, + 751.0, + 1431.0, + 946.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 397.0, + 556.0, + 554.0, + 795.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1230.0, + 570.0, + 1440.0, + 888.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 1157.0, + 690.0, + 1521.0, + 922.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 495.0, + 592.0, + 701.0, + 867.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4fdfef4dea" + }, + "4feb3ac01f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 72.0, + 122.0, + 339.0, + 582.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 90.0, + 433.0, + 329.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 95.0, + 166.0, + 364.0, + 628.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 95.0, + 491.0, + 334.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 68.0, + 148.0, + 345.0, + 598.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 64.0, + 456.0, + 295.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 74.0, + 224.0, + 348.0, + 669.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 95.0, + 531.0, + 332.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4feb3ac01f" + }, + "4fffec8479": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 354.0, + 413.0, + 411.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 335.0, + 449.0, + 395.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 327.0, + 483.0, + 389.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 291.0, + 445.0, + 333.0, + 514.0 + ] + } + } + ], + "frame_names": [ + "00080", + "00090", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4fffec8479" + }, + "500c835a86": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 653.0, + 186.0, + 719.0, + 315.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 645.0, + 307.0, + 719.0, + 352.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 637.0, + 160.0, + 693.0, + 323.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 641.0, + 309.0, + 691.0, + 332.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 606.0, + 334.0, + 646.0, + 380.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 620.0, + 186.0, + 697.0, + 349.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 596.0, + 334.0, + 725.0, + 374.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/500c835a86" + }, + "50168342bf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 272.0, + 169.0, + 743.0, + 630.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 226.0, + 192.0, + 684.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 267.0, + 179.0, + 726.0, + 630.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 226.0, + 384.0, + 677.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 174.0, + 88.0, + 758.0, + 631.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 177.0, + 121.0, + 672.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 221.0, + 175.0, + 731.0, + 628.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 219.0, + 104.0, + 661.0, + 631.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50168342bf" + }, + "50243cffdc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 116.0, + 232.0, + 1092.0, + 625.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 665.0, + 0.0, + 1179.0, + 206.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 460.0, + 195.0, + 1191.0, + 651.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 688.0, + 0.0, + 1173.0, + 156.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 637.0, + 285.0, + 1051.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 705.0, + 0.0, + 1020.0, + 231.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 671.0, + 282.0, + 995.0, + 663.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 669.0, + 0.0, + 942.0, + 96.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50243cffdc" + }, + "5031d5a036": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 72.0, + 361.0, + 304.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 53.0, + 360.0, + 290.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 30.0, + 361.0, + 276.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 360.0, + 191.0, + 565.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5031d5a036" + }, + "504dd9c0fd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 564.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 586.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 713.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 723.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00200", + "00220", + "00250", + "00265" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/504dd9c0fd" + }, + "50568fbcfb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 122.0, + 108.0, + 562.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 127.0, + 46.0, + 512.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 126.0, + 57.0, + 560.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 134.0, + 51.0, + 577.0, + 539.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50568fbcfb" + }, + "5069c7c5b3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 470.0, + 279.0, + 803.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 642.0, + 277.0, + 948.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 716.0, + 174.0, + 989.0, + 376.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 739.0, + 220.0, + 917.0, + 421.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5069c7c5b3" + }, + "508189ac91": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 468.0, + 4.0, + 698.0, + 361.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 562.0, + 295.0, + 651.0, + 371.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 464.0, + 114.0, + 599.0, + 371.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 546.0, + 327.0, + 604.0, + 384.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 604.0, + 24.0, + 694.0, + 314.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 656.0, + 267.0, + 688.0, + 321.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 594.0, + 76.0, + 742.0, + 314.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 666.0, + 308.0, + 702.0, + 368.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/508189ac91" + }, + "50b6b3d4b7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 534.0, + 185.0, + 911.0, + 424.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 593.0, + 195.0, + 910.0, + 419.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 626.0, + 221.0, + 963.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 712.0, + 188.0, + 1011.0, + 376.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50b6b3d4b7" + }, + "50c6f4fe3e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 220.0, + 172.0, + 405.0, + 573.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 231.0, + 310.0, + 578.0, + 665.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 648.0, + 287.0, + 756.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 224.0, + 186.0, + 409.0, + 581.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 235.0, + 404.0, + 592.0, + 673.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 648.0, + 289.0, + 757.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 248.0, + 280.0, + 412.0, + 591.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 261.0, + 448.0, + 639.0, + 677.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 652.0, + 294.0, + 764.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 509.0, + 286.0, + 790.0, + 562.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 476.0, + 408.0, + 789.0, + 622.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 410.0, + 395.0, + 523.0, + 685.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50c6f4fe3e" + }, + "50cce40173": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 31.0, + 155.0, + 461.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 32.0, + 138.0, + 489.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 26.0, + 137.0, + 511.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 31.0, + 145.0, + 494.0, + 541.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50cce40173" + }, + "50efbe152f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 212.0, + 0.0, + 1081.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 411.0, + 0.0, + 1184.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 409.0, + 0.0, + 1127.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 983.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50efbe152f" + }, + "50f290b95d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 496.0, + 294.0, + 660.0, + 317.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 775.0, + 173.0, + 1255.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 400.0, + 285.0, + 559.0, + 377.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 808.0, + 188.0, + 1172.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 215.0, + 286.0, + 378.0, + 370.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 658.0, + 152.0, + 1018.0, + 386.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 143.0, + 363.0, + 341.0, + 464.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 648.0, + 297.0, + 948.0, + 482.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50f290b95d" + }, + "5104aa1fea": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 528.0, + 0.0, + 636.0, + 72.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 425.0, + 57.0, + 723.0, + 719.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 782.0, + 136.0, + 847.0, + 179.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 481.0, + 8.0, + 588.0, + 91.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 383.0, + 74.0, + 662.0, + 680.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 787.0, + 143.0, + 851.0, + 186.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 503.0, + 15.0, + 606.0, + 97.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 404.0, + 83.0, + 680.0, + 659.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 805.0, + 144.0, + 871.0, + 188.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 440.0, + 43.0, + 535.0, + 118.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 360.0, + 110.0, + 607.0, + 679.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 723.0, + 135.0, + 787.0, + 179.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5104aa1fea" + }, + "5110dc72c0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 577.0, + 341.0, + 764.0, + 687.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 513.0, + 635.0, + 846.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 507.0, + 251.0, + 726.0, + 645.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 620.0, + 637.0, + 718.0, + 674.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 467.0, + 144.0, + 677.0, + 572.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 452.0, + 507.0, + 633.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 470.0, + 285.0, + 723.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 378.0, + 670.0, + 803.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5110dc72c0" + }, + "511e8ecd7f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 101.0, + 335.0, + 450.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 633.0, + 128.0, + 1200.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 101.0, + 341.0, + 428.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 638.0, + 131.0, + 1218.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 105.0, + 329.0, + 439.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 654.0, + 125.0, + 1202.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 103.0, + 317.0, + 436.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 638.0, + 113.0, + 1192.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/511e8ecd7f" + }, + "513aada14e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 699.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 606.0, + 82.0, + 1061.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 24.0, + 759.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 598.0, + 20.0, + 1039.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 78.0, + 0.0, + 935.0, + 642.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 274.0, + 0.0, + 1122.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 809.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 91.0, + 39.0, + 1087.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/513aada14e" + }, + "5158d6e985": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 13.0, + 949.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 9.0, + 889.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 239.0, + 133.0, + 858.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 512.0, + 587.0, + 675.0, + 719.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 452.0, + 0.0, + 1109.0, + 611.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 584.0, + 103.0, + 779.0, + 719.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 360.0, + 216.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5158d6e985" + }, + "5161e1fa57": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 373.0, + 403.0, + 826.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 408.0, + 397.0, + 817.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 488.0, + 418.0, + 936.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 450.0, + 401.0, + 1014.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5161e1fa57" + }, + "51794ddd58": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 307.0, + 263.0, + 430.0, + 387.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 590.0, + 319.0, + 620.0, + 393.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1049.0, + 330.0, + 1105.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 302.0, + 259.0, + 442.0, + 397.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 576.0, + 320.0, + 602.0, + 393.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1032.0, + 334.0, + 1086.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 343.0, + 237.0, + 504.0, + 395.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 601.0, + 301.0, + 628.0, + 376.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1057.0, + 316.0, + 1112.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 342.0, + 218.0, + 505.0, + 381.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 598.0, + 285.0, + 625.0, + 359.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1052.0, + 302.0, + 1107.0, + 459.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51794ddd58" + }, + "517d276725": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 452.0, + 266.0, + 801.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 590.0, + 176.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 395.0, + 236.0, + 803.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 592.0, + 175.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 546.0, + 297.0, + 800.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 593.0, + 175.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 546.0, + 312.0, + 800.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 644.0, + 175.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/517d276725" + }, + "51a597ee04": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 530.0, + 271.0, + 817.0, + 618.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 534.0, + 458.0, + 828.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 506.0, + 455.0, + 783.0, + 697.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 627.0, + 448.0, + 817.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 423.0, + 715.0, + 691.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 601.0, + 392.0, + 771.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 404.0, + 401.0, + 596.0, + 548.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 497.0, + 275.0, + 777.0, + 493.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00035" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51a597ee04" + }, + "51b37b6d97": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 314.0, + 83.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 302.0, + 82.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 350.0, + 109.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 366.0, + 266.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51b37b6d97" + }, + "51b5dc30a0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00215", + "00245", + "00250", + "00270" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51b5dc30a0" + }, + "51e85b347b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1021.0, + 65.0, + 1075.0, + 176.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1012.0, + 68.0, + 1047.0, + 180.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 991.0, + 107.0, + 1099.0, + 198.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 869.0, + 95.0, + 957.0, + 254.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 829.0, + 110.0, + 911.0, + 276.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 806.0, + 157.0, + 996.0, + 300.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 837.0, + 107.0, + 949.0, + 324.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 788.0, + 127.0, + 897.0, + 341.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 766.0, + 185.0, + 996.0, + 379.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 859.0, + 88.0, + 1043.0, + 451.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 806.0, + 131.0, + 946.0, + 518.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 791.0, + 240.0, + 1121.0, + 526.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51e85b347b" + }, + "51eea1fdac": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 321.0, + 0.0, + 1270.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 159.0, + 95.0, + 1274.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 109.0, + 89.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 6.0, + 0.0, + 1279.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 407.0, + 166.0, + 1168.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51eea1fdac" + }, + "51eef778af": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 80.0, + 271.0, + 304.0, + 359.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 250.0, + 147.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 139.0, + 226.0, + 347.0, + 358.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 7.0, + 207.0, + 193.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 212.0, + 227.0, + 480.0, + 358.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 48.0, + 217.0, + 269.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 151.0, + 189.0, + 444.0, + 358.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 167.0, + 229.0, + 359.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51eef778af" + }, + "51f384721c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 320.0, + 297.0, + 866.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 300.0, + 287.0, + 825.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 273.0, + 304.0, + 824.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 391.0, + 309.0, + 1004.0, + 591.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51f384721c" + }, + "521cfadcb4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 161.0, + 1007.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 672.0, + 234.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 103.0, + 1017.0, + 650.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 465.0, + 40.0, + 1279.0, + 708.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 757.0, + 0.0, + 1279.0, + 393.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 562.0, + 371.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/521cfadcb4" + }, + "52355da42f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 538.0, + 177.0, + 673.0, + 275.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 568.0, + 255.0, + 741.0, + 356.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 523.0, + 157.0, + 746.0, + 343.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 468.0, + 76.0, + 746.0, + 334.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/52355da42f" + }, + "5247d4b160": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 585.0, + 46.0, + 758.0, + 631.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 620.0, + 0.0, + 710.0, + 103.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 615.0, + 51.0, + 785.0, + 598.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 626.0, + 0.0, + 712.0, + 145.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 598.0, + 128.0, + 757.0, + 627.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 598.0, + 78.0, + 633.0, + 242.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 573.0, + 80.0, + 763.0, + 619.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 679.0, + 113.0, + 860.0, + 268.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5247d4b160" + }, + "524b470fd0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 595.0, + 279.0, + 740.0, + 455.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 620.0, + 433.0, + 780.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 597.0, + 266.0, + 752.0, + 461.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 660.0, + 417.0, + 783.0, + 475.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 608.0, + 253.0, + 761.0, + 440.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 637.0, + 413.0, + 797.0, + 448.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 592.0, + 236.0, + 733.0, + 422.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 616.0, + 389.0, + 776.0, + 427.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/524b470fd0" + }, + "524cee1534": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 222.0, + 1279.0, + 532.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 589.0, + 14.0, + 614.0, + 40.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 578.0, + 32.0, + 643.0, + 93.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 277.0, + 1279.0, + 468.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 638.0, + 83.0, + 676.0, + 109.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 623.0, + 104.0, + 700.0, + 166.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 79.0, + 100.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 420.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 562.0, + 288.0, + 610.0, + 326.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 549.0, + 310.0, + 632.0, + 389.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 189.0, + 424.0, + 1176.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 471.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 612.0, + 227.0, + 651.0, + 259.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 594.0, + 254.0, + 686.0, + 346.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 88.0, + 461.0, + 1174.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/524cee1534" + }, + "5252195e8a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 685.0, + 6.0, + 1076.0, + 596.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 643.0, + 129.0, + 943.0, + 365.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 665.0, + 8.0, + 1055.0, + 576.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 632.0, + 115.0, + 916.0, + 354.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 756.0, + 120.0, + 1110.0, + 531.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 628.0, + 106.0, + 849.0, + 349.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 568.0, + 135.0, + 904.0, + 376.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5252195e8a" + }, + "5255c9ca97": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 539.0, + 397.0, + 892.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 349.0, + 234.0, + 867.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 422.0, + 280.0, + 866.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 478.0, + 353.0, + 922.0, + 648.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5255c9ca97" + }, + "525928f46f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 692.0, + 137.0, + 959.0, + 630.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 110.0, + 375.0, + 631.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 512.0, + 335.0, + 576.0, + 369.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 638.0, + 145.0, + 907.0, + 630.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 87.0, + 429.0, + 633.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 494.0, + 338.0, + 565.0, + 375.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 593.0, + 166.0, + 871.0, + 630.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 91.0, + 434.0, + 630.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 524.0, + 355.0, + 599.0, + 393.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 649.0, + 179.0, + 909.0, + 630.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 51.0, + 94.0, + 482.0, + 629.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 593.0, + 361.0, + 630.0, + 401.0 + ] + } + } + ], + "frame_names": [ + "00200", + "00220", + "00240", + "00275" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/525928f46f" + }, + "526df007a7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 57.0, + 333.0, + 348.0, + 559.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 390.0, + 243.0, + 560.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 34.0, + 409.0, + 363.0, + 569.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 363.0, + 253.0, + 644.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 77.0, + 361.0, + 326.0, + 570.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 404.0, + 305.0, + 638.0, + 550.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 164.0, + 415.0, + 360.0, + 638.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 493.0, + 319.0, + 651.0, + 594.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/526df007a7" + }, + "529b12de78": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 860.0, + 149.0, + 1092.0, + 288.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 583.0, + 135.0, + 830.0, + 240.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 378.0, + 129.0, + 614.0, + 283.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1002.0, + 132.0, + 1243.0, + 279.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 719.0, + 112.0, + 999.0, + 222.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 490.0, + 103.0, + 733.0, + 261.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1146.0, + 120.0, + 1279.0, + 271.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 841.0, + 100.0, + 1155.0, + 216.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 612.0, + 90.0, + 875.0, + 256.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1218.0, + 123.0, + 1279.0, + 233.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 933.0, + 70.0, + 1250.0, + 188.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 667.0, + 65.0, + 953.0, + 233.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/529b12de78" + }, + "52c7a3d653": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 837.0, + 316.0, + 1278.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 887.0, + 268.0, + 1279.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 469.0, + 150.0, + 783.0, + 551.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/52c7a3d653" + }, + "52c8ec0373": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 223.0, + 130.0, + 511.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 66.0, + 142.0, + 250.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 76.0, + 9.0, + 267.0, + 114.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 199.0, + 121.0, + 483.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 44.0, + 137.0, + 228.0, + 714.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 58.0, + 4.0, + 250.0, + 109.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 191.0, + 117.0, + 485.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 35.0, + 130.0, + 222.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 51.0, + 2.0, + 244.0, + 102.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 199.0, + 139.0, + 512.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 59.0, + 143.0, + 208.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 56.0, + 19.0, + 249.0, + 123.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/52c8ec0373" + }, + "52d225ed52": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 562.0, + 0.0, + 945.0, + 472.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 543.0, + 392.0, + 954.0, + 512.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 686.0, + 23.0, + 1178.0, + 614.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 663.0, + 455.0, + 973.0, + 632.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 998.0, + 27.0, + 1111.0, + 153.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 817.0, + 72.0, + 1223.0, + 635.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 773.0, + 515.0, + 1132.0, + 679.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1159.0, + 48.0, + 1268.0, + 172.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 759.0, + 0.0, + 1008.0, + 393.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 775.0, + 276.0, + 939.0, + 409.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00075", + "00095", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/52d225ed52" + }, + "52ee406d9e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 440.0, + 171.0, + 749.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 458.0, + 177.0, + 741.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 454.0, + 185.0, + 715.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 446.0, + 182.0, + 715.0, + 613.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/52ee406d9e" + }, + "52ff1ccd4a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 537.0, + 352.0, + 904.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 434.0, + 293.0, + 659.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 832.0, + 299.0, + 1195.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 675.0, + 225.0, + 893.0, + 693.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 606.0, + 307.0, + 1136.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 572.0, + 241.0, + 765.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 373.0, + 105.0, + 1249.0, + 715.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 396.0, + 79.0, + 956.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/52ff1ccd4a" + }, + "53143511e8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 546.0, + 280.0, + 716.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 548.0, + 237.0, + 726.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 413.0, + 192.0, + 678.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 150.0, + 191.0, + 749.0, + 683.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53143511e8" + }, + "5316d11eb7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 367.0, + 123.0, + 799.0, + 719.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 172.0, + 181.0, + 753.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 349.0, + 24.0, + 986.0, + 688.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 398.0, + 21.0, + 889.0, + 315.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 336.0, + 42.0, + 993.0, + 706.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 390.0, + 27.0, + 876.0, + 329.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 352.0, + 46.0, + 979.0, + 661.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 461.0, + 11.0, + 958.0, + 338.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00060", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5316d11eb7" + }, + "53253f2362": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 135.0, + 21.0, + 166.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 765.0, + 19.0, + 883.0, + 175.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 798.0, + 0.0, + 903.0, + 110.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 730.0, + 0.0, + 964.0, + 100.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53253f2362" + }, + "534a560609": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/534a560609" + }, + "5352c4a70e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 924.0, + 473.0, + 997.0, + 502.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 840.0, + 312.0, + 966.0, + 611.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 519.0, + 82.0, + 539.0, + 143.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 1004.0, + 422.0, + 1091.0, + 476.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 846.0, + 315.0, + 1004.0, + 631.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 521.0, + 83.0, + 542.0, + 146.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 756.0, + 364.0, + 817.0, + 400.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 793.0, + 265.0, + 916.0, + 516.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 496.0, + 88.0, + 527.0, + 145.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 653.0, + 329.0, + 693.0, + 367.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 678.0, + 268.0, + 776.0, + 527.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 533.0, + 87.0, + 556.0, + 142.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5352c4a70e" + }, + "536096501f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 279.0, + 119.0, + 815.0, + 637.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 753.0, + 72.0, + 1271.0, + 483.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 700.0, + 586.0, + 958.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 475.0, + 205.0, + 894.0, + 574.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 809.0, + 85.0, + 1161.0, + 447.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 714.0, + 550.0, + 975.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 512.0, + 203.0, + 921.0, + 580.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 783.0, + 59.0, + 1142.0, + 420.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 728.0, + 552.0, + 981.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 531.0, + 242.0, + 1013.0, + 570.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 727.0, + 32.0, + 1092.0, + 372.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 742.0, + 547.0, + 996.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/536096501f" + }, + "536b17bcea": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 434.0, + 0.0, + 1279.0, + 655.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 56.0, + 523.0, + 525.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 434.0, + 0.0, + 1279.0, + 528.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 87.0, + 474.0, + 549.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 450.0, + 0.0, + 1279.0, + 547.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 66.0, + 472.0, + 539.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 420.0, + 0.0, + 1279.0, + 514.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 429.0, + 515.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/536b17bcea" + }, + "5380eaabff": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 441.0, + 122.0, + 1091.0, + 616.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 403.0, + 417.0, + 792.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5380eaabff" + }, + "5390a43a54": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 159.0, + 260.0, + 384.0, + 511.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 275.0, + 157.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 61.0, + 447.0, + 305.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 464.0, + 157.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 52.0, + 340.0, + 319.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 410.0, + 230.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 153.0, + 220.0, + 405.0, + 570.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 267.0, + 255.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5390a43a54" + }, + "53af427bb2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 51.0, + 165.0, + 304.0, + 460.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 261.0, + 215.0, + 453.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 272.0, + 49.0, + 508.0, + 281.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 212.0, + 138.0, + 400.0, + 277.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 734.0, + 0.0, + 962.0, + 194.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 667.0, + 70.0, + 860.0, + 179.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 594.0, + 349.0, + 927.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 95.0, + 112.0, + 292.0, + 301.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53af427bb2" + }, + "53bf5964ce": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 481.0, + 187.0, + 795.0, + 562.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 430.0, + 385.0, + 515.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 482.0, + 198.0, + 787.0, + 574.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 433.0, + 271.0, + 530.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 468.0, + 196.0, + 749.0, + 577.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 408.0, + 289.0, + 506.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 438.0, + 90.0, + 771.0, + 577.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 360.0, + 349.0, + 492.0, + 600.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53bf5964ce" + }, + "53c30110b5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 259.0, + 586.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 675.0, + 446.0, + 1271.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1040.0, + 160.0, + 1060.0, + 173.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 231.0, + 532.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 661.0, + 427.0, + 1271.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1036.0, + 142.0, + 1060.0, + 159.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 227.0, + 538.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 685.0, + 421.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1044.0, + 134.0, + 1073.0, + 156.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 227.0, + 522.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 661.0, + 417.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1047.0, + 110.0, + 1081.0, + 146.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53c30110b5" + }, + "53cad8e44a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 166.0, + 84.0, + 1029.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 183.0, + 137.0, + 946.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 188.0, + 135.0, + 911.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 337.0, + 93.0, + 953.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53cad8e44a" + }, + "53d9c45013": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 462.0, + 122.0, + 568.0, + 217.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 642.0, + 122.0, + 751.0, + 239.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 103.0, + 100.0, + 188.0, + 207.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 453.0, + 123.0, + 618.0, + 224.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 592.0, + 126.0, + 747.0, + 248.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 94.0, + 102.0, + 184.0, + 209.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 438.0, + 145.0, + 609.0, + 253.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 587.0, + 173.0, + 745.0, + 284.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 97.0, + 127.0, + 180.0, + 246.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 421.0, + 175.0, + 586.0, + 284.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 585.0, + 201.0, + 760.0, + 324.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 77.0, + 154.0, + 166.0, + 258.0 + ] + } + } + ], + "frame_names": [ + "00225", + "00230", + "00245", + "00280" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53d9c45013" + }, + "53e274f1b5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 469.0, + 110.0, + 920.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 449.0, + 104.0, + 902.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 433.0, + 107.0, + 886.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 369.0, + 117.0, + 872.0, + 566.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53e274f1b5" + }, + "53e32d21ea": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 458.0, + 147.0, + 546.0, + 220.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 641.0, + 181.0, + 710.0, + 233.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1000.0, + 187.0, + 1089.0, + 251.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 416.0, + 184.0, + 533.0, + 312.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 667.0, + 311.0, + 747.0, + 392.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 949.0, + 219.0, + 1054.0, + 367.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 296.0, + 46.0, + 406.0, + 159.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 634.0, + 137.0, + 715.0, + 216.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 750.0, + 87.0, + 855.0, + 219.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 291.0, + 10.0, + 401.0, + 140.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 649.0, + 93.0, + 750.0, + 183.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 755.0, + 60.0, + 862.0, + 200.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53e32d21ea" + }, + "540850e1c7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1148.0, + 325.0, + 1211.0, + 467.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1070.0, + 318.0, + 1112.0, + 433.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1113.0, + 333.0, + 1154.0, + 428.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1078.0, + 330.0, + 1145.0, + 495.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1005.0, + 331.0, + 1043.0, + 479.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1070.0, + 348.0, + 1097.0, + 462.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 901.0, + 288.0, + 991.0, + 510.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 774.0, + 279.0, + 841.0, + 471.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 885.0, + 297.0, + 929.0, + 425.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 802.0, + 262.0, + 915.0, + 541.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 634.0, + 252.0, + 721.0, + 496.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 782.0, + 263.0, + 803.0, + 285.0 + ] + } + } + ], + "frame_names": [ + "00120", + "00135", + "00165", + "00180" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/540850e1c7" + }, + "540cb31cfe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 28.0, + 274.0, + 405.0, + 658.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 33.0, + 267.0, + 404.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 36.0, + 270.0, + 402.0, + 668.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 31.0, + 275.0, + 404.0, + 657.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/540cb31cfe" + }, + "541c4da30f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 192.0, + 168.0, + 523.0, + 607.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 576.0, + 148.0, + 770.0, + 476.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 738.0, + 149.0, + 953.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 99.0, + 174.0, + 418.0, + 691.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 538.0, + 143.0, + 715.0, + 347.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 642.0, + 147.0, + 891.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 28.0, + 185.0, + 395.0, + 609.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 515.0, + 155.0, + 698.0, + 349.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 579.0, + 167.0, + 845.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 21.0, + 213.0, + 277.0, + 691.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 580.0, + 236.0, + 742.0, + 413.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 422.0, + 233.0, + 743.0, + 662.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/541c4da30f" + }, + "541d7935d7": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 95.0, + 1279.0, + 706.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 1258.0, + 564.0, + 1279.0, + 619.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 1169.0, + 502.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 1055.0, + 154.0, + 1279.0, + 372.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 1250.0, + 569.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 1065.0, + 101.0, + 1279.0, + 331.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 166.0, + 1180.0, + 644.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/541d7935d7" + }, + "545468262b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 543.0, + 184.0, + 742.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 478.0, + 230.0, + 721.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 433.0, + 295.0, + 706.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 478.0, + 271.0, + 688.0, + 679.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/545468262b" + }, + "5458647306": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 282.0, + 286.0, + 641.0, + 503.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 663.0, + 451.0, + 858.0, + 659.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 179.0, + 187.0, + 322.0, + 331.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 262.0, + 288.0, + 641.0, + 494.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 702.0, + 434.0, + 886.0, + 632.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 192.0, + 200.0, + 356.0, + 342.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 44.0, + 305.0, + 421.0, + 546.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 745.0, + 439.0, + 1083.0, + 647.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 454.0, + 216.0, + 637.0, + 352.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 39.0, + 238.0, + 384.0, + 498.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 646.0, + 441.0, + 965.0, + 595.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 534.0, + 201.0, + 769.0, + 362.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00040", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5458647306" + }, + "54657855cd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 661.0, + 160.0, + 756.0, + 437.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 516.0, + 167.0, + 636.0, + 498.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 610.0, + 140.0, + 680.0, + 286.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 669.0, + 131.0, + 795.0, + 485.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 476.0, + 152.0, + 652.0, + 543.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 644.0, + 107.0, + 700.0, + 360.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 682.0, + 107.0, + 864.0, + 605.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 400.0, + 138.0, + 630.0, + 595.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 694.0, + 68.0, + 770.0, + 185.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 88.0, + 977.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 94.0, + 124.0, + 536.0, + 717.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 753.0, + 28.0, + 907.0, + 364.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/54657855cd" + }, + "547b3fb23b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 696.0, + 146.0, + 936.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 685.0, + 136.0, + 935.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 688.0, + 148.0, + 932.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 685.0, + 140.0, + 927.0, + 566.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/547b3fb23b" + }, + "5497dc3712": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 91.0, + 278.0, + 617.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 69.0, + 316.0, + 623.0, + 649.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 53.0, + 315.0, + 635.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 93.0, + 295.0, + 629.0, + 637.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5497dc3712" + }, + "549c56f1d4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 250.0, + 30.0, + 1083.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 324.0, + 33.0, + 1101.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 298.0, + 144.0, + 1101.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 224.0, + 79.0, + 1113.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00165", + "00190", + "00210", + "00235" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/549c56f1d4" + }, + "54a4260bb1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 400.0, + 387.0, + 611.0, + 552.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 687.0, + 501.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 401.0, + 386.0, + 610.0, + 552.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 686.0, + 504.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 379.0, + 384.0, + 604.0, + 550.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 687.0, + 505.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 371.0, + 389.0, + 557.0, + 549.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 690.0, + 502.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/54a4260bb1" + }, + "54b98b8d5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 814.0, + 123.0, + 1152.0, + 469.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 724.0, + 230.0, + 1279.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 311.0, + 137.0, + 688.0, + 461.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 201.0, + 258.0, + 728.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 664.0, + 0.0, + 984.0, + 348.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 674.0, + 124.0, + 1190.0, + 370.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 620.0, + 114.0, + 849.0, + 465.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 553.0, + 280.0, + 969.0, + 542.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/54b98b8d5e" + }, + "54e1054b0f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 43.0, + 0.0, + 1268.0, + 654.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 48.0, + 0.0, + 1275.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 44.0, + 0.0, + 1272.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 43.0, + 0.0, + 1271.0, + 643.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/54e1054b0f" + }, + "54e8867b83": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 249.0, + 580.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 78.0, + 301.0, + 566.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 253.0, + 434.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 262.0, + 404.0, + 578.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/54e8867b83" + }, + "54ebe34f6e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 497.0, + 0.0, + 881.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 595.0, + 638.0, + 754.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 700.0, + 0.0, + 986.0, + 559.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 693.0, + 459.0, + 1088.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 566.0, + 0.0, + 1025.0, + 358.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 445.0, + 278.0, + 864.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 742.0, + 1.0, + 1021.0, + 426.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 712.0, + 381.0, + 857.0, + 486.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/54ebe34f6e" + }, + "5519b4ad13": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 21.0, + 77.0, + 223.0, + 234.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 208.0, + 157.0, + 357.0, + 236.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 5.0, + 85.0, + 207.0, + 246.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 193.0, + 164.0, + 358.0, + 248.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 15.0, + 56.0, + 198.0, + 252.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 188.0, + 168.0, + 352.0, + 252.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 20.0, + 48.0, + 196.0, + 257.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 153.0, + 147.0, + 328.0, + 256.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5519b4ad13" + }, + "551acbffd5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 456.0, + 232.0, + 917.0, + 566.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 458.0, + 385.0, + 544.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 659.0, + 214.0, + 1018.0, + 564.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 596.0, + 356.0, + 686.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 681.0, + 232.0, + 1082.0, + 580.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 648.0, + 369.0, + 771.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 724.0, + 229.0, + 1116.0, + 581.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 674.0, + 334.0, + 765.0, + 507.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/551acbffd5" + }, + "55341f42da": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 443.0, + 198.0, + 625.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 451.0, + 92.0, + 654.0, + 369.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 507.0, + 191.0, + 627.0, + 419.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 658.0, + 128.0, + 780.0, + 322.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/55341f42da" + }, + "5566ab97e1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 6.0, + 297.0, + 339.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 262.0, + 202.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 169.0, + 215.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 106.0, + 347.0, + 288.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5566ab97e1" + }, + "556c79bbf2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 361.0, + 121.0, + 826.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 387.0, + 108.0, + 836.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 385.0, + 106.0, + 824.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 373.0, + 105.0, + 820.0, + 529.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/556c79bbf2" + }, + "5589637cc4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 900.0, + 167.0, + 971.0, + 247.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 823.0, + 235.0, + 932.0, + 269.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 897.0, + 151.0, + 974.0, + 227.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 875.0, + 200.0, + 1016.0, + 270.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 838.0, + 145.0, + 914.0, + 266.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 797.0, + 234.0, + 947.0, + 288.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 780.0, + 169.0, + 857.0, + 298.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 720.0, + 283.0, + 904.0, + 315.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5589637cc4" + }, + "558aa072f0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 291.0, + 0.0, + 1160.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 299.0, + 3.0, + 1182.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 303.0, + 0.0, + 1110.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 297.0, + 18.0, + 1208.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/558aa072f0" + }, + "559824b6f6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 338.0, + 154.0, + 1100.0, + 563.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 140.0, + 388.0, + 361.0, + 532.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 319.0, + 147.0, + 1075.0, + 568.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 42.0, + 367.0, + 331.0, + 547.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 321.0, + 124.0, + 1051.0, + 584.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 367.0, + 156.0, + 585.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 362.0, + 105.0, + 1049.0, + 676.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 323.0, + 478.0, + 377.0, + 618.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/559824b6f6" + }, + "55c1764e90": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 305.0, + 458.0, + 850.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 394.0, + 431.0, + 811.0, + 608.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 179.0, + 471.0, + 832.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 39.0, + 350.0, + 937.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/55c1764e90" + }, + "55eda6c77e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 452.0, + 0.0, + 857.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 448.0, + 0.0, + 799.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 371.0, + 0.0, + 779.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 433.0, + 0.0, + 859.0, + 493.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/55eda6c77e" + }, + "562d173565": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 443.0, + 30.0, + 1077.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 457.0, + 53.0, + 1086.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 419.0, + 106.0, + 1089.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 471.0, + 101.0, + 1112.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/562d173565" + }, + "5665c024cb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 56.0, + 246.0, + 202.0, + 719.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 236.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 66.0, + 242.0, + 212.0, + 719.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 259.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 38.0, + 245.0, + 194.0, + 719.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 248.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 19.0, + 224.0, + 175.0, + 719.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 221.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5665c024cb" + }, + "566cef4959": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 625.0, + 81.0, + 871.0, + 434.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 481.0, + 140.0, + 623.0, + 392.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 252.0, + 1279.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 671.0, + 97.0, + 890.0, + 401.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 494.0, + 115.0, + 603.0, + 338.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 235.0, + 1279.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 738.0, + 72.0, + 950.0, + 483.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 527.0, + 110.0, + 650.0, + 319.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 233.0, + 1279.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 759.0, + 61.0, + 965.0, + 370.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 493.0, + 90.0, + 592.0, + 291.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 264.0, + 1279.0, + 468.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/566cef4959" + }, + "5675d78833": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 538.0, + 71.0, + 1113.0, + 416.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 314.0, + 210.0, + 672.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 422.0, + 124.0, + 947.0, + 579.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 62.0, + 291.0, + 155.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 134.0, + 93.0, + 1047.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 966.0, + 225.0, + 1008.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 213.0, + 0.0, + 718.0, + 708.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 947.0, + 0.0, + 992.0, + 338.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5675d78833" + }, + "5678a91bd8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 487.0, + 88.0, + 583.0, + 281.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 85.0, + 324.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 490.0, + 87.0, + 586.0, + 323.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 86.0, + 332.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 443.0, + 209.0, + 612.0, + 480.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 87.0, + 392.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 379.0, + 146.0, + 601.0, + 474.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 87.0, + 399.0, + 629.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5678a91bd8" + }, + "567a2b4bd0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 57.0, + 0.0, + 1148.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 56.0, + 0.0, + 1148.0, + 426.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 57.0, + 0.0, + 1065.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 67.0, + 0.0, + 1093.0, + 416.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/567a2b4bd0" + }, + "569c282890": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 241.0, + 412.0, + 726.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 328.0, + 248.0, + 1023.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 228.0, + 272.0, + 1019.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 174.0, + 367.0, + 965.0, + 671.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/569c282890" + }, + "56cc449917": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 522.0, + 298.0, + 857.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 513.0, + 297.0, + 916.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 560.0, + 331.0, + 866.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 548.0, + 329.0, + 855.0, + 561.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/56cc449917" + }, + "56e71f3e07": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 398.0, + 48.0, + 833.0, + 694.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 390.0, + 54.0, + 833.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 392.0, + 63.0, + 831.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 390.0, + 64.0, + 833.0, + 693.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/56e71f3e07" + }, + "56f09b9d92": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 480.0, + 140.0, + 861.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 474.0, + 147.0, + 865.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 450.0, + 113.0, + 907.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 430.0, + 52.0, + 1159.0, + 588.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/56f09b9d92" + }, + "56fc0e8cf9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 290.0, + 283.0, + 508.0, + 565.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 652.0, + 26.0, + 1045.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 285.0, + 286.0, + 499.0, + 570.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 617.0, + 117.0, + 1033.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 286.0, + 277.0, + 482.0, + 567.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 461.0, + 129.0, + 912.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 288.0, + 279.0, + 479.0, + 566.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 445.0, + 70.0, + 972.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/56fc0e8cf9" + }, + "571ca79c71": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 348.0, + 297.0, + 460.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 655.0, + 273.0, + 781.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 817.0, + 293.0, + 949.0, + 490.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1002.0, + 281.0, + 1142.0, + 485.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/571ca79c71" + }, + "57243657cf": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 210.0, + 944.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 180.0, + 906.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 274.0, + 794.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 318.0, + 886.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57243657cf" + }, + "57246af7d1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 17.0, + 1076.0, + 589.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 4.0, + 1131.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 14.0, + 1269.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 613.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57246af7d1" + }, + "57427393e9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 867.0, + 536.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 842.0, + 222.0, + 1192.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 797.0, + 557.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 789.0, + 223.0, + 1155.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 36.0, + 0.0, + 925.0, + 571.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 914.0, + 234.0, + 1279.0, + 419.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 194.0, + 0.0, + 1025.0, + 603.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 1036.0, + 232.0, + 1279.0, + 407.0 + ] + } + } + ], + "frame_names": [ + "00115", + "00130", + "00145", + "00170" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57427393e9" + }, + "574b682c19": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 517.0, + 293.0, + 986.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 617.0, + 261.0, + 870.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 505.0, + 255.0, + 674.0, + 589.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 555.0, + 270.0, + 687.0, + 521.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/574b682c19" + }, + "578f211b86": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 572.0, + 141.0, + 664.0, + 343.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 556.0, + 129.0, + 682.0, + 391.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 553.0, + 144.0, + 687.0, + 392.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 693.0, + 260.0, + 851.0, + 398.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/578f211b86" + }, + "5790ac295d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 739.0, + 188.0, + 1177.0, + 646.0 + ] + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 785.0, + 77.0, + 1159.0, + 604.0 + ] + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 917.0, + 16.0, + 1163.0, + 488.0 + ] + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 132.0, + 316.0, + 356.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 498.0, + 115.0, + 753.0, + 385.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5790ac295d" + }, + "579393912d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 83.0, + 1154.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 51.0, + 864.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 329.0, + 0.0, + 914.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 369.0, + 0.0, + 988.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/579393912d" + }, + "57a344ab1a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 53.0, + 1279.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 136.0, + 1279.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 194.0, + 1279.0, + 470.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57a344ab1a" + }, + "57bd3bcda4": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 454.0, + 64.0, + 1015.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 395.0, + 68.0, + 968.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 367.0, + 67.0, + 951.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 363.0, + 59.0, + 977.0, + 622.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57bd3bcda4" + }, + "57bfb7fa4c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 472.0, + 219.0, + 715.0, + 556.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 530.0, + 520.0, + 769.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 547.0, + 105.0, + 779.0, + 610.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 530.0, + 564.0, + 784.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 71.0, + 894.0, + 704.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 585.0, + 640.0, + 829.0, + 708.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 710.0, + 94.0, + 978.0, + 711.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 667.0, + 632.0, + 911.0, + 708.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57bfb7fa4c" + }, + "57c010175e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 534.0, + 417.0, + 749.0, + 670.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 484.0, + 0.0, + 955.0, + 643.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 531.0, + 387.0, + 742.0, + 660.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 509.0, + 0.0, + 958.0, + 640.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 521.0, + 402.0, + 743.0, + 664.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 537.0, + 0.0, + 980.0, + 637.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 598.0, + 401.0, + 767.0, + 678.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 550.0, + 0.0, + 997.0, + 656.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57c010175e" + }, + "57c457cc75": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 655.0, + 187.0, + 834.0, + 456.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 649.0, + 240.0, + 820.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 611.0, + 229.0, + 825.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 713.0, + 267.0, + 886.0, + 509.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57c457cc75" + }, + "57c7fc2183": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 241.0, + 69.0, + 567.0, + 510.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 458.0, + 286.0, + 1279.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 271.0, + 117.0, + 531.0, + 507.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 516.0, + 213.0, + 1269.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 238.0, + 123.0, + 576.0, + 517.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 542.0, + 214.0, + 1134.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 294.0, + 4.0, + 494.0, + 571.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 470.0, + 88.0, + 1230.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57c7fc2183" + }, + "57d5289a01": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 188.0, + 206.0, + 394.0, + 419.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 668.0, + 151.0, + 1198.0, + 426.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 379.0, + 275.0, + 397.0, + 352.0 + ] + }, + "4": { + "category_name": "bus", + "bbox": [ + 395.0, + 258.0, + 513.0, + 350.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 179.0, + 223.0, + 385.0, + 436.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 662.0, + 170.0, + 1191.0, + 437.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 372.0, + 281.0, + 396.0, + 368.0 + ] + }, + "4": { + "category_name": "bus", + "bbox": [ + 390.0, + 272.0, + 507.0, + 366.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 229.0, + 208.0, + 433.0, + 422.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 709.0, + 165.0, + 1238.0, + 442.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 422.0, + 261.0, + 462.0, + 365.0 + ] + }, + "4": { + "category_name": "bus", + "bbox": [ + 461.0, + 261.0, + 553.0, + 353.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 234.0, + 197.0, + 439.0, + 412.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 712.0, + 166.0, + 1243.0, + 437.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 425.0, + 250.0, + 481.0, + 360.0 + ] + }, + "4": { + "category_name": "bus", + "bbox": [ + 476.0, + 254.0, + 558.0, + 346.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57d5289a01" + }, + "58045fde85": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 616.0, + 249.0, + 1063.0, + 684.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 794.0, + 137.0, + 890.0, + 222.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 666.0, + 214.0, + 925.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 315.0, + 317.0, + 515.0, + 546.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 379.0, + 214.0, + 433.0, + 268.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 321.0, + 262.0, + 451.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 218.0, + 307.0, + 383.0, + 521.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 274.0, + 218.0, + 323.0, + 269.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 224.0, + 263.0, + 349.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 170.0, + 304.0, + 280.0, + 454.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 218.0, + 195.0, + 251.0, + 237.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 167.0, + 230.0, + 270.0, + 397.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/58045fde85" + }, + "58163c37cd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 627.0, + 107.0, + 1119.0, + 473.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 698.0, + 306.0, + 835.0, + 467.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 520.0, + 331.0, + 876.0, + 616.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 641.0, + 100.0, + 1121.0, + 478.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 641.0, + 289.0, + 857.0, + 528.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 531.0, + 378.0, + 873.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 632.0, + 103.0, + 1126.0, + 477.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 538.0, + 292.0, + 843.0, + 582.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 531.0, + 338.0, + 873.0, + 613.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 636.0, + 107.0, + 1116.0, + 480.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 540.0, + 292.0, + 846.0, + 585.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 532.0, + 347.0, + 876.0, + 616.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/58163c37cd" + }, + "582d463e5c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 36.0, + 747.0, + 597.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 517.0, + 335.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 28.0, + 735.0, + 597.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 634.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 28.0, + 741.0, + 600.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 597.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 15.0, + 729.0, + 598.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 431.0, + 518.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/582d463e5c" + }, + "5851739c15": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 407.0, + 210.0, + 548.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 303.0, + 262.0, + 655.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 181.0, + 235.0, + 585.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 243.0, + 272.0, + 666.0, + 597.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5851739c15" + }, + "585dd0f208": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 584.0, + 224.0, + 702.0, + 377.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 547.0, + 191.0, + 659.0, + 346.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 560.0, + 74.0, + 695.0, + 222.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 557.0, + 111.0, + 694.0, + 262.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/585dd0f208" + }, + "587250f3c3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 594.0, + 206.0, + 793.0, + 473.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 506.0, + 156.0, + 713.0, + 425.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 478.0, + 197.0, + 801.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 446.0, + 177.0, + 915.0, + 578.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/587250f3c3" + }, + "589e4cc1de": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 316.0, + 1.0, + 1054.0, + 471.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 426.0, + 156.0, + 1131.0, + 441.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 209.0, + 1235.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 412.0, + 165.0, + 1140.0, + 410.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 473.0, + 186.0, + 1161.0, + 502.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/589e4cc1de" + }, + "589f65f5d5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 195.0, + 10.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 784.0, + 217.0, + 1279.0, + 360.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 653.0, + 278.0, + 1132.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 562.0, + 256.0, + 1086.0, + 410.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/589f65f5d5" + }, + "58a07c17d5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 35.0, + 705.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 35.0, + 684.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 50.0, + 893.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 83.0, + 923.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/58a07c17d5" + }, + "58adc6d8b6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 899.0, + 369.0, + 1040.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 982.0, + 385.0, + 1124.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 919.0, + 390.0, + 1074.0, + 467.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 900.0, + 354.0, + 1062.0, + 438.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/58adc6d8b6" + }, + "58b9bcf656": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 817.0, + 124.0, + 915.0, + 439.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 630.0, + 285.0, + 671.0, + 376.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 553.0, + 163.0, + 645.0, + 371.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 804.0, + 120.0, + 916.0, + 436.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 658.0, + 305.0, + 705.0, + 399.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 575.0, + 165.0, + 668.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 817.0, + 115.0, + 900.0, + 436.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 667.0, + 311.0, + 731.0, + 422.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 591.0, + 157.0, + 676.0, + 426.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 826.0, + 125.0, + 915.0, + 435.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 738.0, + 342.0, + 834.0, + 515.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 609.0, + 157.0, + 774.0, + 524.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/58b9bcf656" + }, + "58c374917e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 124.0, + 103.0, + 323.0, + 296.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 296.0, + 44.0, + 488.0, + 258.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 120.0, + 105.0, + 324.0, + 297.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 298.0, + 44.0, + 488.0, + 261.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 115.0, + 102.0, + 366.0, + 298.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 273.0, + 43.0, + 488.0, + 263.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 113.0, + 103.0, + 375.0, + 298.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 275.0, + 44.0, + 487.0, + 257.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/58c374917e" + }, + "58fc75fd42": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 267.0, + 0.0, + 1080.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 137.0, + 0.0, + 986.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 934.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 932.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/58fc75fd42" + }, + "5914c30f05": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 584.0, + 105.0, + 957.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 203.0, + 161.0, + 337.0, + 635.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 657.0, + 98.0, + 808.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 518.0, + 77.0, + 847.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 74.0, + 153.0, + 210.0, + 618.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 576.0, + 83.0, + 692.0, + 380.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 476.0, + 24.0, + 735.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 57.0, + 124.0, + 193.0, + 594.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 647.0, + 69.0, + 812.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 490.0, + 31.0, + 847.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 105.0, + 145.0, + 238.0, + 628.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 757.0, + 115.0, + 870.0, + 396.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5914c30f05" + }, + "59323787d5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 285.0, + 202.0, + 914.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 320.0, + 218.0, + 914.0, + 462.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 301.0, + 220.0, + 909.0, + 458.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 309.0, + 238.0, + 889.0, + 471.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59323787d5" + }, + "5937b08d69": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 330.0, + 210.0, + 715.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 422.0, + 116.0, + 1057.0, + 562.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 296.0, + 84.0, + 693.0, + 370.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1020.0, + 219.0, + 1166.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 480.0, + 20.0, + 700.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 220.0, + 161.0, + 885.0, + 561.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 202.0, + 118.0, + 618.0, + 283.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 852.0, + 259.0, + 1101.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 92.0, + 177.0, + 759.0, + 590.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 158.0, + 136.0, + 538.0, + 250.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 748.0, + 268.0, + 1041.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 80.0, + 174.0, + 807.0, + 719.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 333.0, + 134.0, + 685.0, + 208.0 + ] + }, + "4": { + "category_name": "elephant", + "bbox": [ + 972.0, + 262.0, + 1200.0, + 511.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5937b08d69" + }, + "594065ddd7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 232.0, + 264.0, + 624.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 181.0, + 299.0, + 594.0, + 467.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 259.0, + 337.0, + 678.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 899.0, + 299.0, + 1279.0, + 509.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/594065ddd7" + }, + "595a0ceea6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 263.0, + 688.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 247.0, + 750.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 12.0, + 211.0, + 931.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 63.0, + 1129.0, + 718.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/595a0ceea6" + }, + "59623ec40b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 852.0, + 279.0, + 1045.0, + 540.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 649.0, + 251.0, + 812.0, + 536.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 295.0, + 244.0, + 500.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 867.0, + 283.0, + 968.0, + 525.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 639.0, + 275.0, + 764.0, + 531.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 317.0, + 248.0, + 414.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 905.0, + 347.0, + 1015.0, + 624.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 648.0, + 337.0, + 810.0, + 622.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 313.0, + 311.0, + 397.0, + 613.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 882.0, + 377.0, + 992.0, + 608.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 705.0, + 340.0, + 824.0, + 614.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 273.0, + 359.0, + 399.0, + 617.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59623ec40b" + }, + "597ff7ef78": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 321.0, + 90.0, + 786.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 313.0, + 107.0, + 776.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 351.0, + 113.0, + 758.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 353.0, + 85.0, + 774.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/597ff7ef78" + }, + "598c2ad3b2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 506.0, + 146.0, + 1183.0, + 590.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 99.0, + 0.0, + 1180.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 504.0, + 146.0, + 1180.0, + 589.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 100.0, + 0.0, + 1180.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 502.0, + 237.0, + 1049.0, + 623.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 99.0, + 260.0, + 1179.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 500.0, + 203.0, + 861.0, + 574.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 99.0, + 281.0, + 1180.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/598c2ad3b2" + }, + "59a6459751": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 749.0, + 209.0, + 1051.0, + 462.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 18.0, + 163.0, + 395.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 833.0, + 142.0, + 1073.0, + 414.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 28.0, + 138.0, + 403.0, + 460.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 899.0, + 85.0, + 1113.0, + 413.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 29.0, + 142.0, + 290.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 835.0, + 160.0, + 1100.0, + 416.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 135.0, + 282.0, + 453.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59a6459751" + }, + "59b175e138": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 820.0, + 556.0, + 979.0, + 685.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 818.0, + 297.0, + 906.0, + 523.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 808.0, + 253.0, + 885.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 898.0, + 545.0, + 1052.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 870.0, + 273.0, + 957.0, + 509.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 882.0, + 231.0, + 963.0, + 302.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 938.0, + 558.0, + 1092.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 917.0, + 289.0, + 1001.0, + 525.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 945.0, + 251.0, + 1005.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1044.0, + 591.0, + 1192.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 999.0, + 292.0, + 1091.0, + 563.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1038.0, + 282.0, + 1132.0, + 513.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59b175e138" + }, + "59bf0a149f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 491.0, + 278.0, + 577.0, + 551.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 504.0, + 426.0, + 528.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 484.0, + 299.0, + 585.0, + 590.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 510.0, + 457.0, + 567.0, + 550.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 469.0, + 308.0, + 586.0, + 594.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 514.0, + 419.0, + 589.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 485.0, + 314.0, + 601.0, + 607.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 520.0, + 482.0, + 605.0, + 522.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59bf0a149f" + }, + "59d53d1649": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 223.0, + 129.0, + 990.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 181.0, + 13.0, + 485.0, + 301.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 345.0, + 85.0, + 1066.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 712.0, + 37.0, + 988.0, + 253.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 273.0, + 105.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 822.0, + 0.0, + 1279.0, + 318.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 269.0, + 591.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 525.0, + 43.0, + 1279.0, + 371.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00075", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59d53d1649" + }, + "59e3e6fae7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 44.0, + 169.0, + 726.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 86.0, + 572.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 88.0, + 527.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 46.0, + 109.0, + 780.0, + 633.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59e3e6fae7" + }, + "59fe33e560": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 492.0, + 347.0, + 783.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 490.0, + 345.0, + 785.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 493.0, + 345.0, + 785.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 484.0, + 339.0, + 871.0, + 552.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59fe33e560" + }, + "5a13a73fe5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 454.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 842.0, + 181.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 475.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 494.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 885.0, + 162.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 521.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 1036.0, + 0.0, + 1279.0, + 453.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1006.0, + 293.0, + 1279.0, + 662.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 650.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 159.0, + 0.0, + 810.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5a13a73fe5" + }, + "5a25c22770": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 264.0, + 1188.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 246.0, + 1220.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 251.0, + 1271.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 280.0, + 1276.0, + 518.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5a25c22770" + }, + "5a4a785006": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 414.0, + 140.0, + 1008.0, + 371.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 733.0, + 130.0, + 921.0, + 201.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 902.0, + 92.0, + 1134.0, + 207.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 204.0, + 201.0, + 904.0, + 446.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 283.0, + 198.0, + 516.0, + 272.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 583.0, + 148.0, + 815.0, + 260.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 249.0, + 222.0, + 961.0, + 455.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 183.0, + 220.0, + 524.0, + 373.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 515.0, + 173.0, + 777.0, + 249.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 367.0, + 175.0, + 1112.0, + 416.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 219.0, + 180.0, + 593.0, + 343.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 574.0, + 127.0, + 853.0, + 229.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5a4a785006" + }, + "5a50640995": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "6": { + "category_name": "person", + "bbox": [ + 547.0, + 172.0, + 1111.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 476.0, + 355.0, + 606.0, + 510.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 283.0, + 358.0, + 436.0, + 514.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 192.0, + 327.0, + 309.0, + 503.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 333.0, + 78.0, + 489.0 + ] + }, + "5": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "6": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 528.0, + 338.0, + 649.0, + 502.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 358.0, + 356.0, + 518.0, + 488.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 222.0, + 320.0, + 298.0, + 489.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 323.0, + 92.0, + 484.0 + ] + }, + "5": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "6": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 610.0, + 344.0, + 753.0, + 516.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 411.0, + 356.0, + 541.0, + 505.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 231.0, + 317.0, + 359.0, + 494.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 31.0, + 326.0, + 146.0, + 478.0 + ] + }, + "5": { + "category_name": "duck", + "bbox": [ + 0.0, + 354.0, + 62.0, + 501.0 + ] + }, + "6": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5a50640995" + }, + "5a75f7a1cf": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 373.0, + 179.0, + 436.0, + 360.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 574.0, + 180.0, + 627.0, + 320.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 658.0, + 178.0, + 693.0, + 304.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 383.0, + 180.0, + 446.0, + 359.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 585.0, + 178.0, + 637.0, + 318.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 669.0, + 176.0, + 705.0, + 302.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 419.0, + 189.0, + 482.0, + 365.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 617.0, + 184.0, + 674.0, + 323.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 701.0, + 179.0, + 741.0, + 306.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 483.0, + 204.0, + 548.0, + 379.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 684.0, + 200.0, + 740.0, + 338.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 764.0, + 197.0, + 804.0, + 323.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5a75f7a1cf" + }, + "5a841e59ad": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 307.0, + 42.0, + 1151.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 490.0, + 20.0, + 1121.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 480.0, + 55.0, + 1098.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 356.0, + 60.0, + 1058.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5a841e59ad" + }, + "5a91c5ab6d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 622.0, + 175.0, + 1279.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 624.0, + 139.0, + 1279.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 584.0, + 130.0, + 1279.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 562.0, + 137.0, + 1279.0, + 614.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5a91c5ab6d" + }, + "5ab49d9de0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 572.0, + 280.0, + 612.0, + 372.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 701.0, + 270.0, + 736.0, + 338.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 570.0, + 305.0, + 611.0, + 384.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 726.0, + 281.0, + 771.0, + 371.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 879.0, + 277.0, + 915.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 555.0, + 272.0, + 608.0, + 399.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 732.0, + 284.0, + 775.0, + 371.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 883.0, + 274.0, + 932.0, + 364.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 550.0, + 288.0, + 592.0, + 372.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 741.0, + 296.0, + 795.0, + 379.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 908.0, + 280.0, + 954.0, + 374.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ab49d9de0" + }, + "5aba1057fe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 630.0, + 423.0, + 941.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 522.0, + 44.0, + 867.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 570.0, + 298.0, + 995.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 568.0, + 35.0, + 900.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 546.0, + 310.0, + 1017.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 599.0, + 46.0, + 915.0, + 368.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 769.0, + 312.0, + 1052.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 572.0, + 0.0, + 951.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5aba1057fe" + }, + "5abe46ba6d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 654.0, + 131.0, + 1089.0, + 554.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 186.0, + 239.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 569.0, + 145.0, + 1050.0, + 608.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 81.0, + 225.0, + 306.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 495.0, + 112.0, + 1093.0, + 667.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 295.0, + 236.0, + 475.0, + 426.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 183.0, + 36.0, + 1078.0, + 719.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5abe46ba6d" + }, + "5ac7c88d0c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 124.0, + 261.0, + 301.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 175.0, + 232.0, + 284.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 150.0, + 164.0, + 319.0, + 360.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 196.0, + 245.0, + 309.0, + 424.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ac7c88d0c" + }, + "5aeb95cc7d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 325.0, + 2.0, + 846.0, + 417.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 842.0, + 3.0, + 1275.0, + 220.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 186.0, + 362.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 326.0, + 2.0, + 847.0, + 431.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 841.0, + 4.0, + 1248.0, + 257.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 188.0, + 379.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 325.0, + 3.0, + 844.0, + 419.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 893.0, + 3.0, + 1258.0, + 285.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 188.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 327.0, + 3.0, + 848.0, + 427.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 930.0, + 5.0, + 1273.0, + 315.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 191.0, + 378.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5aeb95cc7d" + }, + "5af15e4fc3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 61.0, + 333.0, + 852.0, + 504.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 993.0, + 316.0, + 1228.0, + 475.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 121.0, + 337.0, + 756.0, + 505.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 1013.0, + 316.0, + 1231.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 130.0, + 338.0, + 767.0, + 506.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 990.0, + 313.0, + 1222.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 144.0, + 317.0, + 757.0, + 489.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 991.0, + 295.0, + 1218.0, + 453.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5af15e4fc3" + }, + "5afe381ae4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 12.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 234.0, + 76.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 350.0, + 93.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 105.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5afe381ae4" + }, + "5b07b4229d": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 156.0, + 890.0, + 403.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 275.0, + 0.0, + 972.0, + 403.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 157.0, + 858.0, + 403.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 305.0, + 0.0, + 970.0, + 403.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 157.0, + 864.0, + 403.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 313.0, + 0.0, + 964.0, + 403.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 157.0, + 876.0, + 403.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 291.0, + 0.0, + 972.0, + 403.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00030" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5b07b4229d" + }, + "5b1001cc4f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 406.0, + 12.0, + 941.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 346.0, + 49.0, + 955.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 478.0, + 0.0, + 949.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 444.0, + 0.0, + 925.0, + 590.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5b1001cc4f" + }, + "5b1df237d2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 258.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 112.0, + 226.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 510.0, + 635.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5b1df237d2" + }, + "5b263013bf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 187.0, + 0.0, + 1038.0, + 696.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 137.0, + 4.0, + 212.0, + 242.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 115.0, + 231.0, + 238.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 191.0, + 0.0, + 1045.0, + 706.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 143.0, + 2.0, + 218.0, + 251.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 121.0, + 239.0, + 241.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 239.0, + 30.0, + 1092.0, + 719.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 174.0, + 7.0, + 250.0, + 295.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 152.0, + 281.0, + 273.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 274.0, + 37.0, + 1133.0, + 719.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 198.0, + 2.0, + 274.0, + 299.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 176.0, + 288.0, + 298.0, + 442.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5b263013bf" + }, + "5b27d19f0b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 387.0, + 22.0, + 416.0, + 55.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 51.0, + 310.0, + 142.0, + 437.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 113.0, + 67.0, + 434.0, + 664.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 499.0, + 274.0, + 514.0, + 291.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 166.0, + 53.0, + 392.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 335.0, + 259.0, + 421.0, + 319.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 183.0, + 41.0, + 416.0, + 672.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 448.0, + 98.0, + 500.0, + 149.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 216.0, + 59.0, + 524.0, + 672.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5b27d19f0b" + }, + "5b48ae16c5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 436.0, + 0.0, + 951.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 200.0, + 484.0, + 532.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 520.0, + 0.0, + 1021.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 184.0, + 420.0, + 606.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 232.0, + 0.0, + 781.0, + 611.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 152.0, + 461.0, + 354.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 308.0, + 457.0, + 346.0, + 473.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 320.0, + 0.0, + 829.0, + 550.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 160.0, + 504.0, + 442.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5b48ae16c5" + }, + "5b5babc719": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1216.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 185.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 101.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 728.0, + 0.0, + 1279.0, + 566.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5b5babc719" + }, + "5baaebdf00": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 234.0, + 111.0, + 1079.0, + 657.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 284.0, + 0.0, + 727.0, + 149.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 250.0, + 143.0, + 1039.0, + 688.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 432.0, + 60.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 264.0, + 251.0, + 951.0, + 686.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 392.0, + 107.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 1065.0, + 494.0, + 1269.0, + 719.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 421.0, + 101.0, + 692.0, + 341.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 799.0, + 71.0, + 943.0, + 261.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 1087.0, + 123.0, + 1279.0, + 336.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00075", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5baaebdf00" + }, + "5bab55cdbe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 775.0, + 166.0, + 1003.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 741.0, + 160.0, + 995.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 751.0, + 187.0, + 999.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 763.0, + 200.0, + 1003.0, + 659.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5bab55cdbe" + }, + "5bafef6e79": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 12.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 955.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00140", + "00155", + "00170", + "00205" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5bafef6e79" + }, + "5bc77844da": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 596.0, + 193.0, + 1075.0, + 525.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 414.0, + 312.0, + 993.0, + 707.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 290.0, + 48.0, + 607.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 574.0, + 195.0, + 1083.0, + 507.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 344.0, + 313.0, + 1019.0, + 696.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 308.0, + 47.0, + 617.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 340.0, + 284.0, + 1081.0, + 552.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 408.0, + 266.0, + 1013.0, + 683.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 311.0, + 35.0, + 576.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 438.0, + 275.0, + 1083.0, + 616.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 448.0, + 204.0, + 1037.0, + 646.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 328.0, + 0.0, + 595.0, + 498.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5bc77844da" + }, + "5bd1f84545": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 770.0, + 148.0, + 1162.0, + 492.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 1057.0, + 198.0, + 1279.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 734.0, + 149.0, + 1140.0, + 467.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 992.0, + 137.0, + 1274.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 676.0, + 92.0, + 1190.0, + 481.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 1005.0, + 149.0, + 1279.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 742.0, + 245.0, + 1098.0, + 533.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 985.0, + 264.0, + 1279.0, + 563.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5bd1f84545" + }, + "5bddc3ba25": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 483.0, + 151.0, + 556.0, + 212.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 431.0, + 405.0, + 493.0, + 475.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 565.0, + 178.0, + 615.0, + 301.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 284.0, + 450.0, + 346.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 577.0, + 171.0, + 623.0, + 295.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 286.0, + 443.0, + 355.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 575.0, + 179.0, + 622.0, + 303.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 301.0, + 452.0, + 384.0, + 572.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5bddc3ba25" + }, + "5bdf7c20d2": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 328.0, + 142.0, + 898.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 335.0, + 153.0, + 888.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 333.0, + 157.0, + 900.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 333.0, + 137.0, + 900.0, + 592.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5bdf7c20d2" + }, + "5bf23bc9d3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 762.0, + 154.0, + 1192.0, + 664.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 672.0, + 161.0, + 1268.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 384.0, + 34.0, + 1070.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 368.0, + 273.0, + 1080.0, + 648.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5bf23bc9d3" + }, + "5c01f6171a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 5.0, + 73.0, + 577.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 340.0, + 42.0, + 912.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 260.0, + 56.0, + 1059.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 209.0, + 90.0, + 1014.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c01f6171a" + }, + "5c021681b7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 861.0, + 88.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 126.0, + 875.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 877.0, + 135.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 130.0, + 858.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 883.0, + 145.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 124.0, + 825.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 803.0, + 79.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 123.0, + 871.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c021681b7" + }, + "5c185cff1d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 749.0, + 334.0, + 949.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 748.0, + 338.0, + 948.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 751.0, + 343.0, + 993.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 843.0, + 320.0, + 1036.0, + 598.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c185cff1d" + }, + "5c42aba280": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 367.0, + 36.0, + 874.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 453.0, + 120.0, + 725.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 381.0, + 177.0, + 686.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 383.0, + 201.0, + 690.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c42aba280" + }, + "5c44bf8ab6": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 141.0, + 100.0, + 1218.0, + 611.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 143.0, + 101.0, + 1230.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 140.0, + 107.0, + 1278.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 148.0, + 101.0, + 1279.0, + 619.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00065", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c44bf8ab6" + }, + "5c4c574894": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 255.0, + 136.0, + 1126.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 342.0, + 0.0, + 1152.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 252.0, + 0.0, + 1158.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 299.0, + 101.0, + 977.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c4c574894" + }, + "5c52fa4662": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1110.0, + 374.0, + 1264.0, + 588.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 316.0, + 291.0, + 493.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1101.0, + 373.0, + 1251.0, + 594.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 315.0, + 292.0, + 484.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1099.0, + 402.0, + 1236.0, + 589.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 281.0, + 312.0, + 470.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1092.0, + 391.0, + 1247.0, + 579.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 269.0, + 303.0, + 480.0, + 590.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c52fa4662" + }, + "5c6ea7dac3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 413.0, + 0.0, + 742.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 131.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 157.0, + 13.0, + 489.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 0.0, + 29.0, + 926.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 650.0, + 410.0, + 739.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 29.0, + 215.0, + 467.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 0.0, + 91.0, + 583.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 505.0, + 260.0, + 700.0, + 680.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 0.0, + 27.0, + 329.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 413.0, + 213.0, + 595.0, + 625.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c6ea7dac3" + }, + "5c74315dc2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 86.0, + 298.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 128.0, + 106.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 224.0, + 258.0, + 1279.0, + 677.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 215.0, + 218.0, + 1230.0, + 649.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c74315dc2" + }, + "5c7668855e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 6.0, + 7.0, + 999.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 1.0, + 926.0, + 718.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 985.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 1047.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c7668855e" + }, + "5c83e96778": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 504.0, + 109.0, + 811.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 526.0, + 128.0, + 812.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 523.0, + 122.0, + 813.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 511.0, + 116.0, + 826.0, + 590.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c83e96778" + }, + "5ca36173e4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 206.0, + 0.0, + 754.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 206.0, + 0.0, + 753.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 203.0, + 0.0, + 756.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 205.0, + 0.0, + 753.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00185", + "00205", + "00240", + "00250" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ca36173e4" + }, + "5cac477371": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 437.0, + 154.0, + 491.0, + 301.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 530.0, + 155.0, + 583.0, + 277.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 746.0, + 233.0, + 897.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 390.0, + 174.0, + 444.0, + 335.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 473.0, + 179.0, + 519.0, + 320.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 744.0, + 252.0, + 914.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 370.0, + 149.0, + 418.0, + 308.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 447.0, + 145.0, + 495.0, + 276.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 738.0, + 179.0, + 912.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 366.0, + 138.0, + 426.0, + 290.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 445.0, + 132.0, + 494.0, + 274.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 704.0, + 195.0, + 896.0, + 604.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5cac477371" + }, + "5cb0cb1b2f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 233.0, + 116.0, + 684.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 287.0, + 68.0, + 695.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 345.0, + 96.0, + 764.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 347.0, + 42.0, + 779.0, + 561.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5cb0cb1b2f" + }, + "5cb0cfb98f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 210.0, + 266.0, + 548.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 226.0, + 250.0, + 594.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 264.0, + 214.0, + 630.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 274.0, + 192.0, + 661.0, + 553.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5cb0cfb98f" + }, + "5cb49a19cf": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 989.0, + 499.0, + 1127.0, + 691.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 1116.0, + 507.0, + 1239.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 980.0, + 503.0, + 1104.0, + 694.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 1104.0, + 507.0, + 1225.0, + 677.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 837.0, + 353.0, + 1035.0, + 524.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 1099.0, + 505.0, + 1217.0, + 679.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 715.0, + 245.0, + 861.0, + 405.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 1105.0, + 500.0, + 1227.0, + 681.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00105", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5cb49a19cf" + }, + "5cbf7dc388": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 649.0, + 227.0, + 945.0, + 581.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 362.0, + 246.0, + 679.0, + 488.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 1043.0, + 140.0, + 1279.0, + 235.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 671.0, + 153.0, + 1003.0, + 583.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 362.0, + 169.0, + 704.0, + 519.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 1047.0, + 151.0, + 1279.0, + 245.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 635.0, + 195.0, + 969.0, + 576.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 320.0, + 140.0, + 703.0, + 510.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 1005.0, + 151.0, + 1279.0, + 246.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 580.0, + 254.0, + 915.0, + 579.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 280.0, + 170.0, + 675.0, + 500.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 955.0, + 148.0, + 1279.0, + 246.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5cbf7dc388" + }, + "5d0e07d126": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 488.0, + 248.0, + 789.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 476.0, + 210.0, + 864.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 439.0, + 162.0, + 979.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 405.0, + 141.0, + 1036.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5d0e07d126" + }, + "5d1e24b6e3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 178.0, + 577.0, + 382.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 144.0, + 528.0, + 362.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 176.0, + 578.0, + 384.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 286.0, + 693.0, + 350.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00085", + "00100", + "00115", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5d1e24b6e3" + }, + "5d663000ff": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 184.0, + 143.0, + 805.0, + 484.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 406.0, + 38.0, + 970.0, + 525.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 440.0, + 179.0, + 1033.0, + 514.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 427.0, + 223.0, + 1039.0, + 596.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 433.0, + 270.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5d663000ff" + }, + "5da6b2dc5d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 360.0, + 275.0, + 614.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 349.0, + 277.0, + 618.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 357.0, + 278.0, + 611.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 357.0, + 275.0, + 613.0, + 433.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5da6b2dc5d" + }, + "5de9b90f24": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 208.0, + 1279.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 223.0, + 1153.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 219.0, + 1101.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 229.0, + 937.0, + 537.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5de9b90f24" + }, + "5e08de0ed7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 845.0, + 247.0, + 1136.0, + 581.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 341.0, + 614.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 887.0, + 319.0, + 1111.0, + 635.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 51.0, + 288.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 799.0, + 314.0, + 1016.0, + 637.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 719.0, + 289.0, + 937.0, + 602.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e08de0ed7" + }, + "5e1011df9a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 459.0, + 245.0, + 833.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 281.0, + 234.0, + 731.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 173.0, + 280.0, + 699.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 516.0, + 244.0, + 1171.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e1011df9a" + }, + "5e1ce354fd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 265.0, + 327.0, + 597.0, + 616.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 501.0, + 262.0, + 741.0, + 560.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 778.0, + 254.0, + 1152.0, + 514.0 + ] + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 605.0, + 399.0, + 1029.0, + 627.0 + ] + }, + "5": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 268.0, + 361.0, + 591.0, + 621.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 496.0, + 266.0, + 733.0, + 563.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 870.0, + 247.0, + 1128.0, + 510.0 + ] + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 591.0, + 397.0, + 1035.0, + 631.0 + ] + }, + "5": { + "category_name": "giant_panda", + "bbox": [ + 320.0, + 160.0, + 407.0, + 191.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 267.0, + 363.0, + 590.0, + 624.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 530.0, + 266.0, + 789.0, + 567.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 937.0, + 253.0, + 1122.0, + 344.0 + ] + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 691.0, + 286.0, + 1267.0, + 629.0 + ] + }, + "5": { + "category_name": "giant_panda", + "bbox": [ + 338.0, + 120.0, + 466.0, + 193.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 266.0, + 366.0, + 599.0, + 617.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 532.0, + 244.0, + 914.0, + 531.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 929.0, + 255.0, + 1178.0, + 548.0 + ] + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 956.0, + 265.0, + 1279.0, + 603.0 + ] + }, + "5": { + "category_name": "giant_panda", + "bbox": [ + 365.0, + 102.0, + 496.0, + 190.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e1ce354fd" + }, + "5e35512dd7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 271.0, + 120.0, + 988.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 279.0, + 70.0, + 1056.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 287.0, + 52.0, + 1114.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 69.0, + 0.0, + 1016.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e35512dd7" + }, + "5e418b25f9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 509.0, + 296.0, + 660.0, + 716.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 249.0, + 316.0, + 421.0, + 662.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 719.0, + 275.0, + 892.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 472.0, + 301.0, + 658.0, + 688.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 580.0, + 302.0, + 671.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1060.0, + 283.0, + 1210.0, + 715.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 792.0, + 302.0, + 980.0, + 684.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 905.0, + 305.0, + 987.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1240.0, + 330.0, + 1277.0, + 516.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1042.0, + 201.0, + 1218.0, + 588.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1192.0, + 200.0, + 1267.0, + 353.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e418b25f9" + }, + "5e4849935a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 455.0, + 341.0, + 642.0, + 642.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 11.0, + 0.0, + 598.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 431.0, + 362.0, + 638.0, + 626.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 22.0, + 0.0, + 642.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 419.0, + 371.0, + 624.0, + 629.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 668.0, + 412.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 434.0, + 332.0, + 635.0, + 642.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 9.0, + 32.0, + 687.0, + 489.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e4849935a" + }, + "5e4ee19663": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 202.0, + 1102.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1216.0, + 286.0, + 1279.0, + 615.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 459.0, + 232.0, + 551.0, + 301.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 255.0, + 143.0, + 1014.0, + 547.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 922.0, + 221.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 594.0, + 195.0, + 671.0, + 250.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 244.0, + 153.0, + 788.0, + 445.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 557.0, + 157.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 538.0, + 170.0, + 601.0, + 214.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 246.0, + 104.0, + 737.0, + 374.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 401.0, + 136.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 513.0, + 148.0, + 575.0, + 189.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00075", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e4ee19663" + }, + "5e886ef78f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 190.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 226.0, + 97.0, + 1102.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 233.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 204.0, + 69.0, + 1210.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 213.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 172.0, + 64.0, + 1238.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 203.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 98.0, + 114.0, + 1122.0, + 676.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e886ef78f" + }, + "5e8d00b974": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 410.0, + 0.0, + 989.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 396.0, + 0.0, + 1009.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 506.0, + 0.0, + 1021.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 572.0, + 0.0, + 987.0, + 658.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e8d00b974" + }, + "5e8d59dc31": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 627.0, + 486.0, + 720.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 659.0, + 423.0, + 783.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 678.0, + 413.0, + 779.0, + 564.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e8d59dc31" + }, + "5ed838bd5c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 523.0, + 261.0, + 650.0, + 452.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 457.0, + 233.0, + 621.0, + 380.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 238.0, + 297.0, + 355.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 467.0, + 263.0, + 701.0, + 462.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 419.0, + 244.0, + 577.0, + 391.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 210.0, + 310.0, + 315.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 530.0, + 185.0, + 747.0, + 384.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 444.0, + 168.0, + 586.0, + 340.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 235.0, + 244.0, + 328.0, + 412.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 478.0, + 100.0, + 730.0, + 323.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 398.0, + 85.0, + 551.0, + 273.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 167.0, + 168.0, + 271.0, + 349.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ed838bd5c" + }, + "5edda6ee5a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 76.0, + 815.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 76.0, + 801.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 91.0, + 806.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 93.0, + 1244.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5edda6ee5a" + }, + "5ede4d2f7a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 90.0, + 57.0, + 554.0, + 431.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 219.0, + 0.0, + 698.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 219.0, + 311.0, + 729.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 433.0, + 305.0, + 984.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 181.0, + 53.0, + 794.0, + 672.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 392.0, + 187.0, + 983.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 246.0, + 207.0, + 780.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 548.0, + 298.0, + 976.0, + 707.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ede4d2f7a" + }, + "5ede9767da": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 669.0, + 230.0, + 993.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 701.0, + 192.0, + 1089.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 542.0, + 178.0, + 1089.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 616.0, + 173.0, + 1121.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ede9767da" + }, + "5ee23ca60e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 523.0, + 229.0, + 558.0, + 315.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 626.0, + 261.0, + 712.0, + 401.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 678.0, + 207.0, + 825.0, + 288.0 + ] + }, + "4": { + "category_name": "bird", + "bbox": [ + 670.0, + 261.0, + 735.0, + 374.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 534.0, + 208.0, + 563.0, + 311.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 635.0, + 248.0, + 726.0, + 399.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 730.0, + 149.0, + 882.0, + 217.0 + ] + }, + "4": { + "category_name": "bird", + "bbox": [ + 680.0, + 248.0, + 759.0, + 366.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 525.0, + 218.0, + 561.0, + 343.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 626.0, + 252.0, + 724.0, + 430.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 622.0, + 192.0, + 867.0, + 311.0 + ] + }, + "4": { + "category_name": "bird", + "bbox": [ + 674.0, + 263.0, + 761.0, + 395.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 525.0, + 214.0, + 564.0, + 340.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 635.0, + 246.0, + 727.0, + 424.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 632.0, + 201.0, + 766.0, + 313.0 + ] + }, + "4": { + "category_name": "bird", + "bbox": [ + 680.0, + 220.0, + 741.0, + 389.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ee23ca60e" + }, + "5eec4d9fe5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 626.0, + 279.0, + 746.0, + 671.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 596.0, + 159.0, + 671.0, + 225.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 489.0, + 35.0, + 844.0, + 164.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 225.0, + 651.0, + 640.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 541.0, + 99.0, + 615.0, + 169.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 438.0, + 0.0, + 804.0, + 114.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 528.0, + 278.0, + 636.0, + 672.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 547.0, + 164.0, + 615.0, + 230.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 435.0, + 38.0, + 780.0, + 174.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 473.0, + 269.0, + 582.0, + 648.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 496.0, + 155.0, + 570.0, + 214.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 397.0, + 31.0, + 746.0, + 154.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5eec4d9fe5" + }, + "5eecf07824": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 563.0, + 240.0, + 932.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 553.0, + 265.0, + 924.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 547.0, + 262.0, + 918.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 583.0, + 278.0, + 944.0, + 582.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5eecf07824" + }, + "5eef7ed4f4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 912.0, + 92.0, + 1071.0, + 449.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 552.0, + 177.0, + 1272.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 812.0, + 0.0, + 1041.0, + 166.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 523.0, + 40.0, + 1202.0, + 306.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 785.0, + 45.0, + 904.0, + 397.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 472.0, + 19.0, + 1086.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 749.0, + 40.0, + 925.0, + 401.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 441.0, + 194.0, + 1086.0, + 575.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5eef7ed4f4" + }, + "5ef5860ac6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 764.0, + 207.0, + 1181.0, + 614.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 166.0, + 169.0, + 494.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 750.0, + 208.0, + 1212.0, + 614.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 168.0, + 163.0, + 491.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 751.0, + 209.0, + 1218.0, + 614.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 202.0, + 156.0, + 492.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 753.0, + 206.0, + 1222.0, + 612.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 198.0, + 166.0, + 493.0, + 615.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ef5860ac6" + }, + "5ef6573a99": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 598.0, + 545.0, + 744.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 681.0, + 382.0, + 988.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 643.0, + 422.0, + 982.0, + 660.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 610.0, + 360.0, + 955.0, + 599.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ef6573a99" + }, + "5f1193e72b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 92.0, + 98.0, + 503.0, + 294.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 92.0, + 96.0, + 494.0, + 294.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 223.0, + 102.0, + 543.0, + 300.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 267.0, + 92.0, + 488.0, + 252.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5f1193e72b" + }, + "5f29ced797": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 384.0, + 352.0, + 787.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 859.0, + 350.0, + 1279.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1105.0, + 356.0, + 1279.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1181.0, + 419.0, + 1279.0, + 537.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5f29ced797" + }, + "5f32cf521e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 696.0, + 0.0, + 1226.0, + 615.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 696.0, + 0.0, + 1228.0, + 637.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 702.0, + 140.0, + 1214.0, + 675.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 653.0, + 0.0, + 905.0, + 150.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 593.0, + 206.0, + 1256.0, + 692.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 486.0, + 0.0, + 875.0, + 300.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5f32cf521e" + }, + "5f51876986": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 795.0, + 303.0, + 897.0, + 354.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 64.0, + 289.0, + 121.0, + 327.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 879.0, + 306.0, + 992.0, + 364.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 385.0, + 288.0, + 449.0, + 329.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1086.0, + 311.0, + 1194.0, + 382.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 654.0, + 297.0, + 710.0, + 331.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1052.0, + 318.0, + 1212.0, + 402.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 735.0, + 294.0, + 791.0, + 332.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5f51876986" + }, + "5f6ebe94a9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 567.0, + 170.0, + 644.0, + 584.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 531.0, + 132.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 561.0, + 168.0, + 641.0, + 584.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 537.0, + 130.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 551.0, + 166.0, + 629.0, + 579.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 549.0, + 137.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 548.0, + 167.0, + 623.0, + 582.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 567.0, + 125.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5f6ebe94a9" + }, + "5f6f14977c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1219.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1046.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5f6f14977c" + }, + "5f808d0d2d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 584.0, + 158.0, + 717.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 691.0, + 67.0, + 849.0, + 405.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 678.0, + 1.0, + 916.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 666.0, + 0.0, + 1003.0, + 494.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5f808d0d2d" + }, + "5fb8aded6a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 356.0, + 533.0, + 414.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 479.0, + 402.0, + 508.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 368.0, + 415.0, + 475.0, + 527.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 386.0, + 489.0, + 456.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 360.0, + 370.0, + 423.0, + 549.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 342.0, + 504.0, + 414.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 489.0, + 266.0, + 659.0, + 374.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 465.0, + 363.0, + 563.0, + 485.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5fb8aded6a" + }, + "5fba90767d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 467.0, + 204.0, + 1027.0, + 490.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 107.0, + 376.0, + 167.0, + 422.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 54.0, + 372.0, + 95.0, + 405.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 505.0, + 271.0, + 935.0, + 521.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 206.0, + 412.0, + 303.0, + 481.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 123.0, + 408.0, + 181.0, + 456.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 508.0, + 254.0, + 913.0, + 506.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 237.0, + 396.0, + 371.0, + 489.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 118.0, + 392.0, + 197.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 486.0, + 247.0, + 879.0, + 518.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 265.0, + 391.0, + 488.0, + 543.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 72.0, + 389.0, + 186.0, + 481.0 + ] + } + } + ], + "frame_names": [ + "00145", + "00170", + "00185", + "00205" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5fba90767d" + }, + "5fd1c7a3df": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 703.0, + 178.0, + 897.0, + 624.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 16.0, + 255.0, + 736.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 751.0, + 188.0, + 903.0, + 640.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 45.0, + 255.0, + 735.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 712.0, + 201.0, + 931.0, + 657.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 53.0, + 287.0, + 795.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 817.0, + 187.0, + 949.0, + 635.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 136.0, + 263.0, + 744.0, + 642.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5fd1c7a3df" + }, + "5fd3da9f68": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 88.0, + 0.0, + 811.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 80.0, + 0.0, + 801.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 24.0, + 0.0, + 767.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 2.0, + 0.0, + 707.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5fd3da9f68" + }, + "5fee2570ae": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 227.0, + 149.0, + 1018.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 279.0, + 137.0, + 1036.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 311.0, + 0.0, + 1038.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 267.0, + 56.0, + 1034.0, + 467.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00050", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5fee2570ae" + }, + "5ff66140d6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 945.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 622.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 121.0, + 729.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 133.0, + 703.0, + 652.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ff66140d6" + }, + "5ff8b85b53": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 420.0, + 152.0, + 732.0, + 427.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 455.0, + 408.0, + 1044.0, + 646.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 486.0, + 50.0, + 770.0, + 329.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 488.0, + 404.0, + 1068.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 480.0, + 46.0, + 928.0, + 272.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 507.0, + 454.0, + 1087.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 554.0, + 122.0, + 893.0, + 285.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 539.0, + 481.0, + 1112.0, + 702.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ff8b85b53" + }, + "600803c0f6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 528.0, + 85.0, + 1019.0, + 224.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 448.0, + 65.0, + 845.0, + 198.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 392.0, + 30.0, + 763.0, + 184.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 446.0, + 36.0, + 647.0, + 137.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/600803c0f6" + }, + "600be7f53e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 195.0, + 62.0, + 693.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 195.0, + 136.0, + 620.0, + 653.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 246.0, + 363.0, + 586.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00095", + "00125", + "00135", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/600be7f53e" + }, + "6024888af8": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 31 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 397.0, + 195.0, + 623.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 388.0, + 203.0, + 640.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 407.0, + 193.0, + 630.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 398.0, + 197.0, + 612.0, + 625.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6024888af8" + }, + "603189a03c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 250.0, + 311.0, + 1154.0, + 508.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 437.0, + 81.0, + 641.0, + 399.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 193.0, + 1279.0, + 373.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 263.0, + 327.0, + 1145.0, + 524.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 429.0, + 124.0, + 640.0, + 420.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 216.0, + 1279.0, + 389.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 246.0, + 340.0, + 1135.0, + 520.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 391.0, + 153.0, + 613.0, + 420.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 226.0, + 1279.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 226.0, + 362.0, + 1119.0, + 509.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 388.0, + 185.0, + 600.0, + 436.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 229.0, + 1279.0, + 387.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00065", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/603189a03c" + }, + "6057307f6e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 521.0, + 529.0, + 1188.0, + 719.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 141.0, + 130.0, + 1128.0, + 611.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 1032.0, + 258.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 529.0, + 519.0, + 1198.0, + 719.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 129.0, + 136.0, + 1126.0, + 647.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 1028.0, + 397.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 525.0, + 550.0, + 1170.0, + 719.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 133.0, + 142.0, + 1122.0, + 624.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 525.0, + 548.0, + 1172.0, + 719.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 135.0, + 142.0, + 1122.0, + 621.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6057307f6e" + }, + "6061ddbb65": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 138.0, + 274.0, + 397.0, + 427.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 341.0, + 335.0, + 675.0, + 477.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 313.0, + 354.0, + 555.0, + 495.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 337.0, + 396.0, + 643.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 424.0, + 388.0, + 678.0, + 487.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 380.0, + 420.0, + 684.0, + 589.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 486.0, + 382.0, + 758.0, + 541.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 305.0, + 420.0, + 607.0, + 633.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6061ddbb65" + }, + "606c86c455": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 301.0, + 187.0, + 444.0, + 386.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 305.0, + 249.0, + 468.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 536.0, + 226.0, + 677.0, + 426.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 622.0, + 302.0, + 821.0, + 484.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 692.0, + 237.0, + 782.0, + 364.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 664.0, + 330.0, + 797.0, + 370.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/606c86c455" + }, + "60c61cc2e5": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 528.0, + 306.0, + 895.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 502.0, + 320.0, + 879.0, + 456.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 491.0, + 296.0, + 875.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 500.0, + 270.0, + 921.0, + 420.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00080", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/60c61cc2e5" + }, + "60e51ff1ae": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 551.0, + 456.0, + 728.0, + 703.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 292.0, + 112.0, + 611.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 573.0, + 484.0, + 974.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 61.0, + 11.0, + 492.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 611.0, + 454.0, + 760.0, + 592.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 481.0, + 241.0, + 761.0, + 691.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 612.0, + 440.0, + 685.0, + 561.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 493.0, + 258.0, + 671.0, + 701.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/60e51ff1ae" + }, + "610e38b751": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 207.0, + 137.0, + 1056.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 95.0, + 131.0, + 1048.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 211.0, + 137.0, + 1050.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 241.0, + 140.0, + 1050.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/610e38b751" + }, + "61344be2f6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 349.0, + 142.0, + 773.0, + 630.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 207.0, + 351.0, + 371.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 340.0, + 117.0, + 764.0, + 634.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 205.0, + 334.0, + 375.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 301.0, + 100.0, + 712.0, + 618.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 229.0, + 379.0, + 326.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 270.0, + 98.0, + 688.0, + 624.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 250.0, + 407.0, + 294.0, + 493.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61344be2f6" + }, + "6135e27185": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 63.0, + 230.0, + 182.0, + 584.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 169.0, + 292.0, + 1117.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 88.0, + 250.0, + 187.0, + 554.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 170.0, + 291.0, + 1111.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 217.0, + 259.0, + 330.0, + 411.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 166.0, + 291.0, + 1115.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 256.0, + 255.0, + 312.0, + 399.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 171.0, + 291.0, + 1117.0, + 598.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6135e27185" + }, + "614afe7975": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 750.0, + 56.0, + 1052.0, + 604.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 774.0, + 558.0, + 1056.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 343.0, + 59.0, + 591.0, + 589.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 327.0, + 515.0, + 513.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 546.0, + 294.0, + 731.0, + 609.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 599.0, + 543.0, + 635.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 601.0, + 243.0, + 775.0, + 574.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 690.0, + 571.0, + 748.0, + 591.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/614afe7975" + }, + "614e571886": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 818.0, + 172.0, + 1064.0, + 616.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 812.0, + 159.0, + 1090.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 830.0, + 99.0, + 1078.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 824.0, + 99.0, + 1066.0, + 583.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/614e571886" + }, + "614e7078db": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 585.0, + 184.0, + 723.0, + 346.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 513.0, + 184.0, + 593.0, + 308.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 241.0, + 200.0, + 1051.0, + 528.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 198.0, + 746.0, + 347.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 540.0, + 197.0, + 620.0, + 316.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 287.0, + 217.0, + 1038.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 654.0, + 183.0, + 767.0, + 321.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 568.0, + 179.0, + 660.0, + 292.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 363.0, + 197.0, + 1002.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 659.0, + 186.0, + 765.0, + 318.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 573.0, + 183.0, + 663.0, + 291.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 387.0, + 203.0, + 983.0, + 466.0 + ] + } + } + ], + "frame_names": [ + "00075", + "00090", + "00115", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/614e7078db" + }, + "619812a1a7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 511.0, + 159.0, + 708.0, + 595.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 571.0, + 354.0, + 639.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 484.0, + 177.0, + 652.0, + 607.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 543.0, + 379.0, + 614.0, + 702.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 799.0, + 153.0, + 974.0, + 538.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 796.0, + 348.0, + 911.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 666.0, + 133.0, + 837.0, + 502.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 737.0, + 319.0, + 813.0, + 623.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/619812a1a7" + }, + "61b481a78b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 518.0, + 385.0, + 819.0, + 640.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 166.0, + 163.0, + 797.0, + 719.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 879.0, + 255.0, + 1279.0, + 576.0 + ] + }, + "4": { + "category_name": "cat", + "bbox": [ + 451.0, + 153.0, + 692.0, + 197.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 590.0, + 368.0, + 885.0, + 623.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 238.0, + 189.0, + 873.0, + 719.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 981.0, + 299.0, + 1279.0, + 575.0 + ] + }, + "4": { + "category_name": "cat", + "bbox": [ + 297.0, + 197.0, + 433.0, + 322.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 643.0, + 364.0, + 941.0, + 627.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 294.0, + 174.0, + 931.0, + 719.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 1051.0, + 331.0, + 1279.0, + 574.0 + ] + }, + "4": { + "category_name": "cat", + "bbox": [ + 325.0, + 191.0, + 467.0, + 304.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 741.0, + 393.0, + 1035.0, + 660.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 390.0, + 228.0, + 1027.0, + 719.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "cat", + "bbox": [ + 734.0, + 116.0, + 989.0, + 249.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61b481a78b" + }, + "61c7172650": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 150.0, + 286.0, + 221.0, + 369.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 345.0, + 190.0, + 405.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 165.0, + 298.0, + 293.0, + 373.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 324.0, + 335.0, + 401.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 119.0, + 279.0, + 269.0, + 371.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 274.0, + 213.0, + 405.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 124.0, + 279.0, + 273.0, + 377.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 293.0, + 239.0, + 402.0, + 465.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00110", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61c7172650" + }, + "61cf7e40d2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 333.0, + 316.0, + 463.0, + 613.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 307.0, + 329.0, + 602.0, + 569.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 939.0, + 350.0, + 977.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 380.0, + 337.0, + 532.0, + 671.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 301.0, + 354.0, + 576.0, + 603.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1012.0, + 364.0, + 1049.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 383.0, + 333.0, + 547.0, + 681.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 309.0, + 361.0, + 582.0, + 609.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1031.0, + 366.0, + 1067.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 486.0, + 311.0, + 669.0, + 713.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 297.0, + 350.0, + 503.0, + 653.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1065.0, + 355.0, + 1101.0, + 493.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61cf7e40d2" + }, + "61da008958": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 308.0, + 106.0, + 1101.0, + 549.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 981.0, + 105.0, + 1101.0, + 152.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 311.0, + 47.0, + 1117.0, + 528.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1061.0, + 57.0, + 1189.0, + 106.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 285.0, + 0.0, + 1096.0, + 528.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1131.0, + 10.0, + 1265.0, + 64.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 313.0, + 60.0, + 1052.0, + 611.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61da008958" + }, + "61ed178ecb": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 335.0, + 1279.0, + 503.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 329.0, + 1279.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 325.0, + 1279.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 308.0, + 1279.0, + 480.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61ed178ecb" + }, + "61f5d1282c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 742.0, + 270.0, + 972.0, + 450.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 581.0, + 371.0, + 1240.0, + 499.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 571.0, + 147.0, + 836.0, + 197.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 752.0, + 262.0, + 898.0, + 411.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 563.0, + 351.0, + 1100.0, + 460.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 640.0, + 168.0, + 868.0, + 214.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 768.0, + 247.0, + 888.0, + 382.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 595.0, + 332.0, + 1076.0, + 431.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 794.0, + 180.0, + 984.0, + 207.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 850.0, + 240.0, + 1006.0, + 387.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 738.0, + 334.0, + 1198.0, + 414.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 1046.0, + 193.0, + 1279.0, + 221.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61f5d1282c" + }, + "61fd977e49": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 522.0, + 10.0, + 750.0, + 418.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 413.0, + 150.0, + 1016.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 520.0, + 27.0, + 771.0, + 377.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 397.0, + 145.0, + 1042.0, + 660.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 460.0, + 47.0, + 843.0, + 341.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 459.0, + 136.0, + 1032.0, + 680.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 441.0, + 55.0, + 931.0, + 294.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 478.0, + 153.0, + 1041.0, + 690.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61fd977e49" + }, + "621584cffe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 456.0, + 0.0, + 1069.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 434.0, + 304.0, + 609.0, + 599.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 378.0, + 0.0, + 1127.0, + 367.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 476.0, + 0.0, + 1025.0, + 672.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 442.0, + 415.0, + 572.0, + 516.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 360.0, + 0.0, + 1125.0, + 311.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 632.0, + 0.0, + 1209.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 596.0, + 204.0, + 837.0, + 559.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 526.0, + 0.0, + 1219.0, + 353.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 695.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 683.0, + 236.0, + 927.0, + 620.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 624.0, + 0.0, + 1279.0, + 312.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/621584cffe" + }, + "625817a927": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 494.0, + 191.0, + 1256.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 489.0, + 213.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 295.0, + 233.0, + 1107.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 541.0, + 347.0, + 820.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/625817a927" + }, + "625892cf0b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 712.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 958.0, + 237.0, + 1272.0, + 349.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 754.0, + 259.0, + 880.0, + 323.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 706.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 886.0, + 233.0, + 1166.0, + 339.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 746.0, + 253.0, + 874.0, + 310.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 719.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 796.0, + 242.0, + 1033.0, + 337.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 759.0, + 252.0, + 857.0, + 307.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 753.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 750.0, + 254.0, + 903.0, + 336.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/625892cf0b" + }, + "625b89d28a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 0.0, + 0.0, + 479.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 145.0, + 19.0, + 479.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 106.0, + 55.0, + 436.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 55.0, + 70.0, + 479.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/625b89d28a" + }, + "629995af95": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 766.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 806.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 852.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 818.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/629995af95" + }, + "62a0840bb5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 470.0, + 298.0, + 785.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 464.0, + 305.0, + 782.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 458.0, + 301.0, + 785.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 456.0, + 300.0, + 780.0, + 489.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/62a0840bb5" + }, + "62ad6e121c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 239.0, + 1279.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 208.0, + 339.0, + 947.0, + 695.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 222.0, + 316.0, + 1167.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 252.0, + 385.0, + 1105.0, + 695.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/62ad6e121c" + }, + "62d6ece152": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 573.0, + 132.0, + 696.0, + 450.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 395.0, + 191.0, + 530.0, + 438.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 583.0, + 147.0, + 707.0, + 473.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 426.0, + 204.0, + 530.0, + 460.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 167.0, + 301.0, + 219.0, + 337.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 586.0, + 80.0, + 752.0, + 492.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 466.0, + 165.0, + 577.0, + 498.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 143.0, + 198.0, + 310.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 586.0, + 19.0, + 801.0, + 546.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 549.0, + 111.0, + 638.0, + 372.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 202.0, + 178.0, + 359.0, + 544.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/62d6ece152" + }, + "62ede7b2da": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 37.0, + 111.0, + 695.0, + 700.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 662.0, + 266.0, + 935.0, + 680.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 40.0, + 113.0, + 594.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 573.0, + 225.0, + 942.0, + 640.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 104.0, + 149.0, + 578.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 492.0, + 262.0, + 763.0, + 601.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 242.0, + 121.0, + 984.0, + 685.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/62ede7b2da" + }, + "62f025e1bc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 342.0, + 115.0, + 718.0, + 380.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 430.0, + 220.0, + 993.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 604.0, + 123.0, + 1278.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 571.0, + 78.0, + 1279.0, + 452.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/62f025e1bc" + }, + "6316faaebc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 494.0, + 161.0, + 616.0, + 272.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 382.0, + 0.0, + 1279.0, + 702.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 380.0, + 297.0, + 391.0, + 328.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 611.0, + 141.0, + 726.0, + 258.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 498.0, + 0.0, + 1279.0, + 699.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 483.0, + 262.0, + 504.0, + 323.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 629.0, + 189.0, + 753.0, + 305.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 516.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 460.0, + 308.0, + 487.0, + 367.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 634.0, + 193.0, + 751.0, + 320.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 518.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 431.0, + 316.0, + 455.0, + 371.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6316faaebc" + }, + "63281534dc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 474.0, + 197.0, + 884.0, + 494.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 562.0, + 299.0, + 805.0, + 553.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 12.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 536.0, + 362.0, + 831.0, + 483.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 554.0, + 426.0, + 745.0, + 516.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 438.0, + 0.0, + 1141.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 662.0, + 459.0, + 822.0, + 557.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 676.0, + 514.0, + 809.0, + 566.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 778.0, + 139.0, + 957.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 719.0, + 371.0, + 901.0, + 471.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 749.0, + 433.0, + 854.0, + 508.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 704.0, + 79.0, + 881.0, + 331.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/63281534dc" + }, + "634058dda0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 453.0, + 104.0, + 917.0, + 293.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 359.0, + 206.0, + 1044.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 417.0, + 127.0, + 904.0, + 337.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 334.0, + 233.0, + 1042.0, + 677.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 371.0, + 132.0, + 873.0, + 364.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 284.0, + 232.0, + 1039.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 380.0, + 150.0, + 897.0, + 362.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 343.0, + 251.0, + 1070.0, + 711.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/634058dda0" + }, + "6353f09384": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 573.0, + 176.0, + 696.0, + 269.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 863.0, + 571.0, + 1037.0, + 683.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 509.0, + 5.0, + 779.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 540.0, + 205.0, + 669.0, + 287.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 863.0, + 571.0, + 1038.0, + 685.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 509.0, + 8.0, + 778.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 584.0, + 174.0, + 696.0, + 262.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 867.0, + 571.0, + 1036.0, + 685.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 511.0, + 8.0, + 777.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 594.0, + 163.0, + 713.0, + 260.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 865.0, + 571.0, + 1032.0, + 683.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 513.0, + 5.0, + 778.0, + 556.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6353f09384" + }, + "6363c87314": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 397.0, + 207.0, + 487.0, + 367.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 367.0, + 362.0, + 497.0, + 375.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 468.0, + 235.0, + 592.0, + 370.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 510.0, + 257.0, + 620.0, + 409.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 655.0, + 218.0, + 800.0, + 329.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 775.0, + 215.0, + 805.0, + 368.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6363c87314" + }, + "636e4872e0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 302.0, + 0.0, + 973.0, + 454.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 488.0, + 0.0, + 1279.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 456.0, + 0.0, + 1279.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 22.0, + 0.0, + 1173.0, + 603.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/636e4872e0" + }, + "637681cd6b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 713.0, + 265.0, + 1188.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 676.0, + 240.0, + 1108.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 659.0, + 0.0, + 1279.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 382.0, + 0.0, + 1111.0, + 703.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/637681cd6b" + }, + "6376d49f31": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1259.0, + 702.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 585.0, + 291.0, + 1279.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1154.0, + 658.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 525.0, + 223.0, + 1238.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1146.0, + 653.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 515.0, + 187.0, + 1216.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1212.0, + 716.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 497.0, + 241.0, + 1279.0, + 510.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6376d49f31" + }, + "6377809ec2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 538.0, + 167.0, + 612.0, + 335.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 497.0, + 242.0, + 633.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 436.0, + 236.0, + 654.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 447.0, + 228.0, + 586.0, + 497.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6377809ec2" + }, + "63936d7de5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 369.0, + 453.0, + 441.0, + 617.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 126.0, + 288.0, + 638.0, + 551.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 823.0, + 299.0, + 1259.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 318.0, + 449.0, + 389.0, + 613.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 64.0, + 287.0, + 587.0, + 551.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 770.0, + 296.0, + 1169.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 278.0, + 452.0, + 355.0, + 621.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 36.0, + 281.0, + 557.0, + 547.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 740.0, + 288.0, + 1132.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 274.0, + 452.0, + 347.0, + 622.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 17.0, + 283.0, + 543.0, + 547.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 728.0, + 286.0, + 1119.0, + 563.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/63936d7de5" + }, + "639bddef11": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 729.0, + 342.0, + 1279.0, + 606.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 259.0, + 0.0, + 794.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 693.0, + 288.0, + 1221.0, + 460.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 376.0, + 51.0, + 722.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 532.0, + 515.0, + 951.0, + 661.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 82.0, + 321.0, + 540.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 522.0, + 639.0, + 899.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 271.0, + 496.0, + 496.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/639bddef11" + }, + "63d37e9fd3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 332.0, + 115.0, + 1067.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 321.0, + 124.0, + 1061.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 169.0, + 0.0, + 1127.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 78.0, + 52.0, + 1025.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/63d37e9fd3" + }, + "63d90c2bae": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 1191.0, + 280.0, + 1279.0, + 348.0 + ] + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 1132.0, + 266.0, + 1279.0, + 349.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 683.0, + 307.0, + 718.0, + 361.0 + ] + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 679.0, + 308.0, + 714.0, + 363.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 492.0 + ] + }, + "2": {}, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/63d90c2bae" + }, + "63e544a5d6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 4.0, + 339.0, + 535.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 19.0, + 262.0, + 547.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 5.0, + 238.0, + 564.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 15.0, + 194.0, + 574.0, + 718.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/63e544a5d6" + }, + "63ebbcf874": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 102.0, + 1279.0, + 333.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 95.0, + 1279.0, + 291.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 85.0, + 1279.0, + 254.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 57.0, + 1242.0, + 232.0 + ] + } + } + ], + "frame_names": [ + "00050", + "00065", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/63ebbcf874" + }, + "63fff40b31": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 173.0, + 267.0, + 733.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 168.0, + 266.0, + 736.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 169.0, + 261.0, + 738.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 293.0, + 588.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/63fff40b31" + }, + "6406c72e4d": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 428.0, + 384.0, + 1493.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 395.0, + 348.0, + 1547.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 365.0, + 340.0, + 1560.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 314.0, + 356.0, + 1628.0, + 744.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00030", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6406c72e4d" + }, + "64148128be": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 935.0, + 10.0, + 1012.0, + 69.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 879.0, + 59.0, + 1089.0, + 487.0 + ] + }, + "3": { + "category_name": "bike", + "bbox": [ + 873.0, + 231.0, + 1084.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 953.0, + 13.0, + 1030.0, + 73.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 894.0, + 64.0, + 1108.0, + 527.0 + ] + }, + "3": { + "category_name": "bike", + "bbox": [ + 891.0, + 238.0, + 1088.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 982.0, + 4.0, + 1062.0, + 63.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 917.0, + 52.0, + 1145.0, + 547.0 + ] + }, + "3": { + "category_name": "bike", + "bbox": [ + 918.0, + 237.0, + 1107.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 910.0, + 0.0, + 987.0, + 51.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 848.0, + 41.0, + 1056.0, + 536.0 + ] + }, + "3": { + "category_name": "bike", + "bbox": [ + 844.0, + 216.0, + 1041.0, + 599.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/64148128be" + }, + "6419386729": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 636.0, + 298.0, + 948.0, + 622.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 729.0, + 69.0, + 1091.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 356.0, + 358.0, + 769.0, + 628.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 603.0, + 292.0, + 1049.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 172.0, + 432.0, + 731.0, + 655.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 673.0, + 293.0, + 1037.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 76.0, + 344.0, + 701.0, + 653.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 647.0, + 291.0, + 1033.0, + 614.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6419386729" + }, + "643092bc41": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 352.0, + 47.0, + 1101.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 350.0, + 127.0, + 1089.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 310.0, + 112.0, + 1063.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 288.0, + 120.0, + 1069.0, + 617.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/643092bc41" + }, + "644081b88d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 347.0, + 275.0, + 640.0, + 459.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 750.0, + 92.0, + 793.0, + 133.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 125.0, + 365.0, + 423.0, + 611.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 715.0, + 98.0, + 786.0, + 138.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 567.0, + 213.0, + 719.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 652.0, + 106.0, + 765.0, + 152.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 448.0, + 211.0, + 684.0, + 285.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/644081b88d" + }, + "64453cf61d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 1063.0, + 425.0, + 1212.0, + 579.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 486.0, + 460.0, + 732.0, + 703.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 222.0, + 231.0, + 431.0, + 611.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 47.0, + 370.0, + 139.0, + 544.0 + ] + }, + "5": { + "category_name": "ape", + "bbox": [ + 279.0, + 492.0, + 476.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 1043.0, + 430.0, + 1210.0, + 578.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 478.0, + 451.0, + 734.0, + 700.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 64.0, + 305.0, + 259.0, + 591.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 48.0, + 398.0, + 106.0, + 538.0 + ] + }, + "5": { + "category_name": "ape", + "bbox": [ + 232.0, + 544.0, + 384.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 1036.0, + 429.0, + 1204.0, + 580.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 492.0, + 451.0, + 742.0, + 703.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 95.0, + 333.0, + 241.0, + 610.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 36.0, + 341.0, + 150.0, + 647.0 + ] + }, + "5": { + "category_name": "ape", + "bbox": [ + 230.0, + 548.0, + 382.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 829.0, + 408.0, + 1052.0, + 593.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 732.0, + 422.0, + 987.0, + 660.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 43.0, + 365.0, + 143.0, + 593.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 52.0, + 377.0, + 197.0, + 656.0 + ] + }, + "5": { + "category_name": "ape", + "bbox": [ + 235.0, + 545.0, + 388.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/64453cf61d" + }, + "644bad9729": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 402.0, + 0.0, + 1020.0, + 361.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 387.0, + 310.0, + 1204.0, + 449.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 592.0, + 0.0, + 1260.0, + 381.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 670.0, + 241.0, + 1264.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 503.0, + 458.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 431.0, + 469.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 224.0, + 0.0, + 849.0, + 522.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 262.0, + 415.0, + 933.0, + 595.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/644bad9729" + }, + "6454f548fd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 467.0, + 0.0, + 649.0, + 588.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 650.0, + 0.0, + 942.0, + 254.0 + ] + }, + "3": { + "category_name": "snake", + "bbox": [ + 90.0, + 0.0, + 366.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 452.0, + 0.0, + 721.0, + 562.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 715.0, + 0.0, + 930.0, + 471.0 + ] + }, + "3": { + "category_name": "snake", + "bbox": [ + 209.0, + 181.0, + 460.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 451.0, + 0.0, + 675.0, + 580.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 730.0, + 0.0, + 926.0, + 455.0 + ] + }, + "3": { + "category_name": "snake", + "bbox": [ + 227.0, + 42.0, + 443.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 428.0, + 0.0, + 648.0, + 621.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 765.0, + 0.0, + 943.0, + 500.0 + ] + }, + "3": { + "category_name": "snake", + "bbox": [ + 225.0, + 0.0, + 472.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6454f548fd" + }, + "645913b63a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 709.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 727.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1047.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/645913b63a" + }, + "64750b825f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 414.0, + 162.0, + 769.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 417.0, + 162.0, + 773.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 421.0, + 164.0, + 717.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 436.0, + 164.0, + 734.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/64750b825f" + }, + "64a43876b7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 132.0, + 309.0, + 1065.0, + 665.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 343.0, + 322.0, + 626.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 172.0, + 320.0, + 1079.0, + 675.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 243.0, + 325.0, + 532.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 196.0, + 385.0, + 1195.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 151.0, + 376.0, + 460.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 188.0, + 428.0, + 1225.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 160.0, + 383.0, + 427.0, + 575.0 + ] + } + } + ], + "frame_names": [ + "00110", + "00135", + "00155", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/64a43876b7" + }, + "64dd6c83e3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 138.0, + 203.0, + 1100.0, + 398.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 332.0, + 145.0, + 621.0, + 382.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 472.0, + 155.0, + 676.0, + 263.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 134.0, + 214.0, + 1103.0, + 408.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 332.0, + 157.0, + 633.0, + 396.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 478.0, + 169.0, + 632.0, + 245.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 135.0, + 226.0, + 1108.0, + 422.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 331.0, + 121.0, + 651.0, + 409.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 135.0, + 231.0, + 1105.0, + 428.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 333.0, + 119.0, + 736.0, + 414.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 372.0, + 183.0, + 450.0, + 271.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/64dd6c83e3" + }, + "64e05bf46e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 201.0, + 229.0, + 451.0, + 316.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 645.0, + 556.0, + 702.0, + 634.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 704.0, + 371.0, + 800.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 202.0, + 229.0, + 450.0, + 321.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 602.0, + 565.0, + 660.0, + 653.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 670.0, + 371.0, + 781.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 206.0, + 216.0, + 459.0, + 320.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 590.0, + 562.0, + 654.0, + 652.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 628.0, + 359.0, + 752.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 286.0, + 233.0, + 523.0, + 315.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 670.0, + 599.0, + 731.0, + 693.0 + ] + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 696.0, + 377.0, + 820.0, + 464.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/64e05bf46e" + }, + "64f55f1478": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 352.0, + 0.0, + 1019.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 366.0, + 0.0, + 1015.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 390.0, + 0.0, + 1027.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 92.0, + 0.0, + 1219.0, + 433.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/64f55f1478" + }, + "650b0165e4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 357.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 330.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 292.0, + 35.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 271.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/650b0165e4" + }, + "651066ed39": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 370.0, + 134.0, + 1107.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 340.0, + 134.0, + 991.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 462.0, + 219.0, + 1119.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 416.0, + 208.0, + 1081.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/651066ed39" + }, + "652b67d960": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 379.0, + 303.0, + 560.0, + 583.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 357.0, + 549.0, + 489.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 489.0, + 351.0, + 615.0, + 608.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 497.0, + 598.0, + 569.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 578.0, + 376.0, + 750.0, + 548.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 685.0, + 481.0, + 726.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 756.0, + 388.0, + 887.0, + 621.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 739.0, + 612.0, + 812.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/652b67d960" + }, + "653821d680": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 21.0, + 165.0, + 393.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 94.0, + 104.0, + 399.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 66.0, + 357.0, + 400.0, + 635.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 1.0, + 397.0, + 396.0, + 627.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/653821d680" + }, + "6538d00d73": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 570.0, + 189.0, + 709.0, + 529.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 629.0, + 524.0, + 682.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 517.0, + 145.0, + 761.0, + 462.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 718.0, + 435.0, + 776.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 608.0, + 180.0, + 745.0, + 403.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 628.0, + 376.0, + 784.0, + 406.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 646.0, + 170.0, + 727.0, + 395.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 637.0, + 372.0, + 700.0, + 404.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6538d00d73" + }, + "65866dce22": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 246.0, + 25.0, + 697.0, + 659.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 574.0, + 44.0, + 993.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 382.0, + 32.0, + 829.0, + 672.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 749.0, + 83.0, + 1051.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 336.0, + 103.0, + 885.0, + 680.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 506.0, + 101.0, + 1223.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 244.0, + 99.0, + 853.0, + 677.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 758.0, + 119.0, + 1201.0, + 668.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65866dce22" + }, + "6589565c8c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 684.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 736.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 764.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 730.0, + 14.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6589565c8c" + }, + "659832db64": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 269.0, + 305.0, + 536.0, + 499.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 683.0, + 167.0, + 862.0, + 373.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 281.0, + 297.0, + 554.0, + 473.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 667.0, + 159.0, + 898.0, + 343.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 276.0, + 291.0, + 557.0, + 483.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 671.0, + 192.0, + 867.0, + 362.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 290.0, + 288.0, + 570.0, + 462.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 633.0, + 213.0, + 816.0, + 418.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/659832db64" + }, + "65ab7e1d98": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 671.0, + 367.0, + 822.0, + 649.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 657.0, + 164.0, + 902.0, + 621.0 + ] + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 774.0, + 323.0, + 842.0, + 346.0 + ] + }, + "4": { + "category_name": "frisbee", + "bbox": [ + 894.0, + 334.0, + 945.0, + 398.0 + ] + }, + "5": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 720.0, + 285.0, + 939.0, + 652.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 463.0, + 150.0, + 646.0, + 624.0 + ] + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 892.0, + 629.0, + 968.0, + 644.0 + ] + }, + "4": { + "category_name": "frisbee", + "bbox": [ + 619.0, + 209.0, + 678.0, + 265.0 + ] + }, + "5": { + "category_name": "frisbee", + "bbox": [ + 595.0, + 372.0, + 630.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 433.0, + 476.0, + 626.0, + 621.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 456.0, + 132.0, + 732.0, + 549.0 + ] + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 991.0, + 622.0, + 1059.0, + 636.0 + ] + }, + "4": { + "category_name": "frisbee", + "bbox": [ + 480.0, + 625.0, + 558.0, + 643.0 + ] + }, + "5": { + "category_name": "frisbee", + "bbox": [ + 458.0, + 318.0, + 505.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 806.0, + 228.0, + 950.0, + 622.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 632.0, + 129.0, + 791.0, + 614.0 + ] + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 1120.0, + 624.0, + 1199.0, + 639.0 + ] + }, + "4": { + "category_name": "frisbee", + "bbox": [ + 616.0, + 628.0, + 689.0, + 642.0 + ] + }, + "5": { + "category_name": "frisbee", + "bbox": [ + 762.0, + 177.0, + 828.0, + 218.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65ab7e1d98" + }, + "65b7dda462": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 323.0, + 554.0, + 680.0, + 701.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 495.0, + 0.0, + 964.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 355.0, + 586.0, + 675.0, + 696.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 494.0, + 0.0, + 957.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 360.0, + 555.0, + 667.0, + 702.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 502.0, + 0.0, + 956.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 382.0, + 574.0, + 684.0, + 689.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 459.0, + 0.0, + 979.0, + 565.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65b7dda462" + }, + "65bd5eb4f5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 519.0, + 199.0, + 790.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 509.0, + 301.0, + 878.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 542.0, + 115.0, + 986.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 477.0, + 223.0, + 840.0, + 527.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65bd5eb4f5" + }, + "65dcf115ab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 164.0, + 245.0, + 941.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 50.0, + 310.0, + 833.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 323.0, + 715.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 333.0, + 566.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65dcf115ab" + }, + "65e9825801": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 620.0, + 227.0, + 1130.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 576.0, + 239.0, + 1142.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 568.0, + 230.0, + 1135.0, + 503.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 570.0, + 241.0, + 1141.0, + 506.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65e9825801" + }, + "65f9afe51c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 1087.0, + 179.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 242.0, + 325.0, + 618.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 1235.0, + 155.0, + 1279.0, + 232.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 364.0, + 210.0, + 685.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 414.0, + 110.0, + 738.0, + 376.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 238.0, + 181.0, + 675.0, + 423.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65f9afe51c" + }, + "65ff12bcb5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 473.0, + 320.0, + 777.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 496.0, + 312.0, + 795.0, + 467.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 488.0, + 267.0, + 791.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 477.0, + 284.0, + 790.0, + 500.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65ff12bcb5" + }, + "666b660284": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 139.0, + 0.0, + 1105.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 15.0, + 971.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 875.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 53.0, + 0.0, + 911.0, + 699.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/666b660284" + }, + "6671643f31": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 495.0, + 203.0, + 711.0, + 338.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 559.0, + 191.0, + 766.0, + 358.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 547.0, + 167.0, + 766.0, + 304.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 382.0, + 371.0, + 721.0, + 538.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6671643f31" + }, + "668364b372": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 637.0, + 410.0, + 674.0, + 524.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 665.0, + 402.0, + 716.0, + 533.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1.0, + 370.0, + 83.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 668.0, + 405.0, + 706.0, + 518.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 697.0, + 397.0, + 746.0, + 528.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 23.0, + 368.0, + 116.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 540.0, + 402.0, + 575.0, + 514.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 564.0, + 394.0, + 615.0, + 524.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 54.0, + 442.0, + 91.0, + 563.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 80.0, + 435.0, + 134.0, + 573.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/668364b372" + }, + "66852243cb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1150.0, + 636.0, + 1214.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1158.0, + 633.0, + 1212.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1204.0, + 624.0, + 1268.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1192.0, + 653.0, + 1254.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/66852243cb" + }, + "6693a52081": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 132.0, + 124.0, + 255.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6693a52081" + }, + "669b572898": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 586.0, + 164.0, + 751.0, + 393.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 335.0, + 570.0, + 545.0, + 715.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 587.0, + 157.0, + 758.0, + 372.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 333.0, + 593.0, + 566.0, + 716.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 692.0, + 192.0, + 921.0, + 424.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 335.0, + 582.0, + 584.0, + 716.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 919.0, + 378.0, + 1216.0, + 675.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 148.0, + 321.0, + 514.0, + 570.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 471.0, + 347.0, + 686.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/669b572898" + }, + "66e98e78f5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 68.0, + 0.0, + 965.0, + 451.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 917.0, + 0.0, + 1134.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 67.0, + 0.0, + 928.0, + 450.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 894.0, + 0.0, + 1055.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 67.0, + 0.0, + 918.0, + 451.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 847.0, + 1.0, + 1020.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 64.0, + 0.0, + 923.0, + 454.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 840.0, + 18.0, + 989.0, + 392.0 + ] + } + } + ], + "frame_names": [ + "00180", + "00200", + "00210", + "00230" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/66e98e78f5" + }, + "670f12e88f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 584.0, + 141.0, + 889.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 591.0, + 135.0, + 921.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 218.0, + 224.0, + 898.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 362.0, + 357.0, + 985.0, + 618.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/670f12e88f" + }, + "674c12c92d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 467.0, + 245.0, + 1277.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 597.0, + 251.0, + 1277.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 782.0, + 271.0, + 1277.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 234.0, + 1277.0, + 586.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/674c12c92d" + }, + "675c27208a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 396.0, + 106.0, + 1279.0, + 712.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 190.0, + 214.0, + 571.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 296.0, + 123.0, + 1279.0, + 712.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 180.0, + 230.0, + 525.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 270.0, + 72.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 216.0, + 230.0, + 551.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 390.0, + 25.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 272.0, + 175.0, + 615.0, + 571.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/675c27208a" + }, + "675ed3e1ca": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 154.0, + 0.0, + 999.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 476.0, + 0.0, + 931.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 191.0, + 0.0, + 646.0, + 449.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 179.0, + 0.0, + 1213.0, + 315.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/675ed3e1ca" + }, + "67741db50a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 136.0, + 133.0, + 624.0, + 447.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 183.0, + 39.0, + 637.0, + 623.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 365.0, + 1007.0, + 541.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 110.0, + 130.0, + 574.0, + 438.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 353.0, + 6.0, + 465.0, + 387.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 345.0, + 934.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 176.0, + 146.0, + 630.0, + 445.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 195.0, + 22.0, + 778.0, + 525.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 346.0, + 984.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 317.0, + 157.0, + 732.0, + 442.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 524.0, + 251.0, + 708.0, + 353.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 19.0, + 337.0, + 1034.0, + 543.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/67741db50a" + }, + "678a2357eb": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 520.0, + 137.0, + 715.0, + 410.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 492.0, + 157.0, + 524.0, + 210.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 482.0, + 158.0, + 656.0, + 405.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 449.0, + 185.0, + 488.0, + 236.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 506.0, + 175.0, + 678.0, + 415.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 475.0, + 194.0, + 510.0, + 252.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 500.0, + 148.0, + 735.0, + 459.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 453.0, + 190.0, + 516.0, + 260.0 + ] + } + } + ], + "frame_names": [ + "00075", + "00085", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/678a2357eb" + }, + "67b0f4d562": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 143.0, + 208.0, + 876.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 575.0, + 206.0, + 1236.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 485.0, + 201.0, + 1279.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 225.0, + 126.0, + 962.0, + 643.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00075", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/67b0f4d562" + }, + "67cfbff9b1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 440.0, + 160.0, + 1203.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 432.0, + 290.0, + 927.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 510.0, + 233.0, + 673.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 486.0, + 239.0, + 811.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/67cfbff9b1" + }, + "67e717d6bd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 777.0, + 181.0, + 897.0, + 368.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 799.0, + 248.0, + 912.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 711.0, + 229.0, + 835.0, + 396.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 718.0, + 298.0, + 830.0, + 392.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 707.0, + 245.0, + 820.0, + 386.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 714.0, + 308.0, + 829.0, + 380.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 897.0, + 195.0, + 1000.0, + 345.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 892.0, + 260.0, + 1011.0, + 371.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/67e717d6bd" + }, + "67ea169a3b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 80.0, + 70.0, + 1279.0, + 685.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 513.0, + 416.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 92.0, + 77.0, + 1279.0, + 690.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 515.0, + 428.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 102.0, + 102.0, + 1279.0, + 714.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 548.0, + 441.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 93.0, + 89.0, + 1279.0, + 700.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 531.0, + 428.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/67ea169a3b" + }, + "67ea809e0e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 318.0, + 230.0, + 555.0, + 629.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 211.0, + 708.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 259.0, + 287.0, + 576.0, + 629.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 239.0, + 617.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 192.0, + 155.0, + 539.0, + 627.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 92.0, + 540.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 236.0, + 182.0, + 880.0, + 564.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 88.0, + 610.0, + 451.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/67ea809e0e" + }, + "681249baa3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 444.0, + 0.0, + 1055.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 438.0, + 0.0, + 1033.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 430.0, + 2.0, + 971.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 422.0, + 0.0, + 869.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/681249baa3" + }, + "683de643d9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 329.0, + 35.0, + 738.0, + 604.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 466.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 335.0, + 282.0, + 832.0, + 624.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 540.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 206.0, + 278.0, + 572.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 500.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 366.0, + 532.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/683de643d9" + }, + "6846ac20df": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 131.0, + 249.0, + 295.0, + 354.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 340.0, + 300.0, + 512.0, + 392.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 87.0, + 252.0, + 253.0, + 355.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 351.0, + 298.0, + 521.0, + 393.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 149.0, + 265.0, + 320.0, + 365.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 569.0, + 303.0, + 747.0, + 392.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 356.0, + 22.0, + 415.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 56.0, + 267.0, + 228.0, + 368.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 668.0, + 287.0, + 845.0, + 383.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6846ac20df" + }, + "6848e012ef": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 628.0, + 218.0, + 798.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 670.0, + 192.0, + 830.0, + 403.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 648.0, + 256.0, + 853.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 626.0, + 247.0, + 724.0, + 422.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6848e012ef" + }, + "684bcd8812": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 633.0, + 364.0, + 661.0, + 391.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 611.0, + 383.0, + 689.0, + 508.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 547.0, + 400.0, + 640.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 628.0, + 368.0, + 669.0, + 408.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 598.0, + 399.0, + 710.0, + 563.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 512.0, + 411.0, + 644.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 633.0, + 338.0, + 684.0, + 382.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 594.0, + 370.0, + 719.0, + 595.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 473.0, + 394.0, + 640.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 670.0, + 278.0, + 746.0, + 345.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 613.0, + 332.0, + 821.0, + 671.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 454.0, + 377.0, + 722.0, + 689.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/684bcd8812" + }, + "684dc1c40c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 646.0, + 115.0, + 809.0, + 451.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 569.0, + 274.0, + 1005.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 562.0, + 88.0, + 714.0, + 372.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 496.0, + 207.0, + 851.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 549.0, + 103.0, + 691.0, + 374.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 489.0, + 215.0, + 835.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 147.0, + 643.0, + 386.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 459.0, + 239.0, + 774.0, + 501.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/684dc1c40c" + }, + "685a1fa9cf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 718.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/685a1fa9cf" + }, + "686dafaac9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 174.0, + 52.0, + 622.0, + 458.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 102.0, + 192.0, + 434.0, + 552.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 268.0, + 440.0, + 596.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 4.0, + 0.0, + 488.0, + 367.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 30.0, + 265.0, + 390.0, + 447.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 430.0, + 303.0, + 877.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 124.0, + 190.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 140.0, + 106.0, + 331.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 532.0, + 237.0, + 893.0, + 694.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 140.0, + 326.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 709.0, + 193.0, + 1125.0, + 663.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/686dafaac9" + }, + "68807d8601": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 460.0, + 317.0, + 558.0, + 363.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 438.0, + 302.0, + 554.0, + 353.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 420.0, + 289.0, + 554.0, + 345.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 444.0, + 280.0, + 594.0, + 338.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68807d8601" + }, + "6893778c77": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 840.0, + 9.0, + 982.0, + 163.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 739.0, + 40.0, + 871.0, + 215.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 676.0, + 24.0, + 803.0, + 203.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 357.0, + 36.0, + 493.0, + 224.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 711.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6893778c77" + }, + "6899d2dabe": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 112.0, + 482.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 11.0, + 60.0, + 576.0, + 336.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 76.0, + 560.0, + 298.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 228.0, + 150.0, + 395.0, + 323.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6899d2dabe" + }, + "68a2fad4ab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 1047.0, + 571.0, + 1095.0, + 641.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 472.0, + 101.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 1087.0, + 462.0, + 1126.0, + 529.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 439.0, + 180.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 983.0, + 313.0, + 1056.0, + 378.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 375.0, + 97.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 842.0, + 452.0, + 919.0, + 518.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68a2fad4ab" + }, + "68cb45fda3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 494.0, + 117.0, + 929.0, + 539.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 196.0, + 745.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 496.0, + 133.0, + 916.0, + 535.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 219.0, + 743.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 520.0, + 126.0, + 874.0, + 528.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 210.0, + 739.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 534.0, + 80.0, + 881.0, + 518.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 234.0, + 752.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68cb45fda3" + }, + "68cc4a1970": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 284.0, + 0.0, + 1081.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 344.0, + 0.0, + 1033.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 284.0, + 0.0, + 1087.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 341.0, + 0.0, + 1026.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 286.0, + 0.0, + 1079.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 339.0, + 0.0, + 1033.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 292.0, + 0.0, + 1075.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 314.0, + 0.0, + 1053.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68cc4a1970" + }, + "68dcb40675": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 268.0, + 11.0, + 921.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 286.0, + 0.0, + 933.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 292.0, + 21.0, + 929.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 258.0, + 28.0, + 893.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68dcb40675" + }, + "68ea4a8c3d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 252.0, + 309.0, + 364.0, + 396.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 348.0, + 238.0, + 426.0, + 351.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 383.0, + 333.0, + 407.0, + 340.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 312.0, + 170.0, + 429.0, + 306.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 369.0, + 276.0, + 480.0, + 321.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 399.0, + 224.0, + 591.0, + 457.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 385.0, + 408.0, + 507.0, + 496.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68ea4a8c3d" + }, + "68f6e7fbf0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 226.0, + 224.0, + 342.0, + 305.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 143.0, + 252.0, + 336.0, + 511.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 129.0, + 436.0, + 380.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 329.0, + 216.0, + 467.0, + 300.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 208.0, + 273.0, + 490.0, + 515.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 175.0, + 453.0, + 555.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 339.0, + 248.0, + 488.0, + 341.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 65.0, + 320.0, + 491.0, + 581.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 137.0, + 530.0, + 570.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 362.0, + 216.0, + 514.0, + 314.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 3.0, + 294.0, + 502.0, + 541.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 135.0, + 503.0, + 577.0, + 610.0 + ] + } + } + ], + "frame_names": [ + "00120", + "00155", + "00175", + "00180" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68f6e7fbf0" + }, + "68fa8300b4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 536.0, + 288.0, + 876.0, + 717.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 702.0, + 257.0, + 763.0, + 349.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 230.0, + 734.0, + 570.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 225.0, + 730.0, + 576.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 964.0, + 171.0, + 1279.0, + 425.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68fa8300b4" + }, + "69023db81f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 508.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 84.0, + 103.0, + 1135.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 510.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 60.0, + 175.0, + 1155.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 474.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 62.0, + 142.0, + 1149.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 476.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 74.0, + 143.0, + 1151.0, + 578.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69023db81f" + }, + "6908ccf557": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 329.0, + 189.0, + 940.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 225.0, + 205.0, + 817.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 128.0, + 217.0, + 779.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 129.0, + 254.0, + 796.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6908ccf557" + }, + "691a111e7c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 123.0, + 178.0, + 931.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 326.0, + 86.0, + 936.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 210.0, + 135.0, + 757.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 371.0, + 358.0, + 514.0, + 467.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/691a111e7c" + }, + "6927723ba5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 499.0, + 0.0, + 850.0, + 104.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 406.0, + 329.0, + 805.0, + 521.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 428.0, + 282.0, + 786.0, + 466.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 455.0, + 135.0, + 792.0, + 366.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 460.0, + 85.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6927723ba5" + }, + "692ca0e1a2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 483.0, + 108.0, + 897.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 524.0, + 137.0, + 909.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 549.0, + 132.0, + 929.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 547.0, + 151.0, + 917.0, + 665.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/692ca0e1a2" + }, + "692eb57b63": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 207.0, + 0.0, + 906.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 361.0, + 0.0, + 952.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 251.0, + 509.0, + 424.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 473.0, + 0.0, + 1279.0, + 264.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 381.0, + 342.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 465.0, + 0.0, + 1279.0, + 371.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 381.0, + 350.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/692eb57b63" + }, + "69340faa52": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 21.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 228.0, + 63.0, + 1039.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 18.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 311.0, + 58.0, + 1041.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 23.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 325.0, + 65.0, + 1036.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 22.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 322.0, + 64.0, + 1038.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00080", + "00095", + "00105", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69340faa52" + }, + "693cbf0c9d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 580.0, + 0.0, + 1279.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 602.0, + 0.0, + 1279.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 596.0, + 0.0, + 1279.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 496.0, + 29.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00065", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/693cbf0c9d" + }, + "6942f684ad": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 270.0, + 175.0, + 921.0, + 544.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 196.0, + 1155.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 272.0, + 171.0, + 953.0, + 523.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 162.0, + 1155.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 290.0, + 196.0, + 977.0, + 532.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 337.0, + 1155.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 288.0, + 197.0, + 969.0, + 527.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 328.0, + 1151.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00075", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6942f684ad" + }, + "6944fc833b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 66.0, + 230.0, + 401.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 24.0, + 233.0, + 405.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 9.0, + 233.0, + 401.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 11.0, + 234.0, + 405.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6944fc833b" + }, + "69491c0ebf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 605.0, + 79.0, + 716.0, + 327.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 206.0, + 202.0, + 419.0, + 717.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 577.0, + 222.0, + 637.0, + 305.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 601.0, + 86.0, + 694.0, + 335.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 171.0, + 215.0, + 400.0, + 719.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 548.0, + 215.0, + 720.0, + 308.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 558.0, + 103.0, + 677.0, + 334.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 153.0, + 223.0, + 375.0, + 717.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 593.0, + 231.0, + 695.0, + 320.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 520.0, + 88.0, + 661.0, + 321.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 171.0, + 227.0, + 396.0, + 719.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 604.0, + 225.0, + 677.0, + 327.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69491c0ebf" + }, + "695b61a2b0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 230.0, + 126.0, + 977.0, + 719.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 144.0, + 283.0, + 881.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 208.0, + 106.0, + 863.0, + 700.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 198.0, + 322.0, + 1029.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 426.0, + 19.0, + 1137.0, + 677.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 460.0, + 298.0, + 1223.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 202.0, + 15.0, + 616.0, + 719.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 348.0, + 171.0, + 961.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00075", + "00100", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/695b61a2b0" + }, + "6979b4d83f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 138.0, + 883.0, + 691.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 127.0, + 789.0, + 694.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 60.0, + 835.0, + 654.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 144.0, + 767.0, + 707.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6979b4d83f" + }, + "697d4fdb02": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 498.0, + 38.0, + 855.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 480.0, + 49.0, + 837.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 474.0, + 67.0, + 827.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 480.0, + 70.0, + 827.0, + 570.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/697d4fdb02" + }, + "69910460a4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 460.0, + 175.0, + 834.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 444.0, + 108.0, + 720.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 436.0, + 158.0, + 782.0, + 619.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 493.0, + 208.0, + 770.0, + 522.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69910460a4" + }, + "6997636670": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 362.0, + 212.0, + 990.0, + 717.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 354.0, + 365.0, + 954.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 365.0, + 213.0, + 997.0, + 717.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 358.0, + 359.0, + 965.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 387.0, + 213.0, + 1016.0, + 717.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 382.0, + 364.0, + 983.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 380.0, + 209.0, + 996.0, + 716.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 377.0, + 355.0, + 960.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6997636670" + }, + "69a436750b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 558.0, + 187.0, + 1101.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 208.0, + 389.0, + 1035.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 250.0, + 289.0, + 731.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 187.0, + 323.0, + 850.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69a436750b" + }, + "69aebf7669": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 565.0, + 151.0, + 921.0, + 411.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 588.0, + 200.0, + 929.0, + 441.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 589.0, + 205.0, + 935.0, + 441.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 629.0, + 206.0, + 962.0, + 437.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69aebf7669" + }, + "69b8c17047": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 395.0, + 166.0, + 546.0, + 402.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 455.0, + 390.0, + 622.0, + 426.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 345.0, + 218.0, + 510.0, + 432.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 400.0, + 412.0, + 568.0, + 448.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 261.0, + 591.0, + 464.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 558.0, + 466.0, + 641.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 580.0, + 203.0, + 804.0, + 534.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 462.0, + 490.0, + 914.0, + 553.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69b8c17047" + }, + "69c67f109f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 436.0, + 198.0, + 533.0, + 350.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 438.0, + 343.0, + 573.0, + 363.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 684.0, + 162.0, + 817.0, + 384.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 680.0, + 359.0, + 915.0, + 404.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 874.0, + 256.0, + 1023.0, + 431.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 950.0, + 411.0, + 1129.0, + 451.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 579.0, + 257.0, + 674.0, + 296.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 860.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69c67f109f" + }, + "69e0e7b868": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 328.0, + 167.0, + 716.0, + 653.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 316.0, + 536.0, + 817.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 346.0, + 117.0, + 748.0, + 642.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 300.0, + 526.0, + 837.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 185.0, + 150.0, + 900.0, + 647.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 334.0, + 532.0, + 842.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 229.0, + 78.0, + 888.0, + 663.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 334.0, + 538.0, + 843.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69e0e7b868" + }, + "69ea9c09d1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 636.0, + 160.0, + 1279.0, + 637.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 323.0, + 256.0, + 611.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 391.0, + 96.0, + 1279.0, + 575.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 273.0, + 217.0, + 503.0, + 319.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 569.0, + 103.0, + 1279.0, + 547.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 261.0, + 570.0, + 350.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 602.0, + 105.0, + 1279.0, + 561.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69ea9c09d1" + }, + "69f0af42a6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 138.0, + 85.0, + 808.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 177.0, + 149.0, + 812.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 252.0, + 98.0, + 875.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 395.0, + 110.0, + 905.0, + 693.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69f0af42a6" + }, + "6a078cdcc7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 362.0, + 163.0, + 1277.0, + 690.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 351.0, + 163.0, + 1275.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 359.0, + 160.0, + 1277.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 363.0, + 163.0, + 1275.0, + 686.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a078cdcc7" + }, + "6a37a91708": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 496.0, + 311.0, + 1279.0, + 541.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 485.0, + 323.0, + 1279.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 528.0, + 297.0, + 1279.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 477.0, + 298.0, + 1279.0, + 529.0 + ] + } + } + ], + "frame_names": [ + "00180", + "00190", + "00200", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a37a91708" + }, + "6a42176f2e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 390.0, + 198.0, + 724.0, + 631.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 380.0, + 106.0, + 959.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 178.0, + 126.0, + 514.0, + 631.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 104.0, + 88.0, + 959.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 361.0, + 123.0, + 638.0, + 525.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 298.0, + 87.0, + 959.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 452.0, + 102.0, + 726.0, + 521.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 389.0, + 86.0, + 959.0, + 632.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a42176f2e" + }, + "6a48e4aea8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1153.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 684.0 + ] + } + } + ], + "frame_names": [ + "00050", + "00085", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a48e4aea8" + }, + "6a5977be3a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 91.0, + 803.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 97.0, + 777.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 85.0, + 777.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 101.0, + 767.0, + 559.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a5977be3a" + }, + "6a5de0535f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 272.0, + 248.0, + 539.0, + 632.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 314.0, + 196.0, + 536.0, + 351.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 324.0, + 268.0, + 616.0, + 624.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 400.0, + 145.0, + 616.0, + 333.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 349.0, + 273.0, + 626.0, + 633.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 307.0, + 189.0, + 595.0, + 348.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 256.0, + 298.0, + 634.0, + 635.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 321.0, + 228.0, + 598.0, + 478.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a5de0535f" + }, + "6a80d2e2e5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 656.0, + 179.0, + 755.0, + 645.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 639.0, + 290.0, + 898.0, + 665.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 612.0, + 85.0, + 703.0, + 480.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 456.0, + 339.0, + 790.0, + 657.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1190.0, + 558.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 620.0, + 108.0, + 720.0, + 490.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 461.0, + 350.0, + 797.0, + 676.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1192.0, + 569.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 634.0, + 202.0, + 731.0, + 455.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 471.0, + 297.0, + 782.0, + 672.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1194.0, + 567.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a80d2e2e5" + }, + "6a96c8815d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 311.0, + 312.0, + 540.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 325.0, + 179.0, + 597.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 429.0, + 184.0, + 722.0, + 368.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 268.0, + 211.0, + 642.0, + 504.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a96c8815d" + }, + "6a986084e2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 381.0, + 462.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 324.0, + 478.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 289.0, + 540.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 240.0, + 665.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00135", + "00150", + "00165", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a986084e2" + }, + "6aa8e50445": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 231.0, + 76.0, + 630.0, + 705.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1078.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 381.0, + 155.0, + 1206.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 315.0, + 70.0, + 608.0, + 668.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1104.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 408.0, + 156.0, + 1232.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 312.0, + 261.0, + 608.0, + 693.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1110.0, + 5.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 415.0, + 179.0, + 1229.0, + 658.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 304.0, + 302.0, + 605.0, + 703.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1103.0, + 7.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 413.0, + 183.0, + 1201.0, + 660.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6aa8e50445" + }, + "6ab9dce449": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 516.0, + 577.0, + 915.0, + 713.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 540.0, + 279.0, + 995.0, + 712.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 550.0, + 536.0, + 903.0, + 713.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 574.0, + 248.0, + 1017.0, + 712.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 538.0, + 546.0, + 897.0, + 714.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 560.0, + 258.0, + 1011.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 506.0, + 549.0, + 895.0, + 714.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 554.0, + 259.0, + 1027.0, + 713.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6ab9dce449" + }, + "6abf0ba6b2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 202.0, + 706.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 209.0, + 723.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 175.0, + 767.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 155.0, + 765.0, + 579.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6abf0ba6b2" + }, + "6acc6049d9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 526.0, + 247.0, + 918.0, + 665.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 545.0, + 282.0, + 999.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 514.0, + 303.0, + 1106.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 142.0, + 222.0, + 785.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6acc6049d9" + }, + "6adb31756c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 344.0, + 170.0, + 682.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 72.0, + 0.0, + 739.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 405.0, + 0.0, + 954.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 333.0, + 0.0, + 890.0, + 647.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6adb31756c" + }, + "6ade215eb0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bucket", + "bbox": [ + 138.0, + 177.0, + 435.0, + 487.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 47.0, + 773.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 491.0, + 2.0, + 588.0, + 123.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 594.0, + 362.0 + ] + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 507.0, + 3.0, + 587.0, + 87.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 510.0, + 336.0 + ] + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 653.0, + 462.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6ade215eb0" + }, + "6afb7d50e4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 182.0, + 175.0, + 588.0, + 465.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 421.0, + 0.0, + 1266.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 246.0, + 62.0, + 735.0, + 431.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 280.0, + 0.0, + 647.0, + 453.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 218.0, + 0.0, + 598.0, + 386.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6afb7d50e4" + }, + "6afd692f1a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 373.0, + 68.0, + 590.0, + 194.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 734.0, + 539.0, + 1028.0, + 715.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 508.0, + 596.0, + 805.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 954.0, + 479.0, + 1112.0, + 615.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 699.0, + 539.0, + 1015.0, + 681.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 554.0, + 618.0, + 969.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 842.0, + 178.0, + 1139.0, + 361.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 806.0, + 554.0, + 1100.0, + 718.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 680.0, + 296.0, + 852.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 841.0, + 114.0, + 1132.0, + 260.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 746.0, + 0.0, + 1148.0, + 576.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6afd692f1a" + }, + "6b0b1044fe": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 355.0, + 53.0, + 639.0, + 208.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 79.0, + 84.0, + 438.0, + 306.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 201.0, + 41.0, + 377.0, + 162.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 274.0, + 44.0, + 440.0, + 84.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 191.0, + 71.0, + 639.0, + 342.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 30.0, + 201.0, + 176.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 166.0, + 58.0, + 467.0, + 238.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 541.0, + 116.0, + 639.0, + 359.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 54.0, + 112.0, + 201.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 195.0, + 36.0, + 519.0, + 160.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 286.0, + 71.0, + 591.0, + 270.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 57.0, + 209.0, + 236.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b0b1044fe" + }, + "6b17c67633": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 548.0, + 401.0, + 740.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 550.0, + 382.0, + 722.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 518.0, + 375.0, + 694.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 546.0, + 371.0, + 714.0, + 612.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b17c67633" + }, + "6b1b6ef28b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 368.0, + 1.0, + 489.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 385.0, + 2.0, + 511.0, + 442.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 381.0, + 2.0, + 512.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 362.0, + 2.0, + 488.0, + 492.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b1b6ef28b" + }, + "6b1e04d00d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 681.0, + 135.0, + 828.0, + 599.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 784.0, + 29.0, + 824.0, + 135.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 651.0, + 304.0, + 836.0, + 613.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 826.0, + 397.0, + 896.0, + 445.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 592.0, + 352.0, + 778.0, + 591.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 527.0, + 473.0, + 595.0, + 511.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 43.0, + 391.0, + 105.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 515.0, + 287.0, + 711.0, + 635.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 490.0, + 464.0, + 518.0, + 528.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 259.0, + 371.0, + 323.0, + 575.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b1e04d00d" + }, + "6b2261888d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 297.0, + 0.0, + 732.0, + 468.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 435.0, + 311.0, + 826.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 271.0, + 0.0, + 700.0, + 559.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 485.0, + 361.0, + 874.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 159.0, + 12.0, + 581.0, + 530.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 603.0, + 293.0, + 986.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 199.0, + 77.0, + 846.0, + 582.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 555.0, + 345.0, + 934.0, + 598.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b2261888d" + }, + "6b25d6528a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 580.0, + 459.0, + 650.0, + 518.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 66.0, + 64.0, + 316.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 331.0, + 160.0, + 537.0, + 512.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 310.0, + 207.0, + 525.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 716.0, + 131.0, + 922.0, + 516.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b25d6528a" + }, + "6b3a24395c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 532.0, + 90.0, + 817.0, + 594.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 416.0, + 0.0, + 987.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 292.0, + 226.0, + 797.0, + 552.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 432.0, + 0.0, + 998.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 534.0, + 192.0, + 777.0, + 519.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 430.0, + 0.0, + 1006.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 394.0, + 175.0, + 797.0, + 537.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 425.0, + 0.0, + 994.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b3a24395c" + }, + "6b685eb75b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 682.0, + 208.0, + 901.0, + 415.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 877.0, + 79.0, + 1205.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 689.0, + 198.0, + 921.0, + 423.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 892.0, + 59.0, + 1230.0, + 385.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 559.0, + 230.0, + 823.0, + 438.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 743.0, + 55.0, + 1134.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 269.0, + 283.0, + 547.0, + 551.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 512.0, + 116.0, + 943.0, + 454.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b685eb75b" + }, + "6b79be238c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 153.0, + 468.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 592.0, + 140.0, + 1279.0, + 609.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 279.0, + 518.0, + 657.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 151.0, + 480.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 612.0, + 155.0, + 1279.0, + 611.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 221.0, + 499.0, + 593.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 130.0, + 488.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 632.0, + 151.0, + 1279.0, + 605.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 193.0, + 484.0, + 603.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 121.0, + 434.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 594.0, + 142.0, + 1279.0, + 605.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 167.0, + 480.0, + 573.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b79be238c" + }, + "6b928b7ba6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 324.0, + 52.0, + 529.0, + 367.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 216.0, + 628.0, + 719.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1079.0, + 192.0, + 1197.0, + 271.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 17.0, + 646.0, + 545.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 173.0, + 673.0, + 719.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1212.0, + 147.0, + 1279.0, + 232.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 536.0, + 0.0, + 723.0, + 516.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 121.0, + 651.0, + 711.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 495.0, + 0.0, + 704.0, + 490.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 91.0, + 600.0, + 688.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1238.0, + 66.0, + 1279.0, + 163.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b928b7ba6" + }, + "6b9c43c25a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 92.0, + 0.0, + 1130.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 533.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 57.0, + 0.0, + 1109.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 522.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 27.0, + 0.0, + 1086.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 551.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 63.0, + 991.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 566.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b9c43c25a" + }, + "6ba99cc41f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 46.0, + 1279.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 117.0, + 0.0, + 1279.0, + 643.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 71.0, + 0.0, + 1279.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 244.0, + 140.0, + 1065.0, + 619.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6ba99cc41f" + }, + "6bdab62bcd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 90.0, + 258.0, + 716.0, + 657.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 933.0, + 179.0, + 1204.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 77.0, + 257.0, + 723.0, + 662.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 939.0, + 169.0, + 1206.0, + 454.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 75.0, + 255.0, + 721.0, + 655.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 942.0, + 173.0, + 1205.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 72.0, + 258.0, + 683.0, + 659.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 939.0, + 169.0, + 1203.0, + 450.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6bdab62bcd" + }, + "6bf2e853b1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 573.0, + 282.0, + 1016.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 521.0, + 292.0, + 947.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 519.0, + 257.0, + 945.0, + 677.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 529.0, + 251.0, + 953.0, + 673.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6bf2e853b1" + }, + "6bf584200f": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 541.0, + 83.0, + 1062.0, + 592.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 349.0, + 0.0, + 1104.0, + 251.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 531.0, + 221.0, + 1122.0, + 719.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 427.0, + 0.0, + 1144.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 443.0, + 379.0, + 1026.0, + 719.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 407.0, + 31.0, + 1100.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 476.0, + 383.0, + 719.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 1156.0, + 540.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6bf584200f" + }, + "6bf95df2b9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 319.0, + 433.0, + 422.0, + 469.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 334.0, + 216.0, + 474.0, + 457.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 400.0, + 473.0, + 470.0, + 492.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 400.0, + 303.0, + 475.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 356.0, + 400.0, + 428.0, + 418.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 363.0, + 254.0, + 436.0, + 411.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 505.0, + 379.0, + 635.0, + 430.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 498.0, + 133.0, + 666.0, + 382.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6bf95df2b9" + }, + "6c0949c51c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 1174.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 102.0, + 39.0, + 1207.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 70.0, + 150.0, + 1151.0, + 706.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 190.0, + 1058.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c0949c51c" + }, + "6c11a5f11f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 443.0, + 129.0, + 796.0, + 539.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 331.0, + 235.0, + 463.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 480.0, + 126.0, + 850.0, + 552.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 350.0, + 264.0, + 496.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 502.0, + 148.0, + 873.0, + 563.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 380.0, + 290.0, + 528.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 503.0, + 165.0, + 859.0, + 554.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 384.0, + 298.0, + 513.0, + 469.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c11a5f11f" + }, + "6c23d89189": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 94.0, + 449.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 64.0, + 419.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 77.0, + 297.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 125.0, + 93.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c23d89189" + }, + "6c4387daf5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 581.0, + 436.0, + 727.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 576.0, + 467.0, + 769.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 573.0, + 479.0, + 804.0, + 650.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 593.0, + 504.0, + 848.0, + 693.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c4387daf5" + }, + "6c4ce479a4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 305.0, + 642.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 293.0, + 560.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 315.0, + 482.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 189.0, + 711.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00160", + "00175", + "00180", + "00210" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c4ce479a4" + }, + "6c5123e4bc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 224.0, + 300.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 523.0, + 177.0, + 1095.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 326.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 203.0, + 339.0, + 1088.0, + 673.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 305.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 257.0, + 312.0, + 1113.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 299.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 253.0, + 309.0, + 1097.0, + 628.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c5123e4bc" + }, + "6c54265f16": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 1082.0, + 213.0, + 1279.0, + 467.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 365.0, + 109.0, + 906.0, + 506.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 183.0, + 105.0, + 887.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 1137.0, + 216.0, + 1279.0, + 408.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c54265f16" + }, + "6c56848429": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 714.0, + 194.0, + 781.0, + 235.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 590.0, + 220.0, + 784.0, + 606.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 637.0, + 601.0, + 697.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 701.0, + 169.0, + 778.0, + 216.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 557.0, + 199.0, + 780.0, + 619.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 615.0, + 601.0, + 702.0, + 646.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 714.0, + 230.0, + 782.0, + 280.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 503.0, + 257.0, + 781.0, + 621.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 594.0, + 599.0, + 696.0, + 650.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 708.0, + 137.0, + 779.0, + 183.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 539.0, + 136.0, + 802.0, + 519.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 528.0, + 467.0, + 683.0, + 540.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c56848429" + }, + "6c81b014e9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 521.0, + 63.0, + 723.0, + 242.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 337.0, + 163.0, + 633.0, + 348.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 539.0, + 225.0, + 713.0, + 305.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 406.0, + 63.0, + 613.0, + 242.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 217.0, + 166.0, + 524.0, + 352.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 428.0, + 228.0, + 601.0, + 306.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 237.0, + 90.0, + 455.0, + 277.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 28.0, + 198.0, + 371.0, + 404.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 257.0, + 264.0, + 441.0, + 345.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 140.0, + 114.0, + 370.0, + 312.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 228.0, + 284.0, + 439.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 161.0, + 298.0, + 356.0, + 382.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00065", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c81b014e9" + }, + "6c99ea7c31": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 605.0, + 217.0, + 1011.0, + 694.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 650.0, + 254.0, + 1073.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 640.0, + 193.0, + 1017.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 614.0, + 148.0, + 954.0, + 636.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c99ea7c31" + }, + "6c9d29d509": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 326.0, + 335.0, + 511.0, + 596.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 357.0, + 326.0, + 773.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 353.0, + 349.0, + 782.0, + 643.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 338.0, + 323.0, + 755.0, + 611.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c9d29d509" + }, + "6c9e3b7d1a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 595.0, + 459.0, + 808.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 300.0, + 82.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 1.0, + 176.0, + 127.0, + 299.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 889.0, + 317.0, + 1205.0, + 639.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c9e3b7d1a" + }, + "6ca006e283": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 336.0, + 118.0, + 657.0, + 341.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 334.0, + 144.0, + 643.0, + 367.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 398.0, + 157.0, + 659.0, + 360.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 375.0, + 126.0, + 505.0, + 317.0 + ] + } + } + ], + "frame_names": [ + "00075", + "00080", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6ca006e283" + }, + "6caeb928d6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 908.0, + 527.0, + 1076.0, + 690.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 849.0, + 488.0, + 1017.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 799.0, + 483.0, + 951.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 696.0, + 497.0, + 959.0, + 651.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6caeb928d6" + }, + "6cb2ee722a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 212.0, + 0.0, + 1201.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 543.0, + 504.0, + 901.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 51.0, + 31.0, + 1252.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 247.0, + 436.0, + 639.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 21.0, + 0.0, + 930.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 111.0, + 0.0, + 942.0, + 709.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 296.0, + 465.0, + 801.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6cb2ee722a" + }, + "6cbfd32c5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 556.0, + 236.0, + 737.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 583.0, + 268.0, + 742.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 568.0, + 262.0, + 751.0, + 616.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 572.0, + 247.0, + 756.0, + 610.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6cbfd32c5e" + }, + "6cc791250b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 230.0, + 321.0, + 440.0, + 535.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 792.0, + 114.0, + 918.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 232.0, + 341.0, + 434.0, + 563.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 611.0, + 129.0, + 748.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 214.0, + 330.0, + 402.0, + 555.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 455.0, + 252.0, + 640.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 322.0, + 337.0, + 506.0, + 550.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 505.0, + 338.0, + 727.0, + 563.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6cc791250b" + }, + "6cccc985e0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 840.0, + 311.0, + 870.0, + 353.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 884.0, + 315.0, + 906.0, + 362.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 955.0, + 304.0, + 1014.0, + 356.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 838.0, + 313.0, + 868.0, + 356.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 883.0, + 318.0, + 904.0, + 366.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 953.0, + 307.0, + 1011.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 715.0, + 322.0, + 747.0, + 367.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 756.0, + 332.0, + 782.0, + 383.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 828.0, + 318.0, + 891.0, + 373.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 355.0, + 345.0, + 488.0, + 547.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 548.0, + 358.0, + 640.0, + 582.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 848.0, + 318.0, + 1101.0, + 543.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6cccc985e0" + }, + "6d12e30c48": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 75.0, + 222.0, + 636.0, + 626.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 90.0, + 892.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 102.0, + 178.0, + 640.0, + 584.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 88.0, + 876.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 133.0, + 148.0, + 653.0, + 552.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 89.0, + 880.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 158.0, + 178.0, + 683.0, + 586.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 87.0, + 917.0, + 631.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6d12e30c48" + }, + "6d4bf200ad": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 105.0, + 297.0, + 738.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 557.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 333.0, + 355.0, + 597.0, + 602.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 627.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 259.0, + 147.0, + 818.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 721.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 658.0, + 405.0, + 804.0, + 465.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 758.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6d4bf200ad" + }, + "6d6d2b8843": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 990.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 923.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 961.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 86.0, + 0.0, + 1215.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6d6d2b8843" + }, + "6d6eea5682": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 337.0, + 163.0, + 692.0, + 569.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 437.0, + 77.0, + 720.0, + 573.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 451.0, + 118.0, + 718.0, + 572.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 455.0, + 387.0, + 782.0, + 628.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 7.0, + 95.0, + 298.0, + 342.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6d6eea5682" + }, + "6d7a3d0c21": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 246.0, + 224.0, + 303.0, + 362.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 401.0, + 268.0, + 469.0, + 439.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 402.0, + 310.0, + 496.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 213.0, + 372.0, + 327.0, + 635.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00075", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6d7a3d0c21" + }, + "6d7efa9b9e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 710.0, + 119.0, + 850.0, + 688.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 448.0, + 196.0, + 553.0, + 689.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 861.0, + 75.0, + 928.0, + 174.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 447.0, + 197.0, + 551.0, + 693.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 903.0, + 171.0, + 933.0, + 184.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 752.0, + 125.0, + 905.0, + 697.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 840.0, + 162.0, + 939.0, + 181.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 757.0, + 118.0, + 913.0, + 696.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 448.0, + 193.0, + 549.0, + 691.0 + ] + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00025", + "00085", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6d7efa9b9e" + }, + "6da21f5c91": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 419.0, + 231.0, + 1269.0, + 505.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 432.0, + 491.0, + 663.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 447.0, + 203.0, + 1269.0, + 510.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 444.0, + 466.0, + 629.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 441.0, + 222.0, + 1269.0, + 518.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 440.0, + 489.0, + 645.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 466.0, + 220.0, + 1269.0, + 522.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 446.0, + 453.0, + 651.0, + 559.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6da21f5c91" + }, + "6da6adabc0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 318.0, + 243.0, + 1273.0, + 664.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 370.0, + 242.0, + 1262.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 350.0, + 251.0, + 1251.0, + 665.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 466.0, + 256.0, + 1271.0, + 674.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6da6adabc0" + }, + "6dd2827fbb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 12.0, + 492.0, + 691.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 0.0, + 602.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 296.0, + 0.0, + 831.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 278.0, + 514.0, + 665.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6dd2827fbb" + }, + "6dd36705b9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 19 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "bucket", + "bbox": [ + 364.0, + 398.0, + 392.0, + 433.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 423.0, + 184.0, + 1279.0, + 486.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 286.0, + 396.0, + 316.0, + 431.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "bucket", + "bbox": [ + 440.0, + 162.0, + 1279.0, + 476.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 329.0, + 391.0, + 355.0, + 425.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 424.0, + 389.0, + 454.0, + 426.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "bucket", + "bbox": [ + 272.0, + 387.0, + 300.0, + 422.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 373.0, + 385.0, + 400.0, + 421.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 426.0, + 124.0, + 1279.0, + 445.0 + ] + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6dd36705b9" + }, + "6df3637557": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 169.0, + 0.0, + 1184.0, + 719.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 188.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 225.0, + 58.0, + 1128.0, + 719.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 605.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 283.0, + 284.0, + 972.0, + 719.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 860.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 738.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 275.0, + 0.0, + 1164.0, + 719.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 280.0, + 175.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6df3637557" + }, + "6dfe55e9e5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 540.0, + 146.0, + 1223.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 588.0, + 156.0, + 1219.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 606.0, + 151.0, + 1217.0, + 613.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 436.0, + 173.0, + 1221.0, + 620.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6dfe55e9e5" + }, + "6e1a21ba55": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 222.0, + 9.0, + 265.0, + 36.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 478.0, + 0.0, + 526.0, + 20.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 337.0, + 56.0, + 637.0, + 220.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 147.0, + 16.0, + 189.0, + 44.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 404.0, + 0.0, + 452.0, + 24.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 261.0, + 64.0, + 564.0, + 227.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 76.0, + 33.0, + 122.0, + 64.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 334.0, + 13.0, + 378.0, + 43.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 191.0, + 80.0, + 495.0, + 246.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 172.0, + 26.0, + 223.0, + 61.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 437.0, + 8.0, + 482.0, + 37.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 294.0, + 76.0, + 592.0, + 239.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6e1a21ba55" + }, + "6e2f834767": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 322.0, + 1167.0, + 676.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 234.0, + 1111.0, + 677.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 181.0, + 1076.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 61.0, + 117.0, + 1132.0, + 624.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6e2f834767" + }, + "6e36e4929a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 116.0, + 0.0, + 1279.0, + 381.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 333.0, + 34.0, + 1279.0, + 308.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 126.0, + 0.0, + 1279.0, + 377.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 353.0, + 50.0, + 1279.0, + 311.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 128.0, + 0.0, + 1279.0, + 316.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 220.0, + 25.0, + 1279.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 272.0, + 0.0, + 1279.0, + 339.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 42.0, + 0.0, + 839.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6e36e4929a" + }, + "6e4f460caf": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 171.0, + 154.0, + 965.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 197.0, + 154.0, + 1000.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 228.0, + 177.0, + 1034.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 268.0, + 155.0, + 1104.0, + 444.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6e4f460caf" + }, + "6e618d26b6": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 231.0, + 286.0, + 380.0, + 455.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 260.0, + 261.0, + 336.0, + 457.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 159.0, + 297.0, + 306.0, + 458.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 377.0, + 295.0, + 406.0, + 318.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 195.0, + 312.0, + 267.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 258.0, + 328.0, + 401.0, + 489.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 263.0, + 288.0, + 360.0, + 480.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 242.0, + 314.0, + 320.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 271.0, + 281.0, + 404.0, + 457.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 234.0, + 265.0, + 377.0, + 446.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 207.0, + 286.0, + 320.0, + 435.0 + ] + } + } + ], + "frame_names": [ + "00075", + "00090", + "00100", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6e618d26b6" + }, + "6ead4670f7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 427.0, + 72.0, + 1030.0, + 660.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 191.0, + 402.0, + 1072.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 373.0, + 100.0, + 1042.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 187.0, + 428.0, + 1070.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 61.0, + 113.0, + 1128.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 312.0, + 1102.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 193.0, + 134.0, + 1092.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 304.0, + 1070.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6ead4670f7" + }, + "6eaff19b9f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 619.0, + 57.0, + 1279.0, + 381.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 365.0, + 0.0, + 1279.0, + 457.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 633.0, + 0.0, + 1279.0, + 306.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 703.0, + 0.0, + 1279.0, + 360.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 345.0, + 108.0, + 1014.0, + 267.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 405.0, + 0.0, + 1279.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 472.0, + 190.0, + 1149.0, + 543.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 556.0, + 0.0, + 1279.0, + 386.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6eaff19b9f" + }, + "6eb2e1cd9e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 403.0, + 228.0, + 433.0, + 320.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 379.0, + 304.0, + 438.0, + 325.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 335.0, + 201.0, + 421.0, + 366.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 409.0, + 359.0, + 428.0, + 376.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 333.0, + 216.0, + 416.0, + 432.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 299.0, + 393.0, + 398.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 361.0, + 701.0, + 535.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 591.0, + 519.0, + 664.0, + 555.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6eb2e1cd9e" + }, + "6eb30b3b5a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 786.0, + 175.0, + 945.0, + 488.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1149.0, + 143.0, + 1275.0, + 365.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 277.0, + 183.0, + 393.0, + 434.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 689.0, + 207.0, + 815.0, + 450.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 243.0, + 339.0, + 685.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6eb30b3b5a" + }, + "6eca26c202": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 554.0, + 111.0, + 945.0, + 567.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 226.0, + 174.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 100.0, + 934.0, + 554.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 232.0, + 174.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 472.0, + 142.0, + 972.0, + 525.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 229.0, + 206.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 353.0, + 119.0, + 960.0, + 559.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 170.0, + 207.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6eca26c202" + }, + "6ecad29e52": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 22.0, + 0.0, + 1093.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 1031.0, + 476.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 796.0, + 564.0, + 935.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 102.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 1003.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 971.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6ecad29e52" + }, + "6ef0b44654": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 520.0, + 136.0, + 1126.0, + 654.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 930.0, + 81.0, + 1279.0, + 651.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 512.0, + 133.0, + 1103.0, + 651.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 925.0, + 78.0, + 1279.0, + 649.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 565.0, + 144.0, + 994.0, + 644.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 866.0, + 79.0, + 1279.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 648.0, + 143.0, + 996.0, + 642.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 853.0, + 72.0, + 1279.0, + 642.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6ef0b44654" + }, + "6efcfe9275": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 130.0, + 478.0, + 257.0, + 519.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 148.0, + 0.0, + 292.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 39.0, + 512.0, + 238.0, + 567.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 276.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 16.0, + 497.0, + 142.0, + 550.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 20.0, + 0.0, + 272.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 731.0, + 476.0, + 880.0, + 521.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 705.0, + 0.0, + 863.0, + 489.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00085", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6efcfe9275" + }, + "6f4789045c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 695.0, + 321.0, + 979.0, + 681.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 688.0, + 330.0, + 1028.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 613.0, + 376.0, + 812.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 625.0, + 403.0, + 784.0, + 631.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6f4789045c" + }, + "6f49f522ef": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 455.0, + 358.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 405.0, + 279.0, + 1266.0, + 683.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 479.0, + 0.0, + 918.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 377.0, + 0.0, + 780.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6f49f522ef" + }, + "6f67d7c4c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 136.0, + 80.0, + 1053.0, + 473.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 470.0, + 329.0, + 1155.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 204.0, + 207.0, + 761.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 214.0, + 235.0, + 848.0, + 697.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6f67d7c4c4" + }, + "6f96e91d81": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 382.0, + 189.0, + 542.0, + 502.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 547.0, + 317.0, + 734.0, + 480.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 889.0, + 327.0, + 1130.0, + 484.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 358.0, + 0.0, + 650.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 376.0, + 243.0, + 562.0, + 504.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 551.0, + 293.0, + 697.0, + 480.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 957.0, + 338.0, + 1274.0, + 511.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 326.0, + 0.0, + 589.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 369.0, + 316.0, + 597.0, + 501.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 551.0, + 368.0, + 699.0, + 485.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 1085.0, + 343.0, + 1279.0, + 526.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 304.0, + 0.0, + 673.0, + 384.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 373.0, + 309.0, + 599.0, + 502.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 561.0, + 378.0, + 692.0, + 487.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 1120.0, + 349.0, + 1279.0, + 528.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 442.0, + 0.0, + 1017.0, + 529.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6f96e91d81" + }, + "6fc6fce380": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 581.0, + 377.0, + 799.0, + 511.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 84.0, + 536.0, + 612.0, + 676.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 693.0, + 339.0, + 875.0, + 504.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 324.0, + 488.0, + 721.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 745.0, + 233.0, + 989.0, + 507.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 458.0, + 427.0, + 723.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 701.0, + 345.0, + 897.0, + 514.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 470.0, + 448.0, + 636.0, + 612.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6fc6fce380" + }, + "6fc9b44c00": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 452.0, + 261.0, + 1139.0, + 713.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 416.0, + 191.0, + 1161.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 553.0, + 251.0, + 1162.0, + 708.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 414.0, + 166.0, + 1166.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 644.0, + 247.0, + 1192.0, + 709.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 443.0, + 157.0, + 1211.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 745.0, + 231.0, + 1083.0, + 696.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 453.0, + 136.0, + 1214.0, + 686.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6fc9b44c00" + }, + "6fce7f3226": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 613.0, + 283.0, + 944.0, + 591.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 830.0, + 559.0, + 966.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 498.0, + 355.0, + 606.0, + 489.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 551.0, + 477.0, + 629.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 245.0, + 329.0, + 335.0, + 466.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 290.0, + 459.0, + 351.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6fce7f3226" + }, + "6fdf1ca888": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 387.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 391.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 385.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 363.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6fdf1ca888" + }, + "702fd8b729": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 116.0, + 1040.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 133.0, + 1078.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 16.0, + 1246.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 39.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00050", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/702fd8b729" + }, + "70405185d2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 403.0, + 194.0, + 458.0, + 293.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 390.0, + 193.0, + 460.0, + 293.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 392.0, + 193.0, + 459.0, + 288.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 417.0, + 194.0, + 459.0, + 291.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/70405185d2" + }, + "7053e4f41e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 691.0, + 214.0, + 1279.0, + 707.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 378.0, + 352.0, + 751.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 980.0, + 211.0, + 1279.0, + 464.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 452.0, + 31.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 931.0, + 107.0, + 1279.0, + 417.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 429.0, + 126.0, + 918.0, + 539.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7053e4f41e" + }, + "707bf4ce41": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 148.0, + 266.0, + 1036.0, + 716.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 537.0, + 446.0, + 1164.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 149.0, + 236.0, + 1025.0, + 710.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 577.0, + 424.0, + 1164.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 141.0, + 91.0, + 918.0, + 686.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 573.0, + 401.0, + 1155.0, + 665.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 107.0, + 61.0, + 848.0, + 682.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 514.0, + 397.0, + 1101.0, + 663.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/707bf4ce41" + }, + "7082544248": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 726.0, + 515.0, + 886.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 704.0, + 433.0, + 892.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 736.0, + 390.0, + 956.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 750.0, + 374.0, + 1004.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00195", + "00210", + "00230", + "00240" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7082544248" + }, + "708535b72a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 595.0, + 404.0, + 683.0, + 635.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 439.0, + 45.0, + 958.0, + 573.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 908.0, + 32.0, + 1264.0, + 456.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 599.0, + 399.0, + 686.0, + 631.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 434.0, + 39.0, + 954.0, + 573.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 900.0, + 32.0, + 1263.0, + 456.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 697.0, + 429.0, + 781.0, + 659.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 480.0, + 69.0, + 1014.0, + 609.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 961.0, + 62.0, + 1279.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 721.0, + 339.0, + 819.0, + 575.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 420.0, + 0.0, + 985.0, + 549.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 967.0, + 0.0, + 1279.0, + 415.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/708535b72a" + }, + "7094ac0f60": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 122.0, + 126.0, + 608.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 73.0, + 69.0, + 582.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 62.0, + 55.0, + 589.0, + 411.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 98.0, + 96.0, + 612.0, + 424.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7094ac0f60" + }, + "70a6b875fa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 202.0, + 149.0, + 678.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 159.0, + 135.0, + 562.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 131.0, + 85.0, + 428.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 209.0, + 110.0, + 483.0, + 536.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/70a6b875fa" + }, + "70c3e97e41": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 521.0, + 264.0, + 830.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 484.0, + 281.0, + 835.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 497.0, + 281.0, + 852.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 530.0, + 236.0, + 862.0, + 479.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/70c3e97e41" + }, + "7106b020ab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 747.0, + 225.0, + 1039.0, + 709.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 713.0, + 245.0, + 927.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 727.0, + 259.0, + 915.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 733.0, + 282.0, + 890.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7106b020ab" + }, + "711dce6fe2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 797.0, + 238.0, + 944.0, + 331.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 940.0, + 390.0, + 971.0, + 442.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 765.0, + 286.0, + 961.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 599.0, + 120.0, + 770.0, + 235.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 725.0, + 367.0, + 763.0, + 423.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 554.0, + 190.0, + 725.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 635.0, + 90.0, + 789.0, + 163.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 723.0, + 512.0, + 767.0, + 553.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 608.0, + 147.0, + 847.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 644.0, + 102.0, + 805.0, + 180.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 663.0, + 553.0, + 688.0, + 573.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 608.0, + 150.0, + 933.0, + 528.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/711dce6fe2" + }, + "7136a4453f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 630.0, + 271.0, + 814.0, + 626.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 598.0, + 580.0, + 752.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 335.0, + 707.0, + 576.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 547.0, + 552.0, + 597.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 444.0, + 349.0, + 622.0, + 554.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 438.0, + 537.0, + 470.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1061.0, + 395.0, + 1171.0, + 598.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7136a4453f" + }, + "7143fb084f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 449.0, + 38.0, + 762.0, + 668.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 685.0, + 362.0, + 1054.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 529.0, + 412.0, + 755.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 658.0, + 426.0, + 1019.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 335.0, + 126.0, + 704.0, + 628.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 673.0, + 393.0, + 1026.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 440.0, + 6.0, + 721.0, + 623.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 705.0, + 396.0, + 1079.0, + 646.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7143fb084f" + }, + "714d902095": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 434.0, + 578.0, + 673.0, + 719.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 731.0, + 489.0, + 817.0, + 594.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 626.0, + 598.0, + 721.0, + 719.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 608.0, + 350.0, + 771.0, + 552.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 488.0, + 461.0, + 646.0, + 583.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/714d902095" + }, + "7151c53b32": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 440.0, + 213.0, + 757.0, + 419.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 445.0, + 206.0, + 753.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 459.0, + 145.0, + 819.0, + 362.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 459.0, + 132.0, + 846.0, + 359.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7151c53b32" + }, + "715357be94": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 486.0, + 117.0, + 678.0, + 427.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 457.0, + 280.0, + 595.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 554.0, + 102.0, + 769.0, + 487.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 617.0, + 306.0, + 812.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 518.0, + 93.0, + 733.0, + 477.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 577.0, + 290.0, + 768.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 506.0, + 111.0, + 716.0, + 481.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 535.0, + 293.0, + 745.0, + 490.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/715357be94" + }, + "7163b8085f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 399.0, + 79.0, + 988.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 465.0, + 81.0, + 1056.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 531.0, + 53.0, + 1048.0, + 710.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 493.0, + 46.0, + 1066.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7163b8085f" + }, + "716df1aa59": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 394.0, + 217.0, + 825.0, + 434.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 122.0, + 209.0, + 1235.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 409.0, + 228.0, + 840.0, + 442.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 131.0, + 222.0, + 1239.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 381.0, + 244.0, + 817.0, + 467.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 113.0, + 230.0, + 1226.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 249.0, + 226.0, + 915.0, + 443.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 3.0, + 326.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/716df1aa59" + }, + "71caded286": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 915.0, + 139.0, + 1071.0, + 505.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 909.0, + 475.0, + 1007.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 595.0, + 0.0, + 959.0, + 623.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 597.0, + 512.0, + 834.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 474.0, + 3.0, + 801.0, + 373.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 480.0, + 350.0, + 637.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 109.0, + 637.0, + 420.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 486.0, + 393.0, + 559.0, + 434.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/71caded286" + }, + "71d2665f35": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 141.0, + 113.0, + 389.0, + 301.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 204.0, + 114.0, + 489.0, + 315.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 117.0, + 132.0, + 395.0, + 317.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 225.0, + 115.0, + 489.0, + 314.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 87.0, + 139.0, + 380.0, + 316.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 284.0, + 119.0, + 489.0, + 315.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 69.0, + 136.0, + 371.0, + 317.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 293.0, + 121.0, + 489.0, + 315.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/71d2665f35" + }, + "71d67b9e19": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 482.0, + 0.0, + 887.0, + 585.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 581.0, + 132.0, + 719.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 0.0, + 370.0, + 78.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 815.0, + 14.0, + 1279.0, + 623.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 324.0, + 519.0, + 466.0, + 719.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 214.0, + 293.0, + 434.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 1013.0, + 167.0, + 1279.0, + 704.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 518.0, + 564.0, + 636.0, + 719.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 436.0, + 336.0, + 632.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 1231.0, + 681.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 594.0, + 609.0, + 789.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00110", + "00135", + "00150", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/71d67b9e19" + }, + "71e06dda39": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 21.0, + 86.0, + 418.0, + 404.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 478.0, + 131.0, + 833.0, + 371.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 713.0, + 273.0, + 1159.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 46.0, + 0.0, + 446.0, + 322.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 509.0, + 55.0, + 861.0, + 288.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 726.0, + 230.0, + 1177.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 93.0, + 32.0, + 488.0, + 349.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 551.0, + 82.0, + 906.0, + 329.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 782.0, + 223.0, + 1228.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 243.0, + 61.0, + 640.0, + 393.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 702.0, + 132.0, + 1088.0, + 381.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 939.0, + 306.0, + 1279.0, + 633.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/71e06dda39" + }, + "720b398b9c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 407.0, + 306.0, + 626.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 344.0, + 281.0, + 437.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 353.0, + 328.0, + 572.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 550.0, + 420.0, + 681.0, + 630.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 400.0, + 291.0, + 496.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 352.0, + 346.0, + 610.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 643.0, + 446.0, + 798.0, + 661.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 506.0, + 322.0, + 612.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 359.0, + 373.0, + 537.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 725.0, + 482.0, + 917.0, + 689.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 592.0, + 330.0, + 683.0, + 585.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/720b398b9c" + }, + "720e3fa04c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 32.0, + 329.0, + 423.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 248.0, + 303.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 679.0, + 304.0, + 1042.0, + 696.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 1123.0, + 309.0, + 1279.0, + 571.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/720e3fa04c" + }, + "720e7a5f1e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 344.0, + 319.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 322.0, + 89.0, + 639.0, + 286.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 334.0, + 319.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 327.0, + 82.0, + 639.0, + 288.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 330.0, + 318.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 340.0, + 64.0, + 639.0, + 278.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 21.0, + 258.0, + 329.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 504.0, + 0.0, + 639.0, + 290.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/720e7a5f1e" + }, + "721bb6f2cb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 679.0, + 258.0, + 1209.0, + 341.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 902.0, + 176.0, + 1026.0, + 296.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 913.0, + 213.0, + 926.0, + 282.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 631.0, + 306.0, + 1154.0, + 395.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 816.0, + 240.0, + 975.0, + 351.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 798.0, + 250.0, + 894.0, + 331.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 862.0, + 341.0, + 1279.0, + 428.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1068.0, + 275.0, + 1170.0, + 387.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 1054.0, + 331.0, + 1086.0, + 373.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 944.0, + 408.0, + 1279.0, + 482.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1096.0, + 314.0, + 1250.0, + 422.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 1101.0, + 338.0, + 1132.0, + 412.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/721bb6f2cb" + }, + "722803f4f2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 107.0, + 361.0, + 572.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 94.0, + 396.0, + 568.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 309.0, + 355.0, + 505.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 312.0, + 235.0, + 802.0, + 594.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/722803f4f2" + }, + "72552a07c9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 622.0, + 308.0, + 1144.0, + 699.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 329.0, + 169.0, + 924.0, + 541.0 + ] + }, + "3": { + "category_name": "tiger", + "bbox": [ + 623.0, + 164.0, + 754.0, + 266.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 519.0, + 293.0, + 1175.0, + 719.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 332.0, + 197.0, + 836.0, + 562.0 + ] + }, + "3": { + "category_name": "tiger", + "bbox": [ + 657.0, + 175.0, + 766.0, + 292.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 707.0, + 292.0, + 1221.0, + 669.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 508.0, + 188.0, + 826.0, + 524.0 + ] + }, + "3": { + "category_name": "tiger", + "bbox": [ + 563.0, + 173.0, + 673.0, + 285.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 734.0, + 346.0, + 1220.0, + 659.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 590.0, + 244.0, + 813.0, + 525.0 + ] + }, + "3": { + "category_name": "tiger", + "bbox": [ + 461.0, + 206.0, + 684.0, + 439.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72552a07c9" + }, + "726243a205": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 260.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 266.0, + 25.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 258.0, + 45.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 234.0, + 83.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/726243a205" + }, + "728cda9b65": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 569.0, + 391.0, + 622.0, + 409.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 626.0, + 363.0, + 681.0, + 384.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 706.0, + 384.0, + 757.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 548.0, + 360.0, + 595.0, + 377.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 598.0, + 322.0, + 653.0, + 344.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 678.0, + 344.0, + 726.0, + 366.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 494.0, + 330.0, + 560.0, + 365.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 527.0, + 239.0, + 598.0, + 289.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 648.0, + 276.0, + 714.0, + 319.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 368.0, + 277.0, + 504.0, + 362.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 238.0, + 65.0, + 390.0, + 185.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 468.0, + 13.0, + 632.0, + 131.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/728cda9b65" + }, + "728e81c319": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 203.0, + 431.0, + 440.0, + 579.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 396.0, + 542.0, + 564.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 233.0, + 370.0, + 441.0, + 537.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 400.0, + 475.0, + 559.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 266.0, + 348.0, + 462.0, + 539.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 442.0, + 447.0, + 598.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 334.0, + 324.0, + 512.0, + 514.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 446.0, + 424.0, + 634.0, + 514.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/728e81c319" + }, + "72a810a799": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 445.0, + 44.0, + 1058.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 375.0, + 46.0, + 1014.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 355.0, + 88.0, + 968.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 419.0, + 0.0, + 946.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72a810a799" + }, + "72acb8cdf6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 272.0, + 84.0, + 717.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 310.0, + 39.0, + 703.0, + 695.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 338.0, + 143.0, + 735.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 334.0, + 128.0, + 739.0, + 691.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72acb8cdf6" + }, + "72b01281f9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 497.0, + 142.0, + 904.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 486.0, + 149.0, + 867.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 544.0, + 166.0, + 890.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 556.0, + 157.0, + 902.0, + 608.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72b01281f9" + }, + "72cac683e4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 338.0, + 0.0, + 888.0, + 719.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 539.0, + 116.0, + 899.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 301.0, + 0.0, + 920.0, + 719.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 429.0, + 25.0, + 875.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 267.0, + 0.0, + 946.0, + 719.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 341.0, + 0.0, + 873.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 172.0, + 0.0, + 1020.0, + 719.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 73.0, + 0.0, + 821.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72cac683e4" + }, + "72cadebbce": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 570.0, + 137.0, + 1057.0, + 488.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "owl", + "bbox": [ + 295.0, + 239.0, + 765.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 554.0, + 102.0, + 1023.0, + 531.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "owl", + "bbox": [ + 283.0, + 285.0, + 746.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 562.0, + 68.0, + 991.0, + 552.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "owl", + "bbox": [ + 254.0, + 296.0, + 745.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 542.0, + 84.0, + 1019.0, + 553.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "owl", + "bbox": [ + 257.0, + 285.0, + 767.0, + 524.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72cadebbce" + }, + "72cae058a5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 435.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 155.0, + 0.0, + 1156.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 173.0, + 0.0, + 1154.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 151.0, + 0.0, + 1072.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72cae058a5" + }, + "72d8dba870": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 370.0, + 111.0, + 901.0, + 611.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 799.0, + 0.0, + 1279.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 372.0, + 105.0, + 889.0, + 609.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 679.0, + 0.0, + 1279.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 344.0, + 122.0, + 815.0, + 608.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 704.0, + 0.0, + 1279.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 298.0, + 117.0, + 727.0, + 569.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 502.0, + 0.0, + 1279.0, + 610.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72d8dba870" + }, + "72e8d1c1ff": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 462.0, + 222.0, + 584.0, + 464.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 477.0, + 237.0, + 630.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 470.0, + 234.0, + 622.0, + 691.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 483.0, + 225.0, + 649.0, + 707.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72e8d1c1ff" + }, + "72edc08285": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 487.0, + 0.0, + 752.0, + 364.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 443.0, + 11.0, + 740.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 425.0, + 32.0, + 740.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 437.0, + 31.0, + 735.0, + 421.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72edc08285" + }, + "72f04f1a38": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 586.0, + 45.0, + 883.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 558.0, + 27.0, + 996.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 565.0, + 27.0, + 905.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 545.0, + 16.0, + 814.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72f04f1a38" + }, + "731b825695": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 169.0, + 232.0, + 338.0, + 584.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 102.0, + 308.0, + 350.0, + 468.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 217.0, + 193.0, + 382.0, + 586.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 146.0, + 264.0, + 403.0, + 441.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 430.0, + 235.0, + 584.0, + 610.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 348.0, + 276.0, + 598.0, + 479.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 131.0, + 202.0, + 248.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 455.0, + 313.0, + 629.0, + 637.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 542.0, + 339.0, + 634.0, + 548.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 223.0, + 205.0, + 337.0, + 581.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/731b825695" + }, + "7320b49b13": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 330.0, + 256.0, + 917.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 254.0, + 223.0, + 937.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 238.0, + 216.0, + 977.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 323.0, + 315.0, + 1009.0, + 718.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7320b49b13" + }, + "732626383b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 198.0, + 327.0, + 315.0, + 471.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 303.0, + 289.0, + 910.0, + 467.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 902.0, + 179.0, + 1074.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 172.0, + 329.0, + 301.0, + 471.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 278.0, + 263.0, + 1056.0, + 468.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1045.0, + 188.0, + 1238.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 140.0, + 331.0, + 266.0, + 467.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 238.0, + 258.0, + 1031.0, + 467.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 135.0, + 327.0, + 243.0, + 467.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 221.0, + 258.0, + 1018.0, + 467.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/732626383b" + }, + "732df1eb05": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 331.0, + 302.0, + 743.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 331.0, + 297.0, + 745.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 346.0, + 264.0, + 762.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 344.0, + 260.0, + 765.0, + 415.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/732df1eb05" + }, + "73329902ab": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 471.0, + 31.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 22.0, + 401.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 1236.0, + 148.0, + 1279.0, + 173.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 28.0, + 387.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 564.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 325.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 552.0, + 5.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 351.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/73329902ab" + }, + "733798921e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 517.0, + 370.0, + 624.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 531.0, + 387.0, + 631.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 487.0, + 408.0, + 607.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 546.0, + 373.0, + 670.0, + 497.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/733798921e" + }, + "733824d431": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 563.0, + 239.0, + 1045.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 564.0, + 275.0, + 1036.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 577.0, + 261.0, + 1036.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 578.0, + 253.0, + 1042.0, + 597.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/733824d431" + }, + "734ea0d7fb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 361.0, + 242.0, + 1059.0, + 469.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 254.0, + 242.0, + 1028.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 229.0, + 263.0, + 1072.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 138.0, + 273.0, + 1130.0, + 565.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/734ea0d7fb" + }, + "735a7cf7b9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 545.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 629.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 1.0, + 505.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 75.0, + 550.0, + 563.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/735a7cf7b9" + }, + "7367a42892": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 1103.0, + 680.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 864.0, + 673.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 928.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 892.0, + 709.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7367a42892" + }, + "7368d5c053": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 153.0, + 0.0, + 1210.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 234.0, + 0.0, + 1182.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 321.0, + 99.0, + 1136.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 330.0, + 77.0, + 1134.0, + 511.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00085", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7368d5c053" + }, + "738e5a0a14": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 135.0, + 205.0, + 1004.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 217.0, + 289.0, + 985.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 242.0, + 162.0, + 1046.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 151.0, + 187.0, + 1069.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/738e5a0a14" + }, + "73c6ae7711": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 348.0, + 321.0, + 774.0, + 635.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 657.0, + 159.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 423.0, + 247.0, + 677.0, + 495.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 472.0, + 257.0, + 643.0, + 516.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 457.0, + 334.0, + 816.0, + 591.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/73c6ae7711" + }, + "73e1852735": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 700.0, + 311.0, + 784.0, + 471.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 681.0, + 284.0, + 773.0, + 422.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 478.0, + 223.0, + 633.0, + 374.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 584.0, + 260.0, + 687.0, + 411.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 724.0, + 228.0, + 883.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 663.0, + 316.0, + 757.0, + 465.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 655.0, + 283.0, + 727.0, + 355.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 548.0, + 243.0, + 722.0, + 368.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 577.0, + 256.0, + 679.0, + 403.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 777.0, + 251.0, + 903.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 527.0, + 331.0, + 610.0, + 472.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 421.0, + 324.0, + 489.0, + 461.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 703.0, + 313.0, + 800.0, + 450.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 617.0, + 314.0, + 735.0, + 457.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 819.0, + 322.0, + 967.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 418.0, + 355.0, + 510.0, + 483.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 322.0, + 352.0, + 394.0, + 476.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 678.0, + 352.0, + 789.0, + 479.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 552.0, + 351.0, + 627.0, + 487.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 838.0, + 355.0, + 1002.0, + 476.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/73e1852735" + }, + "73e4e5cc74": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 543.0, + 0.0, + 787.0, + 394.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 537.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 410.0, + 0.0, + 624.0, + 459.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 338.0, + 286.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 421.0, + 0.0, + 610.0, + 508.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 423.0, + 477.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 319.0, + 0.0, + 692.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 635.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/73e4e5cc74" + }, + "73eac9156b": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 427.0, + 224.0, + 1026.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 666.0, + 335.0, + 1098.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 916.0, + 295.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 1112.0, + 345.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/73eac9156b" + }, + "73f8441a88": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 771.0, + 70.0, + 933.0, + 413.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 491.0, + 366.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 38.0, + 396.0, + 532.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 834.0, + 75.0, + 1002.0, + 415.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 557.0, + 371.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 44.0, + 383.0, + 589.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 941.0, + 9.0, + 1120.0, + 406.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 642.0, + 367.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 64.0, + 358.0, + 800.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1052.0, + 50.0, + 1230.0, + 335.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 970.0, + 301.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 228.0, + 279.0, + 1020.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/73f8441a88" + }, + "7419e2ab3f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 854.0, + 269.0, + 1085.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 807.0, + 256.0, + 1086.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 630.0, + 255.0, + 1073.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 626.0, + 251.0, + 1122.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7419e2ab3f" + }, + "74267f68b9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 542.0, + 149.0, + 849.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 590.0, + 138.0, + 844.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 506.0, + 154.0, + 781.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 528.0, + 161.0, + 684.0, + 653.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/74267f68b9" + }, + "747c44785c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 313.0, + 169.0, + 653.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 351.0, + 68.0, + 786.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 325.0, + 3.0, + 1050.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 435.0, + 0.0, + 1279.0, + 632.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/747c44785c" + }, + "747f1b1f2f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 505.0, + 0.0, + 1036.0, + 457.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 397.0, + 0.0, + 856.0, + 144.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 754.0, + 120.0, + 1279.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 439.0, + 148.0, + 1052.0, + 578.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 501.0, + 0.0, + 928.0, + 232.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 754.0, + 97.0, + 1279.0, + 443.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 427.0, + 174.0, + 1204.0, + 719.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 918.0, + 136.0, + 1279.0, + 666.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 883.0, + 187.0, + 1279.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 461.0, + 0.0, + 1279.0, + 309.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 842.0, + 179.0, + 1279.0, + 420.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/747f1b1f2f" + }, + "748b2d5c01": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 601.0, + 98.0, + 801.0, + 305.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 627.0, + 164.0, + 722.0, + 252.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 593.0, + 101.0, + 728.0, + 274.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 590.0, + 78.0, + 784.0, + 282.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 617.0, + 142.0, + 709.0, + 234.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 582.0, + 79.0, + 715.0, + 252.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 617.0, + 69.0, + 818.0, + 274.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 650.0, + 133.0, + 742.0, + 222.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 630.0, + 66.0, + 748.0, + 230.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 644.0, + 103.0, + 850.0, + 304.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 668.0, + 159.0, + 770.0, + 253.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 631.0, + 96.0, + 769.0, + 274.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/748b2d5c01" + }, + "74d4cee0a4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 511.0, + 151.0, + 855.0, + 372.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 536.0, + 338.0, + 763.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 704.0, + 336.0, + 814.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 509.0, + 159.0, + 863.0, + 369.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 538.0, + 352.0, + 771.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 704.0, + 349.0, + 817.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 531.0, + 148.0, + 899.0, + 373.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 579.0, + 347.0, + 828.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 731.0, + 338.0, + 864.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 565.0, + 159.0, + 985.0, + 398.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 625.0, + 376.0, + 919.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 821.0, + 373.0, + 947.0, + 667.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/74d4cee0a4" + }, + "74ec2b3073": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 658.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 665.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 667.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/74ec2b3073" + }, + "74ef677020": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 192.0, + 103.0, + 1051.0, + 343.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 5.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 186.0, + 78.0, + 1123.0, + 337.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 116.0, + 33.0, + 1069.0, + 292.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 204.0, + 45.0, + 1067.0, + 309.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/74ef677020" + }, + "750be4c4d8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 777.0, + 414.0, + 868.0, + 661.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 104.0, + 347.0, + 137.0, + 438.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 124.0, + 339.0, + 145.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 809.0, + 378.0, + 881.0, + 578.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 238.0, + 337.0, + 262.0, + 406.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 234.0, + 327.0, + 250.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 821.0, + 408.0, + 893.0, + 596.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 270.0, + 364.0, + 294.0, + 432.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 261.0, + 356.0, + 276.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 852.0, + 371.0, + 912.0, + 554.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 270.0, + 343.0, + 294.0, + 409.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 245.0, + 333.0, + 265.0, + 391.0 + ] + } + } + ], + "frame_names": [ + "00065", + "00090", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/750be4c4d8" + }, + "75172d4ac8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 550.0, + 107.0, + 756.0, + 393.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 552.0, + 169.0, + 772.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 568.0, + 169.0, + 806.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 535.0, + 177.0, + 778.0, + 448.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75172d4ac8" + }, + "75285a7eb1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 273.0, + 0.0, + 718.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 126.0, + 1190.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 139.0, + 150.0, + 1268.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 133.0, + 77.0, + 1206.0, + 617.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75285a7eb1" + }, + "75504539c3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 262.0, + 96.0, + 742.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 260.0, + 153.0, + 807.0, + 714.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 427.0, + 88.0, + 966.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1193.0, + 179.0, + 1273.0, + 475.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 415.0, + 147.0, + 711.0, + 706.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 1198.0, + 367.0, + 1225.0, + 382.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 381.0, + 139.0, + 906.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 993.0, + 242.0, + 1273.0, + 667.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 253.0, + 150.0, + 557.0, + 522.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 968.0, + 243.0, + 1107.0, + 475.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75504539c3" + }, + "7550949b1d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 372.0, + 959.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 381.0, + 959.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 380.0, + 959.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 380.0, + 959.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7550949b1d" + }, + "7551cbd537": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 195.0, + 247.0, + 1133.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 493.0, + 85.0, + 1129.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 462.0, + 194.0, + 1129.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 515.0, + 113.0, + 1138.0, + 715.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7551cbd537" + }, + "75595b453d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 91.0, + 179.0, + 312.0, + 363.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 136.0, + 309.0, + 297.0, + 363.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 874.0, + 159.0, + 1122.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 47.0, + 226.0, + 381.0, + 326.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 133.0, + 279.0, + 293.0, + 332.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 837.0, + 171.0, + 1213.0, + 369.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 147.0, + 171.0, + 358.0, + 324.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 182.0, + 269.0, + 347.0, + 323.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 941.0, + 207.0, + 1241.0, + 319.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 358.0, + 206.0, + 626.0, + 386.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 416.0, + 329.0, + 571.0, + 388.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 1181.0, + 259.0, + 1277.0, + 303.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75595b453d" + }, + "7559b4b0ec": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 198.0, + 189.0, + 940.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 256.0, + 193.0, + 975.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 418.0, + 170.0, + 1068.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 384.0, + 164.0, + 902.0, + 562.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7559b4b0ec" + }, + "755bd1fbeb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 613.0, + 606.0, + 1032.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 143.0, + 42.0, + 988.0, + 577.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 921.0, + 72.0, + 970.0, + 140.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 611.0, + 603.0, + 1040.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 132.0, + 69.0, + 985.0, + 587.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 931.0, + 72.0, + 968.0, + 141.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 625.0, + 603.0, + 1056.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 150.0, + 82.0, + 1011.0, + 612.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 933.0, + 69.0, + 968.0, + 123.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 625.0, + 604.0, + 1054.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 156.0, + 116.0, + 1026.0, + 617.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 938.0, + 70.0, + 970.0, + 134.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/755bd1fbeb" + }, + "756f76f74d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 321.0, + 397.0, + 532.0, + 479.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 258.0, + 98.0, + 921.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 403.0, + 348.0, + 677.0, + 426.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 62.0, + 132.0, + 906.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 775.0, + 296.0, + 1095.0, + 371.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 496.0, + 98.0, + 1062.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 767.0, + 327.0, + 1033.0, + 378.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 221.0, + 118.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00065", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/756f76f74d" + }, + "7570ca7f3c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 148.0, + 59.0, + 923.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 152.0, + 82.0, + 909.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 146.0, + 87.0, + 937.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 151.0, + 40.0, + 876.0, + 603.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7570ca7f3c" + }, + "757a69746e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 436.0, + 162.0, + 745.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 438.0, + 185.0, + 741.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 436.0, + 182.0, + 743.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 430.0, + 127.0, + 757.0, + 525.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/757a69746e" + }, + "757cac96c6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 523.0, + 252.0, + 736.0, + 635.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 554.0, + 223.0, + 770.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 483.0, + 212.0, + 689.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 483.0, + 333.0, + 734.0, + 538.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/757cac96c6" + }, + "7584129dc3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 1267.0, + 631.0, + 1279.0, + 642.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 320.0, + 220.0, + 664.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 160.0, + 593.0, + 719.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 192.0, + 72.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 282.0, + 483.0, + 692.0, + 719.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7584129dc3" + }, + "75a058dbcd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 207.0, + 1252.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 216.0, + 1279.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 232.0, + 1279.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 314.0, + 1279.0, + 649.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75a058dbcd" + }, + "75b09ce005": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 205.0, + 0.0, + 942.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 727.0, + 19.0, + 1157.0, + 347.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 913.0, + 370.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 209.0, + 0.0, + 948.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 727.0, + 20.0, + 1156.0, + 345.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 914.0, + 370.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 377.0, + 0.0, + 918.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 724.0, + 22.0, + 1157.0, + 348.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 913.0, + 371.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 341.0, + 0.0, + 1066.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 726.0, + 38.0, + 1158.0, + 344.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 914.0, + 371.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75b09ce005" + }, + "75cae39a8f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 209.0, + 41.0, + 869.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 179.0, + 236.0, + 701.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 406.0, + 143.0, + 881.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 251.0, + 178.0, + 705.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75cae39a8f" + }, + "75cee6caf0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 509.0, + 390.0, + 890.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 513.0, + 352.0, + 864.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 570.0, + 328.0, + 858.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 500.0, + 336.0, + 984.0, + 682.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75cee6caf0" + }, + "75cf58fb2c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 793.0, + 616.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 721.0, + 0.0, + 1157.0, + 358.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 708.0, + 268.0, + 971.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 707.0, + 406.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 685.0, + 0.0, + 1279.0, + 456.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 492.0, + 175.0, + 853.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 292.0, + 0.0, + 919.0, + 436.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 620.0, + 486.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 516.0, + 331.0, + 891.0, + 707.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 240.0, + 54.0, + 893.0, + 493.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 536.0, + 369.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 574.0, + 348.0, + 935.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75cf58fb2c" + }, + "75d5c2f32a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 378.0, + 513.0, + 634.0, + 696.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 476.0, + 0.0, + 1279.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 301.0, + 517.0, + 636.0, + 670.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 478.0, + 0.0, + 1279.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 183.0, + 384.0, + 740.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 92.0, + 895.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75d5c2f32a" + }, + "75eaf5669d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 1071.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 823.0, + 28.0, + 1279.0, + 163.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 882.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 803.0, + 0.0, + 1279.0, + 125.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 862.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 799.0, + 0.0, + 1279.0, + 124.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 930.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 462.0, + 0.0, + 1279.0, + 185.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 584.0, + 60.0, + 713.0, + 231.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75eaf5669d" + }, + "75f7937438": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 383.0, + 0.0, + 668.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 328.0, + 0.0, + 611.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 347.0, + 0.0, + 635.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 333.0, + 0.0, + 635.0, + 567.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75f7937438" + }, + "75f99bd3b3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 843.0, + 30.0, + 1157.0, + 719.0 + ] + }, + "2": {}, + "3": { + "category_name": "hat", + "bbox": [ + 845.0, + 30.0, + 1151.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 291.0, + 78.0, + 334.0, + 108.0 + ] + }, + "2": {}, + "3": { + "category_name": "hat", + "bbox": [ + 291.0, + 75.0, + 333.0, + 107.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 898.0, + 56.0, + 1199.0, + 719.0 + ] + }, + "2": {}, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75f99bd3b3" + }, + "75fa586876": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 222.0, + 0.0, + 1045.0, + 367.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 391.0, + 0.0, + 898.0, + 155.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 184.0, + 0.0, + 999.0, + 392.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 651.0, + 0.0, + 937.0, + 199.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 100.0, + 0.0, + 909.0, + 405.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 675.0, + 17.0, + 939.0, + 236.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 77.0, + 616.0, + 405.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 581.0, + 51.0, + 725.0, + 295.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75fa586876" + }, + "7613df1f84": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 382.0, + 117.0, + 801.0, + 377.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 338.0, + 70.0, + 612.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 328.0, + 226.0, + 655.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 304.0, + 136.0, + 562.0, + 553.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7613df1f84" + }, + "762e1b3487": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 636.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 296.0, + 230.0, + 1279.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 532.0, + 0.0, + 1279.0, + 591.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 278.0, + 194.0, + 1277.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 484.0, + 0.0, + 1279.0, + 546.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 246.0, + 227.0, + 1195.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 522.0, + 0.0, + 1279.0, + 555.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 278.0, + 188.0, + 1205.0, + 404.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/762e1b3487" + }, + "76379a3e69": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 434.0, + 0.0, + 1133.0, + 715.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 296.0, + 0.0, + 1227.0, + 445.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 272.0, + 0.0, + 1229.0, + 438.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 92.0, + 815.0, + 560.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 739.0, + 220.0, + 1168.0, + 656.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/76379a3e69" + }, + "764271f0f3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 396.0, + 177.0, + 753.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 433.0, + 163.0, + 752.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 360.0, + 94.0, + 761.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 342.0, + 53.0, + 687.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/764271f0f3" + }, + "764503c499": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 522.0, + 154.0, + 597.0, + 211.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 661.0, + 143.0, + 766.0, + 227.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1151.0, + 264.0, + 1194.0, + 305.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 515.0, + 150.0, + 585.0, + 205.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 642.0, + 142.0, + 732.0, + 215.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 992.0, + 246.0, + 1031.0, + 282.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 505.0, + 152.0, + 573.0, + 203.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 628.0, + 142.0, + 709.0, + 210.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 866.0, + 240.0, + 908.0, + 277.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 441.0, + 149.0, + 523.0, + 196.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 606.0, + 143.0, + 669.0, + 201.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 554.0, + 239.0, + 588.0, + 275.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/764503c499" + }, + "7666351b84": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 170.0, + 203.0, + 238.0, + 245.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 315.0, + 202.0, + 383.0, + 238.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 396.0, + 139.0, + 604.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 222.0, + 190.0, + 293.0, + 232.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 337.0, + 184.0, + 400.0, + 220.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 387.0, + 140.0, + 573.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 237.0, + 182.0, + 309.0, + 226.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 365.0, + 208.0, + 412.0, + 248.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 377.0, + 144.0, + 556.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 222.0, + 177.0, + 293.0, + 222.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 347.0, + 202.0, + 409.0, + 244.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 374.0, + 148.0, + 551.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7666351b84" + }, + "76693db153": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 332.0, + 119.0, + 565.0, + 580.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 384.0, + 175.0, + 568.0, + 540.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 416.0, + 215.0, + 572.0, + 519.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 480.0, + 271.0, + 582.0, + 472.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/76693db153" + }, + "767856368b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 196.0, + 187.0, + 1085.0, + 464.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 159.0, + 251.0, + 208.0, + 362.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 230.0, + 185.0, + 1077.0, + 467.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 110.0, + 238.0, + 138.0, + 356.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 168.0, + 255.0, + 188.0, + 351.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 306.0, + 250.0, + 1115.0, + 531.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 53.0, + 282.0, + 103.0, + 399.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 144.0, + 303.0, + 173.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 372.0, + 250.0, + 1159.0, + 538.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 2.0, + 269.0, + 53.0, + 384.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 106.0, + 291.0, + 159.0, + 392.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/767856368b" + }, + "768671f652": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 222.0, + 249.0, + 494.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 501.0, + 118.0, + 928.0, + 257.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 920.0, + 169.0, + 1279.0, + 298.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 1066.0, + 201.0, + 1279.0, + 391.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/768671f652" + }, + "768802b80d": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 31 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 596.0, + 208.0, + 821.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 577.0, + 102.0, + 944.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 523.0, + 249.0, + 742.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 229.0, + 849.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/768802b80d" + }, + "76962c7ed2": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 66.0, + 198.0, + 454.0, + 423.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 518.0, + 265.0, + 951.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 595.0, + 17.0, + 1182.0, + 314.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 680.0, + 0.0, + 1095.0, + 201.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 735.0, + 0.0, + 851.0, + 115.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/76962c7ed2" + }, + "76a75f4eee": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 489.0, + 201.0, + 1164.0, + 710.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 690.0, + 215.0, + 1114.0, + 533.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 750.0, + 14.0, + 1198.0, + 446.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 863.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 333.0, + 130.0, + 1114.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 543.0, + 129.0, + 1012.0, + 480.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 633.0, + 0.0, + 1218.0, + 356.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 584.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 509.0, + 0.0, + 1154.0, + 713.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 760.0, + 118.0, + 1144.0, + 471.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 681.0, + 0.0, + 1198.0, + 352.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 191.0, + 91.0, + 524.0, + 473.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 657.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 409.0, + 35.0, + 1104.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 698.0, + 211.0, + 1112.0, + 565.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 604.0, + 31.0, + 1170.0, + 439.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 119.0, + 174.0, + 456.0, + 570.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 591.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/76a75f4eee" + }, + "76b90809f7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 12.0, + 29.0, + 642.0, + 663.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 564.0, + 15.0, + 1279.0, + 485.0 + ] + }, + "3": { + "category_name": "snail", + "bbox": [ + 0.0, + 340.0, + 24.0, + 567.0 + ] + }, + "4": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 600.0, + 0.0, + 1225.0, + 512.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 1157.0, + 0.0, + 1279.0, + 298.0 + ] + }, + "3": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 630.0, + 607.0 + ] + }, + "4": { + "category_name": "snail", + "bbox": [ + 0.0, + 34.0, + 207.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "snail", + "bbox": [ + 655.0, + 0.0, + 1279.0, + 585.0 + ] + }, + "4": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 928.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "snail", + "bbox": [ + 775.0, + 25.0, + 1279.0, + 638.0 + ] + }, + "4": { + "category_name": "snail", + "bbox": [ + 0.0, + 15.0, + 1042.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/76b90809f7" + }, + "770a441457": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 712.0, + 245.0, + 953.0, + 524.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 519.0, + 358.0, + 1159.0, + 544.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 685.0, + 317.0, + 992.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 732.0, + 242.0, + 973.0, + 514.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 536.0, + 363.0, + 1191.0, + 532.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 699.0, + 324.0, + 996.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 707.0, + 266.0, + 952.0, + 534.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 469.0, + 336.0, + 1173.0, + 490.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 674.0, + 311.0, + 962.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 3.0, + 337.0, + 255.0, + 616.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 1.0, + 446.0, + 448.0, + 504.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 349.0, + 266.0, + 700.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/770a441457" + }, + "772a0fa402": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 539.0, + 0.0, + 1279.0, + 649.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 551.0, + 0.0, + 1279.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 494.0, + 0.0, + 1279.0, + 643.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 497.0, + 0.0, + 1279.0, + 608.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/772a0fa402" + }, + "772f2ffc3e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 110.0, + 0.0, + 444.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 107.0, + 0.0, + 443.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 104.0, + 2.0, + 457.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 108.0, + 0.0, + 456.0, + 359.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/772f2ffc3e" + }, + "774f6c2175": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 503.0, + 148.0, + 886.0, + 705.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 471.0, + 127.0, + 860.0, + 687.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 493.0, + 127.0, + 882.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 499.0, + 129.0, + 884.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/774f6c2175" + }, + "77610860e0": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 592.0, + 301.0, + 657.0, + 406.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 397.0, + 340.0, + 506.0, + 450.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 146.0, + 163.0, + 279.0, + 410.0 + ] + }, + "4": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 599.0, + 290.0, + 676.0, + 350.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 566.0, + 326.0, + 663.0, + 427.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 99.0, + 160.0, + 277.0, + 404.0 + ] + }, + "4": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 555.0, + 317.0, + 617.0, + 411.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 609.0, + 302.0, + 718.0, + 444.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 46.0, + 160.0, + 252.0, + 403.0 + ] + }, + "4": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 534.0, + 310.0, + 701.0, + 427.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 623.0, + 347.0, + 757.0, + 442.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 1.0, + 159.0, + 142.0, + 384.0 + ] + }, + "4": { + "category_name": "cow", + "bbox": [ + 1156.0, + 241.0, + 1279.0, + 495.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/77610860e0" + }, + "777e58ff3d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 840.0, + 187.0, + 866.0, + 209.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 802.0, + 187.0, + 894.0, + 351.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 829.0, + 303.0, + 895.0, + 355.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 636.0, + 445.0, + 681.0, + 476.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 598.0, + 464.0, + 718.0, + 582.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 697.0, + 552.0, + 778.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 700.0, + 451.0, + 744.0, + 496.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 652.0, + 478.0, + 744.0, + 601.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 723.0, + 551.0, + 795.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 709.0, + 435.0, + 756.0, + 495.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 617.0, + 466.0, + 758.0, + 617.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 731.0, + 586.0, + 845.0, + 636.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/777e58ff3d" + }, + "77920f1708": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 549.0, + 186.0, + 860.0, + 611.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 537.0, + 256.0, + 792.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 514.0, + 244.0, + 803.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 519.0, + 242.0, + 787.0, + 474.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/77920f1708" + }, + "7799df28e7": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 209.0, + 111.0, + 807.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 222.0, + 93.0, + 821.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 286.0, + 90.0, + 891.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 250.0, + 112.0, + 875.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7799df28e7" + }, + "779e847a9a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 792.0, + 529.0, + 961.0, + 669.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1225.0, + 462.0, + 1393.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 861.0, + 524.0, + 1032.0, + 655.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1299.0, + 455.0, + 1473.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 908.0, + 514.0, + 1083.0, + 647.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1348.0, + 447.0, + 1527.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 942.0, + 508.0, + 1125.0, + 648.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1399.0, + 445.0, + 1567.0, + 559.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/779e847a9a" + }, + "77ba4edc72": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 268.0, + 50.0, + 937.0, + 640.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 56.0, + 640.0, + 173.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 1117.0, + 435.0, + 1279.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 310.0, + 76.0, + 895.0, + 575.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 288.0, + 548.0, + 369.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 1063.0, + 401.0, + 1189.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 309.0, + 81.0, + 878.0, + 555.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 356.0, + 524.0, + 428.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 1041.0, + 390.0, + 1150.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 307.0, + 87.0, + 848.0, + 533.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 410.0, + 494.0, + 472.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 1005.0, + 380.0, + 1097.0, + 440.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/77ba4edc72" + }, + "77d8aa8691": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 140.0, + 338.0, + 620.0, + 506.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 199.0, + 300.0, + 549.0, + 369.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 237.0, + 227.0, + 621.0, + 318.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 289.0, + 31.0, + 608.0, + 262.0 + ] + }, + "5": { + "category_name": "fish", + "bbox": [ + 817.0, + 178.0, + 1058.0, + 391.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 667.0, + 415.0, + 1161.0, + 545.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 219.0, + 301.0, + 640.0, + 383.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 273.0, + 224.0, + 643.0, + 319.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 266.0, + 38.0, + 505.0, + 329.0 + ] + }, + "5": { + "category_name": "fish", + "bbox": [ + 635.0, + 298.0, + 980.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 894.0, + 385.0, + 1279.0, + 513.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 196.0, + 296.0, + 645.0, + 393.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 263.0, + 222.0, + 658.0, + 307.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 267.0, + 36.0, + 496.0, + 324.0 + ] + }, + "5": { + "category_name": "fish", + "bbox": [ + 605.0, + 294.0, + 922.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 812.0, + 232.0, + 1204.0, + 323.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 199.0, + 299.0, + 627.0, + 405.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 265.0, + 224.0, + 630.0, + 343.0 + ] + }, + "4": { + "category_name": "fish", + "bbox": [ + 252.0, + 95.0, + 436.0, + 327.0 + ] + }, + "5": { + "category_name": "fish", + "bbox": [ + 642.0, + 210.0, + 745.0, + 471.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/77d8aa8691" + }, + "77e7f38f4d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 263.0, + 10.0, + 1052.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 443.0, + 58.0, + 1124.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 417.0, + 42.0, + 1120.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 883.0, + 478.0, + 1002.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 395.0, + 49.0, + 1054.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 775.0, + 293.0, + 1072.0, + 650.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/77e7f38f4d" + }, + "77eea6845e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 818.0, + 719.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 358.0, + 444.0, + 523.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 621.0, + 719.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 286.0, + 631.0, + 416.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 663.0, + 719.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 315.0, + 618.0, + 462.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 435.0, + 719.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 257.0, + 593.0, + 412.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/77eea6845e" + }, + "7806308f33": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 579.0, + 171.0, + 744.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 580.0, + 166.0, + 772.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 595.0, + 165.0, + 784.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 644.0, + 116.0, + 827.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7806308f33" + }, + "78254660ea": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 451.0, + 719.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 471.0, + 719.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 457.0, + 719.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 29.0, + 1279.0, + 465.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78254660ea" + }, + "7828af8bff": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 212.0, + 54.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 259.0, + 0.0, + 1064.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 178.0, + 1.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 236.0, + 0.0, + 983.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 78.0, + 1.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 281.0, + 0.0, + 996.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 94.0, + 24.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 342.0, + 0.0, + 966.0, + 418.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7828af8bff" + }, + "784398620a": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 289.0, + 84.0, + 938.0, + 714.0 + ] + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 450.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 292.0, + 74.0, + 939.0, + 719.0 + ] + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 316.0, + 106.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 404.0, + 80.0, + 939.0, + 714.0 + ] + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 209.0, + 923.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 292.0, + 83.0, + 939.0, + 716.0 + ] + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00030", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/784398620a" + }, + "784d201b12": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 107.0, + 539.0, + 958.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 12.0, + 314.0, + 959.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 134.0, + 577.0, + 942.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 9.0, + 375.0, + 914.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 131.0, + 586.0, + 912.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 36.0, + 374.0, + 896.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 125.0, + 595.0, + 913.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 30.0, + 386.0, + 906.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/784d201b12" + }, + "78613981ed": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 948.0, + 649.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 946.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 934.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 939.0, + 577.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78613981ed" + }, + "78896c6baf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 457.0, + 321.0, + 972.0, + 446.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 561.0, + 203.0, + 966.0, + 343.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 177.0, + 248.0, + 621.0, + 403.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 497.0, + 368.0, + 1042.0, + 461.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 607.0, + 211.0, + 998.0, + 355.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 185.0, + 280.0, + 674.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 515.0, + 370.0, + 1047.0, + 459.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 642.0, + 211.0, + 975.0, + 352.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 223.0, + 284.0, + 672.0, + 406.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 476.0, + 349.0, + 928.0, + 465.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 629.0, + 140.0, + 863.0, + 351.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 105.0, + 218.0, + 565.0, + 401.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78896c6baf" + }, + "78aff3ebc0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 230.0, + 169.0, + 643.0, + 539.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 771.0, + 267.0, + 1262.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 222.0, + 153.0, + 648.0, + 533.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 758.0, + 252.0, + 1271.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 222.0, + 159.0, + 652.0, + 535.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 751.0, + 262.0, + 1253.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 155.0, + 117.0, + 585.0, + 499.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 708.0, + 223.0, + 1182.0, + 550.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78aff3ebc0" + }, + "78c7c03716": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 275.0, + 31.0, + 684.0, + 694.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 557.0, + 295.0, + 1218.0, + 691.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 806.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 301.0, + 20.0, + 678.0, + 680.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 559.0, + 284.0, + 1220.0, + 693.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 742.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 319.0, + 16.0, + 653.0, + 616.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 564.0, + 247.0, + 1152.0, + 602.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 732.0, + 0.0, + 1242.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 273.0, + 0.0, + 696.0, + 644.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 513.0, + 296.0, + 1090.0, + 619.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 635.0, + 0.0, + 1140.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78c7c03716" + }, + "78d3676361": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 91.0, + 464.0, + 291.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 159.0, + 444.0, + 291.0, + 672.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 418.0, + 340.0, + 768.0, + 369.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 409.0, + 453.0, + 515.0, + 661.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 425.0, + 342.0, + 527.0, + 508.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 464.0, + 341.0, + 776.0, + 368.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 437.0, + 418.0, + 535.0, + 608.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 457.0, + 310.0, + 553.0, + 480.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 428.0, + 343.0, + 777.0, + 371.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 567.0, + 299.0, + 633.0, + 439.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 577.0, + 220.0, + 646.0, + 351.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 439.0, + 470.0, + 778.0, + 485.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78d3676361" + }, + "78e29dd4c3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 623.0, + 217.0, + 1182.0, + 660.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 286.0, + 54.0, + 921.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 623.0, + 229.0, + 1174.0, + 665.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 286.0, + 67.0, + 885.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 619.0, + 227.0, + 1170.0, + 661.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 285.0, + 55.0, + 883.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 617.0, + 228.0, + 1170.0, + 665.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 287.0, + 99.0, + 953.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78e29dd4c3" + }, + "78f1a1a54f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 5.0, + 217.0, + 735.0, + 643.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 235.0, + 751.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 18.0, + 259.0, + 805.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 29.0, + 259.0, + 773.0, + 658.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78f1a1a54f" + }, + "79208585cd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 111.0, + 161.0, + 482.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 126.0, + 173.0, + 489.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 94.0, + 174.0, + 468.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 102.0, + 188.0, + 457.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79208585cd" + }, + "792218456c": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 661.0, + 349.0, + 1069.0, + 588.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 598.0, + 397.0, + 971.0, + 639.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 598.0, + 80.0, + 869.0, + 199.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 1109.0, + 88.0, + 1279.0, + 202.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/792218456c" + }, + "7923bad550": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 206.0, + 121.0, + 1001.0, + 658.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 122.0, + 95.0, + 1015.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 94.0, + 56.0, + 1014.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 108.0, + 7.0, + 1001.0, + 579.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7923bad550" + }, + "794e6fc49f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 190.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 214.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 280.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 102.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00215", + "00245", + "00265", + "00280" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/794e6fc49f" + }, + "796e6762ce": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 560.0, + 332.0, + 846.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 554.0, + 319.0, + 841.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 554.0, + 324.0, + 842.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 555.0, + 324.0, + 844.0, + 579.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/796e6762ce" + }, + "797cd21f71": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 893.0, + 387.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 909.0, + 261.0, + 1279.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 883.0, + 311.0, + 1279.0, + 596.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 709.0, + 268.0, + 1211.0, + 676.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/797cd21f71" + }, + "79921b21c2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 164.0, + 81.0, + 691.0, + 719.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 653.0, + 304.0, + 1103.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 226.0, + 115.0, + 709.0, + 719.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 673.0, + 253.0, + 1141.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 216.0, + 0.0, + 745.0, + 719.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 651.0, + 110.0, + 1133.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 320.0, + 253.0, + 799.0, + 719.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 715.0, + 266.0, + 1243.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79921b21c2" + }, + "79a5778027": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 412.0, + 0.0, + 901.0, + 422.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 457.0, + 0.0, + 692.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 401.0, + 0.0, + 1002.0, + 470.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 457.0, + 0.0, + 754.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 438.0, + 0.0, + 934.0, + 451.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 480.0, + 25.0, + 698.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 445.0, + 0.0, + 936.0, + 435.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 489.0, + 29.0, + 703.0, + 646.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79a5778027" + }, + "79bc006280": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 476.0, + 189.0, + 853.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 460.0, + 184.0, + 869.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 524.0, + 194.0, + 867.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 498.0, + 207.0, + 793.0, + 492.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79bc006280" + }, + "79bf95e624": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 160.0, + 233.0, + 336.0, + 572.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 271.0, + 187.0, + 386.0, + 503.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 528.0, + 284.0, + 696.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 140.0, + 231.0, + 310.0, + 572.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 263.0, + 179.0, + 383.0, + 501.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 531.0, + 284.0, + 695.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 166.0, + 213.0, + 284.0, + 585.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 223.0, + 189.0, + 333.0, + 499.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 525.0, + 285.0, + 698.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 180.0, + 223.0, + 282.0, + 587.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 158.0, + 188.0, + 343.0, + 504.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 527.0, + 287.0, + 697.0, + 582.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79bf95e624" + }, + "79d9e00c55": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 754.0, + 43.0, + 1020.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 734.0, + 1.0, + 1021.0, + 339.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 707.0, + 1.0, + 1039.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 584.0, + 32.0, + 931.0, + 466.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79d9e00c55" + }, + "79e20fc008": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 700.0, + 428.0, + 782.0, + 460.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 606.0, + 115.0, + 694.0, + 334.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 451.0, + 126.0, + 542.0, + 349.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 429.0, + 341.0, + 541.0, + 366.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 248.0, + 69.0, + 394.0, + 107.0 + ] + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79e20fc008" + }, + "79e9db913e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 542.0, + 215.0, + 1075.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 430.0, + 256.0, + 963.0, + 467.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 145.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 687.0, + 83.0, + 1279.0, + 443.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 551.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1054.0, + 60.0, + 1279.0, + 192.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 586.0, + 568.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1096.0, + 96.0, + 1279.0, + 279.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79e9db913e" + }, + "79f014085e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1114.0, + 44.0, + 1167.0, + 94.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1176.0, + 19.0, + 1246.0, + 81.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1045.0, + 17.0, + 1124.0, + 147.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1145.0, + 0.0, + 1199.0, + 48.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 986.0, + 27.0, + 1063.0, + 304.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1072.0, + 4.0, + 1142.0, + 77.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 969.0, + 33.0, + 1063.0, + 319.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1052.0, + 8.0, + 1129.0, + 114.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79f014085e" + }, + "79fcbb433a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 421.0, + 264.0, + 571.0, + 341.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 432.0, + 1.0, + 788.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 451.0, + 234.0, + 585.0, + 338.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 430.0, + 0.0, + 775.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 458.0, + 239.0, + 602.0, + 309.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 444.0, + 0.0, + 793.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 472.0, + 235.0, + 613.0, + 325.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 455.0, + 19.0, + 817.0, + 563.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79fcbb433a" + }, + "7a13a5dfaa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 398.0, + 154.0, + 752.0, + 660.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 639.0, + 385.0, + 927.0, + 710.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 410.0, + 72.0, + 724.0, + 531.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 503.0, + 241.0, + 827.0, + 649.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 504.0, + 184.0, + 784.0, + 626.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 650.0, + 396.0, + 917.0, + 716.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 434.0, + 261.0, + 719.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 450.0, + 164.0, + 751.0, + 604.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 613.0, + 399.0, + 879.0, + 712.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 439.0, + 269.0, + 615.0, + 610.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a13a5dfaa" + }, + "7a14bc9a36": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1229.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1139.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a14bc9a36" + }, + "7a3c535f70": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 694.0, + 6.0, + 1034.0, + 436.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 564.0, + 335.0, + 1260.0, + 613.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 653.0, + 7.0, + 980.0, + 427.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 509.0, + 332.0, + 1043.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 838.0, + 14.0, + 1156.0, + 468.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 710.0, + 384.0, + 1233.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 915.0, + 17.0, + 1245.0, + 430.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 802.0, + 361.0, + 1279.0, + 585.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00085", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a3c535f70" + }, + "7a446a51e9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 360.0, + 28.0, + 761.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 595.0, + 10.0, + 855.0, + 614.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 789.0, + 75.0, + 1213.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 526.0, + 155.0, + 1103.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 574.0, + 47.0, + 947.0, + 649.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 921.0, + 99.0, + 1217.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 564.0, + 193.0, + 1217.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 556.0, + 63.0, + 978.0, + 582.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 857.0, + 102.0, + 1165.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 594.0, + 141.0, + 1147.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 584.0, + 45.0, + 945.0, + 564.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 852.0, + 72.0, + 1023.0, + 246.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a446a51e9" + }, + "7a56e759c5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 178.0, + 73.0, + 465.0, + 264.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 94.0, + 64.0, + 449.0, + 267.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 496.0, + 241.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 1.0, + 559.0, + 288.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a56e759c5" + }, + "7a5f46198d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 583.0, + 617.0, + 691.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 560.0, + 570.0, + 666.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 285.0, + 565.0, + 392.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 266.0, + 523.0, + 378.0, + 708.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a5f46198d" + }, + "7a626ec98d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 518.0, + 2.0, + 719.0, + 335.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 472.0, + 63.0, + 673.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 537.0, + 76.0, + 740.0, + 464.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 504.0, + 119.0, + 700.0, + 508.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a626ec98d" + }, + "7a802264c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 582.0, + 425.0, + 774.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 664.0, + 409.0, + 906.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 669.0, + 418.0, + 940.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 646.0, + 369.0, + 917.0, + 665.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a802264c4" + }, + "7a8b5456ca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 167.0, + 93.0, + 645.0, + 495.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 639.0, + 430.0, + 768.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 92.0, + 167.0, + 672.0, + 541.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 667.0, + 484.0, + 783.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 112.0, + 124.0, + 678.0, + 547.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 510.0, + 395.0, + 768.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 172.0, + 134.0, + 679.0, + 520.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 560.0, + 372.0, + 718.0, + 516.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a8b5456ca" + }, + "7abdff3086": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 174.0, + 989.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 60.0, + 515.0, + 768.0, + 719.0 + ] + }, + "3": { + "category_name": "tiger", + "bbox": [ + 264.0, + 70.0, + 1087.0, + 464.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 1117.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 843.0, + 136.0, + 1279.0, + 692.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 406.0, + 843.0, + 719.0 + ] + }, + "3": { + "category_name": "tiger", + "bbox": [ + 212.0, + 45.0, + 1196.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 412.0, + 173.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 320.0, + 572.0, + 719.0 + ] + }, + "3": { + "category_name": "tiger", + "bbox": [ + 130.0, + 11.0, + 1195.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 632.0, + 294.0, + 1199.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 504.0, + 272.0, + 749.0, + 719.0 + ] + }, + "3": { + "category_name": "tiger", + "bbox": [ + 0.0, + 137.0, + 578.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 1136.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7abdff3086" + }, + "7aecf9f7ac": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 612.0, + 434.0, + 781.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 582.0, + 457.0, + 765.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 594.0, + 525.0, + 801.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 562.0, + 530.0, + 771.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7aecf9f7ac" + }, + "7b0fd09c28": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 990.0, + 688.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 0.0, + 440.0, + 211.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 746.0, + 0.0, + 1279.0, + 615.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 295.0, + 187.0, + 938.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 852.0, + 0.0, + 1279.0, + 640.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 357.0, + 84.0, + 932.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 669.0, + 0.0, + 1279.0, + 620.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 142.0, + 0.0, + 715.0, + 625.0 + ] + } + } + ], + "frame_names": [ + "00155", + "00185", + "00195", + "00210" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b0fd09c28" + }, + "7b18b3db87": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 641.0, + 158.0, + 777.0, + 528.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 442.0, + 214.0, + 595.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 552.0, + 157.0, + 735.0, + 513.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 441.0, + 216.0, + 601.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 579.0, + 161.0, + 735.0, + 571.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 438.0, + 219.0, + 592.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 607.0, + 161.0, + 761.0, + 562.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 460.0, + 239.0, + 645.0, + 705.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b18b3db87" + }, + "7b39fe7371": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 744.0, + 287.0, + 786.0, + 347.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 754.0, + 326.0, + 772.0, + 347.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 702.0, + 197.0, + 769.0, + 296.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 714.0, + 246.0, + 763.0, + 337.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 685.0, + 284.0, + 766.0, + 385.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 692.0, + 324.0, + 771.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 654.0, + 209.0, + 826.0, + 490.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 656.0, + 337.0, + 878.0, + 577.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b39fe7371" + }, + "7b49e03d4c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 15.0, + 110.0, + 657.0, + 711.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 117.0, + 236.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 87.0, + 221.0, + 841.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 354.0, + 303.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 199.0, + 171.0, + 953.0, + 693.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 186.0, + 567.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 197.0, + 198.0, + 963.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 432.0, + 659.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b49e03d4c" + }, + "7b5388c9f1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 278.0, + 170.0, + 664.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 276.0, + 169.0, + 662.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 259.0, + 176.0, + 644.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 292.0, + 169.0, + 691.0, + 561.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b5388c9f1" + }, + "7b5cf7837f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 442.0, + 311.0, + 733.0, + 424.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 448.0, + 334.0, + 757.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 462.0, + 291.0, + 763.0, + 463.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 356.0, + 310.0, + 735.0, + 466.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b5cf7837f" + }, + "7b733d31d8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 0.0, + 64.0, + 557.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 249.0, + 0.0, + 577.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 424.0, + 0.0, + 815.0, + 462.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 391.0, + 0.0, + 708.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 459.0, + 82.0, + 759.0, + 284.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 417.0, + 0.0, + 690.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 303.0, + 0.0, + 1042.0, + 407.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 305.0, + 48.0, + 571.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b733d31d8" + }, + "7b74fd7b98": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 501.0, + 1.0, + 671.0, + 243.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 624.0, + 1.0, + 800.0, + 293.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 679.0, + 17.0, + 853.0, + 361.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 568.0, + 71.0, + 947.0, + 464.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b74fd7b98" + }, + "7b918ccb8a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 360.0, + 97.0, + 1071.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 392.0, + 103.0, + 852.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 388.0, + 117.0, + 856.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 348.0, + 116.0, + 864.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b918ccb8a" + }, + "7ba3ce3485": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 378.0, + 452.0, + 719.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 458.0, + 374.0, + 489.0, + 407.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "motorbike", + "bbox": [ + 433.0, + 402.0, + 464.0, + 433.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 369.0, + 865.0, + 719.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "motorbike", + "bbox": [ + 10.0, + 395.0, + 911.0, + 719.0 + ] + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7ba3ce3485" + }, + "7bb0abc031": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 496.0, + 190.0, + 736.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 498.0, + 197.0, + 773.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 490.0, + 193.0, + 720.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 499.0, + 221.0, + 805.0, + 465.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7bb0abc031" + }, + "7bb5bb25cd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 185.0, + 14.0, + 688.0, + 638.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 276.0, + 338.0, + 433.0, + 485.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 427.0, + 377.0, + 578.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 149.0, + 27.0, + 646.0, + 655.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 248.0, + 352.0, + 403.0, + 499.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 395.0, + 386.0, + 532.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 151.0, + 31.0, + 646.0, + 661.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 246.0, + 362.0, + 399.0, + 504.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 393.0, + 398.0, + 532.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 133.0, + 33.0, + 629.0, + 661.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 222.0, + 357.0, + 381.0, + 505.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 377.0, + 395.0, + 518.0, + 523.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7bb5bb25cd" + }, + "7bb7dac673": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 534.0, + 347.0, + 614.0, + 374.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 654.0, + 39.0, + 880.0, + 134.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 461.0, + 347.0, + 557.0, + 372.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 615.0, + 45.0, + 839.0, + 139.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 439.0, + 311.0, + 554.0, + 345.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 681.0, + 34.0, + 912.0, + 129.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 476.0, + 319.0, + 605.0, + 364.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 779.0, + 33.0, + 1022.0, + 129.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7bb7dac673" + }, + "7bc7761b8c": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 341.0, + 150.0, + 937.0, + 693.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 341.0, + 154.0, + 926.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 337.0, + 151.0, + 926.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 344.0, + 155.0, + 923.0, + 692.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7bc7761b8c" + }, + "7bf3820566": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 487.0, + 221.0, + 786.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 357.0, + 160.0, + 682.0, + 469.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 257.0, + 175.0, + 592.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 362.0, + 171.0, + 714.0, + 503.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7bf3820566" + }, + "7c03a18ec1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 210.0, + 109.0, + 690.0, + 453.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 548.0, + 240.0, + 726.0, + 385.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 837.0, + 180.0, + 1213.0, + 586.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 194.0, + 110.0, + 669.0, + 451.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 567.0, + 182.0, + 703.0, + 378.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 822.0, + 165.0, + 1154.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 235.0, + 103.0, + 659.0, + 446.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 648.0, + 155.0, + 739.0, + 371.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 839.0, + 146.0, + 1192.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 214.0, + 102.0, + 619.0, + 426.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 657.0, + 158.0, + 799.0, + 363.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 828.0, + 175.0, + 1135.0, + 611.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c03a18ec1" + }, + "7c078f211b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 988.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 828.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1178.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 371.0, + 453.0, + 646.0, + 706.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 145.0, + 149.0, + 469.0, + 573.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 89.0, + 66.0, + 179.0, + 155.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c078f211b" + }, + "7c37d7991a": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 1144.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 51.0, + 1158.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 77.0, + 1182.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 113.0, + 1168.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c37d7991a" + }, + "7c4ec17eff": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 681.0, + 624.0, + 790.0, + 693.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 572.0, + 79.0, + 816.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 652.0, + 628.0, + 801.0, + 716.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 466.0, + 0.0, + 865.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 731.0, + 686.0, + 865.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 54.0, + 0.0, + 727.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 359.0, + 515.0, + 503.0, + 597.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 294.0, + 0.0, + 592.0, + 548.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c4ec17eff" + }, + "7c649c2aaf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 120.0, + 597.0, + 651.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 71.0, + 141.0, + 703.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 957.0, + 521.0, + 1219.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 6.0, + 88.0, + 328.0, + 461.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c649c2aaf" + }, + "7c73340ab7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 326.0, + 141.0, + 981.0, + 622.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 571.0, + 433.0, + 807.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 304.0, + 145.0, + 879.0, + 621.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 525.0, + 440.0, + 791.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 256.0, + 109.0, + 821.0, + 602.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 494.0, + 413.0, + 785.0, + 613.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 238.0, + 154.0, + 701.0, + 643.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 650.0, + 430.0, + 831.0, + 624.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c73340ab7" + }, + "7c78a2266d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 141.0, + 0.0, + 1279.0, + 654.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 496.0, + 226.0, + 866.0, + 499.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 874.0, + 0.0, + 1279.0, + 242.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 528.0, + 154.0, + 689.0, + 245.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 78.0, + 0.0, + 1279.0, + 656.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 442.0, + 227.0, + 805.0, + 480.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 830.0, + 0.0, + 1279.0, + 423.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 484.0, + 151.0, + 610.0, + 249.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 38.0, + 0.0, + 1279.0, + 681.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 384.0, + 250.0, + 765.0, + 522.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 780.0, + 0.0, + 1279.0, + 577.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 434.0, + 172.0, + 598.0, + 262.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 97.0, + 0.0, + 1279.0, + 696.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 440.0, + 262.0, + 815.0, + 542.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 890.0, + 0.0, + 1279.0, + 326.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 498.0, + 198.0, + 634.0, + 284.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c78a2266d" + }, + "7c88ce3c5b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 34.0, + 135.0, + 477.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 37.0, + 142.0, + 478.0, + 586.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 34.0, + 147.0, + 469.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 35.0, + 145.0, + 459.0, + 590.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c88ce3c5b" + }, + "7ca6843a72": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 448.0, + 137.0, + 991.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 543.0, + 86.0, + 965.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 552.0, + 128.0, + 983.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 500.0, + 222.0, + 1001.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7ca6843a72" + }, + "7cc9258dee": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 740.0, + 31.0, + 1142.0, + 296.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 797.0, + 102.0, + 1261.0, + 357.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 56.0, + 75.0, + 209.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 999.0, + 150.0, + 1279.0, + 399.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 52.0, + 242.0, + 249.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 1058.0, + 184.0, + 1279.0, + 430.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 1.0, + 89.0, + 268.0, + 288.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00070", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7cc9258dee" + }, + "7d0ffa68a4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 656.0, + 197.0, + 911.0, + 713.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 468.0, + 0.0, + 680.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 668.0, + 212.0, + 916.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 577.0, + 2.0, + 731.0, + 454.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 660.0, + 339.0, + 962.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 612.0, + 58.0, + 795.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 598.0, + 275.0, + 962.0, + 684.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 471.0, + 20.0, + 618.0, + 436.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d0ffa68a4" + }, + "7d11b4450f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 462.0, + 84.0, + 719.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 464.0, + 51.0, + 718.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 471.0, + 32.0, + 739.0, + 674.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 476.0, + 8.0, + 760.0, + 644.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d11b4450f" + }, + "7d1333fcbe": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 1001.0, + 181.0, + 1231.0, + 536.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 222.0, + 89.0, + 1120.0, + 681.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 477.0, + 48.0, + 1261.0, + 676.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 264.0, + 189.0, + 793.0, + 693.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 303.0, + 121.0, + 774.0, + 648.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d1333fcbe" + }, + "7d18074fef": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 374.0, + 2.0, + 717.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 363.0, + 0.0, + 738.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 296.0, + 0.0, + 828.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 255.0, + 0.0, + 882.0, + 714.0 + ] + } + } + ], + "frame_names": [ + "00080", + "00085", + "00110", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d18074fef" + }, + "7d18c8c716": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 302.0, + 53.0, + 1279.0, + 362.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 354.0, + 110.0, + 1279.0, + 351.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 371.0, + 92.0, + 1279.0, + 334.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 368.0, + 91.0, + 1279.0, + 317.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d18c8c716" + }, + "7d508fb027": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 342.0, + 75.0, + 838.0, + 605.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 314.0, + 356.0, + 615.0, + 706.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 325.0, + 100.0, + 826.0, + 637.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 330.0, + 216.0, + 603.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 294.0, + 106.0, + 812.0, + 643.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 302.0, + 238.0, + 600.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 303.0, + 107.0, + 814.0, + 636.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 286.0, + 256.0, + 586.0, + 718.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d508fb027" + }, + "7d55f791f0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 127.0, + 29.0, + 1033.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 113.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 235.0, + 0.0, + 1037.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 171.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 216.0, + 0.0, + 1182.0, + 690.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 237.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 242.0, + 0.0, + 1189.0, + 706.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 245.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d55f791f0" + }, + "7d74e3c2f6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 50.0, + 0.0, + 546.0, + 305.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 96.0, + 0.0, + 592.0, + 316.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 100.0, + 0.0, + 606.0, + 323.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 107.0, + 0.0, + 597.0, + 317.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d74e3c2f6" + }, + "7d783f67a9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 310.0, + 221.0, + 1040.0, + 421.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 277.0, + 216.0, + 1039.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 276.0, + 219.0, + 1056.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 372.0, + 241.0, + 1194.0, + 447.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d783f67a9" + }, + "7d83a5d854": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 401.0, + 134.0, + 822.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 412.0, + 132.0, + 844.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 492.0, + 287.0, + 627.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 209.0, + 143.0, + 481.0, + 440.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d83a5d854" + }, + "7dd409947e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 664.0, + 286.0, + 865.0, + 578.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 397.0, + 0.0, + 762.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 650.0, + 284.0, + 998.0, + 657.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 391.0, + 0.0, + 746.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 603.0, + 285.0, + 991.0, + 646.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 383.0, + 0.0, + 725.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 540.0, + 350.0, + 994.0, + 667.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 385.0, + 7.0, + 781.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7dd409947e" + }, + "7de45f75e5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 498.0, + 194.0, + 975.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 392.0, + 189.0, + 1022.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 256.0, + 286.0, + 914.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 160.0, + 309.0, + 857.0, + 710.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7de45f75e5" + }, + "7e0cd25696": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 342.0, + 10.0, + 1151.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 342.0, + 24.0, + 1121.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 138.0, + 0.0, + 1103.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 336.0, + 39.0, + 1171.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e0cd25696" + }, + "7e1922575c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 342.0, + 46.0, + 763.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 304.0, + 344.0, + 692.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 186.0, + 240.0, + 304.0, + 497.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 177.0, + 240.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 188.0, + 102.0, + 777.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 314.0, + 363.0, + 633.0, + 654.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 179.0, + 333.0, + 282.0, + 471.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 168.0, + 227.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 116.0, + 78.0, + 528.0, + 504.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 198.0, + 324.0, + 821.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 158.0, + 124.0, + 454.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 114.0, + 226.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 48.0, + 149.0, + 422.0, + 415.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 196.0, + 339.0, + 983.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 174.0, + 114.0, + 665.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 503.0, + 132.0, + 563.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e1922575c" + }, + "7e1e3bbcc1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 218.0, + 65.0, + 1171.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 184.0, + 54.0, + 1115.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 96.0, + 42.0, + 1027.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 264.0, + 37.0, + 1177.0, + 667.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e1e3bbcc1" + }, + "7e24023274": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 399.0, + 41.0, + 666.0, + 410.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 393.0, + 272.0, + 479.0, + 399.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 431.0, + 50.0, + 654.0, + 445.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 418.0, + 253.0, + 505.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 780.0, + 211.0, + 1062.0, + 674.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 820.0, + 612.0, + 1064.0, + 693.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 592.0, + 184.0, + 782.0, + 621.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 568.0, + 511.0, + 763.0, + 634.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e24023274" + }, + "7e2f212fd3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 300.0, + 0.0, + 979.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 312.0, + 0.0, + 997.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 338.0, + 0.0, + 1025.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 362.0, + 0.0, + 1041.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00185", + "00200", + "00230", + "00255" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e2f212fd3" + }, + "7e6d1cc1f4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 106.0, + 192.0, + 1051.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 28.0, + 164.0, + 1061.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 173.0, + 1069.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 160.0, + 1127.0, + 585.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e6d1cc1f4" + }, + "7e7cdcb284": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 321.0, + 202.0, + 838.0, + 692.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 271.0, + 385.0, + 892.0, + 718.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 315.0, + 215.0, + 836.0, + 696.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 303.0, + 389.0, + 890.0, + 718.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 283.0, + 194.0, + 850.0, + 593.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 313.0, + 358.0, + 902.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 395.0, + 152.0, + 854.0, + 684.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 283.0, + 330.0, + 864.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e7cdcb284" + }, + "7e9b6bef69": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 869.0, + 164.0, + 970.0, + 222.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 69.0, + 978.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 866.0, + 185.0, + 965.0, + 242.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 120.0, + 978.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 876.0, + 153.0, + 985.0, + 223.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 88.0, + 999.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 899.0, + 104.0, + 1030.0, + 171.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 49.0, + 1047.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e9b6bef69" + }, + "7ea5b49283": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 522.0, + 37.0, + 901.0, + 671.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 513.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 597.0, + 0.0, + 930.0, + 672.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 371.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 625.0, + 0.0, + 1039.0, + 689.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 446.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 548.0, + 42.0, + 930.0, + 688.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 474.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7ea5b49283" + }, + "7eb2605d96": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1009.0, + 432.0, + 1072.0, + 538.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 1031.0, + 472.0, + 1087.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1145.0, + 449.0, + 1222.0, + 586.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 1154.0, + 511.0, + 1246.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1201.0, + 415.0, + 1301.0, + 572.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 1187.0, + 496.0, + 1330.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1184.0, + 412.0, + 1321.0, + 594.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7eb2605d96" + }, + "7eb26b8485": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 162.0, + 44.0, + 549.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 152.0, + 127.0, + 612.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 140.0, + 38.0, + 626.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 96.0, + 110.0, + 562.0, + 526.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7eb26b8485" + }, + "7ecd1f0c69": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 326.0, + 264.0, + 762.0, + 672.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 614.0, + 0.0, + 1009.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1245.0, + 218.0, + 1279.0, + 261.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 364.0, + 278.0, + 771.0, + 695.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 650.0, + 13.0, + 1007.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1229.0, + 248.0, + 1279.0, + 298.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 389.0, + 265.0, + 799.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 661.0, + 20.0, + 1019.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1201.0, + 263.0, + 1279.0, + 321.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 396.0, + 305.0, + 779.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 590.0, + 31.0, + 967.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1185.0, + 258.0, + 1279.0, + 316.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7ecd1f0c69" + }, + "7f02b3cfe2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 303.0, + 75.0, + 398.0, + 232.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 211.0, + 179.0, + 518.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 447.0, + 149.0, + 594.0, + 377.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 593.0, + 12.0, + 897.0, + 472.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 519.0, + 63.0, + 775.0, + 472.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f02b3cfe2" + }, + "7f1723f0d5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 264.0, + 0.0, + 751.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 158.0, + 622.0, + 228.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 372.0, + 0.0, + 727.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 264.0, + 635.0, + 317.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 318.0, + 0.0, + 869.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 213.0, + 625.0, + 264.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 394.0, + 81.0, + 1035.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 238.0, + 587.0, + 332.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f1723f0d5" + }, + "7f21063c3a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 205.0, + 61.0, + 1279.0, + 714.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 12.0, + 399.0, + 294.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 245.0, + 68.0, + 1279.0, + 706.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 81.0, + 153.0, + 323.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 239.0, + 180.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 232.0, + 311.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 333.0, + 239.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 7.0, + 260.0, + 593.0, + 517.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f21063c3a" + }, + "7f3658460e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 681.0, + 184.0, + 950.0, + 402.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 759.0, + 269.0, + 875.0, + 428.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 666.0, + 187.0, + 904.0, + 424.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 749.0, + 286.0, + 861.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 692.0, + 244.0, + 876.0, + 472.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 722.0, + 378.0, + 870.0, + 528.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 659.0, + 260.0, + 826.0, + 456.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 677.0, + 420.0, + 850.0, + 580.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f3658460e" + }, + "7f54132e48": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 459.0, + 200.0, + 706.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 612.0, + 152.0, + 821.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 632.0, + 199.0, + 812.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 706.0, + 176.0, + 879.0, + 645.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f54132e48" + }, + "7f559f9d4a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 474.0, + 0.0, + 1279.0, + 628.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 279.0, + 67.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 505.0, + 0.0, + 1279.0, + 517.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 289.0, + 29.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 445.0, + 0.0, + 1279.0, + 576.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 214.0, + 60.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 176.0, + 0.0, + 990.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 34.0, + 347.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f559f9d4a" + }, + "7f5faedf8b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 663.0, + 311.0, + 886.0, + 402.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 675.0, + 366.0, + 867.0, + 464.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 655.0, + 450.0, + 835.0, + 530.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 617.0, + 544.0, + 830.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 506.0, + 289.0, + 743.0, + 471.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f5faedf8b" + }, + "7f838baf2b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 317.0, + 206.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 147.0, + 452.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 557.0, + 51.0, + 894.0, + 593.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 880.0, + 44.0, + 1070.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 196.0, + 404.0, + 619.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 533.0, + 98.0, + 830.0, + 585.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 944.0, + 93.0, + 1232.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 204.0, + 230.0, + 594.0, + 507.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 800.0, + 226.0, + 932.0, + 568.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f838baf2b" + }, + "7fa5f527e3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 303.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 702.0, + 323.0, + 723.0, + 342.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 305.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 699.0, + 324.0, + 724.0, + 348.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 303.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 709.0, + 296.0, + 778.0, + 352.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 302.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 795.0, + 254.0, + 1034.0, + 409.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7fa5f527e3" + }, + "7ff84d66dd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 153.0, + 124.0, + 584.0, + 493.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 701.0, + 248.0, + 1141.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 167.0, + 124.0, + 592.0, + 496.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 722.0, + 255.0, + 1171.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 183.0, + 118.0, + 599.0, + 497.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 737.0, + 245.0, + 1189.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 194.0, + 124.0, + 624.0, + 495.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 750.0, + 255.0, + 1215.0, + 602.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7ff84d66dd" + }, + "802b45c8c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 535.0, + 139.0, + 816.0, + 501.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 643.0, + 77.0, + 900.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 541.0, + 135.0, + 826.0, + 575.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 609.0, + 77.0, + 894.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 575.0, + 260.0, + 802.0, + 642.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 584.0, + 56.0, + 860.0, + 376.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 595.0, + 214.0, + 806.0, + 640.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 579.0, + 20.0, + 819.0, + 312.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/802b45c8c4" + }, + "804382b1ad": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 632.0, + 328.0, + 690.0, + 388.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 618.0, + 319.0, + 671.0, + 379.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 306.0, + 232.0, + 350.0, + 295.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 258.0, + 228.0, + 333.0, + 317.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 683.0, + 269.0, + 738.0, + 317.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 306.0, + 308.0, + 335.0, + 335.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 268.0, + 316.0, + 299.0, + 338.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 296.0, + 684.0, + 332.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 232.0, + 324.0, + 324.0, + 358.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 253.0, + 338.0, + 309.0, + 364.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/804382b1ad" + }, + "804c558adb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 426.0, + 1279.0, + 649.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 434.0, + 1279.0, + 676.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 441.0, + 1279.0, + 695.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 401.0, + 1279.0, + 649.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/804c558adb" + }, + "804f6338a4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 750.0, + 206.0, + 1053.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 614.0, + 167.0, + 966.0, + 636.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 442.0, + 0.0, + 1021.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 354.0, + 28.0, + 981.0, + 688.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/804f6338a4" + }, + "8056117b89": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 646.0, + 265.0, + 1009.0, + 719.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 907.0, + 200.0, + 1081.0, + 648.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 153.0, + 144.0, + 648.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 623.0, + 323.0, + 870.0, + 719.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 602.0, + 256.0, + 780.0, + 508.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 181.0, + 168.0, + 573.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 488.0, + 330.0, + 608.0, + 571.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 165.0, + 169.0, + 556.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 440.0, + 404.0, + 544.0, + 574.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 172.0, + 201.0, + 566.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8056117b89" + }, + "806b6223ab": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 180.0, + 332.0, + 359.0, + 388.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 178.0, + 423.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 7.0, + 146.0, + 431.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 179.0, + 293.0, + 270.0, + 359.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 144.0, + 423.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 109.0, + 431.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 186.0, + 344.0, + 313.0, + 389.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 190.0, + 419.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 16.0, + 155.0, + 431.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 195.0, + 334.0, + 337.0, + 381.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 195.0, + 428.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 16.0, + 151.0, + 431.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/806b6223ab" + }, + "80b790703b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 154.0, + 351.0, + 287.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 293.0, + 300.0, + 864.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 475.0, + 369.0, + 1064.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 649.0, + 405.0, + 1026.0, + 573.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/80b790703b" + }, + "80c4a94706": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 376.0, + 297.0, + 455.0, + 509.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 399.0, + 458.0, + 547.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 373.0, + 300.0, + 455.0, + 503.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 376.0, + 467.0, + 524.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 380.0, + 260.0, + 460.0, + 446.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 368.0, + 420.0, + 510.0, + 473.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 358.0, + 280.0, + 441.0, + 457.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 319.0, + 427.0, + 445.0, + 495.0 + ] + } + } + ], + "frame_names": [ + "00050", + "00060", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/80c4a94706" + }, + "80ce2e351b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 635.0, + 268.0, + 790.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 637.0, + 262.0, + 790.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 583.0, + 236.0, + 795.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 547.0, + 262.0, + 791.0, + 500.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/80ce2e351b" + }, + "80db581acd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 163.0, + 297.0, + 596.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 141.0, + 525.0, + 257.0, + 624.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 154.0, + 495.0, + 273.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 59.0, + 276.0, + 511.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 119.0, + 446.0, + 214.0, + 502.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 123.0, + 406.0, + 232.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 206.0, + 445.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 142.0, + 362.0, + 254.0, + 429.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 161.0, + 316.0, + 262.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 99.0, + 68.0, + 356.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 119.0, + 287.0, + 258.0, + 368.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 141.0, + 242.0, + 269.0, + 333.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/80db581acd" + }, + "80e12193df": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 481.0, + 52.0, + 1146.0, + 703.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 1030.0, + 224.0, + 1279.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 468.0, + 152.0, + 1069.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 638.0, + 190.0, + 1279.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 467.0, + 206.0, + 1201.0, + 715.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 719.0, + 359.0, + 1279.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 465.0, + 170.0, + 1073.0, + 665.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 733.0, + 152.0, + 1279.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/80e12193df" + }, + "80e41b608f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 61.0, + 185.0, + 940.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 201.0, + 284.0, + 1044.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 49.0, + 178.0, + 844.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 121.0, + 57.0, + 942.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/80e41b608f" + }, + "80f16b016d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 340.0, + 123.0, + 597.0, + 553.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 689.0, + 350.0, + 819.0, + 512.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 640.0, + 100.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 282.0, + 33.0, + 554.0, + 503.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 703.0, + 286.0, + 855.0, + 493.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 782.0, + 268.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 388.0, + 0.0, + 677.0, + 465.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 850.0, + 248.0, + 966.0, + 462.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1057.0, + 400.0, + 1279.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 384.0, + 0.0, + 713.0, + 544.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 903.0, + 307.0, + 1053.0, + 548.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/80f16b016d" + }, + "81541b3725": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 81.0, + 179.0, + 599.0, + 593.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 185.0, + 186.0, + 674.0, + 600.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 120.0, + 20.0, + 349.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 261.0, + 184.0, + 722.0, + 612.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 78.0, + 97.0, + 373.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 437.0, + 223.0, + 844.0, + 608.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 204.0, + 111.0, + 393.0, + 399.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/81541b3725" + }, + "8175486e6a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 911.0, + 636.0, + 1066.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 0.0, + 512.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 334.0, + 380.0, + 820.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 1140.0, + 686.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 167.0, + 656.0, + 297.0, + 719.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 571.0, + 435.0, + 990.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 443.0, + 623.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 427.0, + 678.0, + 1191.0, + 719.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 628.0, + 376.0, + 1100.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 835.0, + 619.0, + 974.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 739.0, + 450.0, + 1180.0, + 719.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 405.0, + 380.0, + 851.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00125", + "00150", + "00170", + "00190" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8175486e6a" + }, + "8179095000": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 155.0, + 436.0, + 650.0, + 495.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 748.0, + 236.0, + 1267.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 105.0, + 425.0, + 574.0, + 497.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 593.0, + 220.0, + 1023.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 126.0, + 447.0, + 603.0, + 504.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 717.0, + 238.0, + 1279.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 150.0, + 442.0, + 582.0, + 502.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 544.0, + 221.0, + 1021.0, + 532.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8179095000" + }, + "8193671178": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 861.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 3.0, + 847.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 850.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 5.0, + 924.0, + 710.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8193671178" + }, + "81a58d2c6b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 426.0, + 170.0, + 768.0, + 463.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 651.0, + 368.0, + 792.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 512.0, + 205.0, + 844.0, + 469.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 634.0, + 383.0, + 771.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 530.0, + 230.0, + 835.0, + 487.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 612.0, + 397.0, + 755.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 535.0, + 223.0, + 829.0, + 522.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 611.0, + 399.0, + 747.0, + 568.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/81a58d2c6b" + }, + "81aa1286fb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 1007.0, + 0.0, + 1131.0, + 96.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 858.0, + 286.0, + 1248.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 1007.0, + 0.0, + 1127.0, + 94.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 856.0, + 287.0, + 1243.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 973.0, + 0.0, + 1095.0, + 78.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 828.0, + 275.0, + 1236.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 1061.0, + 7.0, + 1178.0, + 136.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 906.0, + 314.0, + 1257.0, + 492.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/81aa1286fb" + }, + "81dffd30fb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 247.0, + 104.0, + 1127.0, + 502.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 684.0, + 80.0, + 1279.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 254.0, + 114.0, + 1128.0, + 523.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 662.0, + 2.0, + 1279.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 181.0, + 170.0, + 878.0, + 393.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 699.0, + 74.0, + 1279.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 217.0, + 182.0, + 833.0, + 447.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 669.0, + 61.0, + 1279.0, + 496.0 + ] + } + } + ], + "frame_names": [ + "00090", + "00115", + "00130", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/81dffd30fb" + }, + "824973babb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 90.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 334.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 1.0, + 2.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/824973babb" + }, + "824ca5538f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 47.0, + 37.0, + 1279.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 55.0, + 33.0, + 1279.0, + 611.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 51.0, + 32.0, + 1279.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 89.0, + 0.0, + 1279.0, + 637.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/824ca5538f" + }, + "827171a845": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 480.0, + 169.0, + 901.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 458.0, + 187.0, + 951.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 426.0, + 207.0, + 755.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 422.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/827171a845" + }, + "8273a03530": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 174.0, + 85.0, + 705.0, + 655.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 68.0, + 349.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 69.0, + 552.0, + 654.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 71.0, + 62.0, + 344.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 373.0, + 69.0, + 896.0, + 655.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 168.0, + 70.0, + 484.0, + 443.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 409.0, + 70.0, + 876.0, + 648.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 214.0, + 69.0, + 500.0, + 373.0 + ] + } + } + ], + "frame_names": [ + "00060", + "00080", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8273a03530" + }, + "827cf4f886": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 165.0, + 252.0, + 635.0, + 577.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 676.0, + 264.0, + 1212.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 145.0, + 263.0, + 623.0, + 566.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 658.0, + 267.0, + 1196.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 143.0, + 260.0, + 459.0, + 557.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 635.0, + 267.0, + 1182.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 246.0, + 234.0, + 386.0, + 518.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 555.0, + 226.0, + 1100.0, + 617.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/827cf4f886" + }, + "82b865c7dd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 483.0, + 171.0, + 712.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 571.0, + 78.0, + 790.0, + 309.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 553.0, + 105.0, + 779.0, + 323.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 459.0, + 0.0, + 696.0, + 195.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/82b865c7dd" + }, + "82c1517708": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 334.0, + 191.0, + 633.0, + 390.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 338.0, + 259.0, + 390.0, + 348.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 717.0, + 325.0, + 809.0, + 402.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 368.0, + 185.0, + 662.0, + 376.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 353.0, + 255.0, + 402.0, + 352.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 727.0, + 304.0, + 860.0, + 385.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 361.0, + 181.0, + 672.0, + 377.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 357.0, + 264.0, + 395.0, + 355.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 721.0, + 307.0, + 823.0, + 386.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 324.0, + 173.0, + 541.0, + 367.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 312.0, + 245.0, + 358.0, + 325.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 666.0, + 303.0, + 720.0, + 376.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/82c1517708" + }, + "82d15514d6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 195.0, + 0.0, + 1279.0, + 608.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 273.0, + 308.0, + 1099.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 215.0, + 0.0, + 1279.0, + 584.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 300.0, + 307.0, + 1189.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 219.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 364.0, + 367.0, + 1144.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 239.0, + 14.0, + 1270.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 333.0, + 337.0, + 958.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/82d15514d6" + }, + "82e117b900": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 214.0, + 651.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 179.0, + 681.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 223.0, + 737.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 287.0, + 767.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/82e117b900" + }, + "82fec06574": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 84.0, + 47.0, + 987.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 80.0, + 55.0, + 1055.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 56.0, + 51.0, + 1195.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 56.0, + 0.0, + 1165.0, + 596.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/82fec06574" + }, + "832b5ef379": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 532.0, + 272.0, + 773.0, + 651.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 396.0, + 278.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 566.0, + 272.0, + 792.0, + 661.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 669.0, + 302.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 555.0, + 115.0, + 764.0, + 606.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 645.0, + 265.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 492.0, + 94.0, + 741.0, + 614.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 368.0, + 334.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/832b5ef379" + }, + "83424c9fbf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 887.0, + 286.0, + 1241.0, + 448.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 474.0, + 299.0, + 699.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 570.0, + 289.0, + 783.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 396.0, + 144.0, + 1279.0, + 712.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00085", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83424c9fbf" + }, + "8345358fb8": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 990.0, + 47.0, + 1063.0, + 261.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 677.0, + 17.0, + 805.0, + 321.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 26.0, + 176.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 974.0, + 63.0, + 1052.0, + 282.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 772.0, + 31.0, + 847.0, + 350.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 16.0, + 8.0, + 229.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 950.0, + 142.0, + 1035.0, + 362.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 776.0, + 109.0, + 918.0, + 425.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 31.0, + 65.0, + 242.0, + 682.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 995.0, + 188.0, + 1073.0, + 418.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 909.0, + 156.0, + 995.0, + 465.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 128.0, + 99.0, + 353.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8345358fb8" + }, + "834b50b31b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 0.0, + 636.0, + 647.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 665.0, + 0.0, + 1279.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 0.0, + 670.0, + 719.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 790.0, + 0.0, + 1279.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 0.0, + 595.0, + 719.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 750.0, + 0.0, + 1279.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 0.0, + 734.0, + 719.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 1054.0, + 0.0, + 1279.0, + 457.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/834b50b31b" + }, + "835e3b67d7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 125.0, + 508.0, + 318.0, + 717.0 + ] + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 457.0, + 470.0, + 497.0, + 549.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 282.0, + 510.0, + 440.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 586.0, + 471.0, + 621.0, + 595.0 + ] + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 312.0, + 523.0, + 465.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 586.0, + 471.0, + 620.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 463.0, + 469.0, + 509.0, + 546.0 + ] + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 558.0, + 476.0, + 599.0, + 600.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 461.0, + 473.0, + 502.0, + 550.0 + ] + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/835e3b67d7" + }, + "836ea92b15": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 443.0, + 201.0, + 718.0, + 611.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 448.0, + 198.0, + 716.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 446.0, + 201.0, + 718.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 445.0, + 204.0, + 716.0, + 608.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/836ea92b15" + }, + "837c618777": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 416.0, + 340.0, + 1129.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 376.0, + 317.0, + 1150.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 379.0, + 325.0, + 1118.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 516.0, + 390.0, + 1089.0, + 667.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/837c618777" + }, + "838eb3bd89": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 1000.0, + 335.0, + 1122.0, + 477.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 498.0, + 477.0, + 721.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 1004.0, + 336.0, + 1119.0, + 480.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 496.0, + 474.0, + 710.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 978.0, + 354.0, + 1098.0, + 497.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 473.0, + 502.0, + 656.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 1203.0, + 339.0, + 1279.0, + 505.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 664.0, + 473.0, + 844.0, + 714.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/838eb3bd89" + }, + "839381063f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 392.0, + 0.0, + 1279.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 394.0, + 0.0, + 1279.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 450.0, + 0.0, + 1279.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 462.0, + 0.0, + 1279.0, + 640.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/839381063f" + }, + "839bc71489": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 101.0, + 161.0, + 643.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 655.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 535.0, + 94.0, + 1231.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 914.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 554.0, + 4.0, + 1241.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 872.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 208.0, + 153.0, + 867.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 573.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/839bc71489" + }, + "83a8151377": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 961.0, + 414.0, + 1033.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 688.0, + 91.0, + 708.0, + 118.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 447.0, + 162.0, + 481.0, + 184.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83a8151377" + }, + "83ae88d217": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 294.0, + 682.0, + 358.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 246.0, + 53.0, + 737.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 272.0, + 32.0, + 837.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 468.0, + 265.0, + 1049.0, + 691.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 276.0, + 31.0, + 793.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 560.0, + 171.0, + 969.0, + 686.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 318.0, + 17.0, + 823.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83ae88d217" + }, + "83ca8bcad0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 34.0, + 189.0, + 709.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 529.0, + 0.0, + 1001.0, + 650.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 16.0, + 170.0, + 733.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 539.0, + 0.0, + 995.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 54.0, + 188.0, + 733.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 552.0, + 0.0, + 996.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 58.0, + 229.0, + 709.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 533.0, + 0.0, + 1018.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83ca8bcad0" + }, + "83ce590d7f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 639.0, + 50.0, + 1079.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 604.0, + 36.0, + 1049.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 612.0, + 36.0, + 1039.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 612.0, + 30.0, + 1061.0, + 545.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83ce590d7f" + }, + "83d40bcba5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 27.0, + 261.0, + 110.0, + 308.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 194.0, + 240.0, + 220.0, + 285.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 246.0, + 33.0, + 302.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 142.0, + 218.0, + 174.0, + 274.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 241.0, + 100.0, + 298.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 210.0, + 209.0, + 279.0, + 263.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 9.0, + 213.0, + 110.0, + 270.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 239.0, + 192.0, + 309.0, + 231.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83d40bcba5" + }, + "83daba503a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 608.0, + 320.0, + 660.0, + 414.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 550.0, + 238.0, + 634.0, + 413.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 507.0, + 386.0, + 645.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 276.0, + 0.0, + 620.0, + 372.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 239.0, + 271.0, + 626.0, + 426.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 107.0, + 704.0, + 440.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 585.0, + 420.0, + 673.0, + 451.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83daba503a" + }, + "83de906ec0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1242.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1102.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1092.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1028.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83de906ec0" + }, + "84044f37f3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 839.0, + 292.0, + 1048.0, + 540.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 632.0, + 391.0, + 910.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 779.0, + 314.0, + 1093.0, + 574.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 628.0, + 358.0, + 801.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 878.0, + 215.0, + 1279.0, + 576.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 547.0, + 345.0, + 786.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 421.0, + 0.0, + 947.0, + 663.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 355.0, + 370.0, + 663.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84044f37f3" + }, + "84696b5a5e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 386.0, + 152.0, + 457.0, + 206.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 393.0, + 186.0, + 506.0, + 598.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 520.0, + 98.0, + 646.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 392.0, + 166.0, + 462.0, + 218.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 370.0, + 203.0, + 493.0, + 596.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 518.0, + 71.0, + 641.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 413.0, + 170.0, + 474.0, + 222.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 396.0, + 217.0, + 534.0, + 597.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 517.0, + 83.0, + 659.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 453.0, + 147.0, + 513.0, + 197.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 398.0, + 192.0, + 534.0, + 572.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 520.0, + 82.0, + 670.0, + 578.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84696b5a5e" + }, + "84752191a3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 427.0, + 104.0, + 569.0, + 206.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 119.0, + 105.0, + 533.0, + 254.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 351.0, + 104.0, + 511.0, + 223.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 443.0, + 102.0, + 638.0, + 227.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 333.0, + 101.0, + 489.0, + 238.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 248.0, + 66.0, + 509.0, + 244.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84752191a3" + }, + "847eeeb2e0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 485.0, + 178.0, + 1128.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 240.0, + 248.0, + 585.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 520.0, + 89.0, + 1137.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 216.0, + 139.0, + 780.0, + 411.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 102.0, + 159.0, + 625.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 361.0, + 164.0, + 785.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 221.0, + 158.0, + 633.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 396.0, + 113.0, + 787.0, + 703.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/847eeeb2e0" + }, + "848e7835a0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 706.0, + 218.0, + 1028.0, + 525.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 1107.0, + 350.0, + 1279.0, + 533.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 125.0, + 48.0, + 300.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 454.0, + 181.0, + 848.0, + 461.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 1024.0, + 296.0, + 1279.0, + 493.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 225.0, + 218.0, + 665.0, + 425.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 842.0, + 270.0, + 1279.0, + 510.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 101.0, + 174.0, + 511.0, + 421.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 755.0, + 270.0, + 1240.0, + 501.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00130", + "00155", + "00180", + "00200" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/848e7835a0" + }, + "84a4b29286": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 429.0, + 50.0, + 1061.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 394.0, + 19.0, + 1004.0, + 660.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 370.0, + 37.0, + 985.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 378.0, + 17.0, + 985.0, + 651.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84a4b29286" + }, + "84a4bf147d": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 591.0, + 193.0, + 705.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 574.0, + 191.0, + 705.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 574.0, + 108.0, + 712.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 536.0, + 35.0, + 817.0, + 468.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00030", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84a4bf147d" + }, + "84be115c09": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 627.0, + 256.0, + 750.0, + 513.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 618.0, + 271.0, + 681.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 637.0, + 384.0, + 693.0, + 479.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 595.0, + 380.0, + 658.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 672.0, + 423.0, + 721.0, + 491.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 597.0, + 409.0, + 666.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 654.0, + 436.0, + 783.0, + 585.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 608.0, + 415.0, + 676.0, + 552.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84be115c09" + }, + "84d95c4350": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 299.0, + 29.0, + 962.0, + 656.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 376.0, + 333.0, + 823.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 339.0, + 16.0, + 1016.0, + 585.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 517.0, + 289.0, + 975.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 223.0, + 68.0, + 1044.0, + 693.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 397.0, + 422.0, + 811.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 263.0, + 79.0, + 1056.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 405.0, + 438.0, + 666.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84d95c4350" + }, + "84e0922cf7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 625.0, + 247.0, + 785.0, + 541.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 496.0, + 471.0, + 800.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 747.0, + 285.0, + 916.0, + 543.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 652.0, + 506.0, + 943.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 760.0, + 236.0, + 862.0, + 400.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 685.0, + 373.0, + 807.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 917.0, + 269.0, + 1009.0, + 420.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 856.0, + 397.0, + 994.0, + 424.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84e0922cf7" + }, + "84f0cfc665": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 84.0, + 1191.0, + 643.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 14.0, + 11.0, + 767.0, + 311.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 31.0, + 1263.0, + 587.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 320.0, + 0.0, + 857.0, + 94.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 57.0, + 1163.0, + 603.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 318.0, + 0.0, + 861.0, + 111.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1189.0, + 563.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 738.0, + 0.0, + 995.0, + 49.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84f0cfc665" + }, + "8515f6db22": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 602.0, + 310.0, + 823.0, + 697.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 599.0, + 175.0, + 759.0, + 337.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 547.0, + 318.0, + 640.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 502.0, + 316.0, + 575.0, + 426.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8515f6db22" + }, + "851f2f32c1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 498.0, + 371.0, + 594.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 475.0, + 335.0, + 565.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 409.0, + 266.0, + 744.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 494.0, + 321.0, + 730.0, + 451.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00080", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/851f2f32c1" + }, + "852a4d6067": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 638.0, + 345.0, + 733.0, + 410.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 699.0, + 389.0, + 748.0, + 441.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 576.0, + 398.0, + 677.0, + 487.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 632.0, + 461.0, + 675.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 651.0, + 276.0, + 900.0, + 455.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 780.0, + 405.0, + 909.0, + 469.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 665.0, + 206.0, + 936.0, + 400.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 768.0, + 330.0, + 952.0, + 414.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/852a4d6067" + }, + "854c48b02a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 497.0, + 249.0, + 765.0, + 570.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 323.0, + 375.0, + 746.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 466.0, + 279.0, + 780.0, + 545.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 427.0, + 532.0, + 748.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 435.0, + 237.0, + 696.0, + 549.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 438.0, + 233.0, + 696.0, + 533.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/854c48b02a" + }, + "857a387c86": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 518.0, + 140.0, + 604.0, + 265.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 544.0, + 247.0, + 647.0, + 283.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 418.0, + 246.0, + 470.0, + 295.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 396.0, + 279.0, + 496.0, + 306.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 506.0, + 272.0, + 594.0, + 411.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 492.0, + 393.0, + 628.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 699.0, + 188.0, + 785.0, + 310.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 741.0, + 308.0, + 765.0, + 319.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/857a387c86" + }, + "859633d56a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 292.0, + 0.0, + 991.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 528.0, + 359.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 502.0, + 0.0, + 956.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 446.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 470.0, + 0.0, + 889.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 412.0, + 0.0, + 1183.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 402.0, + 0.0, + 813.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 360.0, + 63.0, + 1107.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00125", + "00145", + "00155", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/859633d56a" + }, + "85a4f4a639": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 223.0, + 42.0, + 720.0, + 605.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 416.0, + 460.0, + 615.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 233.0, + 38.0, + 750.0, + 625.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 403.0, + 458.0, + 635.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 249.0, + 35.0, + 768.0, + 595.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 478.0, + 424.0, + 697.0, + 586.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 261.0, + 76.0, + 770.0, + 608.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 482.0, + 365.0, + 715.0, + 543.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/85a4f4a639" + }, + "85ab85510c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 541.0, + 0.0, + 1279.0, + 296.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 58.0, + 1078.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1108.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 343.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/85ab85510c" + }, + "85b1eda0d9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 452.0, + 200.0, + 909.0, + 557.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 611.0, + 104.0, + 726.0, + 225.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 350.0, + 195.0, + 837.0, + 568.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 605.0, + 88.0, + 733.0, + 260.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 287.0, + 154.0, + 800.0, + 524.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 720.0, + 49.0, + 835.0, + 227.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 341.0, + 150.0, + 867.0, + 511.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 773.0, + 23.0, + 884.0, + 198.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/85b1eda0d9" + }, + "85dc1041c6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 12.0, + 229.0, + 842.0, + 626.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 203.0, + 435.0, + 424.0, + 620.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 503.0, + 192.0, + 616.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 108.0, + 293.0, + 945.0, + 631.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 220.0, + 480.0, + 449.0, + 631.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 18.0, + 489.0, + 275.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 159.0, + 317.0, + 959.0, + 633.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 335.0, + 512.0, + 430.0, + 629.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 176.0, + 500.0, + 438.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 168.0, + 366.0, + 959.0, + 630.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 221.0, + 577.0, + 277.0, + 613.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 254.0, + 545.0, + 478.0, + 636.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00100", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/85dc1041c6" + }, + "85e081f3c7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 426.0, + 277.0, + 1054.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 379.0, + 274.0, + 976.0, + 679.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 290.0, + 291.0, + 1021.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 394.0, + 203.0, + 1088.0, + 651.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/85e081f3c7" + }, + "85f75187ad": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 295.0, + 106.0, + 1004.0, + 578.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 992.0, + 329.0, + 1269.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 297.0, + 107.0, + 1006.0, + 587.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 993.0, + 328.0, + 1266.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 293.0, + 113.0, + 1000.0, + 592.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 988.0, + 335.0, + 1261.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 286.0, + 112.0, + 992.0, + 598.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 978.0, + 338.0, + 1249.0, + 565.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/85f75187ad" + }, + "8604bb2b75": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 210.0, + 143.0, + 1195.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1091.0, + 121.0, + 1279.0, + 412.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 81.0, + 43.0, + 166.0, + 237.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 317.0, + 147.0, + 1156.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1115.0, + 34.0, + 1279.0, + 397.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 4.0, + 50.0, + 103.0, + 249.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 542.0, + 145.0, + 1096.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1253.0, + 327.0, + 1279.0, + 381.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 159.0, + 39.0, + 356.0, + 297.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 34.0, + 60.0, + 234.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 367.0, + 185.0, + 936.0, + 557.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1232.0, + 343.0, + 1279.0, + 418.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 212.0, + 104.0, + 312.0, + 335.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00210", + "00225", + "00240", + "00260" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8604bb2b75" + }, + "860745b042": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 466.0, + 231.0, + 983.0, + 528.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 272.0, + 377.0, + 715.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 450.0, + 227.0, + 893.0, + 599.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 378.0, + 428.0, + 679.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 340.0, + 241.0, + 610.0, + 528.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 432.0, + 128.0, + 673.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 420.0, + 254.0, + 787.0, + 449.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 224.0, + 152.0, + 488.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/860745b042" + }, + "863b4049d7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 604.0, + 195.0, + 698.0, + 433.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 849.0, + 121.0, + 1173.0, + 382.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 574.0, + 176.0, + 948.0, + 450.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1093.0, + 141.0, + 1253.0, + 350.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 711.0, + 185.0, + 986.0, + 438.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1099.0, + 151.0, + 1227.0, + 333.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 943.0, + 207.0, + 1072.0, + 413.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1058.0, + 209.0, + 1137.0, + 348.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/863b4049d7" + }, + "8643de22d0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 384.0, + 168.0, + 651.0, + 461.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 598.0, + 293.0, + 932.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 379.0, + 192.0, + 631.0, + 474.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 591.0, + 314.0, + 906.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 381.0, + 235.0, + 665.0, + 527.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 590.0, + 367.0, + 921.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 403.0, + 221.0, + 652.0, + 526.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 610.0, + 347.0, + 928.0, + 610.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8643de22d0" + }, + "864ffce4fe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 418.0, + 190.0, + 1008.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 467.0, + 164.0, + 1061.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 422.0, + 125.0, + 1019.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 452.0, + 124.0, + 1047.0, + 587.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/864ffce4fe" + }, + "8662d9441a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 356.0, + 128.0, + 1061.0, + 654.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 353.0, + 127.0, + 1062.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 337.0, + 127.0, + 1052.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 258.0, + 103.0, + 1065.0, + 633.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8662d9441a" + }, + "8666521b13": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 44.0, + 87.0, + 837.0, + 199.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1244.0, + 223.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 44.0, + 87.0, + 876.0, + 198.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 232.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 23.0, + 976.0, + 287.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 278.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 20.0, + 882.0, + 364.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 527.0, + 0.0, + 1279.0, + 355.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8666521b13" + }, + "868d6a0685": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 324.0, + 248.0, + 975.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 312.0, + 146.0, + 965.0, + 372.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 329.0, + 138.0, + 1020.0, + 393.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 361.0, + 133.0, + 1009.0, + 405.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/868d6a0685" + }, + "869fa45998": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 433.0, + 241.0, + 545.0, + 547.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 739.0, + 270.0, + 876.0, + 541.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 559.0, + 168.0, + 676.0, + 421.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 428.0, + 244.0, + 532.0, + 557.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 733.0, + 272.0, + 877.0, + 550.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 551.0, + 177.0, + 674.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 406.0, + 250.0, + 524.0, + 572.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 715.0, + 279.0, + 833.0, + 564.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 520.0, + 178.0, + 648.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 350.0, + 248.0, + 470.0, + 583.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 684.0, + 273.0, + 787.0, + 573.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 491.0, + 172.0, + 626.0, + 441.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/869fa45998" + }, + "86a40b655d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 652.0, + 247.0, + 770.0, + 347.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 484.0, + 277.0, + 951.0, + 464.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 140.0, + 114.0, + 590.0, + 402.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 751.0, + 273.0, + 796.0, + 335.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/86a40b655d" + }, + "86a8ae4223": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 439.0, + 140.0, + 555.0, + 303.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 384.0, + 161.0, + 552.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 307.0, + 124.0, + 551.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 374.0, + 289.0, + 612.0, + 605.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/86a8ae4223" + }, + "86b2180703": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 713.0, + 339.0, + 1024.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 658.0, + 389.0, + 1076.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 532.0, + 341.0, + 1042.0, + 639.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 560.0, + 353.0, + 1059.0, + 650.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/86b2180703" + }, + "86c85d27df": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 308.0, + 660.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 370.0, + 489.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 319.0, + 644.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 129.0, + 532.0, + 525.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/86c85d27df" + }, + "86d3755680": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 109.0, + 837.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 582.0, + 0.0, + 1279.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 142.0, + 785.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 490.0, + 0.0, + 1217.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 805.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 1071.0, + 462.0, + 1279.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 72.0, + 1113.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 1201.0, + 0.0, + 1279.0, + 274.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00075", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/86d3755680" + }, + "86e61829a1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 243.0, + 251.0, + 1214.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 248.0, + 246.0, + 1218.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 292.0, + 229.0, + 1194.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 328.0, + 209.0, + 1219.0, + 507.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00050", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/86e61829a1" + }, + "871015806c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 287.0, + 432.0, + 595.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 352.0, + 562.0, + 487.0, + 719.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 659.0, + 46.0, + 1279.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 295.0, + 398.0, + 581.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 358.0, + 548.0, + 495.0, + 719.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 624.0, + 39.0, + 1279.0, + 380.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 316.0, + 381.0, + 585.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 376.0, + 554.0, + 522.0, + 719.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 610.0, + 42.0, + 1279.0, + 363.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 381.0, + 350.0, + 610.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 450.0, + 535.0, + 584.0, + 719.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 629.0, + 23.0, + 1279.0, + 344.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/871015806c" + }, + "871e409c5c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 603.0, + 160.0, + 960.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 605.0, + 170.0, + 952.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 598.0, + 191.0, + 941.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 610.0, + 173.0, + 947.0, + 506.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/871e409c5c" + }, + "8744b861ce": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 769.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 803.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 34.0, + 47.0, + 883.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 256.0, + 189.0, + 993.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8744b861ce" + }, + "8749369ba0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 326.0, + 99.0, + 999.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 957.0, + 265.0, + 1279.0, + 668.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 826.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 206.0, + 984.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 918.0, + 0.0, + 1279.0, + 657.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 715.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 533.0, + 0.0, + 1044.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 203.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 282.0, + 167.0, + 1194.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8749369ba0" + }, + "878a299541": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 415.0, + 359.0, + 554.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 416.0, + 359.0, + 556.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 414.0, + 359.0, + 561.0, + 619.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 414.0, + 357.0, + 560.0, + 632.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/878a299541" + }, + "8792c193a0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 255.0, + 327.0, + 795.0, + 709.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 752.0, + 269.0, + 1008.0, + 374.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 735.0, + 337.0, + 978.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 427.0, + 233.0, + 890.0, + 598.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 749.0, + 280.0, + 1004.0, + 382.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 728.0, + 348.0, + 972.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 419.0, + 287.0, + 856.0, + 574.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 730.0, + 296.0, + 993.0, + 398.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 708.0, + 360.0, + 958.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 440.0, + 363.0, + 859.0, + 660.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 729.0, + 379.0, + 1025.0, + 479.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 718.0, + 444.0, + 987.0, + 524.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8792c193a0" + }, + "8799ab0118": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 178.0, + 237.0, + 418.0, + 387.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 610.0, + 322.0, + 765.0, + 434.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 893.0, + 74.0, + 1079.0, + 191.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 173.0, + 287.0, + 330.0, + 463.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 485.0, + 345.0, + 778.0, + 499.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 1010.0, + 129.0, + 1279.0, + 215.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 122.0, + 294.0, + 291.0, + 488.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 458.0, + 360.0, + 790.0, + 519.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 1100.0, + 130.0, + 1279.0, + 228.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 32.0, + 321.0, + 288.0, + 532.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 708.0, + 397.0, + 941.0, + 570.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8799ab0118" + }, + "87d1f7d741": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 205.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 327.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 391.0, + 95.0, + 1279.0, + 709.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 199.0, + 72.0, + 1156.0, + 694.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/87d1f7d741" + }, + "882b9e4500": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 623.0, + 256.0, + 866.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 611.0, + 260.0, + 838.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 629.0, + 166.0, + 844.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 646.0, + 123.0, + 880.0, + 382.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/882b9e4500" + }, + "885673ea17": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 216.0, + 807.0, + 611.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 557.0, + 533.0, + 687.0, + 623.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 553.0, + 463.0, + 638.0, + 621.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 613.0, + 614.0, + 638.0, + 631.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 776.0, + 304.0, + 1067.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 522.0, + 391.0, + 631.0, + 647.0 + ] + }, + "5": { + "category_name": "skateboard", + "bbox": [ + 598.0, + 639.0, + 644.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 425.0, + 84.0, + 774.0, + 452.0 + ] + }, + "5": { + "category_name": "skateboard", + "bbox": [ + 762.0, + 350.0, + 821.0, + 472.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/885673ea17" + }, + "8859dedf41": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 296.0, + 155.0, + 719.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 496.0, + 91.0, + 931.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 518.0, + 117.0, + 951.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 632.0, + 170.0, + 1089.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8859dedf41" + }, + "8873ab2806": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 342.0, + 185.0, + 525.0, + 361.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 139.0, + 281.0, + 885.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 268.0, + 197.0, + 466.0, + 361.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 83.0, + 288.0, + 865.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 217.0, + 180.0, + 447.0, + 339.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 48.0, + 270.0, + 895.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 266.0, + 171.0, + 633.0, + 352.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 78.0, + 280.0, + 1149.0, + 446.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00085", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8873ab2806" + }, + "887a93b198": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 883.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 1101.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 400.0, + 0.0, + 1263.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 174.0, + 0.0, + 909.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00075", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/887a93b198" + }, + "8883e991a9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 1022.0, + 206.0, + 1252.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 1017.0, + 199.0, + 1258.0, + 456.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 969.0, + 177.0, + 1250.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 794.0, + 130.0, + 1251.0, + 577.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8883e991a9" + }, + "8891aa6dfa": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 851.0, + 321.0, + 1152.0, + 700.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1020.0, + 366.0, + 1279.0, + 712.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1045.0, + 351.0, + 1279.0, + 698.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 827.0, + 362.0, + 1011.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 719.0, + 382.0, + 881.0, + 672.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 1073.0, + 132.0, + 1277.0, + 402.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8891aa6dfa" + }, + "8899d8cbcd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 371.0, + 118.0, + 990.0, + 694.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 267.0, + 435.0, + 478.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 147.0, + 397.0, + 306.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 369.0, + 109.0, + 1008.0, + 697.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 243.0, + 386.0, + 435.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 121.0, + 394.0, + 272.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 337.0, + 71.0, + 1060.0, + 712.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 189.0, + 303.0, + 359.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 71.0, + 378.0, + 204.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 295.0, + 25.0, + 1096.0, + 716.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 109.0, + 258.0, + 345.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 9.0, + 349.0, + 129.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8899d8cbcd" + }, + "88b8274d67": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 144.0, + 271.0, + 1013.0, + 719.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 154.0, + 137.0, + 887.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 140.0, + 271.0, + 1014.0, + 719.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 189.0, + 132.0, + 873.0, + 464.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 154.0, + 264.0, + 1009.0, + 719.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 198.0, + 134.0, + 890.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 146.0, + 270.0, + 1007.0, + 719.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 191.0, + 141.0, + 883.0, + 478.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/88b8274d67" + }, + "88d3b80af6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 412.0, + 103.0, + 995.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 446.0, + 119.0, + 1017.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 456.0, + 128.0, + 1065.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 458.0, + 132.0, + 1075.0, + 545.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/88d3b80af6" + }, + "88ede83da2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 557.0, + 88.0, + 1052.0, + 567.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 367.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 892.0, + 207.0, + 1148.0, + 584.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 33.0, + 0.0, + 973.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 868.0, + 305.0, + 1279.0, + 628.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 275.0, + 0.0, + 1279.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 706.0, + 209.0, + 1096.0, + 626.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 794.0, + 633.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/88ede83da2" + }, + "88f345941b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 735.0, + 459.0, + 823.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 679.0, + 480.0, + 923.0, + 709.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 202.0, + 405.0, + 362.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 54.0, + 403.0, + 316.0, + 518.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/88f345941b" + }, + "890976d6da": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 98.0, + 13.0, + 849.0, + 673.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 68.0, + 34.0, + 869.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 72.0, + 841.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 833.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/890976d6da" + }, + "8909bde9ab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 231.0, + 0.0, + 1146.0, + 691.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 111.0, + 0.0, + 259.0, + 314.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 970.0, + 0.0, + 1056.0, + 197.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 273.0, + 0.0, + 1172.0, + 671.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 151.0, + 0.0, + 289.0, + 298.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 996.0, + 0.0, + 1082.0, + 195.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 232.0, + 0.0, + 1153.0, + 660.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 114.0, + 0.0, + 244.0, + 300.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 951.0, + 0.0, + 1029.0, + 188.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 222.0, + 0.0, + 1173.0, + 656.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 90.0, + 0.0, + 242.0, + 308.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 930.0, + 0.0, + 1007.0, + 177.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8909bde9ab" + }, + "8929c7d5d9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 143.0, + 777.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 141.0, + 795.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 147.0, + 793.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 147.0, + 783.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8929c7d5d9" + }, + "89363acf76": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 424.0, + 158.0, + 838.0, + 592.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 655.0, + 407.0, + 881.0, + 596.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 503.0, + 381.0, + 663.0, + 572.0 + ] + }, + "4": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 408.0, + 164.0, + 930.0, + 586.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 597.0, + 319.0, + 783.0, + 587.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 429.0, + 311.0, + 573.0, + 546.0 + ] + }, + "4": { + "category_name": "fox", + "bbox": [ + 378.0, + 348.0, + 453.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 398.0, + 157.0, + 1014.0, + 586.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 548.0, + 325.0, + 820.0, + 600.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 420.0, + 237.0, + 1046.0, + 554.0 + ] + }, + "4": { + "category_name": "fox", + "bbox": [ + 342.0, + 265.0, + 453.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 475.0, + 64.0, + 1123.0, + 385.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 714.0, + 373.0, + 827.0, + 428.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 739.0, + 329.0, + 1046.0, + 588.0 + ] + }, + "4": { + "category_name": "fox", + "bbox": [ + 468.0, + 392.0, + 766.0, + 580.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89363acf76" + }, + "89379487e0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 56.0, + 69.0, + 539.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 67.0, + 89.0, + 539.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 83.0, + 104.0, + 539.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 80.0, + 84.0, + 539.0, + 359.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89379487e0" + }, + "8939db6354": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 154.0, + 843.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 154.0, + 809.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 145.0, + 941.0, + 707.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 136.0, + 927.0, + 706.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8939db6354" + }, + "893f658345": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 633.0, + 0.0, + 1279.0, + 668.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 312.0, + 994.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 495.0, + 0.0, + 1156.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 473.0, + 647.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 431.0, + 37.0, + 1086.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 573.0, + 595.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 413.0, + 61.0, + 1072.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 592.0, + 590.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/893f658345" + }, + "8953138465": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 634.0, + 265.0, + 884.0, + 685.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 851.0, + 276.0, + 1040.0, + 719.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 560.0, + 297.0, + 867.0, + 688.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 486.0, + 242.0, + 818.0, + 644.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 771.0, + 142.0, + 907.0, + 429.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8953138465" + }, + "895c96d671": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 649.0, + 218.0, + 1229.0, + 625.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 379.0, + 335.0, + 641.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 649.0, + 224.0, + 1231.0, + 611.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 338.0, + 332.0, + 608.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 659.0, + 216.0, + 1225.0, + 612.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 338.0, + 302.0, + 615.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 655.0, + 220.0, + 1229.0, + 618.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 335.0, + 300.0, + 612.0, + 538.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/895c96d671" + }, + "895cbf96f9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 396.0, + 0.0, + 839.0, + 627.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 262.0, + 399.0, + 731.0, + 719.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 725.0, + 0.0, + 1279.0, + 184.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 701.0, + 125.0, + 1173.0, + 673.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 320.0, + 133.0, + 729.0, + 530.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 873.0, + 0.0, + 1119.0, + 140.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 755.0, + 437.0, + 849.0, + 491.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 98.0, + 556.0, + 534.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 622.0, + 0.0, + 881.0, + 80.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 1087.0, + 0.0, + 1279.0, + 128.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/895cbf96f9" + }, + "895e8b29a7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 959.0, + 100.0, + 1175.0, + 584.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 742.0, + 100.0, + 919.0, + 495.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 636.0, + 130.0, + 765.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 932.0, + 126.0, + 1208.0, + 575.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 678.0, + 124.0, + 883.0, + 515.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 572.0, + 154.0, + 705.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 983.0, + 152.0, + 1215.0, + 649.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 659.0, + 139.0, + 856.0, + 601.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 517.0, + 176.0, + 660.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 900.0, + 188.0, + 1080.0, + 561.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 659.0, + 194.0, + 805.0, + 508.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 534.0, + 230.0, + 647.0, + 478.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/895e8b29a7" + }, + "898fa256c8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 20 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 158.0, + 244.0, + 1121.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 332.0, + 443.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 288.0, + 623.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 41.0, + 0.0, + 932.0, + 506.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00115", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/898fa256c8" + }, + "89986c60be": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 23 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 586.0, + 442.0, + 666.0, + 586.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 471.0, + 160.0, + 600.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 935.0, + 471.0, + 1017.0, + 586.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 770.0, + 186.0, + 954.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1080.0, + 474.0, + 1154.0, + 587.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 872.0, + 224.0, + 1101.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 1145.0, + 183.0, + 1279.0, + 494.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89986c60be" + }, + "89b874547b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 427.0, + 262.0, + 1088.0, + 512.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 165.0, + 194.0, + 538.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 437.0, + 226.0, + 1146.0, + 476.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 206.0, + 129.0, + 551.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 421.0, + 245.0, + 1150.0, + 494.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 187.0, + 185.0, + 557.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 461.0, + 194.0, + 1188.0, + 452.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 198.0, + 146.0, + 621.0, + 531.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00085", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89b874547b" + }, + "89bdb021d5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 338.0, + 144.0, + 1047.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 270.0, + 200.0, + 1028.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 342.0, + 200.0, + 1107.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 298.0, + 185.0, + 1013.0, + 599.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89bdb021d5" + }, + "89c802ff9c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 484.0, + 335.0, + 522.0, + 351.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 531.0, + 338.0, + 571.0, + 355.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 547.0, + 312.0, + 580.0, + 333.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 593.0, + 315.0, + 629.0, + 336.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 520.0, + 276.0, + 548.0, + 297.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 566.0, + 281.0, + 596.0, + 300.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 453.0, + 316.0, + 486.0, + 340.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 501.0, + 322.0, + 535.0, + 344.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89c802ff9c" + }, + "89d6336c2b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 548.0, + 439.0, + 691.0, + 489.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 630.0, + 42.0, + 665.0, + 68.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89d6336c2b" + }, + "89ebb27334": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 610.0, + 101.0, + 832.0, + 234.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 359.0, + 448.0, + 1580.0, + 1008.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 608.0, + 103.0, + 836.0, + 235.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 324.0, + 445.0, + 1539.0, + 1006.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 589.0, + 110.0, + 827.0, + 233.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 222.0, + 447.0, + 1349.0, + 1007.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 553.0, + 98.0, + 788.0, + 232.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 461.0, + 1275.0, + 1069.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89ebb27334" + }, + "8a27e2407c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 652.0, + 271.0, + 679.0, + 367.0 + ] + }, + "3": { + "category_name": "bike", + "bbox": [ + 534.0, + 172.0, + 614.0, + 337.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 526.0, + 167.0, + 610.0, + 337.0 + ] + }, + "3": { + "category_name": "bike", + "bbox": [ + 560.0, + 255.0, + 601.0, + 374.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 450.0, + 225.0, + 482.0, + 344.0 + ] + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00015", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8a27e2407c" + }, + "8a31f7bca5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 95.0, + 577.0, + 304.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 408.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 522.0, + 207.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 36.0, + 0.0, + 1279.0, + 330.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 14.0, + 561.0, + 266.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 133.0, + 0.0, + 1279.0, + 365.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 182.0, + 91.0, + 1279.0, + 515.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8a31f7bca5" + }, + "8a4a2fc105": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 852.0, + 23.0, + 1279.0, + 457.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 820.0, + 54.0, + 1279.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 753.0, + 118.0, + 1279.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 710.0, + 149.0, + 1279.0, + 601.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8a4a2fc105" + }, + "8a5d6c619c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 328.0, + 280.0, + 433.0, + 329.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 691.0, + 247.0, + 779.0, + 275.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 473.0, + 268.0, + 566.0, + 315.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 728.0, + 240.0, + 798.0, + 265.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 610.0, + 271.0, + 742.0, + 314.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 720.0, + 224.0, + 801.0, + 253.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 647.0, + 258.0, + 769.0, + 304.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 670.0, + 209.0, + 683.0, + 220.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8a5d6c619c" + }, + "8a75ad7924": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 200.0, + 128.0, + 388.0, + 590.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 396.0, + 72.0, + 677.0, + 530.0 + ] + }, + "3": { + "category_name": "whale", + "bbox": [ + 665.0, + 19.0, + 935.0, + 356.0 + ] + }, + "4": { + "category_name": "whale", + "bbox": [ + 953.0, + 0.0, + 1115.0, + 138.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 70.0, + 210.0, + 232.0, + 705.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 264.0, + 149.0, + 534.0, + 670.0 + ] + }, + "3": { + "category_name": "whale", + "bbox": [ + 566.0, + 53.0, + 847.0, + 484.0 + ] + }, + "4": { + "category_name": "whale", + "bbox": [ + 883.0, + 0.0, + 1099.0, + 172.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 38.0, + 239.0, + 184.0, + 701.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 214.0, + 150.0, + 454.0, + 670.0 + ] + }, + "3": { + "category_name": "whale", + "bbox": [ + 518.0, + 54.0, + 787.0, + 490.0 + ] + }, + "4": { + "category_name": "whale", + "bbox": [ + 861.0, + 0.0, + 1065.0, + 169.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 56.0, + 264.0, + 152.0, + 719.0 + ] + }, + "3": { + "category_name": "whale", + "bbox": [ + 366.0, + 138.0, + 600.0, + 679.0 + ] + }, + "4": { + "category_name": "whale", + "bbox": [ + 765.0, + 0.0, + 1041.0, + 267.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8a75ad7924" + }, + "8aa817e4ed": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 315.0, + 160.0, + 1277.0, + 457.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 82.0, + 265.0, + 965.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 91.0, + 273.0, + 1001.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 227.0, + 148.0, + 1143.0, + 472.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8aa817e4ed" + }, + "8aad0591eb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 339.0, + 139.0, + 1162.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 447.0, + 279.0, + 1080.0, + 660.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 682.0, + 562.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 353.0, + 128.0, + 1100.0, + 663.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8aad0591eb" + }, + "8aca214360": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 544.0, + 263.0, + 612.0, + 333.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 574.0, + 329.0, + 641.0, + 364.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 545.0, + 235.0, + 706.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 493.0, + 190.0, + 752.0, + 543.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8aca214360" + }, + "8ae168c71b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 407.0, + 22.0, + 971.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 394.0, + 0.0, + 1059.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 363.0, + 0.0, + 1115.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 288.0, + 0.0, + 1143.0, + 700.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ae168c71b" + }, + "8b3645d826": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 763.0, + 0.0, + 1279.0, + 265.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 92.0, + 169.0, + 1187.0, + 390.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 789.0, + 0.0, + 1279.0, + 400.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 88.0, + 124.0, + 1167.0, + 374.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 767.0, + 0.0, + 1279.0, + 282.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 250.0, + 12.0, + 967.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 665.0, + 0.0, + 1279.0, + 505.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 248.0, + 32.0, + 853.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b3645d826" + }, + "8b3805dbd4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 173.0, + 550.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 885.0, + 235.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 24.0, + 173.0, + 624.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 995.0, + 228.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 78.0, + 112.0, + 530.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 1001.0, + 244.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 105.0, + 418.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 1021.0, + 254.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b3805dbd4" + }, + "8b473f0f5d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 438.0, + 115.0, + 803.0, + 664.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 494.0, + 121.0, + 901.0, + 690.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 564.0, + 67.0, + 891.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 522.0, + 158.0, + 887.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b473f0f5d" + }, + "8b4f6d1186": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 154.0, + 258.0, + 488.0, + 608.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 685.0, + 248.0, + 917.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 154.0, + 258.0, + 505.0, + 608.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 684.0, + 247.0, + 919.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 156.0, + 266.0, + 510.0, + 608.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 683.0, + 252.0, + 924.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 156.0, + 336.0, + 455.0, + 610.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 684.0, + 250.0, + 917.0, + 571.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b4f6d1186" + }, + "8b4fb018b7": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 384.0, + 218.0, + 567.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 421.0, + 205.0, + 562.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 448.0, + 82.0, + 632.0, + 327.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 470.0, + 140.0, + 685.0, + 303.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b4fb018b7" + }, + "8b518ee936": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 788.0, + 241.0, + 1018.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 766.0, + 137.0, + 1013.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 763.0, + 150.0, + 1024.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 735.0, + 258.0, + 925.0, + 585.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b518ee936" + }, + "8b523bdfd6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 774.0, + 334.0, + 991.0, + 456.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 823.0, + 1.0, + 1046.0, + 431.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 430.0, + 92.0, + 470.0, + 189.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 658.0, + 319.0, + 772.0, + 385.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 618.0, + 59.0, + 832.0, + 351.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 769.0, + 217.0, + 830.0, + 319.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 569.0, + 365.0, + 671.0, + 414.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 495.0, + 50.0, + 719.0, + 397.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 963.0, + 85.0, + 1106.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 637.0, + 310.0, + 741.0, + 408.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 640.0, + 0.0, + 810.0, + 377.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b523bdfd6" + }, + "8b52fb5fba": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1030.0, + 97.0, + 1266.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 806.0, + 146.0, + 1056.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1010.0, + 108.0, + 1256.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 786.0, + 161.0, + 1037.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1002.0, + 128.0, + 1258.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 810.0, + 173.0, + 1069.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 998.0, + 134.0, + 1248.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 800.0, + 176.0, + 1057.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b52fb5fba" + }, + "8b91036e5c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1145.0, + 668.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1157.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1107.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1137.0, + 707.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b91036e5c" + }, + "8b99a77ac5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 822.0, + 352.0, + 999.0, + 704.0 + ] + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "tennis_racket", + "bbox": [ + 880.0, + 311.0, + 1054.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 467.0, + 82.0, + 489.0, + 139.0 + ] + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 840.0, + 314.0, + 957.0, + 616.0 + ] + }, + "4": { + "category_name": "tennis_racket", + "bbox": [ + 496.0, + 84.0, + 516.0, + 144.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 927.0, + 431.0, + 939.0, + 461.0 + ] + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 513.0, + 82.0, + 535.0, + 145.0 + ] + }, + "4": { + "category_name": "tennis_racket", + "bbox": [ + 895.0, + 464.0, + 930.0, + 498.0 + ] + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00040", + "00065", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b99a77ac5" + }, + "8ba04b1e7b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 6.0, + 114.0, + 1104.0, + 646.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 105.0, + 102.0, + 138.0, + 211.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 292.0, + 0.0, + 887.0, + 382.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 467.0, + 0.0, + 497.0, + 52.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 17.0, + 160.0, + 209.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 342.0, + 141.0, + 1165.0, + 552.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 739.0, + 117.0, + 779.0, + 151.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 181.0, + 295.0, + 368.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 299.0, + 131.0, + 1086.0, + 531.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 766.0, + 94.0, + 804.0, + 141.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 170.0, + 256.0, + 354.0 + ] + } + } + ], + "frame_names": [ + "00055", + "00075", + "00110", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ba04b1e7b" + }, + "8ba782192f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 138.0, + 41.0, + 788.0, + 670.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 795.0, + 282.0, + 1084.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 121.0, + 50.0, + 781.0, + 671.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 814.0, + 304.0, + 1074.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 124.0, + 56.0, + 782.0, + 674.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 817.0, + 313.0, + 1076.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 128.0, + 43.0, + 809.0, + 673.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 833.0, + 312.0, + 1095.0, + 619.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ba782192f" + }, + "8bbeaad78b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 106.0, + 0.0, + 985.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 794.0, + 215.0, + 1279.0, + 412.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 96.0, + 0.0, + 967.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 807.0, + 202.0, + 1279.0, + 378.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 88.0, + 0.0, + 897.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 732.0, + 135.0, + 1279.0, + 344.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 96.0, + 0.0, + 713.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 771.0, + 0.0, + 1279.0, + 55.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8bbeaad78b" + }, + "8bd1b45776": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 623.0, + 296.0, + 941.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 499.0, + 327.0, + 648.0, + 651.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 546.0, + 313.0, + 958.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 394.0, + 436.0, + 831.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 235.0, + 319.0, + 706.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 155.0, + 670.0, + 236.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 314.0, + 484.0, + 703.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 230.0, + 667.0, + 664.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8bd1b45776" + }, + "8bd7a2dda6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 408.0, + 0.0, + 1107.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 529.0, + 408.0, + 706.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 380.0, + 0.0, + 1177.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 536.0, + 395.0, + 746.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 373.0, + 0.0, + 1200.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 510.0, + 429.0, + 778.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 319.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 476.0, + 405.0, + 795.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8bd7a2dda6" + }, + "8bdb091ccf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 417.0, + 517.0, + 660.0, + 688.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 287.0, + 368.0, + 557.0, + 588.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 381.0, + 275.0, + 641.0, + 499.0 + ] + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 541.0, + 134.0, + 866.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 495.0, + 558.0, + 577.0, + 662.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 223.0, + 390.0, + 267.0, + 486.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 499.0, + 454.0, + 535.0, + 508.0 + ] + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 539.0, + 265.0, + 796.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 277.0, + 519.0, + 363.0, + 706.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 239.0, + 390.0, + 349.0, + 583.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 525.0, + 213.0, + 744.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 325.0, + 594.0, + 529.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 265.0, + 386.0, + 533.0, + 618.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 295.0, + 345.0, + 523.0, + 478.0 + ] + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 439.0, + 249.0, + 597.0, + 411.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8bdb091ccf" + }, + "8be56f165d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 627.0, + 306.0, + 815.0, + 657.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 512.0, + 150.0, + 579.0, + 180.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 509.0, + 180.0, + 626.0, + 420.0 + ] + }, + "4": { + "category_name": "horse", + "bbox": [ + 342.0, + 229.0, + 743.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 553.0, + 283.0, + 679.0, + 642.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 648.0, + 134.0, + 701.0, + 158.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 633.0, + 158.0, + 734.0, + 416.0 + ] + }, + "4": { + "category_name": "horse", + "bbox": [ + 455.0, + 197.0, + 862.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 497.0, + 293.0, + 697.0, + 658.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 756.0, + 173.0, + 795.0, + 195.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 753.0, + 190.0, + 885.0, + 449.0 + ] + }, + "4": { + "category_name": "horse", + "bbox": [ + 622.0, + 229.0, + 1006.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 533.0, + 299.0, + 687.0, + 640.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 939.0, + 182.0, + 988.0, + 206.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 925.0, + 202.0, + 1019.0, + 461.0 + ] + }, + "4": { + "category_name": "horse", + "bbox": [ + 800.0, + 223.0, + 1147.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00055", + "00075", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8be56f165d" + }, + "8be950d00f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 476.0, + 88.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 446.0, + 72.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 468.0, + 33.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 508.0, + 0.0, + 1255.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8be950d00f" + }, + "8bf84e7d45": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 204.0, + 66.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 171.0, + 75.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 108.0, + 59.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 203.0, + 114.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8bf84e7d45" + }, + "8bffc4374b": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 287.0, + 195.0, + 754.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 222.0, + 214.0, + 806.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 60.0, + 218.0, + 722.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 175.0, + 212.0, + 664.0, + 498.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8bffc4374b" + }, + "8bfff50747": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 539.0, + 168.0, + 738.0, + 696.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 601.0, + 331.0, + 744.0, + 424.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 536.0, + 154.0, + 720.0, + 685.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 607.0, + 318.0, + 756.0, + 424.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 532.0, + 176.0, + 722.0, + 702.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 543.0, + 469.0, + 630.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 554.0, + 199.0, + 747.0, + 690.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 538.0, + 464.0, + 600.0, + 649.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8bfff50747" + }, + "8c09867481": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 578.0, + 431.0, + 934.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 584.0, + 409.0, + 968.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 564.0, + 511.0, + 881.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 455.0, + 206.0, + 1021.0, + 681.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8c09867481" + }, + "8c0a3251c3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 373.0, + 121.0, + 818.0, + 596.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 391.0, + 85.0, + 854.0, + 639.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 481.0, + 120.0, + 899.0, + 650.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 494.0, + 183.0, + 806.0, + 523.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8c0a3251c3" + }, + "8c3015cccb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 494.0, + 135.0, + 877.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 501.0, + 232.0, + 815.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 494.0, + 241.0, + 803.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 486.0, + 235.0, + 866.0, + 590.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8c3015cccb" + }, + "8c469815cf": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 31.0, + 217.0, + 641.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 497.0, + 33.0, + 589.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 11.0, + 152.0, + 950.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 496.0, + 22.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 33.0, + 48.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 497.0, + 40.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 47.0, + 76.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 497.0, + 47.0, + 598.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8c469815cf" + }, + "8c9ccfedc7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 385.0, + 135.0, + 454.0, + 219.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 555.0, + 120.0, + 654.0, + 242.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 763.0, + 170.0, + 834.0, + 241.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 388.0, + 126.0, + 461.0, + 213.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 562.0, + 113.0, + 663.0, + 231.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 767.0, + 166.0, + 840.0, + 236.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 406.0, + 118.0, + 475.0, + 205.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 575.0, + 103.0, + 673.0, + 224.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 782.0, + 156.0, + 852.0, + 224.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 434.0, + 178.0, + 505.0, + 255.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 601.0, + 158.0, + 688.0, + 277.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 807.0, + 205.0, + 881.0, + 283.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8c9ccfedc7" + }, + "8ca1af9f3c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 716.0, + 421.0, + 972.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 721.0, + 415.0, + 975.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 718.0, + 417.0, + 970.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 718.0, + 417.0, + 972.0, + 567.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ca1af9f3c" + }, + "8ca3f6e6c1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 648.0, + 269.0, + 742.0, + 515.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 480.0, + 295.0, + 858.0, + 612.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 815.0, + 308.0, + 951.0, + 390.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 690.0, + 254.0, + 768.0, + 478.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 553.0, + 293.0, + 866.0, + 601.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 735.0, + 296.0, + 871.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 700.0, + 251.0, + 777.0, + 488.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 536.0, + 287.0, + 888.0, + 614.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 549.0, + 290.0, + 709.0, + 371.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 715.0, + 242.0, + 803.0, + 435.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 529.0, + 275.0, + 905.0, + 623.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 443.0, + 296.0, + 614.0, + 378.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ca3f6e6c1" + }, + "8ca6a4f60f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 214.0, + 49.0, + 472.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 228.0, + 60.0, + 502.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 285.0, + 103.0, + 578.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 303.0, + 111.0, + 600.0, + 660.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ca6a4f60f" + }, + "8cac6900fe": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 895.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 881.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 993.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 1227.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8cac6900fe" + }, + "8cba221a1e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 500.0, + 54.0, + 1265.0, + 516.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 547.0, + 331.0, + 919.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 540.0, + 0.0, + 1267.0, + 505.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 549.0, + 329.0, + 921.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 535.0, + 0.0, + 1278.0, + 509.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 546.0, + 329.0, + 922.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 402.0, + 144.0, + 1279.0, + 476.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 545.0, + 328.0, + 922.0, + 564.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8cba221a1e" + }, + "8cbbe62ccd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 296.0, + 138.0, + 606.0, + 500.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 595.0, + 82.0, + 971.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 316.0, + 49.0, + 620.0, + 421.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 606.0, + 214.0, + 915.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 322.0, + 69.0, + 657.0, + 465.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 609.0, + 227.0, + 923.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 328.0, + 134.0, + 683.0, + 457.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 596.0, + 253.0, + 915.0, + 470.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8cbbe62ccd" + }, + "8d064b29e2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1129.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1125.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1133.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1143.0, + 442.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8d064b29e2" + }, + "8d167e7c08": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 451.0, + 165.0, + 920.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 424.0, + 148.0, + 1031.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 424.0, + 134.0, + 1127.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 405.0, + 109.0, + 1279.0, + 690.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8d167e7c08" + }, + "8d4ab94e1c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 298.0, + 0.0, + 1261.0, + 678.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 827.0, + 607.0, + 1151.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 312.0, + 0.0, + 1279.0, + 684.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 949.0, + 537.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 967.0, + 706.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 517.0, + 535.0, + 891.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 965.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 566.0, + 551.0, + 935.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8d4ab94e1c" + }, + "8d81f6f899": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 85.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 249.0, + 0.0, + 1279.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 319.0, + 1166.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 233.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 308.0, + 1109.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 153.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 319.0, + 1092.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 161.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8d81f6f899" + }, + "8d87897d66": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 407.0, + 163.0, + 634.0, + 275.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 1076.0, + 179.0, + 1171.0, + 312.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 1196.0, + 277.0, + 1279.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 437.0, + 143.0, + 661.0, + 256.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 1105.0, + 161.0, + 1202.0, + 297.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 1227.0, + 276.0, + 1279.0, + 419.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 507.0, + 75.0, + 733.0, + 189.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 1191.0, + 102.0, + 1279.0, + 238.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 530.0, + 63.0, + 753.0, + 173.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 1207.0, + 94.0, + 1277.0, + 231.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8d87897d66" + }, + "8dcccd2bd2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 29.0, + 56.0, + 963.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 47.0, + 50.0, + 967.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 29.0, + 12.0, + 946.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 905.0, + 507.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8dcccd2bd2" + }, + "8dcfb878a8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 521.0, + 317.0, + 846.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 558.0, + 284.0, + 876.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 556.0, + 277.0, + 875.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 628.0, + 299.0, + 970.0, + 529.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8dcfb878a8" + }, + "8dd3ab71b9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 324.0, + 242.0, + 666.0, + 713.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 444.0, + 263.0, + 693.0, + 657.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 247.0, + 214.0, + 391.0, + 458.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 591.0, + 281.0, + 764.0, + 627.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 495.0, + 264.0, + 586.0, + 429.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 663.0, + 199.0, + 794.0, + 303.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 676.0, + 235.0, + 839.0, + 580.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 585.0, + 237.0, + 679.0, + 437.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 879.0, + 192.0, + 1063.0, + 340.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8dd3ab71b9" + }, + "8dda6bf10f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 48.0, + 85.0, + 872.0, + 340.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 79.0, + 87.0, + 901.0, + 350.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 41.0, + 83.0, + 925.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 35.0, + 85.0, + 935.0, + 366.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8dda6bf10f" + }, + "8ddd51ca94": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 522.0, + 140.0, + 878.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 506.0, + 153.0, + 876.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 473.0, + 87.0, + 845.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 431.0, + 151.0, + 904.0, + 642.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ddd51ca94" + }, + "8dea22c533": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 83.0, + 229.0, + 1150.0, + 553.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 228.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 113.0, + 104.0, + 1224.0, + 528.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 270.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 106.0, + 73.0, + 1199.0, + 509.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 252.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 201.0, + 101.0, + 1189.0, + 552.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 355.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8dea22c533" + }, + "8def5bd3bf": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 414.0, + 84.0, + 969.0, + 719.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 774.0, + 4.0, + 925.0, + 215.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1075.0, + 322.0, + 1166.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 544.0, + 0.0, + 1025.0, + 719.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 808.0, + 0.0, + 938.0, + 109.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1045.0, + 269.0, + 1142.0, + 384.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 457.0, + 0.0, + 1020.0, + 719.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 794.0, + 0.0, + 924.0, + 89.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1008.0, + 252.0, + 1091.0, + 367.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 483.0, + 0.0, + 1040.0, + 719.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 854.0, + 0.0, + 950.0, + 36.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 904.0, + 233.0, + 956.0, + 344.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8def5bd3bf" + }, + "8e1848197c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 767.0, + 0.0, + 1125.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 545.0, + 169.0, + 776.0, + 328.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 369.0, + 16.0, + 787.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 733.0, + 0.0, + 1060.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 551.0, + 246.0, + 769.0, + 392.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 415.0, + 2.0, + 768.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 739.0, + 0.0, + 1087.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 543.0, + 221.0, + 764.0, + 353.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 413.0, + 0.0, + 756.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 741.0, + 0.0, + 1096.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 542.0, + 155.0, + 771.0, + 286.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 413.0, + 0.0, + 748.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00110", + "00130", + "00150", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8e1848197c" + }, + "8e3a83cf2d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 454.0, + 193.0, + 810.0, + 542.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 685.0, + 295.0, + 1026.0, + 581.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 950.0, + 603.0, + 1192.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 570.0, + 132.0, + 709.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 725.0, + 560.0, + 909.0, + 716.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 374.0, + 169.0, + 557.0, + 488.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8e3a83cf2d" + }, + "8e478e73f3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 432.0, + 226.0, + 761.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 388.0, + 228.0, + 721.0, + 456.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 382.0, + 237.0, + 705.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 422.0, + 235.0, + 719.0, + 433.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8e478e73f3" + }, + "8e98ae3c84": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 153.0, + 220.0, + 558.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 168.0, + 220.0, + 554.0, + 668.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 218.0, + 235.0, + 556.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 213.0, + 245.0, + 672.0, + 674.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8e98ae3c84" + }, + "8ea6687ab0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 553.0, + 167.0, + 1198.0, + 595.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 349.0, + 681.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 599.0, + 170.0, + 1222.0, + 594.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 366.0, + 657.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 564.0, + 157.0, + 1186.0, + 597.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 357.0, + 746.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 691.0, + 148.0, + 1252.0, + 565.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 1.0, + 354.0, + 777.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ea6687ab0" + }, + "8eb0d315c1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 690.0, + 115.0, + 1139.0, + 489.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 694.0, + 427.0, + 791.0, + 463.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 690.0, + 116.0, + 1140.0, + 487.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 695.0, + 429.0, + 802.0, + 464.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 721.0, + 147.0, + 1187.0, + 516.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 740.0, + 460.0, + 844.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 529.0, + 224.0, + 1160.0, + 715.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 540.0, + 593.0, + 717.0, + 662.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8eb0d315c1" + }, + "8ec10891f9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 458.0, + 94.0, + 1132.0, + 444.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1026.0, + 0.0, + 1279.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 775.0, + 306.0, + 1046.0, + 631.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 660.0, + 49.0, + 947.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 824.0, + 315.0, + 1049.0, + 703.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 636.0, + 56.0, + 994.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 775.0, + 289.0, + 977.0, + 694.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 560.0, + 159.0, + 902.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ec10891f9" + }, + "8ec3065ec2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 295.0, + 661.0, + 758.0, + 719.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 343.0, + 395.0, + 589.0, + 544.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 371.0, + 393.0, + 639.0, + 524.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 241.0, + 628.0, + 708.0, + 719.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ec3065ec2" + }, + "8ecf51a971": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 647.0, + 297.0, + 674.0, + 323.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 773.0, + 308.0, + 952.0, + 421.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 554.0, + 377.0, + 622.0, + 434.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 549.0, + 409.0, + 576.0, + 431.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 473.0, + 312.0, + 556.0, + 394.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 458.0, + 385.0, + 519.0, + 413.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ecf51a971" + }, + "8eddbab9f7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 564.0, + 203.0, + 931.0, + 520.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 298.0, + 467.0, + 968.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 567.0, + 164.0, + 950.0, + 526.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 388.0, + 441.0, + 974.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 543.0, + 245.0, + 784.0, + 522.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 474.0, + 423.0, + 1082.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 551.0, + 325.0, + 890.0, + 688.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 650.0, + 416.0, + 1188.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8eddbab9f7" + }, + "8ee198467a": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 317.0, + 72.0, + 894.0, + 712.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 319.0, + 49.0, + 897.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 287.0, + 41.0, + 889.0, + 693.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 334.0, + 19.0, + 918.0, + 658.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ee198467a" + }, + "8ee2368f40": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 105.0, + 161.0, + 402.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 102.0, + 157.0, + 404.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 161.0, + 157.0, + 401.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 140.0, + 152.0, + 400.0, + 408.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ee2368f40" + }, + "8ef595ce82": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 476.0, + 213.0, + 1279.0, + 650.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 374.0, + 274.0, + 1269.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 332.0, + 277.0, + 1269.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 492.0, + 250.0, + 1261.0, + 659.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ef595ce82" + }, + "8f0a653ad7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 198.0, + 134.0, + 1279.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 278.0, + 142.0, + 1279.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 314.0, + 142.0, + 1279.0, + 672.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 298.0, + 149.0, + 1279.0, + 674.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f0a653ad7" + }, + "8f1204a732": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 199.0, + 113.0, + 1048.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 201.0, + 118.0, + 1038.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 259.0, + 59.0, + 1082.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 235.0, + 58.0, + 1008.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f1204a732" + }, + "8f1600f7f6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 1175.0, + 544.0, + 1239.0, + 634.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 1032.0, + 378.0, + 1084.0, + 489.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 1210.0, + 616.0, + 1277.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1155.0, + 554.0, + 1212.0, + 639.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 1018.0, + 392.0, + 1061.0, + 487.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 1192.0, + 612.0, + 1259.0, + 682.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1123.0, + 530.0, + 1192.0, + 627.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 970.0, + 374.0, + 1037.0, + 480.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 1169.0, + 598.0, + 1242.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1129.0, + 530.0, + 1189.0, + 620.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 962.0, + 365.0, + 1050.0, + 481.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 1154.0, + 597.0, + 1234.0, + 667.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f1600f7f6" + }, + "8f16366707": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 418.0, + 0.0, + 697.0, + 345.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 390.0, + 329.0, + 627.0, + 380.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 246.0, + 25.0, + 441.0, + 352.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 221.0, + 336.0, + 420.0, + 378.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 429.0, + 174.0, + 572.0, + 352.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 385.0, + 350.0, + 507.0, + 372.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 476.0, + 139.0, + 652.0, + 384.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 541.0, + 381.0, + 650.0, + 398.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f16366707" + }, + "8f1ce0a411": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 397.0, + 311.0, + 1236.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 332.0, + 292.0, + 1208.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 241.0, + 267.0, + 1129.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 264.0, + 290.0, + 1043.0, + 546.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f1ce0a411" + }, + "8f2e05e814": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 840.0, + 289.0, + 1020.0, + 392.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 679.0, + 315.0, + 846.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 853.0, + 293.0, + 1018.0, + 391.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 676.0, + 298.0, + 833.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 854.0, + 294.0, + 1020.0, + 391.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 672.0, + 316.0, + 821.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 861.0, + 290.0, + 1021.0, + 396.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 646.0, + 316.0, + 792.0, + 405.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f2e05e814" + }, + "8f320d0e09": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 235.0, + 213.0, + 1279.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 267.0, + 243.0, + 1279.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 357.0, + 241.0, + 1279.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 351.0, + 241.0, + 1279.0, + 641.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f320d0e09" + }, + "8f3b4a84ad": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 244.0, + 93.0, + 863.0, + 536.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 718.0, + 0.0, + 963.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 252.0, + 96.0, + 921.0, + 542.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 754.0, + 0.0, + 1001.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 284.0, + 106.0, + 967.0, + 551.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 806.0, + 0.0, + 1093.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 322.0, + 64.0, + 1037.0, + 520.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 919.0, + 0.0, + 1223.0, + 519.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f3b4a84ad" + }, + "8f3fdad3da": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 934.0, + 463.0, + 1036.0, + 510.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 957.0, + 391.0, + 1095.0, + 524.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 698.0, + 501.0, + 1208.0, + 562.0 + ] + }, + "4": { + "category_name": "boat", + "bbox": [ + 309.0, + 529.0, + 956.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 863.0, + 361.0, + 986.0, + 518.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 909.0, + 361.0, + 1063.0, + 502.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 641.0, + 478.0, + 1181.0, + 550.0 + ] + }, + "4": { + "category_name": "boat", + "bbox": [ + 314.0, + 531.0, + 948.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 861.0, + 315.0, + 991.0, + 633.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 895.0, + 379.0, + 1055.0, + 541.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 551.0, + 495.0, + 1195.0, + 588.0 + ] + }, + "4": { + "category_name": "boat", + "bbox": [ + 311.0, + 533.0, + 952.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 814.0, + 349.0, + 1071.0, + 628.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 865.0, + 376.0, + 1059.0, + 542.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 537.0, + 502.0, + 1204.0, + 590.0 + ] + }, + "4": { + "category_name": "boat", + "bbox": [ + 309.0, + 538.0, + 950.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f3fdad3da" + }, + "8f5d3622d8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 225.0, + 559.0, + 688.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 31.0, + 28.0, + 250.0, + 381.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 455.0, + 1.0, + 546.0, + 239.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 222.0, + 577.0, + 659.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 170.0, + 32.0, + 322.0, + 291.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 433.0, + 20.0, + 541.0, + 321.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 249.0, + 612.0, + 635.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 226.0, + 76.0, + 349.0, + 275.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 428.0, + 69.0, + 540.0, + 379.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 248.0, + 602.0, + 653.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 256.0, + 58.0, + 372.0, + 272.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 439.0, + 54.0, + 559.0, + 376.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00080", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f5d3622d8" + }, + "8f62a2c633": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 403.0, + 30.0, + 897.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 368.0, + 29.0, + 860.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 325.0, + 48.0, + 849.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 304.0, + 59.0, + 877.0, + 635.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f62a2c633" + }, + "8f81c9405a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 156.0, + 98.0, + 1051.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 141.0, + 138.0, + 1104.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 141.0, + 114.0, + 1013.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 315.0, + 107.0, + 728.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f81c9405a" + }, + "8f8c974d53": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 22 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 335.0, + 221.0, + 1010.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 491.0, + 186.0, + 1014.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 467.0, + 195.0, + 1004.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 481.0, + 159.0, + 1096.0, + 603.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00060", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f8c974d53" + }, + "8f918598b6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 687.0, + 300.0, + 897.0, + 572.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 159.0, + 187.0, + 430.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 664.0, + 307.0, + 894.0, + 568.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 106.0, + 167.0, + 420.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 601.0, + 380.0, + 844.0, + 654.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 15.0, + 250.0, + 343.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 528.0, + 436.0, + 754.0, + 713.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 386.0, + 114.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f918598b6" + }, + "8ff61619f6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 801.0, + 349.0, + 853.0, + 479.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1140.0, + 380.0, + 1195.0, + 492.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1062.0, + 343.0, + 1093.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 760.0, + 358.0, + 817.0, + 492.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1074.0, + 393.0, + 1122.0, + 502.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 914.0, + 329.0, + 951.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 769.0, + 352.0, + 825.0, + 484.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 872.0, + 315.0, + 913.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 715.0, + 358.0, + 770.0, + 492.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1016.0, + 386.0, + 1068.0, + 494.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 678.0, + 303.0, + 718.0, + 459.0 + ] + } + } + ], + "frame_names": [ + "00200", + "00225", + "00235", + "00260" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ff61619f6" + }, + "9002761b41": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 771.0, + 306.0, + 848.0, + 451.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 747.0, + 352.0, + 854.0, + 519.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 617.0, + 296.0, + 679.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 834.0, + 300.0, + 900.0, + 457.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 813.0, + 345.0, + 928.0, + 527.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 667.0, + 289.0, + 715.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 891.0, + 300.0, + 959.0, + 461.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 861.0, + 348.0, + 990.0, + 537.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 708.0, + 286.0, + 762.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1061.0, + 311.0, + 1126.0, + 472.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 1019.0, + 355.0, + 1168.0, + 550.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 845.0, + 302.0, + 901.0, + 441.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9002761b41" + }, + "90107941f3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 561.0, + 0.0, + 794.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 565.0, + 0.0, + 776.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 565.0, + 0.0, + 788.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 563.0, + 0.0, + 790.0, + 529.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/90107941f3" + }, + "90118a42ee": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 501.0, + 260.0, + 842.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 1168.0, + 156.0, + 1279.0, + 379.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 555.0, + 104.0, + 986.0, + 632.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 1236.0, + 70.0, + 1279.0, + 219.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 461.0, + 85.0, + 960.0, + 689.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 313.0, + 68.0, + 700.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/90118a42ee" + }, + "902bc16b37": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 104.0, + 962.0, + 490.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 49.0, + 97.0, + 1003.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 57.0, + 101.0, + 1005.0, + 477.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 112.0, + 111.0, + 1030.0, + 481.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/902bc16b37" + }, + "903e87e0d6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 1001.0, + 170.0, + 1170.0, + 231.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 991.0, + 155.0, + 1126.0, + 220.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 1017.0, + 181.0, + 1141.0, + 258.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 1065.0, + 214.0, + 1176.0, + 271.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/903e87e0d6" + }, + "9041a0f489": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1077.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1127.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1189.0, + 683.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1255.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00105", + "00115", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9041a0f489" + }, + "9047bf3222": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 1013.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 8.0, + 0.0, + 1133.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 150.0, + 0.0, + 1255.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 284.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00200", + "00205", + "00210", + "00215" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9047bf3222" + }, + "9057bfa502": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 481.0, + 186.0, + 660.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 449.0, + 156.0, + 682.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 437.0, + 123.0, + 774.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 443.0, + 40.0, + 860.0, + 669.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9057bfa502" + }, + "90617b0954": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 83.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 99.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 121.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 115.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/90617b0954" + }, + "9076f4b6db": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 341.0, + 101.0, + 984.0, + 676.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 459.0, + 76.0, + 1126.0, + 610.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 371.0, + 81.0, + 1108.0, + 624.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 533.0, + 24.0, + 1270.0, + 610.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 26.0, + 489.0, + 511.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9076f4b6db" + }, + "9077e69b08": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 529.0, + 354.0, + 568.0, + 433.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 527.0, + 154.0, + 780.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 660.0, + 345.0, + 756.0, + 407.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 610.0, + 149.0, + 776.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 646.0, + 365.0, + 733.0, + 417.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 598.0, + 148.0, + 779.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 661.0, + 364.0, + 756.0, + 420.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 609.0, + 154.0, + 783.0, + 494.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9077e69b08" + }, + "909655b4a6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 719.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 274.0, + 0.0, + 803.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 985.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 306.0, + 0.0, + 805.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 883.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 312.0, + 238.0, + 859.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 887.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 320.0, + 332.0, + 863.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/909655b4a6" + }, + "909c2eca88": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 474.0, + 151.0, + 948.0, + 611.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 318.0, + 203.0, + 609.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 385.0, + 218.0, + 651.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 205.0, + 327.0, + 584.0, + 664.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/909c2eca88" + }, + "909dbd1b76": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 96.0, + 997.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 118.0, + 972.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 144.0, + 1026.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 4.0, + 148.0, + 1037.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/909dbd1b76" + }, + "90bc4a319a": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 78.0, + 959.0, + 635.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 76.0, + 959.0, + 636.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 72.0, + 959.0, + 639.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 86.0, + 959.0, + 638.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00045", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/90bc4a319a" + }, + "90c7a87887": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "skateboard", + "bbox": [ + 444.0, + 2.0, + 798.0, + 658.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 415.0, + 3.0, + 765.0, + 82.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 768.0, + 389.0, + 931.0, + 553.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "skateboard", + "bbox": [ + 423.0, + 5.0, + 948.0, + 227.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 464.0, + 376.0, + 806.0, + 472.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 437.0, + 2.0, + 659.0, + 715.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "skateboard", + "bbox": [ + 400.0, + 285.0, + 694.0, + 498.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 437.0, + 33.0, + 706.0, + 683.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 100.0, + 5.0, + 591.0, + 330.0 + ] + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/90c7a87887" + }, + "90cc785ddd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 0.0, + 0.0, + 773.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 394.0, + 0.0, + 911.0, + 465.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 608.0, + 433.0, + 795.0, + 563.0 + ] + }, + "4": { + "category_name": "boat", + "bbox": [ + 287.0, + 440.0, + 978.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 212.0, + 34.0, + 624.0, + 603.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 288.0, + 0.0, + 833.0, + 474.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 608.0, + 430.0, + 797.0, + 557.0 + ] + }, + "4": { + "category_name": "boat", + "bbox": [ + 299.0, + 441.0, + 974.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 588.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 388.0, + 0.0, + 865.0, + 453.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 616.0, + 421.0, + 814.0, + 548.0 + ] + }, + "4": { + "category_name": "boat", + "bbox": [ + 310.0, + 428.0, + 997.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 741.0, + 59.0, + 847.0, + 323.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 504.0, + 36.0, + 881.0, + 460.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 620.0, + 421.0, + 821.0, + 549.0 + ] + }, + "4": { + "category_name": "boat", + "bbox": [ + 323.0, + 427.0, + 1012.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/90cc785ddd" + }, + "90d300f09b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 38.0, + 1073.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 832.0, + 0.0, + 1245.0, + 163.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1126.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 901.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1006.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/90d300f09b" + }, + "9101ea9b1b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 684.0, + 78.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 0.0, + 1141.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 0.0, + 1011.0, + 541.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 218.0, + 0.0, + 1135.0, + 423.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00100", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9101ea9b1b" + }, + "9108130458": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 807.0, + 258.0, + 1181.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 759.0, + 256.0, + 997.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 673.0, + 250.0, + 941.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 454.0, + 232.0, + 885.0, + 501.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9108130458" + }, + "911ac9979b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 7.0, + 170.0, + 794.0, + 691.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 368.0, + 0.0, + 943.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 494.0, + 0.0, + 1179.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 495.0, + 45.0, + 1205.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/911ac9979b" + }, + "9151cad9b5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 543.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 607.0, + 406.0, + 644.0, + 455.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 543.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 509.0, + 357.0, + 621.0, + 483.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 542.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 607.0, + 412.0, + 644.0, + 450.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 538.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 560.0, + 389.0, + 630.0, + 458.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9151cad9b5" + }, + "9153762797": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 640.0, + 238.0, + 920.0, + 425.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 836.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 727.0, + 440.0, + 1065.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 565.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 454.0, + 216.0, + 1004.0, + 443.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 229.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 376.0, + 261.0, + 997.0, + 535.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 162.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9153762797" + }, + "91634ee0c9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 977.0, + 336.0, + 1134.0, + 515.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 1084.0, + 342.0, + 1245.0, + 520.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 95.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 1010.0, + 264.0, + 1163.0, + 434.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 12.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 614.0, + 290.0, + 760.0, + 450.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91634ee0c9" + }, + "916942666f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 559.0, + 100.0, + 842.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 359.0, + 201.0, + 722.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 106.0, + 351.0, + 554.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 68.0, + 451.0, + 451.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/916942666f" + }, + "9198cfb4ea": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 226.0, + 621.0, + 930.0, + 680.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 6.0, + 373.0, + 787.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 214.0, + 270.0, + 936.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 216.0, + 308.0, + 727.0, + 476.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9198cfb4ea" + }, + "919ac864d6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 432.0, + 11.0, + 925.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 422.0, + 28.0, + 911.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 398.0, + 25.0, + 877.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 420.0, + 29.0, + 887.0, + 625.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/919ac864d6" + }, + "91b67d58d4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 302.0, + 534.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 315.0, + 710.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 293.0, + 673.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 320.0, + 654.0, + 682.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91b67d58d4" + }, + "91bb8df281": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 382.0, + 206.0, + 623.0, + 401.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 615.0, + 311.0, + 897.0, + 441.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 153.0, + 401.0, + 898.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 427.0, + 260.0, + 687.0, + 387.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 681.0, + 218.0, + 918.0, + 337.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 390.0, + 694.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 364.0, + 220.0, + 680.0, + 361.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 649.0, + 195.0, + 906.0, + 312.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 1172.0, + 0.0, + 1279.0, + 94.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 525.0, + 425.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 419.0, + 219.0, + 640.0, + 384.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 613.0, + 214.0, + 911.0, + 361.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 954.0, + 0.0, + 1279.0, + 191.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 607.0, + 238.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91bb8df281" + }, + "91be106477": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 162.0, + 115.0, + 388.0, + 239.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 257.0, + 108.0, + 388.0, + 222.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 248.0, + 97.0, + 435.0, + 224.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 77.0, + 75.0, + 415.0, + 208.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91be106477" + }, + "91c33b4290": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 128.0, + 0.0, + 1279.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 153.0, + 0.0, + 1194.0, + 619.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 149.0, + 1.0, + 1110.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 229.0, + 0.0, + 1101.0, + 672.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91c33b4290" + }, + "91ca7dd9f3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 494.0, + 95.0, + 891.0, + 501.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1273.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 458.0, + 94.0, + 895.0, + 499.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1276.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 494.0, + 74.0, + 897.0, + 489.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1269.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 510.0, + 65.0, + 895.0, + 492.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1272.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91ca7dd9f3" + }, + "91d095f869": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 638.0, + 234.0, + 1100.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 672.0, + 165.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 623.0, + 340.0, + 1226.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 681.0, + 254.0, + 1279.0, + 695.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 630.0, + 310.0, + 1277.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 679.0, + 232.0, + 1279.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 636.0, + 281.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 677.0, + 243.0, + 1279.0, + 629.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91d095f869" + }, + "91f107082e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 80.0, + 203.0, + 642.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 183.0, + 242.0, + 650.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 157.0, + 198.0, + 573.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 247.0, + 191.0, + 531.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91f107082e" + }, + "920329dd5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 1049.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 989.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 1077.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 935.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/920329dd5e" + }, + "920c959958": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 78.0, + 611.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 127.0, + 156.0, + 696.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 137.0, + 166.0, + 652.0, + 428.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 386.0, + 292.0, + 720.0, + 463.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/920c959958" + }, + "92128fbf4b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 480.0, + 198.0, + 1223.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 586.0, + 71.0, + 1141.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 372.0, + 127.0, + 885.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 476.0, + 213.0, + 995.0, + 629.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/92128fbf4b" + }, + "9223dacb40": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 210.0, + 116.0, + 865.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 180.0, + 0.0, + 836.0, + 658.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 443.0, + 12.0, + 857.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 415.0, + 2.0, + 827.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9223dacb40" + }, + "923137bb7f": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 523.0, + 189.0, + 1076.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 177.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 413.0, + 216.0, + 972.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 263.0, + 1279.0, + 527.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 411.0, + 263.0, + 1010.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 221.0, + 1279.0, + 471.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 417.0, + 248.0, + 1000.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/923137bb7f" + }, + "9268e1f88a": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 494.0, + 210.0, + 831.0, + 713.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 749.0, + 616.0, + 859.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 398.0, + 235.0, + 749.0, + 716.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 382.0, + 269.0, + 739.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 376.0, + 248.0, + 731.0, + 715.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9268e1f88a" + }, + "927647fe08": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 861.0, + 270.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 476.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 935.0, + 302.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 550.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 829.0, + 424.0, + 1177.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 516.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 685.0, + 310.0, + 1049.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 578.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/927647fe08" + }, + "9276f5ba47": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 256.0, + 184.0, + 1089.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 558.0, + 152.0, + 1103.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 468.0, + 119.0, + 1021.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 396.0, + 204.0, + 903.0, + 563.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9276f5ba47" + }, + "92a28cd233": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 588.0, + 296.0, + 700.0, + 379.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 904.0, + 285.0, + 998.0, + 350.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 562.0, + 289.0, + 607.0, + 355.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 815.0, + 272.0, + 924.0, + 346.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 398.0, + 295.0, + 463.0, + 374.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 605.0, + 276.0, + 683.0, + 352.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 280.0, + 288.0, + 376.0, + 368.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 501.0, + 268.0, + 597.0, + 353.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/92a28cd233" + }, + "92b5c1fc6d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 199.0, + 1125.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 366.0, + 147.0, + 870.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 7.0, + 127.0, + 1182.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 573.0, + 196.0, + 1096.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 196.0, + 1134.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 379.0, + 213.0, + 844.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 83.0, + 1079.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 301.0, + 75.0, + 665.0, + 525.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/92b5c1fc6d" + }, + "92c46be756": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 637.0, + 161.0, + 798.0, + 329.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 573.0, + 161.0, + 850.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 370.0, + 109.0, + 616.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 406.0, + 82.0, + 636.0, + 470.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/92c46be756" + }, + "92dabbe3a0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 757.0, + 132.0, + 1279.0, + 694.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 218.0, + 268.0, + 473.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 949.0, + 325.0, + 1279.0, + 682.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 187.0, + 346.0, + 440.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1035.0, + 144.0, + 1279.0, + 668.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 81.0, + 237.0, + 382.0, + 453.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 898.0, + 207.0, + 1279.0, + 580.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 305.0, + 266.0, + 511.0, + 423.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00200", + "00215", + "00240", + "00255" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/92dabbe3a0" + }, + "92e3159361": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 3.0, + 107.0, + 472.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 3.0, + 54.0, + 471.0, + 371.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 152.0, + 247.0, + 598.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 95.0, + 246.0, + 544.0, + 541.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/92e3159361" + }, + "92ebab216a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 334.0, + 253.0, + 1123.0, + 700.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 472.0, + 112.0, + 1087.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 372.0, + 277.0, + 1083.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 536.0, + 122.0, + 1089.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 408.0, + 220.0, + 1097.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 568.0, + 76.0, + 1093.0, + 709.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 424.0, + 208.0, + 1279.0, + 639.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 622.0, + 18.0, + 1279.0, + 395.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/92ebab216a" + }, + "934bdc2893": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 545.0, + 120.0, + 722.0, + 390.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 656.0, + 367.0, + 721.0, + 417.0 + ] + }, + "3": {}, + "4": { + "category_name": "skateboard", + "bbox": [ + 643.0, + 250.0, + 688.0, + 286.0 + ] + }, + "5": { + "category_name": "sedan", + "bbox": [ + 596.0, + 106.0, + 767.0, + 354.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 591.0, + 342.0, + 709.0, + 368.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": {}, + "4": { + "category_name": "skateboard", + "bbox": [ + 576.0, + 185.0, + 747.0, + 405.0 + ] + }, + "5": { + "category_name": "sedan", + "bbox": [ + 584.0, + 398.0, + 621.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 475.0, + 265.0, + 674.0, + 346.0 + ] + }, + "3": {}, + "4": { + "category_name": "skateboard", + "bbox": [ + 553.0, + 408.0, + 610.0, + 432.0 + ] + }, + "5": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 76.0, + 154.0, + 539.0, + 420.0 + ] + }, + "2": {}, + "3": {}, + "4": {}, + "5": {} + } + ], + "frame_names": [ + "00035", + "00070", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/934bdc2893" + }, + "9359174efc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 318.0, + 52.0, + 638.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 342.0, + 8.0, + 643.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 365.0, + 155.0, + 688.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 416.0, + 221.0, + 711.0, + 624.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9359174efc" + }, + "935d97dd2f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 25.0, + 715.0, + 657.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 699.0, + 21.0, + 1139.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 657.0, + 529.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 645.0, + 0.0, + 1279.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 620.0, + 627.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 699.0, + 0.0, + 1279.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 677.0, + 636.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 670.0, + 0.0, + 1279.0, + 546.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/935d97dd2f" + }, + "935feaba1b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 753.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 262.0, + 220.0, + 508.0, + 448.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 549.0, + 393.0, + 606.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 761.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 270.0, + 212.0, + 504.0, + 430.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 532.0, + 356.0, + 613.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 779.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 278.0, + 207.0, + 526.0, + 435.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 544.0, + 341.0, + 623.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 803.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 316.0, + 206.0, + 556.0, + 429.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 567.0, + 344.0, + 652.0, + 441.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/935feaba1b" + }, + "93901858ee": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 146.0, + 137.0, + 833.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 146.0, + 72.0, + 779.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 150.0, + 233.0, + 667.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 144.0, + 143.0, + 749.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93901858ee" + }, + "939378f6d6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 975.0, + 375.0, + 1054.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 819.0, + 132.0, + 946.0, + 231.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 718.0, + 193.0, + 849.0, + 346.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 690.0, + 222.0, + 817.0, + 385.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/939378f6d6" + }, + "939bdf742e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 997.0, + 5.0, + 1181.0, + 355.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 998.0, + 242.0, + 1216.0, + 444.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 54.0, + 319.0, + 647.0, + 719.0 + ] + }, + "4": { + "category_name": "cow", + "bbox": [ + 679.0, + 376.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1151.0, + 0.0, + 1279.0, + 228.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1153.0, + 226.0, + 1279.0, + 429.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 286.0, + 305.0, + 771.0, + 719.0 + ] + }, + "4": { + "category_name": "cow", + "bbox": [ + 806.0, + 359.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 889.0, + 3.0, + 1075.0, + 352.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 908.0, + 208.0, + 1111.0, + 442.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 104.0, + 344.0, + 522.0, + 719.0 + ] + }, + "4": { + "category_name": "cow", + "bbox": [ + 568.0, + 390.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 774.0, + 167.0, + 955.0, + 514.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 794.0, + 367.0, + 992.0, + 600.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 10.0, + 517.0, + 406.0, + 719.0 + ] + }, + "4": { + "category_name": "cow", + "bbox": [ + 455.0, + 554.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00120", + "00135", + "00160", + "00175" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/939bdf742e" + }, + "93a22bee7e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 534.0, + 195.0, + 901.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 520.0, + 197.0, + 913.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 617.0, + 205.0, + 1006.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 683.0, + 188.0, + 1054.0, + 568.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93a22bee7e" + }, + "93da9aeddf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 553.0, + 237.0, + 606.0, + 370.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 504.0, + 279.0, + 676.0, + 456.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 547.0, + 234.0, + 605.0, + 364.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 498.0, + 273.0, + 717.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 555.0, + 228.0, + 611.0, + 366.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 507.0, + 271.0, + 688.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 592.0, + 222.0, + 655.0, + 361.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 562.0, + 264.0, + 743.0, + 461.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93da9aeddf" + }, + "93e2feacce": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 176.0, + 0.0, + 1043.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 153.0, + 0.0, + 1000.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 286.0, + 0.0, + 1159.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 307.0, + 0.0, + 1210.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93e2feacce" + }, + "93e6f1fdf9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 228.0, + 352.0, + 497.0, + 455.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 822.0, + 452.0, + 922.0, + 578.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 730.0, + 40.0, + 930.0, + 315.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 205.0, + 346.0, + 542.0, + 465.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 801.0, + 445.0, + 901.0, + 586.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 712.0, + 41.0, + 910.0, + 315.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 257.0, + 346.0, + 595.0, + 452.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 785.0, + 441.0, + 900.0, + 583.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 727.0, + 45.0, + 926.0, + 318.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 277.0, + 292.0, + 613.0, + 426.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 709.0, + 401.0, + 826.0, + 535.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 725.0, + 6.0, + 926.0, + 286.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93e6f1fdf9" + }, + "93e811e393": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 657.0, + 224.0, + 978.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 685.0, + 220.0, + 968.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 699.0, + 207.0, + 952.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 690.0, + 207.0, + 958.0, + 488.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93e811e393" + }, + "93e85d8fd3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 360.0, + 289.0, + 973.0, + 665.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 364.0, + 329.0, + 983.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 344.0, + 340.0, + 977.0, + 665.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 344.0, + 311.0, + 973.0, + 665.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93e85d8fd3" + }, + "93f623d716": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 527.0, + 131.0, + 999.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 588.0, + 152.0, + 1070.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 505.0, + 35.0, + 1026.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 327.0, + 0.0, + 837.0, + 431.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93f623d716" + }, + "94031f12f2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 800.0, + 192.0, + 864.0, + 238.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 885.0, + 130.0, + 978.0, + 187.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 812.0, + 443.0, + 849.0, + 503.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 800.0, + 193.0, + 864.0, + 237.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 890.0, + 130.0, + 985.0, + 189.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 815.0, + 444.0, + 852.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 797.0, + 194.0, + 861.0, + 238.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 890.0, + 131.0, + 983.0, + 189.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 812.0, + 444.0, + 850.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 799.0, + 197.0, + 861.0, + 240.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 894.0, + 132.0, + 987.0, + 191.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 819.0, + 445.0, + 855.0, + 506.0 + ] + } + } + ], + "frame_names": [ + "00140", + "00160", + "00165", + "00200" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94031f12f2" + }, + "94091a4873": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 367.0, + 0.0, + 538.0, + 261.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 662.0, + 211.0, + 969.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 377.0, + 0.0, + 531.0, + 260.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 663.0, + 210.0, + 969.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 376.0, + 39.0, + 543.0, + 262.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 669.0, + 211.0, + 969.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 373.0, + 22.0, + 531.0, + 263.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 671.0, + 210.0, + 970.0, + 510.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94091a4873" + }, + "94125907e3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 250.0, + 61.0, + 859.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 176.0, + 0.0, + 1025.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 897.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 947.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94125907e3" + }, + "9418653742": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 951.0, + 407.0, + 1279.0, + 695.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 339.0, + 102.0, + 878.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 1025.0, + 394.0, + 1279.0, + 683.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 318.0, + 116.0, + 867.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 1095.0, + 407.0, + 1279.0, + 678.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 360.0, + 97.0, + 899.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 1189.0, + 467.0, + 1279.0, + 672.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 476.0, + 90.0, + 1055.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9418653742" + }, + "941c870569": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 1156.0, + 268.0, + 1209.0, + 491.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 253.0, + 70.0, + 956.0, + 686.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 126.0, + 2.0, + 203.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 1104.0, + 266.0, + 1153.0, + 487.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 225.0, + 74.0, + 890.0, + 684.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 72.0, + 2.0, + 150.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 1130.0, + 255.0, + 1181.0, + 479.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 259.0, + 68.0, + 929.0, + 669.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 99.0, + 2.0, + 177.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 1196.0, + 258.0, + 1246.0, + 483.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 332.0, + 62.0, + 983.0, + 664.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 167.0, + 4.0, + 244.0, + 548.0 + ] + } + } + ], + "frame_names": [ + "00105", + "00120", + "00130", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/941c870569" + }, + "94209c86f0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 567.0, + 231.0, + 972.0, + 560.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 525.0, + 445.0, + 850.0, + 618.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 663.0, + 0.0, + 740.0, + 231.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 566.0, + 227.0, + 967.0, + 563.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 528.0, + 452.0, + 853.0, + 628.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 665.0, + 0.0, + 743.0, + 226.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 560.0, + 231.0, + 971.0, + 567.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 529.0, + 451.0, + 860.0, + 620.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 669.0, + 0.0, + 741.0, + 230.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 560.0, + 228.0, + 967.0, + 561.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 524.0, + 445.0, + 856.0, + 613.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 669.0, + 0.0, + 747.0, + 231.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94209c86f0" + }, + "9437c715eb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 256.0, + 156.0, + 1041.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 548.0, + 179.0, + 999.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 634.0, + 190.0, + 979.0, + 674.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 532.0, + 141.0, + 953.0, + 676.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9437c715eb" + }, + "9445c3eca2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 549.0, + 0.0, + 740.0, + 162.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 509.0, + 0.0, + 802.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 545.0, + 0.0, + 770.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 497.0, + 0.0, + 810.0, + 320.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9445c3eca2" + }, + "9467c8617c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 827.0, + 312.0, + 928.0, + 511.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 895.0, + 186.0, + 956.0, + 310.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 403.0, + 273.0, + 592.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 777.0, + 288.0, + 901.0, + 473.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 888.0, + 165.0, + 953.0, + 286.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 349.0, + 248.0, + 584.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 734.0, + 300.0, + 837.0, + 476.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 868.0, + 183.0, + 924.0, + 295.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 324.0, + 250.0, + 550.0, + 705.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 758.0, + 385.0, + 832.0, + 558.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 914.0, + 261.0, + 964.0, + 379.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 371.0, + 365.0, + 577.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9467c8617c" + }, + "946d71fb5d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 162.0, + 195.0, + 296.0, + 445.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 168.0, + 295.0, + 366.0, + 598.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 687.0, + 325.0, + 759.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 294.0, + 115.0, + 428.0, + 471.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 123.0, + 279.0, + 680.0, + 709.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 627.0, + 349.0, + 677.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 503.0, + 105.0, + 652.0, + 515.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 192.0, + 302.0, + 929.0, + 715.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 502.0, + 516.0, + 532.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 103.0, + 752.0, + 493.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 243.0, + 290.0, + 917.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 374.0, + 310.0, + 423.0, + 514.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/946d71fb5d" + }, + "948f3ae6fb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 300.0, + 167.0, + 877.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 574.0, + 79.0, + 997.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 292.0, + 15.0, + 885.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 641.0, + 229.0, + 1031.0, + 530.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/948f3ae6fb" + }, + "9498baa359": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 2.0, + 0.0, + 635.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 618.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 437.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 513.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9498baa359" + }, + "94a33abeab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 565.0, + 308.0, + 677.0, + 653.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 684.0, + 321.0, + 810.0, + 629.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 870.0, + 335.0, + 971.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 305.0, + 669.0, + 654.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 690.0, + 320.0, + 820.0, + 628.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 872.0, + 327.0, + 976.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 478.0, + 304.0, + 624.0, + 659.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 718.0, + 322.0, + 832.0, + 629.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 898.0, + 328.0, + 984.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 508.0, + 316.0, + 626.0, + 683.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 703.0, + 330.0, + 837.0, + 639.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 898.0, + 337.0, + 986.0, + 599.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94a33abeab" + }, + "94bf1af5e3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 565.0, + 3.0, + 958.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 535.0, + 0.0, + 956.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 517.0, + 6.0, + 962.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 495.0, + 10.0, + 946.0, + 525.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94bf1af5e3" + }, + "94cf3a8025": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 346.0, + 13.0, + 775.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 250.0, + 312.0, + 467.0, + 719.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 366.0, + 328.0, + 919.0, + 681.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 346.0, + 51.0, + 741.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 712.0, + 336.0, + 949.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 362.0, + 0.0, + 725.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 572.0, + 98.0, + 647.0, + 155.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 694.0, + 264.0, + 1061.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 198.0, + 0.0, + 550.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 518.0, + 0.0, + 743.0, + 499.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 479.0, + 144.0, + 957.0, + 410.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94cf3a8025" + }, + "94db712ac8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 525.0, + 38.0, + 815.0, + 539.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 621.0, + 493.0, + 769.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 620.0, + 0.0, + 857.0, + 436.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 679.0, + 368.0, + 859.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 714.0, + 0.0, + 897.0, + 474.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 836.0, + 373.0, + 909.0, + 490.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 548.0, + 0.0, + 897.0, + 413.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 801.0, + 388.0, + 919.0, + 488.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94db712ac8" + }, + "94e4b66cff": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 430.0, + 133.0, + 702.0, + 598.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 587.0, + 2.0, + 836.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 419.0, + 121.0, + 692.0, + 588.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 559.0, + 1.0, + 789.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 401.0, + 124.0, + 679.0, + 588.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 541.0, + 1.0, + 796.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 385.0, + 114.0, + 662.0, + 598.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 454.0, + 0.0, + 721.0, + 576.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94e4b66cff" + }, + "94e76cbaf6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 412.0, + 195.0, + 677.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 377.0, + 195.0, + 678.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 262.0, + 193.0, + 657.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 269.0, + 199.0, + 664.0, + 418.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94e76cbaf6" + }, + "950be91db1": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 24 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 520.0, + 323.0, + 691.0, + 399.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 525.0, + 374.0, + 587.0, + 399.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 613.0, + 388.0, + 810.0, + 430.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 607.0, + 429.0, + 672.0, + 449.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 477.0, + 393.0, + 623.0, + 451.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 456.0, + 447.0, + 566.0, + 469.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 613.0, + 369.0, + 687.0, + 435.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 574.0, + 408.0, + 606.0, + 426.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/950be91db1" + }, + "952058e2d0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 20.0, + 155.0, + 1039.0, + 468.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 8.0, + 294.0, + 166.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 57.0, + 157.0, + 1074.0, + 471.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 7.0, + 293.0, + 203.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 79.0, + 145.0, + 1096.0, + 465.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 291.0, + 218.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 107.0, + 920.0, + 439.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/952058e2d0" + }, + "952633c37f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 467.0, + 284.0, + 613.0, + 434.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 436.0, + 1255.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 466.0, + 305.0, + 625.0, + 431.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 430.0, + 1266.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 464.0, + 335.0, + 621.0, + 434.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 434.0, + 1264.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 465.0, + 332.0, + 623.0, + 434.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 438.0, + 1263.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00115", + "00135", + "00160", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/952633c37f" + }, + "952ec313fe": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 541.0, + 181.0, + 693.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 537.0, + 271.0, + 762.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 515.0, + 274.0, + 721.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 504.0, + 108.0, + 702.0, + 366.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/952ec313fe" + }, + "9533fc037c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 528.0, + 475.0, + 739.0, + 587.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 691.0, + 226.0, + 752.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 463.0, + 473.0, + 675.0, + 580.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 765.0, + 223.0, + 827.0, + 399.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 269.0, + 415.0, + 321.0, + 486.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 242.0, + 391.0, + 315.0, + 456.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9533fc037c" + }, + "9574b81269": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 527.0, + 249.0, + 847.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 544.0, + 247.0, + 841.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 547.0, + 251.0, + 850.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 553.0, + 261.0, + 841.0, + 622.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9574b81269" + }, + "9579b73761": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 912.0, + 695.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 850.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 824.0, + 682.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 675.0, + 702.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9579b73761" + }, + "957f7bc48b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 542.0, + 104.0, + 1121.0, + 668.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 542.0, + 246.0, + 873.0, + 559.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 280.0, + 158.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 608.0, + 287.0, + 911.0, + 588.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 370.0, + 246.0, + 472.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 661.0, + 286.0, + 970.0, + 589.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 441.0, + 252.0, + 546.0, + 532.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/957f7bc48b" + }, + "958073d2b0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 716.0, + 363.0, + 916.0, + 643.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 697.0, + 495.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 611.0, + 493.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 568.0, + 409.0, + 840.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/958073d2b0" + }, + "9582e0eb33": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 101.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 196.0, + 0.0, + 954.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00065", + "00075", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9582e0eb33" + }, + "9584092d0b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 336.0, + 66.0, + 665.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 339.0, + 77.0, + 643.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 371.0, + 81.0, + 681.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 399.0, + 80.0, + 704.0, + 567.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9584092d0b" + }, + "95b58b8004": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 425.0, + 0.0, + 1202.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 432.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 297.0, + 0.0, + 1102.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 194.0, + 0.0, + 1032.0, + 505.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/95b58b8004" + }, + "95bd88da55": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 341.0, + 217.0, + 1279.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 401.0, + 230.0, + 1279.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 253.0, + 94.0, + 1279.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 261.0, + 0.0, + 1279.0, + 612.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00065", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/95bd88da55" + }, + "95f74a9959": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 674.0, + 340.0, + 1026.0, + 668.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 271.0, + 0.0, + 470.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 640.0, + 316.0, + 986.0, + 640.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 241.0, + 0.0, + 433.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 626.0, + 311.0, + 954.0, + 637.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 247.0, + 0.0, + 397.0, + 408.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 583.0, + 307.0, + 875.0, + 612.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 448.0, + 0.0, + 621.0, + 264.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/95f74a9959" + }, + "962781c601": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 114.0, + 664.0, + 514.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 806.0, + 502.0, + 1243.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 157.0, + 668.0, + 510.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 747.0, + 509.0, + 1222.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 172.0, + 593.0, + 463.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 697.0, + 329.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 177.0, + 139.0, + 499.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 1076.0, + 77.0, + 1279.0, + 237.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/962781c601" + }, + "962f045bf5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 207.0, + 161.0, + 399.0, + 238.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 209.0, + 159.0, + 400.0, + 238.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 221.0, + 168.0, + 382.0, + 262.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 206.0, + 171.0, + 362.0, + 230.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/962f045bf5" + }, + "964ad23b44": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 40.0, + 0.0, + 1279.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 68.0, + 0.0, + 1279.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 130.0, + 15.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 180.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/964ad23b44" + }, + "967b90590e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 546.0, + 95.0, + 1279.0, + 460.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 550.0, + 127.0, + 1181.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 466.0, + 158.0, + 1059.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 390.0, + 160.0, + 1007.0, + 519.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/967b90590e" + }, + "967bffe201": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 565.0, + 538.0, + 607.0, + 578.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 736.0, + 528.0, + 772.0, + 572.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 1110.0, + 531.0, + 1139.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 520.0, + 533.0, + 561.0, + 566.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 703.0, + 511.0, + 743.0, + 558.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 1038.0, + 457.0, + 1102.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 285.0, + 375.0, + 355.0, + 434.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 596.0, + 451.0, + 698.0, + 504.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 909.0, + 331.0, + 972.0, + 390.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 79.0, + 301.0, + 154.0, + 353.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 559.0, + 303.0, + 628.0, + 352.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 893.0, + 233.0, + 978.0, + 313.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/967bffe201" + }, + "96825c4714": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 703.0, + 495.0, + 833.0, + 568.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 900.0, + 497.0, + 1051.0, + 563.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 1134.0, + 496.0, + 1249.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 349.0, + 504.0, + 500.0, + 577.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 534.0, + 502.0, + 670.0, + 567.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 618.0, + 504.0, + 725.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 422.0, + 497.0, + 551.0, + 556.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 621.0, + 491.0, + 743.0, + 544.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 607.0, + 495.0, + 724.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 465.0, + 487.0, + 597.0, + 553.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 705.0, + 489.0, + 806.0, + 554.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 617.0, + 488.0, + 747.0, + 558.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96825c4714" + }, + "968492136a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 614.0, + 92.0, + 754.0, + 306.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 554.0, + 197.0, + 825.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 632.0, + 105.0, + 772.0, + 316.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 200.0, + 801.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 625.0, + 95.0, + 766.0, + 303.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 556.0, + 192.0, + 791.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 614.0, + 80.0, + 753.0, + 282.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 197.0, + 787.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00210", + "00235", + "00250", + "00270" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/968492136a" + }, + "9684ef9d64": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 611.0, + 641.0, + 928.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 647.0, + 583.0, + 1015.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 657.0, + 575.0, + 1037.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 673.0, + 387.0, + 1023.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00215", + "00230", + "00235", + "00270" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9684ef9d64" + }, + "968c41829e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 507.0, + 186.0, + 602.0, + 301.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 477.0, + 247.0, + 736.0, + 620.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 369.0, + 339.0, + 792.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 536.0, + 235.0, + 634.0, + 328.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 522.0, + 286.0, + 793.0, + 719.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 408.0, + 382.0, + 871.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 719.0, + 226.0, + 829.0, + 327.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 693.0, + 272.0, + 941.0, + 719.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 564.0, + 371.0, + 1035.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 756.0, + 187.0, + 875.0, + 291.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 745.0, + 240.0, + 979.0, + 713.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 620.0, + 336.0, + 1063.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00195", + "00210", + "00225", + "00230" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/968c41829e" + }, + "96a856ef9a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 31.0, + 0.0, + 1000.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 19.0, + 0.0, + 1031.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 365.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 839.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96a856ef9a" + }, + "96dfc49961": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 353.0, + 127.0, + 876.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 17.0, + 97.0, + 593.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 53.0, + 149.0, + 625.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 71.0, + 130.0, + 660.0, + 621.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96dfc49961" + }, + "96e1a5b4f8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 282.0, + 176.0, + 419.0, + 298.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 354.0, + 123.0, + 657.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 496.0, + 105.0, + 706.0, + 384.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 438.0, + 251.0, + 795.0, + 421.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96e1a5b4f8" + }, + "96e6ff0917": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 430.0, + 17.0, + 1279.0, + 667.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 418.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 453.0, + 28.0, + 1279.0, + 673.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 394.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 401.0, + 44.0, + 1279.0, + 657.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 63.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 399.0, + 37.0, + 1279.0, + 652.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96e6ff0917" + }, + "96fb88e9d7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 84.0, + 0.0, + 933.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 989.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 989.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1007.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00135", + "00155", + "00170", + "00195" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96fb88e9d7" + }, + "96fbe5fc23": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 871.0, + 255.0, + 1021.0, + 640.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 832.0, + 574.0, + 960.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 509.0, + 167.0, + 676.0, + 683.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 489.0, + 673.0, + 652.0, + 706.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 310.0, + 114.0, + 568.0, + 716.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 446.0, + 706.0, + 462.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96fbe5fc23" + }, + "96fc924050": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 541.0, + 33.0, + 1177.0, + 716.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 288.0, + 244.0, + 592.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 577.0, + 34.0, + 1171.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 319.0, + 249.0, + 594.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 570.0, + 34.0, + 1172.0, + 715.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 311.0, + 254.0, + 586.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 457.0, + 26.0, + 1204.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 259.0, + 281.0, + 466.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96fc924050" + }, + "9715cc83dc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 417.0, + 219.0, + 510.0, + 405.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 475.0, + 397.0, + 521.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 388.0, + 210.0, + 475.0, + 429.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 363.0, + 381.0, + 458.0, + 449.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 515.0, + 319.0, + 599.0, + 498.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 473.0, + 464.0, + 620.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 395.0, + 371.0, + 477.0, + 519.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 377.0, + 510.0, + 487.0, + 531.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9715cc83dc" + }, + "9720eff40f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 391.0, + 341.0, + 747.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 373.0, + 307.0, + 757.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 433.0, + 323.0, + 743.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 397.0, + 314.0, + 738.0, + 611.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9720eff40f" + }, + "972c187c0d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 321.0, + 271.0, + 938.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 419.0, + 200.0, + 912.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 409.0, + 198.0, + 844.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 355.0, + 211.0, + 772.0, + 463.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/972c187c0d" + }, + "97476eb38d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 615.0, + 211.0, + 842.0, + 381.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 228.0, + 4.0, + 691.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 633.0, + 218.0, + 806.0, + 370.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 189.0, + 16.0, + 633.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 642.0, + 226.0, + 802.0, + 368.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 189.0, + 8.0, + 649.0, + 402.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 650.0, + 214.0, + 804.0, + 363.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 192.0, + 16.0, + 624.0, + 410.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00075", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97476eb38d" + }, + "97659ed431": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 557.0, + 303.0, + 740.0, + 465.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 528.0, + 458.0, + 670.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 771.0, + 344.0, + 857.0, + 417.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 776.0, + 391.0, + 850.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 593.0, + 298.0, + 696.0, + 474.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 546.0, + 472.0, + 682.0, + 504.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97659ed431" + }, + "9773492949": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 669.0, + 180.0, + 710.0, + 259.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 638.0, + 213.0, + 773.0, + 482.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 831.0, + 240.0, + 907.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 668.0, + 181.0, + 735.0, + 361.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 623.0, + 215.0, + 735.0, + 487.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 816.0, + 267.0, + 944.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 628.0, + 191.0, + 681.0, + 275.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 589.0, + 238.0, + 705.0, + 523.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 779.0, + 266.0, + 927.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 544.0, + 188.0, + 614.0, + 445.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 584.0, + 223.0, + 702.0, + 519.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 730.0, + 276.0, + 846.0, + 607.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9773492949" + }, + "97756b264f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 490.0, + 330.0, + 1037.0, + 491.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 172.0, + 0.0, + 1279.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 459.0, + 313.0, + 957.0, + 483.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 69.0, + 0.0, + 1279.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 465.0, + 291.0, + 961.0, + 464.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 824.0, + 184.0, + 1279.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 467.0, + 297.0, + 966.0, + 467.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 142.0, + 0.0, + 1279.0, + 622.0 + ] + } + } + ], + "frame_names": [ + "00160", + "00180", + "00205", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97756b264f" + }, + "977bff0d10": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 403.0, + 202.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 0.0, + 274.0, + 430.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 383.0, + 694.0, + 485.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 462.0, + 308.0, + 856.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 671.0, + 467.0, + 927.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 0.0, + 357.0, + 1094.0, + 712.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 81.0, + 407.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 121.0, + 102.0, + 1279.0, + 311.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/977bff0d10" + }, + "97ab569ff3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 240.0, + 0.0, + 420.0, + 346.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 234.0, + 314.0, + 419.0, + 363.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 152.0, + 0.0, + 316.0, + 256.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 163.0, + 222.0, + 320.0, + 273.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 90.0, + 0.0, + 252.0, + 211.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 156.0, + 169.0, + 291.0, + 208.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 112.0, + 0.0, + 367.0, + 303.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 219.0, + 235.0, + 413.0, + 283.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00085", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97ab569ff3" + }, + "97ba838008": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 525.0, + 105.0, + 851.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 302.0, + 212.0, + 659.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 402.0, + 258.0, + 913.0, + 623.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97ba838008" + }, + "97d9d008c7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 646.0, + 172.0, + 780.0, + 290.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 622.0, + 304.0, + 688.0, + 314.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 722.0, + 262.0, + 858.0, + 387.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 721.0, + 389.0, + 858.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 870.0, + 153.0, + 1022.0, + 269.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 903.0, + 138.0, + 987.0, + 324.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 906.0, + 378.0, + 934.0, + 391.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97d9d008c7" + }, + "97e59f09fa": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 127.0, + 1279.0, + 558.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 372.0, + 197.0, + 1217.0, + 579.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 1184.0, + 186.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 448.0, + 207.0, + 1247.0, + 591.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 1173.0, + 418.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 140.0, + 324.0, + 959.0, + 675.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 975.0, + 719.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97e59f09fa" + }, + "97eb642e56": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 136.0, + 120.0, + 528.0, + 380.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 616.0, + 16.0, + 946.0, + 382.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 128.0, + 156.0, + 556.0, + 413.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 622.0, + 54.0, + 957.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 201.0, + 168.0, + 602.0, + 485.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 648.0, + 90.0, + 1006.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 306.0, + 145.0, + 664.0, + 473.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 654.0, + 114.0, + 1009.0, + 488.0 + ] + } + } + ], + "frame_names": [ + "00095", + "00110", + "00140", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97eb642e56" + }, + "98043e2d14": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 788.0, + 58.0, + 906.0, + 290.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 657.0, + 0.0, + 796.0, + 241.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1142.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 627.0, + 83.0, + 758.0, + 333.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1091.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 660.0, + 26.0, + 800.0, + 291.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1120.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98043e2d14" + }, + "981ff580cf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 385.0, + 477.0, + 602.0, + 559.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 691.0, + 358.0, + 851.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 701.0, + 260.0, + 900.0, + 407.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 397.0, + 182.0, + 644.0, + 298.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 656.0, + 225.0, + 887.0, + 317.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 63.0, + 304.0, + 302.0, + 441.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 619.0, + 313.0, + 698.0, + 398.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 45.0, + 248.0, + 183.0, + 354.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/981ff580cf" + }, + "983e66cbfc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 93.0, + 191.0, + 775.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 213.0, + 215.0, + 674.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 223.0, + 228.0, + 672.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 290.0, + 181.0, + 789.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00080", + "00100", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/983e66cbfc" + }, + "984f0f1c36": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 535.0, + 533.0, + 646.0, + 576.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 538.0, + 290.0, + 767.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 443.0, + 543.0, + 569.0, + 576.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 471.0, + 275.0, + 609.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 514.0, + 509.0, + 608.0, + 543.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 535.0, + 268.0, + 631.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 508.0, + 440.0, + 614.0, + 496.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 510.0, + 235.0, + 653.0, + 496.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/984f0f1c36" + }, + "98595f2bb4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 448.0, + 215.0, + 616.0, + 686.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 143.0, + 247.0, + 337.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 407.0, + 225.0, + 589.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 107.0, + 256.0, + 297.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 476.0, + 319.0, + 658.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 144.0, + 351.0, + 339.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 545.0, + 317.0, + 743.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98595f2bb4" + }, + "985c3be474": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 791.0, + 95.0, + 1072.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 713.0, + 56.0, + 1066.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 760.0, + 66.0, + 1099.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 861.0, + 0.0, + 983.0, + 224.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/985c3be474" + }, + "9869a12362": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 299.0, + 521.0, + 467.0, + 631.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 347.0, + 119.0, + 698.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 342.0, + 471.0, + 495.0, + 581.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 359.0, + 89.0, + 715.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 288.0, + 489.0, + 485.0, + 599.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 342.0, + 93.0, + 683.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 273.0, + 491.0, + 452.0, + 600.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 306.0, + 88.0, + 651.0, + 544.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9869a12362" + }, + "986b5a5e18": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 400.0, + 75.0, + 741.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 364.0, + 0.0, + 825.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 364.0, + 42.0, + 839.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 394.0, + 69.0, + 975.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/986b5a5e18" + }, + "9877af5063": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 465.0, + 176.0, + 879.0, + 561.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 453.0, + 0.0, + 702.0, + 60.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 413.0, + 146.0, + 815.0, + 543.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 433.0, + 0.0, + 565.0, + 63.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 410.0, + 150.0, + 822.0, + 556.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 440.0, + 0.0, + 568.0, + 70.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 421.0, + 167.0, + 841.0, + 563.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 440.0, + 0.0, + 583.0, + 87.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9877af5063" + }, + "98911292da": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 96.0, + 148.0, + 713.0, + 592.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 417.0, + 125.0, + 592.0, + 240.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 146.0, + 263.0, + 844.0, + 572.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 416.0, + 116.0, + 732.0, + 302.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 62.0, + 303.0, + 781.0, + 609.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 288.0, + 92.0, + 624.0, + 363.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 157.0, + 224.0, + 883.0, + 656.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 370.0, + 32.0, + 671.0, + 333.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98911292da" + }, + "9893a3cf77": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 388.0, + 144.0, + 1037.0, + 519.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 563.0, + 203.0, + 1224.0, + 600.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 78.0, + 201.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 600.0, + 203.0, + 1269.0, + 581.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 64.0, + 294.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 692.0, + 173.0, + 1018.0, + 597.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 44.0, + 38.0, + 326.0, + 449.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9893a3cf77" + }, + "9893d9202d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 296.0, + 43.0, + 1036.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 158.0, + 664.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 261.0, + 924.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 634.0, + 268.0, + 1150.0, + 610.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9893d9202d" + }, + "98a8b06e7f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 349.0, + 411.0, + 623.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 314.0, + 370.0, + 576.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 293.0, + 284.0, + 598.0, + 651.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98a8b06e7f" + }, + "98ac6f93d9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 605.0, + 280.0, + 888.0, + 462.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 414.0, + 376.0, + 602.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 723.0, + 260.0, + 1001.0, + 455.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 418.0, + 381.0, + 599.0, + 454.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 727.0, + 242.0, + 1125.0, + 454.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 506.0, + 219.0, + 754.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 546.0, + 348.0, + 697.0, + 479.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 347.0, + 321.0, + 584.0, + 511.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98ac6f93d9" + }, + "98b6974d12": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 531.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 508.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 566.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 550.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98b6974d12" + }, + "98ba3c9417": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 867.0, + 148.0, + 1279.0, + 479.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 39.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 45.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 741.0, + 223.0, + 1227.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 798.0, + 218.0, + 1217.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98ba3c9417" + }, + "98c7c00a19": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 500.0, + 357.0, + 605.0, + 413.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 605.0, + 368.0, + 658.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 492.0, + 368.0, + 601.0, + 414.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 615.0, + 341.0, + 690.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 484.0, + 369.0, + 599.0, + 423.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 615.0, + 363.0, + 702.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 490.0, + 393.0, + 597.0, + 429.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 612.0, + 357.0, + 734.0, + 435.0 + ] + } + } + ], + "frame_names": [ + "00190", + "00220", + "00235", + "00250" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98c7c00a19" + }, + "98d044f206": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 282.0, + 15.0, + 1077.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 284.0, + 28.0, + 1101.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 312.0, + 56.0, + 1117.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 384.0, + 34.0, + 1173.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98d044f206" + }, + "98e909f9d1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 1001.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 1035.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 1101.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 1133.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00040", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98e909f9d1" + }, + "98fe7f0410": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 517.0, + 156.0, + 773.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 487.0, + 186.0, + 742.0, + 456.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 470.0, + 136.0, + 729.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 521.0, + 106.0, + 784.0, + 393.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98fe7f0410" + }, + "990f2742c7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 22.0, + 135.0, + 1277.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 30.0, + 145.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 32.0, + 136.0, + 1275.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 30.0, + 140.0, + 1277.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/990f2742c7" + }, + "992bd0779a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 282.0, + 1.0, + 881.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 274.0, + 19.0, + 865.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 300.0, + 0.0, + 721.0, + 369.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 228.0, + 0.0, + 1121.0, + 555.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/992bd0779a" + }, + "994b9b47ba": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 236.0, + 75.0, + 1277.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 206.0, + 32.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 198.0, + 45.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 186.0, + 78.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/994b9b47ba" + }, + "9955b76bf5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 362.0, + 136.0, + 847.0, + 507.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 729.0, + 282.0, + 801.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 475.0, + 165.0, + 980.0, + 535.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 857.0, + 306.0, + 928.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 464.0, + 159.0, + 941.0, + 536.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 847.0, + 302.0, + 924.0, + 619.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 513.0, + 104.0, + 1014.0, + 483.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 908.0, + 260.0, + 979.0, + 565.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9955b76bf5" + }, + "997117a654": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 514.0, + 326.0, + 542.0, + 342.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 516.0, + 168.0, + 572.0, + 335.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 572.0, + 300.0, + 612.0, + 346.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 566.0, + 168.0, + 614.0, + 332.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 589.0, + 373.0, + 645.0, + 485.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 547.0, + 154.0, + 701.0, + 428.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 442.0, + 426.0, + 613.0, + 497.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 452.0, + 35.0, + 596.0, + 477.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/997117a654" + }, + "999d53d841": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 612.0, + 315.0, + 648.0, + 332.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 590.0, + 238.0, + 642.0, + 321.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 833.0, + 400.0, + 875.0, + 426.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 784.0, + 287.0, + 873.0, + 410.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 642.0, + 440.0, + 737.0, + 473.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 605.0, + 275.0, + 718.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/999d53d841" + }, + "99c04108d3": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 248.0, + 46.0, + 841.0, + 477.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 111.0, + 109.0, + 881.0, + 460.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 209.0, + 765.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 131.0, + 153.0, + 773.0, + 533.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00105", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/99c04108d3" + }, + "99c4277aee": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 519.0, + 376.0, + 922.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 671.0, + 380.0, + 930.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 649.0, + 367.0, + 899.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 765.0, + 341.0, + 1066.0, + 480.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/99c4277aee" + }, + "99c6b1acf2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 439.0, + 343.0, + 872.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 507.0, + 356.0, + 908.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 747.0, + 384.0, + 980.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 812.0, + 377.0, + 1005.0, + 499.0 + ] + } + } + ], + "frame_names": [ + "00100", + "00105", + "00140", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/99c6b1acf2" + }, + "99dc8bb20b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 17.0, + 636.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 825.0, + 576.0, + 1257.0, + 719.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 769.0, + 487.0, + 1025.0, + 719.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 415.0, + 386.0, + 508.0, + 455.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 8.0, + 693.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 781.0, + 512.0, + 1160.0, + 719.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 712.0, + 464.0, + 954.0, + 719.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 374.0, + 363.0, + 474.0, + 458.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 7.0, + 602.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 973.0, + 503.0, + 1205.0, + 719.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 665.0, + 521.0, + 1004.0, + 719.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 413.0, + 366.0, + 496.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 538.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 937.0, + 427.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 707.0, + 374.0, + 1078.0, + 719.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 390.0, + 362.0, + 478.0, + 427.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/99dc8bb20b" + }, + "99fcba71e5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 620.0, + 371.0, + 786.0, + 600.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 617.0, + 581.0, + 778.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 444.0, + 253.0, + 592.0, + 315.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 570.0, + 272.0, + 629.0, + 344.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 205.0, + 657.0, + 304.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 557.0, + 280.0, + 678.0, + 330.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 526.0, + 226.0, + 653.0, + 311.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 528.0, + 210.0, + 554.0, + 235.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/99fcba71e5" + }, + "99fecd4efb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 272.0, + 201.0, + 829.0, + 717.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 833.0, + 394.0, + 1121.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 281.0, + 228.0, + 882.0, + 717.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 856.0, + 390.0, + 1121.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 322.0, + 240.0, + 856.0, + 716.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 899.0, + 412.0, + 1119.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 380.0, + 231.0, + 921.0, + 716.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 858.0, + 382.0, + 1119.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/99fecd4efb" + }, + "9a02c70ba2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 521.0, + 428.0, + 665.0, + 653.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 380.0, + 335.0, + 579.0, + 589.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 525.0, + 413.0, + 699.0, + 605.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 381.0, + 329.0, + 606.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 556.0, + 397.0, + 692.0, + 595.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 386.0, + 332.0, + 611.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 575.0, + 387.0, + 685.0, + 566.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 410.0, + 339.0, + 625.0, + 594.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a02c70ba2" + }, + "9a08e7a6f8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 935.0, + 41.0, + 1157.0, + 508.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 397.0, + 80.0, + 585.0, + 313.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 787.0, + 43.0, + 995.0, + 522.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 236.0, + 66.0, + 425.0, + 304.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 649.0, + 0.0, + 923.0, + 511.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 156.0, + 3.0, + 342.0, + 210.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 618.0, + 180.0, + 733.0, + 438.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 391.0, + 185.0, + 468.0, + 272.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a08e7a6f8" + }, + "9a2f2c0f86": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 82.0, + 138.0, + 533.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 155.0, + 149.0, + 633.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 97.0, + 125.0, + 589.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 65.0, + 126.0, + 710.0, + 647.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a2f2c0f86" + }, + "9a3254a76e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 6.0, + 513.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 474.0, + 145.0, + 754.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 19.0, + 148.0, + 437.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 286.0, + 139.0, + 578.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 898.0, + 128.0, + 1209.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 624.0, + 135.0, + 995.0, + 679.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a3254a76e" + }, + "9a3570a020": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 830.0, + 198.0, + 1024.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 794.0, + 205.0, + 996.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 740.0, + 205.0, + 924.0, + 366.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 714.0, + 206.0, + 898.0, + 371.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a3570a020" + }, + "9a39112493": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 351.0, + 13.0, + 635.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 527.0, + 5.0, + 790.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 521.0, + 14.0, + 786.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 617.0, + 271.0, + 672.0, + 547.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a39112493" + }, + "9a4e9fd399": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 349.0, + 43.0, + 607.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 354.0, + 52.0, + 619.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 361.0, + 68.0, + 627.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 337.0, + 65.0, + 605.0, + 578.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a4e9fd399" + }, + "9a50af4bfb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 666.0, + 280.0, + 777.0, + 410.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 604.0, + 383.0, + 760.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 604.0, + 400.0, + 753.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 605.0, + 426.0, + 742.0, + 553.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a50af4bfb" + }, + "9a68631d24": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 539.0, + 137.0, + 962.0, + 615.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 539.0, + 409.0, + 777.0, + 610.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 351.0, + 445.0, + 574.0, + 588.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 44.0, + 173.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 521.0, + 144.0, + 948.0, + 621.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 523.0, + 401.0, + 765.0, + 621.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 343.0, + 398.0, + 549.0, + 593.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 48.0, + 175.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 489.0, + 151.0, + 912.0, + 622.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 497.0, + 403.0, + 735.0, + 619.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 317.0, + 411.0, + 509.0, + 602.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 77.0, + 151.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 678.0, + 287.0, + 966.0, + 711.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 425.0, + 297.0, + 689.0, + 680.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 265.0, + 431.0, + 446.0, + 622.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 101.0, + 93.0, + 489.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a68631d24" + }, + "9a72318dbf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 427.0, + 481.0, + 781.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 362.0, + 430.0, + 711.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 338.0, + 382.0, + 682.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 331.0, + 365.0, + 706.0, + 568.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a72318dbf" + }, + "9a767493b7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 309.0, + 301.0, + 531.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 258.0, + 269.0, + 480.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 278.0, + 272.0, + 557.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 344.0, + 263.0, + 589.0, + 401.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a767493b7" + }, + "9a7fc1548b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 177.0, + 316.0, + 698.0, + 475.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 202.0, + 323.0, + 712.0, + 490.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 232.0, + 307.0, + 628.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 266.0, + 290.0, + 730.0, + 506.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a7fc1548b" + }, + "9a84ccf6a7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 604.0, + 167.0, + 1137.0, + 710.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 280.0, + 11.0, + 713.0, + 709.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 671.0, + 226.0, + 1166.0, + 713.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 390.0, + 70.0, + 797.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 805.0, + 281.0, + 1239.0, + 709.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 540.0, + 125.0, + 899.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 867.0, + 289.0, + 1269.0, + 709.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 598.0, + 132.0, + 963.0, + 714.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a84ccf6a7" + }, + "9a9c0e15b7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 624.0, + 254.0, + 753.0, + 321.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 525.0, + 150.0, + 591.0, + 199.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 683.0, + 281.0, + 766.0, + 337.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 543.0, + 126.0, + 615.0, + 184.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 657.0, + 288.0, + 748.0, + 347.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 476.0, + 82.0, + 529.0, + 123.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 632.0, + 284.0, + 743.0, + 344.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 447.0, + 60.0, + 496.0, + 102.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00075", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a9c0e15b7" + }, + "9adf06d89b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 274.0, + 155.0, + 568.0, + 559.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 506.0, + 279.0, + 1149.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 349.0, + 90.0, + 593.0, + 545.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 562.0, + 189.0, + 1060.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 321.0, + 96.0, + 625.0, + 564.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 531.0, + 178.0, + 946.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 313.0, + 126.0, + 539.0, + 579.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 514.0, + 207.0, + 992.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9adf06d89b" + }, + "9b22b54ee4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 117.0, + 335.0, + 261.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 234.0, + 286.0, + 329.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 488.0, + 365.0, + 659.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 404.0, + 428.0, + 648.0, + 656.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00075", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9b22b54ee4" + }, + "9b473fc8fe": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 847.0, + 135.0, + 891.0, + 163.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 774.0, + 160.0, + 969.0, + 325.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 813.0, + 146.0, + 848.0, + 184.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 691.0, + 161.0, + 872.0, + 322.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 749.0, + 232.0, + 784.0, + 272.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 492.0, + 215.0, + 682.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 702.0, + 400.0, + 757.0, + 424.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 278.0, + 296.0, + 438.0, + 360.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9b473fc8fe" + }, + "9b4f081782": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 411.0, + 0.0, + 922.0, + 473.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 421.0, + 0.0, + 942.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 417.0, + 0.0, + 934.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 357.0, + 0.0, + 854.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9b4f081782" + }, + "9b997664ba": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 373.0, + 0.0, + 671.0, + 256.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 232.0, + 38.0, + 869.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 335.0, + 0.0, + 663.0, + 209.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 218.0, + 0.0, + 820.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 399.0, + 65.0, + 675.0, + 276.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 368.0, + 15.0, + 752.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 307.0, + 89.0, + 563.0, + 293.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 282.0, + 63.0, + 624.0, + 627.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9b997664ba" + }, + "9bc454e109": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 322.0, + 0.0, + 1171.0, + 691.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 653.0, + 0.0, + 1279.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 777.0, + 0.0, + 1279.0, + 550.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 568.0, + 0.0, + 1189.0, + 674.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9bc454e109" + }, + "9bccfd04de": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 815.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 731.0, + 179.0, + 884.0, + 669.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1006.0, + 193.0, + 1160.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 783.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 756.0, + 161.0, + 887.0, + 654.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1001.0, + 179.0, + 1162.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 873.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 739.0, + 147.0, + 887.0, + 639.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1013.0, + 164.0, + 1173.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 813.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 740.0, + 158.0, + 873.0, + 631.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1015.0, + 166.0, + 1175.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9bccfd04de" + }, + "9bce4583a2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 414.0, + 517.0, + 1071.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 150.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 226.0, + 421.0, + 931.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 218.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 234.0, + 416.0, + 911.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 224.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 240.0, + 435.0, + 895.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 210.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00090", + "00105", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9bce4583a2" + }, + "9bebf1b87f": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 293.0, + 146.0, + 849.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 301.0, + 149.0, + 850.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 247.0, + 200.0, + 808.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 306.0, + 196.0, + 836.0, + 488.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00110", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9bebf1b87f" + }, + "9bfc50d261": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 313.0, + 0.0, + 896.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 391.0, + 43.0, + 986.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 317.0, + 88.0, + 932.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 367.0, + 145.0, + 990.0, + 695.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9bfc50d261" + }, + "9c166c86ff": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 432.0, + 7.0, + 1003.0, + 577.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 556.0, + 125.0, + 850.0, + 421.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 537.0, + 192.0, + 1048.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 346.0, + 211.0, + 855.0, + 348.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 552.0, + 124.0, + 804.0, + 381.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 538.0, + 191.0, + 1056.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 327.0, + 53.0, + 922.0, + 425.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 529.0, + 144.0, + 862.0, + 388.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 526.0, + 195.0, + 1048.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 265.0, + 224.0, + 1096.0, + 364.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 484.0, + 125.0, + 785.0, + 383.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 546.0, + 182.0, + 1055.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c166c86ff" + }, + "9c293ef4d7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 423.0, + 123.0, + 821.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 379.0, + 97.0, + 1256.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 388.0, + 112.0, + 1244.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 354.0, + 98.0, + 1213.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c293ef4d7" + }, + "9c29c047b0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 186.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 78.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 292.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 556.0, + 310.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c29c047b0" + }, + "9c3bc2e2a7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 0.0, + 0.0, + 998.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 1.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 103.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 155.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c3bc2e2a7" + }, + "9c3ce23bd1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 839.0, + 159.0, + 938.0, + 344.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 898.0, + 254.0, + 969.0, + 436.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 962.0, + 267.0, + 1090.0, + 489.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 762.0, + 174.0, + 857.0, + 355.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 839.0, + 247.0, + 949.0, + 419.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1043.0, + 262.0, + 1146.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 716.0, + 178.0, + 823.0, + 363.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 813.0, + 254.0, + 893.0, + 422.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1063.0, + 252.0, + 1173.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 626.0, + 192.0, + 740.0, + 358.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 818.0, + 232.0, + 890.0, + 393.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1119.0, + 219.0, + 1218.0, + 400.0 + ] + } + } + ], + "frame_names": [ + "00185", + "00205", + "00215", + "00230" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c3ce23bd1" + }, + "9c404cac0c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 353.0, + 243.0, + 470.0, + 348.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 277.0, + 0.0, + 684.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 370.0, + 268.0, + 432.0, + 355.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 276.0, + 0.0, + 683.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 384.0, + 263.0, + 504.0, + 412.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 275.0, + 0.0, + 683.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 382.0, + 303.0, + 493.0, + 409.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 276.0, + 0.0, + 684.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c404cac0c" + }, + "9c5180d23a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 818.0, + 388.0, + 1148.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 819.0, + 387.0, + 1156.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 488.0, + 371.0, + 918.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 727.0, + 389.0, + 1060.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c5180d23a" + }, + "9c7feca6e4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 404.0, + 93.0, + 883.0, + 618.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 26.0, + 575.0, + 1073.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 396.0, + 0.0, + 881.0, + 614.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 534.0, + 1147.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 246.0, + 73.0, + 821.0, + 631.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 86.0, + 577.0, + 1105.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 348.0, + 51.0, + 815.0, + 626.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 14.0, + 568.0, + 1157.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c7feca6e4" + }, + "9caa49d3ff": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 323.0, + 191.0, + 921.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 327.0, + 219.0, + 965.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 334.0, + 203.0, + 978.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 325.0, + 218.0, + 989.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9caa49d3ff" + }, + "9cb2f1b646": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 41.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9cb2f1b646" + }, + "9ce6f765c3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 888.0, + 26.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 258.0, + 131.0, + 314.0, + 179.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 792.0, + 47.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 213.0, + 119.0, + 288.0, + 181.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 670.0, + 245.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 133.0, + 133.0, + 224.0, + 187.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 435.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 70.0, + 152.0, + 226.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9ce6f765c3" + }, + "9cfee34031": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 521.0, + 126.0, + 788.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 513.0, + 128.0, + 786.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 521.0, + 134.0, + 792.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 527.0, + 135.0, + 772.0, + 644.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9cfee34031" + }, + "9d01f08ec6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 144.0, + 892.0, + 658.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 147.0, + 880.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 151.0, + 874.0, + 679.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 155.0, + 892.0, + 678.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9d01f08ec6" + }, + "9d04c280b8": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 744.0, + 94.0, + 820.0, + 316.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 772.0, + 72.0, + 885.0, + 333.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 341.0, + 385.0, + 666.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 665.0, + 112.0, + 734.0, + 317.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 690.0, + 94.0, + 786.0, + 317.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 372.0, + 380.0, + 669.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 588.0, + 130.0, + 664.0, + 338.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 640.0, + 104.0, + 737.0, + 309.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 382.0, + 377.0, + 672.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 525.0, + 153.0, + 580.0, + 377.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 137.0, + 646.0, + 373.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 383.0, + 374.0, + 662.0, + 712.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9d04c280b8" + }, + "9d12ceaddc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 598.0, + 278.0, + 1271.0, + 639.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 335.0, + 322.0, + 626.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 598.0, + 275.0, + 1260.0, + 647.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 339.0, + 315.0, + 626.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 592.0, + 277.0, + 1266.0, + 641.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 340.0, + 324.0, + 634.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 589.0, + 238.0, + 1267.0, + 622.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 339.0, + 318.0, + 629.0, + 520.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9d12ceaddc" + }, + "9d15f8cb3c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 428.0, + 333.0, + 616.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 430.0, + 341.0, + 643.0, + 460.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 438.0, + 343.0, + 676.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 450.0, + 350.0, + 656.0, + 477.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9d15f8cb3c" + }, + "9d2101e9bf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 268.0, + 275.0, + 891.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 398.0, + 171.0, + 725.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 364.0, + 45.0, + 719.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 372.0, + 257.0, + 653.0, + 499.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9d2101e9bf" + }, + "9d407c3aeb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 534.0, + 139.0, + 762.0, + 536.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 413.0, + 158.0, + 624.0, + 554.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 504.0, + 273.0, + 679.0, + 616.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 365.0, + 398.0, + 591.0, + 683.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 613.0, + 110.0, + 849.0, + 284.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9d407c3aeb" + }, + "9ddefc6165": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 226.0, + 0.0, + 961.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 200.0, + 0.0, + 1045.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 216.0, + 0.0, + 1035.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 204.0, + 0.0, + 995.0, + 487.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9ddefc6165" + }, + "9df0b1e298": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 68.0, + 446.0, + 623.0, + 719.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 837.0, + 477.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 70.0, + 441.0, + 625.0, + 719.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 838.0, + 477.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 73.0, + 429.0, + 631.0, + 719.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 823.0, + 466.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 67.0, + 425.0, + 628.0, + 719.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 820.0, + 462.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9df0b1e298" + }, + "9e16f115d8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 36.0, + 76.0, + 1174.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 3.0, + 72.0, + 1195.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 61.0, + 60.0, + 1173.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 22.0, + 57.0, + 1112.0, + 608.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e16f115d8" + }, + "9e249b4982": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 553.0, + 192.0, + 594.0, + 211.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 255.0, + 202.0, + 451.0, + 289.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 217.0, + 145.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e249b4982" + }, + "9e29b1982c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 629.0, + 148.0, + 1049.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 651.0, + 138.0, + 1073.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 419.0, + 209.0, + 1066.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 550.0, + 191.0, + 1009.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e29b1982c" + }, + "9e493e4773": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 639.0, + 175.0, + 833.0, + 387.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 874.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 640.0, + 244.0, + 1055.0, + 430.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 846.0, + 709.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 755.0, + 95.0, + 1022.0, + 423.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 946.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 496.0, + 80.0, + 784.0, + 383.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 934.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e493e4773" + }, + "9e4c752cd0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 385.0, + 0.0, + 902.0, + 536.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 740.0, + 371.0, + 1074.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 393.0, + 0.0, + 844.0, + 534.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 808.0, + 370.0, + 1082.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 389.0, + 0.0, + 876.0, + 531.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 772.0, + 377.0, + 1086.0, + 654.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 443.0, + 0.0, + 1100.0, + 609.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 816.0, + 351.0, + 1090.0, + 642.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e4c752cd0" + }, + "9e4de40671": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 643.0, + 271.0, + 705.0, + 382.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 566.0, + 240.0, + 605.0, + 298.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 410.0, + 296.0, + 439.0, + 341.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 840.0, + 211.0, + 963.0, + 387.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 701.0, + 181.0, + 778.0, + 270.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 453.0, + 276.0, + 491.0, + 330.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 949.0, + 117.0, + 1055.0, + 238.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 495.0, + 279.0, + 541.0, + 341.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 460.0, + 191.0, + 519.0, + 273.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e4de40671" + }, + "9e6319faeb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 786.0, + 97.0, + 908.0, + 234.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 387.0, + 94.0, + 480.0, + 212.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 323.0, + 143.0, + 602.0, + 227.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 886.0, + 90.0, + 1027.0, + 247.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 502.0, + 96.0, + 591.0, + 227.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 454.0, + 151.0, + 679.0, + 234.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1061.0, + 97.0, + 1230.0, + 262.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 638.0, + 112.0, + 733.0, + 250.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 700.0, + 167.0, + 813.0, + 254.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1169.0, + 83.0, + 1277.0, + 253.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 710.0, + 99.0, + 798.0, + 240.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 776.0, + 157.0, + 895.0, + 241.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e6319faeb" + }, + "9e6ddbb52d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 507.0, + 294.0, + 734.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 629.0, + 518.0, + 975.0, + 763.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 96.0, + 504.0, + 426.0, + 737.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 765.0, + 495.0, + 1098.0, + 754.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 262.0, + 492.0, + 580.0, + 735.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 882.0, + 522.0, + 1229.0, + 752.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 543.0, + 527.0, + 878.0, + 725.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1124.0, + 495.0, + 1447.0, + 726.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e6ddbb52d" + }, + "9eadcea74f": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 31 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 504.0, + 391.0, + 819.0, + 594.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 579.0, + 343.0, + 863.0, + 549.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 575.0, + 332.0, + 865.0, + 518.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 836.0, + 68.0, + 1279.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 566.0, + 266.0, + 847.0, + 479.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 384.0, + 0.0, + 985.0, + 165.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9eadcea74f" + }, + "9efb47b595": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 6.0, + 841.0, + 636.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 620.0, + 299.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 565.0, + 80.0, + 784.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 654.0, + 253.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 569.0, + 83.0, + 762.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 657.0, + 282.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 667.0, + 47.0, + 868.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 624.0, + 297.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9efb47b595" + }, + "9f30bfe61e": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 374.0, + 137.0, + 586.0, + 467.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 379.0, + 242.0, + 537.0, + 594.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 148.0, + 332.0, + 276.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 521.0, + 102.0, + 737.0, + 467.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 450.0, + 190.0, + 753.0, + 636.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 61.0, + 345.0, + 152.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 604.0, + 116.0, + 819.0, + 474.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 486.0, + 191.0, + 904.0, + 665.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 33.0, + 345.0, + 137.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 927.0, + 69.0, + 1089.0, + 497.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 655.0, + 159.0, + 1262.0, + 681.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 388.0, + 192.0, + 644.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9f30bfe61e" + }, + "9f3734c3a4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 817.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 831.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 836.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 838.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9f3734c3a4" + }, + "9f5b858101": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 774.0, + 439.0, + 916.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 588.0, + 336.0, + 979.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 486.0, + 325.0, + 955.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 570.0, + 283.0, + 1008.0, + 659.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9f5b858101" + }, + "9f66640cda": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 29 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 332.0, + 220.0, + 362.0, + 299.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 351.0, + 227.0, + 370.0, + 295.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 333.0, + 208.0, + 367.0, + 277.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 353.0, + 241.0, + 366.0, + 295.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 331.0, + 233.0, + 372.0, + 301.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 335.0, + 219.0, + 357.0, + 255.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 658.0, + 342.0, + 673.0, + 356.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 351.0, + 227.0, + 377.0, + 278.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 328.0, + 220.0, + 353.0, + 277.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9f66640cda" + }, + "9f913803e9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 198.0, + 159.0, + 917.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 238.0, + 203.0, + 925.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 252.0, + 211.0, + 919.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 238.0, + 205.0, + 905.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9f913803e9" + }, + "9f97bc74c8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 506.0, + 8.0, + 1042.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 50.0, + 1077.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 966.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 15.0, + 1205.0, + 569.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9f97bc74c8" + }, + "9fbad86e20": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 425.0, + 451.0, + 592.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 341.0, + 433.0, + 660.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 493.0, + 510.0, + 660.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 388.0, + 418.0, + 707.0, + 635.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9fbad86e20" + }, + "9fc2bad316": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 197.0, + 251.0, + 1279.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 219.0, + 305.0, + 1279.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 275.0, + 220.0, + 1279.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 278.0, + 232.0, + 1279.0, + 507.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9fc2bad316" + }, + "9fc5c3af78": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 443.0, + 376.0, + 666.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 427.0, + 337.0, + 684.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 411.0, + 341.0, + 682.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 421.0, + 377.0, + 686.0, + 611.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9fc5c3af78" + }, + "9fcb310255": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 275.0, + 13.0, + 776.0, + 529.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 626.0, + 19.0, + 1279.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 270.0, + 4.0, + 765.0, + 518.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 645.0, + 15.0, + 1279.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 263.0, + 68.0, + 766.0, + 509.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 642.0, + 11.0, + 1279.0, + 490.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 286.0, + 88.0, + 776.0, + 514.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 638.0, + 23.0, + 1279.0, + 494.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9fcb310255" + }, + "9fcc256871": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 274.0, + 283.0, + 691.0, + 679.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 649.0, + 88.0, + 1051.0, + 534.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 251.0, + 401.0, + 649.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 191.0, + 289.0, + 655.0, + 712.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 677.0, + 66.0, + 1065.0, + 519.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 347.0, + 271.0, + 760.0, + 651.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 100.0, + 285.0, + 528.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 679.0, + 64.0, + 1103.0, + 494.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 485.0, + 225.0, + 832.0, + 616.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 345.0, + 396.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 757.0, + 41.0, + 1181.0, + 475.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 393.0, + 271.0, + 755.0, + 646.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9fcc256871" + }, + "9fd2fd4d47": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 513.0, + 204.0, + 709.0, + 332.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 461.0, + 255.0, + 711.0, + 366.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 336.0, + 230.0, + 676.0, + 441.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 269.0, + 279.0, + 860.0, + 516.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9fd2fd4d47" + }, + "a0071ae316": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 120.0, + 158.0, + 856.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 146.0, + 245.0, + 787.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 143.0, + 256.0, + 826.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 169.0, + 263.0, + 813.0, + 588.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a0071ae316" + }, + "a023141022": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 733.0, + 58.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 314.0, + 80.0, + 1094.0, + 719.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 151.0, + 166.0, + 708.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 645.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 224.0, + 10.0, + 992.0, + 719.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 98.0, + 173.0, + 696.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 580.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 266.0, + 0.0, + 1038.0, + 719.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 192.0, + 93.0, + 710.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 475.0, + 0.0, + 1222.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 199.0, + 14.0, + 920.0, + 719.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 203.0, + 12.0, + 675.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a023141022" + }, + "a046399a74": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 545.0, + 308.0, + 615.0, + 367.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 629.0, + 280.0, + 705.0, + 342.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 705.0, + 311.0, + 774.0, + 370.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 496.0, + 226.0, + 578.0, + 310.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 595.0, + 193.0, + 677.0, + 275.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 670.0, + 233.0, + 752.0, + 314.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 537.0, + 239.0, + 622.0, + 333.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 642.0, + 201.0, + 724.0, + 293.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 719.0, + 249.0, + 801.0, + 343.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 481.0, + 219.0, + 572.0, + 321.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 587.0, + 176.0, + 674.0, + 280.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 670.0, + 232.0, + 753.0, + 332.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a046399a74" + }, + "a066e739c1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 614.0, + 131.0, + 1023.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 604.0, + 156.0, + 1045.0, + 313.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 556.0, + 211.0, + 1081.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 786.0, + 76.0, + 1167.0, + 318.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a066e739c1" + }, + "a06722ba82": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bucket", + "bbox": [ + 120.0, + 0.0, + 550.0, + 485.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 471.0, + 0.0, + 1061.0, + 678.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 955.0, + 571.0, + 1153.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 142.0, + 0.0, + 566.0, + 460.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 503.0, + 0.0, + 1149.0, + 659.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 977.0, + 510.0, + 1247.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 0.0, + 85.0, + 272.0, + 641.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 217.0, + 0.0, + 989.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 817.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 0.0, + 143.0, + 370.0, + 698.0 + ] + }, + "2": { + "category_name": "toilet", + "bbox": [ + 196.0, + 0.0, + 947.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a06722ba82" + }, + "a07a15dd64": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 0.0, + 927.0, + 678.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 426.0, + 579.0, + 895.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 484.0, + 0.0, + 947.0, + 568.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 404.0, + 478.0, + 955.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 460.0, + 0.0, + 981.0, + 547.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 392.0, + 469.0, + 999.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 518.0, + 19.0, + 857.0, + 589.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 721.0, + 505.0, + 907.0, + 604.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a07a15dd64" + }, + "a07b47f694": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 865.0, + 321.0, + 1279.0, + 697.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1097.0, + 248.0, + 1271.0, + 636.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1013.0, + 271.0, + 1279.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1031.0, + 319.0, + 1261.0, + 531.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a07b47f694" + }, + "a09c39472e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 613.0, + 0.0, + 1119.0, + 524.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 490.0, + 326.0, + 643.0, + 505.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 275.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 630.0, + 0.0, + 1159.0, + 530.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 525.0, + 316.0, + 656.0, + 493.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 284.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 520.0, + 0.0, + 1144.0, + 531.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 287.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 637.0, + 0.0, + 1151.0, + 518.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 489.0, + 297.0, + 654.0, + 486.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 281.0, + 519.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a09c39472e" + }, + "a0b208fe2e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 268.0, + 102.0, + 1137.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 30.0, + 0.0, + 677.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 409.0, + 35.0, + 1136.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 69.0, + 0.0, + 692.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 461.0, + 53.0, + 1022.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 583.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 483.0, + 160.0, + 944.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 541.0, + 661.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a0b208fe2e" + }, + "a0b61c959e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 499.0, + 691.0, + 583.0, + 719.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 544.0, + 487.0, + 649.0, + 711.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 607.0, + 651.0, + 660.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 259.0, + 159.0, + 375.0, + 384.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 323.0, + 314.0, + 387.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 291.0, + 348.0, + 411.0, + 569.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 351.0, + 498.0, + 418.0, + 598.0 + ] + } + } + ], + "frame_names": [ + "00065", + "00080", + "00105", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a0b61c959e" + }, + "a0bc6c611d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 359.0, + 91.0, + 1162.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 323.0, + 147.0, + 910.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 684.0, + 259.0, + 814.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 642.0, + 230.0, + 802.0, + 479.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a0bc6c611d" + }, + "a0e6da5ba2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 659.0, + 285.0, + 811.0, + 541.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 608.0, + 244.0, + 796.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 678.0, + 286.0, + 843.0, + 588.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 510.0, + 238.0, + 782.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 625.0, + 274.0, + 849.0, + 577.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 491.0, + 216.0, + 777.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 626.0, + 192.0, + 795.0, + 530.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 510.0, + 307.0, + 681.0, + 517.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a0e6da5ba2" + }, + "a1193d6490": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 444.0, + 337.0, + 976.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 545.0, + 362.0, + 1099.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 453.0, + 401.0, + 1024.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 443.0, + 402.0, + 1057.0, + 610.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a1193d6490" + }, + "a14ef483ff": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 312.0, + 119.0, + 445.0, + 240.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 170.0, + 252.0, + 287.0, + 600.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 340.0, + 164.0, + 755.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 275.0, + 115.0, + 411.0, + 236.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 165.0, + 263.0, + 292.0, + 634.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 326.0, + 157.0, + 739.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 251.0, + 114.0, + 404.0, + 241.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 170.0, + 279.0, + 301.0, + 668.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 338.0, + 159.0, + 786.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 263.0, + 124.0, + 402.0, + 252.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 190.0, + 314.0, + 345.0, + 714.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 381.0, + 21.0, + 812.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a14ef483ff" + }, + "a14f709908": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 417.0, + 207.0, + 519.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 419.0, + 221.0, + 518.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 413.0, + 226.0, + 516.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 417.0, + 226.0, + 514.0, + 383.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a14f709908" + }, + "a15ccc5658": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 560.0, + 230.0, + 589.0, + 249.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 249.0, + 619.0, + 418.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 485.0, + 295.0, + 717.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 543.0, + 196.0, + 577.0, + 220.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 529.0, + 219.0, + 617.0, + 441.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 482.0, + 304.0, + 662.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 560.0, + 142.0, + 601.0, + 172.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 544.0, + 170.0, + 711.0, + 423.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 576.0, + 287.0, + 672.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 568.0, + 134.0, + 617.0, + 164.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 553.0, + 161.0, + 735.0, + 427.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 578.0, + 289.0, + 688.0, + 582.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a15ccc5658" + }, + "a16062456f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 186.0, + 241.0, + 473.0, + 435.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 610.0, + 266.0, + 841.0, + 418.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 781.0, + 212.0, + 1136.0, + 442.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 66.0, + 244.0, + 355.0, + 448.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 413.0, + 260.0, + 632.0, + 429.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 549.0, + 205.0, + 886.0, + 428.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 283.0, + 148.0, + 488.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 47.0, + 338.0, + 218.0, + 494.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 113.0, + 264.0, + 466.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 284.0, + 220.0, + 509.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a16062456f" + }, + "a174e8d989": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 373.0, + 0.0, + 905.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 372.0, + 0.0, + 803.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 415.0, + 0.0, + 835.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 239.0, + 0.0, + 904.0, + 535.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a174e8d989" + }, + "a177c2733c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 129.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 167.0, + 84.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 117.0, + 94.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 90.0, + 99.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a177c2733c" + }, + "a17c62e764": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 301.0, + 293.0, + 714.0, + 575.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 761.0, + 207.0, + 888.0, + 389.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1050.0, + 180.0, + 1196.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 274.0, + 245.0, + 748.0, + 533.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 755.0, + 163.0, + 885.0, + 352.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1052.0, + 141.0, + 1210.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 181.0, + 276.0, + 621.0, + 557.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 688.0, + 189.0, + 821.0, + 378.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 992.0, + 166.0, + 1151.0, + 410.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 232.0, + 279.0, + 648.0, + 462.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 744.0, + 200.0, + 878.0, + 394.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1078.0, + 167.0, + 1255.0, + 427.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a17c62e764" + }, + "a18ad065fc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 355.0, + 0.0, + 1279.0, + 707.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 206.0, + 0.0, + 1279.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 297.0, + 231.0, + 1279.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 355.0, + 2.0, + 1279.0, + 714.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a18ad065fc" + }, + "a1aaf63216": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 873.0, + 271.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 965.0, + 222.0, + 1205.0, + 435.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 562.0, + 318.0, + 1043.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 725.0, + 265.0, + 989.0, + 480.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 1021.0, + 229.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 188.0, + 418.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 380.0, + 121.0, + 665.0, + 325.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 546.0, + 71.0, + 967.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 560.0, + 94.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 216.0, + 404.0, + 408.0, + 583.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 89.0, + 337.0, + 671.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a1aaf63216" + }, + "a1bb65fb91": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 216.0, + 401.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 295.0, + 397.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 305.0, + 395.0, + 635.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 334.0, + 467.0, + 639.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a1bb65fb91" + }, + "a1bd8e5349": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 313.0, + 86.0, + 453.0, + 458.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 627.0, + 161.0, + 740.0, + 397.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 861.0, + 306.0, + 959.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 330.0, + 116.0, + 458.0, + 463.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 608.0, + 185.0, + 710.0, + 398.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 797.0, + 127.0, + 959.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 444.0, + 100.0, + 580.0, + 428.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 640.0, + 172.0, + 727.0, + 346.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 770.0, + 93.0, + 959.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 493.0, + 128.0, + 625.0, + 403.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 607.0, + 212.0, + 653.0, + 322.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 759.0, + 77.0, + 959.0, + 528.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a1bd8e5349" + }, + "a1dfdd0cac": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 166.0, + 54.0, + 1279.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 362.0, + 0.0, + 993.0, + 158.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a1dfdd0cac" + }, + "a2052e4f6c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 778.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 642.0, + 0.0, + 1234.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 354.0, + 0.0, + 717.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 728.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 619.0, + 0.0, + 1072.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 368.0, + 0.0, + 667.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 702.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 579.0, + 0.0, + 1058.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 342.0, + 0.0, + 669.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 676.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 585.0, + 0.0, + 1094.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 320.0, + 0.0, + 634.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2052e4f6c" + }, + "a20fd34693": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 486.0, + 0.0, + 1215.0, + 717.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 354.0, + 266.0, + 814.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 380.0, + 0.0, + 1279.0, + 701.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 36.0, + 331.0, + 717.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 432.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 178.0, + 305.0, + 775.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 286.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 198.0, + 237.0, + 685.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a20fd34693" + }, + "a21ffe4d81": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 168.0, + 161.0, + 478.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 146.0, + 71.0, + 725.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 0.0, + 915.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 0.0, + 941.0, + 513.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a21ffe4d81" + }, + "a22349e647": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 419.0, + 265.0, + 918.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 361.0, + 237.0, + 934.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 443.0, + 226.0, + 959.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 463.0, + 231.0, + 1032.0, + 557.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a22349e647" + }, + "a235d01ec1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 860.0, + 217.0, + 1279.0, + 707.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 638.0, + 121.0, + 1279.0, + 586.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 788.0, + 59.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 921.0, + 308.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a235d01ec1" + }, + "a24f63e8a2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 197.0, + 128.0, + 1188.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 267.0, + 166.0, + 1172.0, + 682.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 491.0, + 12.0, + 1072.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 728.0, + 141.0, + 1114.0, + 609.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a24f63e8a2" + }, + "a263ce8a87": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 297.0, + 399.0, + 329.0, + 437.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 95.0, + 288.0, + 137.0, + 403.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 364.0, + 204.0, + 497.0, + 362.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 368.0, + 347.0, + 470.0, + 377.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 69.0, + 265.0, + 82.0, + 355.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 229.0, + 12.0, + 577.0, + 332.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 369.0, + 275.0, + 489.0, + 389.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 525.0, + 133.0, + 652.0, + 384.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 557.0, + 349.0, + 635.0, + 404.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a263ce8a87" + }, + "a29bfc29ec": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 892.0, + 397.0, + 1080.0, + 489.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 719.0, + 377.0, + 880.0, + 464.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 650.0, + 369.0, + 819.0, + 458.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 552.0, + 371.0, + 784.0, + 493.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a29bfc29ec" + }, + "a2a80072d4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 846.0, + 230.0, + 1075.0, + 388.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 428.0, + 364.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 849.0, + 212.0, + 1030.0, + 339.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 127.0, + 383.0, + 475.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 787.0, + 216.0, + 1038.0, + 357.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 181.0, + 364.0, + 524.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 369.0, + 264.0, + 675.0, + 431.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 174.0, + 385.0, + 496.0, + 579.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2a80072d4" + }, + "a2a800ab63": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 1104.0, + 434.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 640.0, + 243.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 593.0, + 394.0, + 1276.0, + 684.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 625.0, + 371.0, + 892.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 794.0, + 554.0, + 871.0, + 660.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2a800ab63" + }, + "a2bcd10a33": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 238.0, + 172.0, + 958.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 187.0, + 175.0, + 968.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 170.0, + 145.0, + 922.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 244.0, + 97.0, + 1010.0, + 544.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2bcd10a33" + }, + "a2bdaff3b0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 739.0, + 122.0, + 1279.0, + 244.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 699.0, + 125.0, + 1279.0, + 245.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 665.0, + 154.0, + 1238.0, + 248.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 476.0, + 162.0, + 989.0, + 276.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2bdaff3b0" + }, + "a2c146ab0d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 89.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 101.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 212.0, + 79.0, + 1279.0, + 675.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 32.0, + 248.0, + 110.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 328.0, + 50.0, + 1279.0, + 654.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2c146ab0d" + }, + "a2c996e429": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 475.0, + 282.0, + 756.0, + 588.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 449.0, + 238.0, + 771.0, + 581.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1079.0, + 510.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 418.0, + 388.0, + 465.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 461.0, + 233.0, + 806.0, + 604.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 977.0, + 480.0, + 1279.0, + 686.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 385.0, + 399.0, + 475.0, + 473.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 429.0, + 244.0, + 813.0, + 653.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 842.0, + 476.0, + 1044.0, + 590.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 107.0, + 434.0, + 358.0, + 606.0 + ] + } + } + ], + "frame_names": [ + "00215", + "00240", + "00255", + "00285" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2c996e429" + }, + "a2dc51ebe8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 168.0, + 120.0, + 344.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 169.0, + 125.0, + 338.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 171.0, + 123.0, + 330.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 60.0, + 179.0, + 160.0, + 345.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00065", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2dc51ebe8" + }, + "a2e6608bfa": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 141.0, + 80.0, + 1279.0, + 712.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 41.0, + 254.0, + 401.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 299.0, + 171.0, + 1279.0, + 688.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 119.0, + 245.0, + 502.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 367.0, + 91.0, + 1279.0, + 620.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 169.0, + 204.0, + 538.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 335.0, + 42.0, + 1279.0, + 608.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 185.0, + 171.0, + 540.0, + 548.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00110", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2e6608bfa" + }, + "a2f2a55f01": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 650.0, + 348.0, + 884.0, + 443.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 747.0, + 321.0, + 872.0, + 404.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 665.0, + 335.0, + 941.0, + 426.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 785.0, + 330.0, + 868.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 606.0, + 287.0, + 652.0, + 342.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 517.0, + 303.0, + 767.0, + 371.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 602.0, + 286.0, + 655.0, + 341.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 520.0, + 304.0, + 760.0, + 371.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00105", + "00120", + "00145", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2f2a55f01" + }, + "a301869dea": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 355.0, + 43.0, + 597.0, + 210.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 251.0, + 99.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 209.0, + 179.0, + 551.0, + 404.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 73.0, + 124.0, + 678.0, + 501.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 129.0, + 86.0, + 844.0, + 492.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a301869dea" + }, + "a31fccd2cc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 422.0, + 31.0, + 665.0, + 416.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 491.0, + 334.0, + 612.0, + 424.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 496.0, + 23.0, + 717.0, + 410.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 504.0, + 367.0, + 659.0, + 439.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 521.0, + 93.0, + 738.0, + 402.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 604.0, + 375.0, + 688.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 472.0, + 36.0, + 708.0, + 424.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 581.0, + 416.0, + 665.0, + 446.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a31fccd2cc" + }, + "a34f440f33": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 536.0, + 263.0, + 927.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 382.0, + 40.0, + 759.0, + 715.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 597.0, + 272.0, + 650.0, + 374.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 249.0, + 511.0, + 581.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 482.0, + 52.0, + 880.0, + 662.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 723.0, + 311.0, + 879.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 69.0, + 531.0, + 393.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 515.0, + 153.0, + 916.0, + 690.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 772.0, + 440.0, + 910.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 142.0, + 443.0, + 490.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 609.0, + 129.0, + 1014.0, + 618.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 822.0, + 421.0, + 1002.0, + 681.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a34f440f33" + }, + "a35e0206da": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 205.0, + 43.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 235.0, + 48.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 305.0, + 126.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 57.0, + 0.0, + 1279.0, + 705.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00065", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a35e0206da" + }, + "a36bdc4cab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 405.0, + 540.0, + 719.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 197.0, + 33.0, + 510.0, + 373.0 + ] + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 699.0, + 33.0, + 1259.0, + 251.0 + ] + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 417.0, + 176.0, + 1279.0, + 587.0 + ] + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 667.0, + 404.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 362.0, + 437.0, + 719.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 213.0, + 38.0, + 626.0, + 336.0 + ] + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 694.0, + 51.0, + 1279.0, + 254.0 + ] + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 431.0, + 221.0, + 1279.0, + 602.0 + ] + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 643.0, + 526.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 277.0, + 687.0, + 719.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 262.0, + 0.0, + 687.0, + 291.0 + ] + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 748.0, + 0.0, + 1279.0, + 187.0 + ] + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 465.0, + 191.0, + 1279.0, + 463.0 + ] + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 699.0, + 448.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 246.0, + 809.0, + 716.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 299.0, + 0.0, + 720.0, + 288.0 + ] + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 779.0, + 0.0, + 1274.0, + 162.0 + ] + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 514.0, + 178.0, + 1279.0, + 441.0 + ] + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 776.0, + 428.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a36bdc4cab" + }, + "a36e8c79d8": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 382.0, + 152.0, + 660.0, + 218.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 306.0, + 330.0, + 374.0, + 375.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 249.0, + 368.0, + 458.0, + 710.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 386.0, + 144.0, + 661.0, + 214.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 353.0, + 314.0, + 409.0, + 353.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 287.0, + 349.0, + 496.0, + 708.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 366.0, + 162.0, + 656.0, + 234.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 450.0, + 313.0, + 504.0, + 341.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 387.0, + 341.0, + 587.0, + 708.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 380.0, + 241.0, + 756.0, + 335.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 787.0, + 317.0, + 850.0, + 357.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 723.0, + 354.0, + 940.0, + 709.0 + ] + } + } + ], + "frame_names": [ + "00155", + "00160", + "00170", + "00200" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a36e8c79d8" + }, + "a378053b20": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 186.0, + 214.0, + 476.0, + 640.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 779.0, + 67.0, + 1135.0, + 611.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 199.0, + 148.0, + 479.0, + 642.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 781.0, + 65.0, + 1134.0, + 608.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 198.0, + 133.0, + 478.0, + 635.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 782.0, + 97.0, + 1141.0, + 608.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 195.0, + 147.0, + 475.0, + 559.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 797.0, + 104.0, + 1135.0, + 607.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 348.0, + 975.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a378053b20" + }, + "a37db3a2b3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 307.0, + 271.0, + 613.0, + 550.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 800.0, + 290.0, + 1003.0, + 537.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 581.0, + 292.0, + 637.0, + 362.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 257.0, + 301.0, + 621.0, + 569.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 819.0, + 296.0, + 1014.0, + 543.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 569.0, + 296.0, + 653.0, + 355.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 237.0, + 295.0, + 636.0, + 559.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 833.0, + 295.0, + 1035.0, + 545.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 571.0, + 293.0, + 691.0, + 353.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 316.0, + 343.0, + 695.0, + 580.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 896.0, + 311.0, + 1096.0, + 557.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 655.0, + 304.0, + 752.0, + 363.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a37db3a2b3" + }, + "a38950ebc2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 435.0, + 160.0, + 621.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 474.0, + 192.0, + 658.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 478.0, + 215.0, + 750.0, + 649.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 367.0, + 225.0, + 703.0, + 591.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a38950ebc2" + }, + "a39a0eb433": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 491.0, + 251.0, + 846.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 537.0, + 185.0, + 932.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 461.0, + 135.0, + 909.0, + 370.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 404.0, + 110.0, + 1213.0, + 565.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a39a0eb433" + }, + "a39c9bca52": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 142.0, + 0.0, + 935.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 116.0, + 1119.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 84.0, + 0.0, + 1145.0, + 691.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 941.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 857.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 93.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a39c9bca52" + }, + "a3a945dc8c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 420.0, + 150.0, + 604.0, + 603.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 586.0, + 271.0, + 670.0, + 493.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 856.0, + 198.0, + 1053.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 365.0, + 133.0, + 579.0, + 580.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 575.0, + 272.0, + 666.0, + 507.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 801.0, + 220.0, + 992.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 348.0, + 133.0, + 542.0, + 646.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 545.0, + 264.0, + 637.0, + 521.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 752.0, + 197.0, + 939.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 276.0, + 98.0, + 539.0, + 690.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 547.0, + 255.0, + 650.0, + 530.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 752.0, + 182.0, + 944.0, + 526.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a3a945dc8c" + }, + "a3b40a0c1e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 284.0, + 109.0, + 949.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 256.0, + 362.0, + 405.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 288.0, + 143.0, + 963.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 274.0, + 372.0, + 422.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 352.0, + 235.0, + 997.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 320.0, + 419.0, + 451.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 440.0, + 149.0, + 1083.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 396.0, + 393.0, + 522.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a3b40a0c1e" + }, + "a3b8588550": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 430.0, + 257.0, + 552.0, + 582.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 889.0, + 175.0, + 995.0, + 453.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1122.0, + 52.0, + 1200.0, + 286.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 487.0, + 257.0, + 586.0, + 585.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 899.0, + 181.0, + 1004.0, + 459.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1133.0, + 53.0, + 1214.0, + 289.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 578.0, + 290.0, + 737.0, + 613.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 889.0, + 192.0, + 1009.0, + 473.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1150.0, + 64.0, + 1228.0, + 295.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 813.0, + 305.0, + 965.0, + 637.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 829.0, + 206.0, + 950.0, + 387.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1149.0, + 67.0, + 1229.0, + 304.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a3b8588550" + }, + "a3c502bec3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 861.0, + 189.0, + 1122.0, + 329.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 755.0, + 254.0, + 875.0, + 312.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 890.0, + 241.0, + 1110.0, + 410.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 893.0, + 355.0, + 1065.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 578.0, + 346.0, + 913.0, + 711.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 727.0, + 687.0, + 819.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 576.0, + 259.0, + 909.0, + 622.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 723.0, + 591.0, + 811.0, + 627.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a3c502bec3" + }, + "a3f2878017": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 134.0, + 155.0, + 958.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 122.0, + 152.0, + 971.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 101.0, + 99.0, + 961.0, + 636.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 80.0, + 157.0, + 931.0, + 702.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a3f2878017" + }, + "a3f4d58010": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 693.0, + 407.0, + 1038.0, + 661.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 153.0, + 124.0, + 443.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 501.0, + 261.0, + 843.0, + 545.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 226.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 484.0, + 268.0, + 847.0, + 553.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 231.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 452.0, + 201.0, + 821.0, + 519.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 151.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a3f4d58010" + }, + "a3f51855c3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 635.0, + 174.0, + 724.0, + 273.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 1.0, + 641.0, + 39.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 717.0, + 0.0, + 869.0, + 180.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 19.0, + 524.0, + 339.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 693.0, + 47.0, + 893.0, + 188.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 68.0, + 515.0, + 374.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 634.0, + 124.0, + 843.0, + 260.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 48.0, + 553.0, + 384.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a3f51855c3" + }, + "a4065a7eda": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 729.0, + 187.0, + 1081.0, + 412.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 484.0, + 85.0, + 795.0, + 334.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 26.0, + 86.0, + 418.0, + 367.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 714.0, + 200.0, + 1068.0, + 422.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 479.0, + 96.0, + 788.0, + 337.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 11.0, + 103.0, + 405.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 682.0, + 245.0, + 1042.0, + 517.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 431.0, + 130.0, + 754.0, + 384.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 128.0, + 375.0, + 424.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 688.0, + 224.0, + 1058.0, + 501.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 459.0, + 108.0, + 764.0, + 363.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 107.0, + 415.0, + 398.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a4065a7eda" + }, + "a412bb2fef": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 282.0, + 69.0, + 723.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 208.0, + 93.0, + 665.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 127.0, + 104.0, + 586.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 4.0, + 418.0, + 625.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a412bb2fef" + }, + "a416b56b53": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 540.0, + 80.0, + 911.0, + 289.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 703.0, + 251.0, + 896.0, + 454.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 537.0, + 622.0, + 584.0, + 654.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 535.0, + 77.0, + 919.0, + 314.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 667.0, + 240.0, + 896.0, + 449.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 490.0, + 617.0, + 529.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 544.0, + 96.0, + 935.0, + 351.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 738.0, + 294.0, + 971.0, + 451.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 495.0, + 641.0, + 530.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 558.0, + 47.0, + 994.0, + 332.0 + ] + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 820.0, + 290.0, + 1067.0, + 454.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a416b56b53" + }, + "a41ec95906": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 223.0, + 703.0, + 480.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 706.0, + 236.0, + 761.0, + 473.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 725.0, + 189.0, + 994.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 663.0, + 231.0, + 727.0, + 375.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 740.0, + 240.0, + 794.0, + 298.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 648.0, + 181.0, + 980.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 671.0, + 226.0, + 731.0, + 302.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 598.0, + 179.0, + 969.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 704.0, + 224.0, + 748.0, + 283.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 526.0, + 166.0, + 956.0, + 619.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a41ec95906" + }, + "a43299e362": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 636.0, + 121.0, + 758.0, + 680.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 660.0, + 94.0, + 723.0, + 677.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 498.0, + 128.0, + 669.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 528.0, + 91.0, + 662.0, + 566.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a43299e362" + }, + "a4757bd7af": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 826.0, + 225.0, + 862.0, + 266.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 781.0, + 246.0, + 873.0, + 411.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 675.0, + 290.0, + 1077.0, + 543.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 905.0, + 206.0, + 939.0, + 243.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 847.0, + 223.0, + 948.0, + 392.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 767.0, + 254.0, + 1122.0, + 515.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 632.0, + 190.0, + 668.0, + 224.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 574.0, + 208.0, + 676.0, + 378.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 524.0, + 234.0, + 841.0, + 494.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 449.0, + 242.0, + 479.0, + 274.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 392.0, + 259.0, + 483.0, + 417.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 337.0, + 292.0, + 582.0, + 527.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 331.0, + 95.0, + 568.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a4757bd7af" + }, + "a48c53c454": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 53.0, + 724.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 484.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 130.0, + 748.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 492.0, + 20.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 109.0, + 736.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 504.0, + 5.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 738.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 537.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a48c53c454" + }, + "a49dcf9ad5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 168.0, + 146.0, + 558.0, + 479.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 777.0, + 328.0, + 856.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 171.0, + 166.0, + 555.0, + 498.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 790.0, + 351.0, + 867.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 169.0, + 203.0, + 552.0, + 544.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 895.0, + 431.0, + 997.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 167.0, + 207.0, + 551.0, + 547.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 911.0, + 441.0, + 1018.0, + 558.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a49dcf9ad5" + }, + "a4a506521f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 143.0, + 206.0, + 274.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 162.0, + 181.0, + 265.0, + 442.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 133.0, + 270.0, + 255.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 139.0, + 284.0, + 287.0, + 434.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a4a506521f" + }, + "a4ba7753d9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 512.0, + 212.0, + 857.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 373.0, + 206.0, + 1009.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 353.0, + 190.0, + 1025.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 366.0, + 232.0, + 1037.0, + 671.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a4ba7753d9" + }, + "a4bac06849": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 479.0, + 103.0, + 740.0, + 631.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 724.0, + 202.0, + 806.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 496.0, + 97.0, + 782.0, + 644.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 743.0, + 329.0, + 784.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 457.0, + 100.0, + 772.0, + 634.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 459.0, + 178.0, + 683.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 488.0, + 99.0, + 806.0, + 606.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 465.0, + 160.0, + 609.0, + 514.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a4bac06849" + }, + "a4f05d681c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 493.0, + 225.0, + 625.0, + 482.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 604.0, + 261.0, + 805.0, + 639.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 776.0, + 244.0, + 935.0, + 570.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 139.0, + 135.0, + 225.0, + 190.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 519.0, + 191.0, + 640.0, + 472.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 578.0, + 229.0, + 780.0, + 633.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 779.0, + 221.0, + 965.0, + 585.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 216.0, + 113.0, + 297.0, + 168.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 554.0, + 201.0, + 663.0, + 413.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 590.0, + 235.0, + 780.0, + 620.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 816.0, + 240.0, + 1009.0, + 662.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 275.0, + 118.0, + 357.0, + 168.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 607.0, + 253.0, + 703.0, + 416.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 637.0, + 284.0, + 807.0, + 658.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 846.0, + 281.0, + 1050.0, + 642.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 417.0, + 175.0, + 495.0, + 227.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a4f05d681c" + }, + "a50c10060f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 523.0, + 0.0, + 980.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 573.0, + 0.0, + 1117.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 538.0, + 0.0, + 1117.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 542.0, + 0.0, + 1239.0, + 475.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a50c10060f" + }, + "a50eb5a0ea": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 459.0, + 317.0, + 993.0, + 630.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 584.0, + 290.0, + 785.0, + 369.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 51.0, + 322.0, + 754.0, + 697.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 659.0, + 443.0, + 838.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 289.0, + 336.0, + 836.0, + 592.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 503.0, + 331.0, + 712.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 158.0, + 256.0, + 509.0, + 575.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 131.0, + 331.0, + 310.0, + 530.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a50eb5a0ea" + }, + "a5122c6ec6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 411.0, + 99.0, + 716.0, + 570.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 635.0, + 271.0, + 723.0, + 463.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 240.0, + 27.0, + 310.0, + 295.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 436.0, + 105.0, + 756.0, + 586.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 669.0, + 241.0, + 753.0, + 470.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 272.0, + 45.0, + 340.0, + 309.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 441.0, + 80.0, + 758.0, + 611.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 433.0, + 79.0, + 636.0, + 278.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 279.0, + 71.0, + 345.0, + 338.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 453.0, + 159.0, + 785.0, + 706.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 442.0, + 169.0, + 644.0, + 361.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 285.0, + 136.0, + 351.0, + 418.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5122c6ec6" + }, + "a522b1aa79": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 626.0, + 0.0, + 1075.0, + 317.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 560.0, + 105.0, + 1169.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 592.0, + 103.0, + 1207.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 620.0, + 104.0, + 1123.0, + 343.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a522b1aa79" + }, + "a590915345": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 342.0, + 277.0, + 706.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 450.0, + 366.0, + 726.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 562.0, + 307.0, + 787.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 469.0, + 293.0, + 740.0, + 448.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a590915345" + }, + "a5b5b59139": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 364.0, + 0.0, + 625.0, + 562.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 384.0, + 465.0, + 625.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 380.0, + 0.0, + 640.0, + 559.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 402.0, + 467.0, + 644.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 464.0, + 0.0, + 720.0, + 556.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 486.0, + 467.0, + 728.0, + 616.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 462.0, + 0.0, + 720.0, + 561.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 483.0, + 470.0, + 726.0, + 618.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5b5b59139" + }, + "a5b77abe43": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 331.0, + 0.0, + 970.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 324.0, + 0.0, + 1019.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 347.0, + 0.0, + 1044.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 333.0, + 5.0, + 1068.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5b77abe43" + }, + "a5c2b2c3e1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 469.0, + 0.0, + 722.0, + 403.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 481.0, + 360.0, + 650.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 513.0, + 0.0, + 898.0, + 478.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 460.0, + 396.0, + 681.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 614.0, + 0.0, + 799.0, + 451.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 479.0, + 397.0, + 783.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 380.0, + 0.0, + 564.0, + 418.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 319.0, + 386.0, + 696.0, + 474.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00095", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5c2b2c3e1" + }, + "a5cd17bb11": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 553.0, + 250.0, + 629.0, + 391.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 567.0, + 371.0, + 640.0, + 406.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 576.0, + 472.0, + 655.0, + 616.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 577.0, + 585.0, + 642.0, + 636.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 821.0, + 151.0, + 962.0, + 281.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 809.0, + 223.0, + 1070.0, + 286.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 614.0, + 151.0, + 781.0, + 298.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 656.0, + 250.0, + 785.0, + 338.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5cd17bb11" + }, + "a5da03aef1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 350.0, + 163.0, + 604.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 330.0, + 135.0, + 599.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 357.0, + 201.0, + 603.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 359.0, + 225.0, + 895.0, + 501.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5da03aef1" + }, + "a5dd11de0d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 639.0, + 220.0, + 1191.0, + 550.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 814.0, + 410.0, + 1135.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 683.0, + 141.0, + 1233.0, + 505.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 624.0, + 193.0, + 1239.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 404.0, + 184.0, + 1279.0, + 551.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 506.0, + 341.0, + 1279.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 240.0, + 115.0, + 1109.0, + 588.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 104.0, + 307.0, + 985.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5dd11de0d" + }, + "a5ea2b93b6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 831.0, + 241.0, + 1087.0, + 713.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 438.0, + 177.0, + 831.0, + 506.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 498.0, + 458.0, + 558.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 492.0, + 190.0, + 664.0, + 486.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 533.0, + 454.0, + 671.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 504.0, + 64.0, + 664.0, + 417.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 465.0, + 383.0, + 658.0, + 433.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5ea2b93b6" + }, + "a5eaeac80b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 855.0, + 275.0, + 930.0, + 382.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 847.0, + 345.0, + 924.0, + 399.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 623.0, + 209.0, + 825.0, + 351.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 545.0, + 311.0, + 711.0, + 371.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 785.0, + 209.0, + 924.0, + 352.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 793.0, + 348.0, + 930.0, + 372.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 956.0, + 201.0, + 1088.0, + 390.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 927.0, + 383.0, + 1028.0, + 423.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5eaeac80b" + }, + "a5ec5b0265": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 641.0, + 335.0, + 1279.0, + 662.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 297.0, + 500.0, + 480.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 934.0, + 155.0, + 1147.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 632.0, + 304.0, + 1279.0, + 607.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 309.0, + 612.0, + 514.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 842.0, + 204.0, + 1094.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 1157.0, + 238.0, + 1279.0, + 650.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 319.0, + 753.0, + 594.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 1140.0, + 219.0, + 1279.0, + 299.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 740.0, + 240.0, + 1154.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 965.0, + 119.0, + 1279.0, + 591.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 310.0, + 743.0, + 583.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 931.0, + 174.0, + 1123.0, + 318.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 664.0, + 241.0, + 1065.0, + 635.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5ec5b0265" + }, + "a5f350a87e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 309.0, + 322.0, + 858.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 815.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 335.0, + 325.0, + 892.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 867.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 363.0, + 338.0, + 900.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 912.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 387.0, + 341.0, + 876.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 915.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5f350a87e" + }, + "a5f472caf4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 649.0, + 276.0, + 997.0, + 666.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 994.0, + 241.0, + 1279.0, + 659.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 588.0, + 302.0, + 921.0, + 680.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 914.0, + 265.0, + 1279.0, + 672.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 576.0, + 214.0, + 897.0, + 497.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 892.0, + 194.0, + 1233.0, + 571.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 224.0, + 479.0, + 1073.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 761.0, + 212.0, + 1091.0, + 542.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 1084.0, + 188.0, + 1279.0, + 595.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 178.0, + 463.0, + 1273.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00170", + "00180", + "00205", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5f472caf4" + }, + "a6027a53cf": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 108.0, + 41.0, + 933.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 782.0, + 319.0, + 1235.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 856.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 801.0, + 73.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 876.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 745.0, + 67.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 75.0, + 15.0, + 934.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 805.0, + 77.0, + 1279.0, + 645.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00105", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a6027a53cf" + }, + "a61715bb1b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 560.0, + 267.0, + 951.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 441.0, + 445.0, + 1021.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 302.0, + 260.0, + 880.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 135.0, + 229.0, + 762.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a61715bb1b" + }, + "a61cf4389d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 428.0, + 0.0, + 1033.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 492.0, + 261.0, + 1039.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 384.0, + 335.0, + 1105.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 278.0, + 362.0, + 907.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a61cf4389d" + }, + "a61d9bbd9b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 37.0, + 1082.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 40.0, + 1086.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 19.0, + 1086.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 49.0, + 1056.0, + 697.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a61d9bbd9b" + }, + "a6470dbbf5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 65.0, + 200.0, + 1277.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 123.0, + 159.0, + 1279.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 139.0, + 167.0, + 1060.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 108.0, + 248.0, + 1244.0, + 553.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00040", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a6470dbbf5" + }, + "a64a40f3eb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 239.0, + 189.0, + 381.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 367.0, + 209.0, + 559.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 323.0, + 162.0, + 501.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 473.0, + 179.0, + 680.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 189.0, + 124.0, + 371.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 369.0, + 162.0, + 613.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 139.0, + 110.0, + 309.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 309.0, + 148.0, + 551.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00190", + "00215", + "00230", + "00235" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a64a40f3eb" + }, + "a653d5c23b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 723.0, + 76.0, + 854.0, + 403.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 745.0, + 363.0, + 865.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 612.0, + 79.0, + 749.0, + 373.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 706.0, + 360.0, + 754.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 647.0, + 142.0, + 766.0, + 361.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 678.0, + 337.0, + 769.0, + 377.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 618.0, + 85.0, + 733.0, + 366.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 635.0, + 346.0, + 751.0, + 387.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a653d5c23b" + }, + "a65bd23cb5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 179.0, + 78.0, + 915.0, + 698.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 193.0, + 36.0, + 913.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 225.0, + 43.0, + 984.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 251.0, + 59.0, + 925.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a65bd23cb5" + }, + "a66e0b7ad4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 314.0, + 285.0, + 861.0, + 470.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 159.0, + 87.0, + 407.0, + 165.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 312.0, + 312.0, + 877.0, + 488.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 182.0, + 97.0, + 427.0, + 183.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 358.0, + 357.0, + 879.0, + 534.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 204.0, + 154.0, + 449.0, + 249.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 394.0, + 379.0, + 895.0, + 526.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 239.0, + 174.0, + 480.0, + 269.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a66e0b7ad4" + }, + "a66fc5053c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 208.0, + 196.0, + 907.0, + 616.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 743.0, + 240.0, + 942.0, + 477.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 1052.0, + 246.0, + 1250.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 198.0, + 839.0, + 622.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 774.0, + 226.0, + 975.0, + 529.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 1096.0, + 239.0, + 1279.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 190.0, + 664.0, + 618.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 756.0, + 237.0, + 972.0, + 550.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 1098.0, + 252.0, + 1279.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 167.0, + 113.0, + 587.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 601.0, + 227.0, + 841.0, + 581.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 973.0, + 241.0, + 1226.0, + 520.0 + ] + } + } + ], + "frame_names": [ + "00165", + "00180", + "00190", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a66fc5053c" + }, + "a68259572b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 488.0, + 127.0, + 693.0, + 644.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 167.0, + 305.0, + 180.0, + 334.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 464.0, + 144.0, + 647.0, + 640.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 167.0, + 307.0, + 183.0, + 341.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 450.0, + 210.0, + 650.0, + 605.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 629.0, + 335.0, + 664.0, + 367.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 500.0, + 245.0, + 692.0, + 611.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 677.0, + 281.0, + 722.0, + 312.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 255.0, + 327.0, + 282.0, + 341.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a68259572b" + }, + "a6a810a92c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 362.0, + 714.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 476.0, + 207.0, + 883.0, + 672.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 543.0, + 0.0, + 800.0, + 307.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 322.0, + 71.0, + 691.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 501.0, + 156.0, + 752.0, + 531.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 244.0, + 275.0, + 544.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 501.0, + 185.0, + 716.0, + 477.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 584.0, + 315.0, + 778.0, + 624.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a6a810a92c" + }, + "a6bc36937f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 242.0, + 79.0, + 1269.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 209.0, + 42.0, + 1243.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 196.0, + 40.0, + 1235.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 178.0, + 54.0, + 1234.0, + 612.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a6bc36937f" + }, + "a6c3a374e9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 414.0, + 220.0, + 1063.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 440.0, + 233.0, + 1117.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 440.0, + 232.0, + 1109.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 442.0, + 227.0, + 1127.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a6c3a374e9" + }, + "a6d8a4228d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 292.0, + 352.0, + 819.0, + 499.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 790.0, + 257.0, + 1273.0, + 417.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 1131.0, + 388.0, + 1273.0, + 431.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 466.0, + 365.0, + 799.0, + 495.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 747.0, + 445.0, + 1133.0, + 503.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 519.0, + 1279.0, + 708.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 159.0, + 606.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 388.0, + 699.0, + 580.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 218.0, + 677.0, + 494.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a6d8a4228d" + }, + "a6f4e0817f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 648.0, + 387.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 371.0, + 493.0, + 926.0, + 719.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 147.0, + 293.0, + 456.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 186.0, + 37.0, + 240.0 + ] + }, + "5": { + "category_name": "deer", + "bbox": [ + 0.0, + 447.0, + 397.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 622.0, + 440.0, + 1221.0, + 719.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 408.0, + 498.0, + 909.0, + 719.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 4.0, + 175.0, + 340.0, + 440.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 185.0, + 68.0, + 354.0 + ] + }, + "5": { + "category_name": "deer", + "bbox": [ + 0.0, + 479.0, + 408.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 616.0, + 445.0, + 1129.0, + 719.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 490.0, + 490.0, + 885.0, + 719.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 187.0, + 360.0, + 445.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 121.0, + 132.0, + 396.0 + ] + }, + "5": { + "category_name": "deer", + "bbox": [ + 0.0, + 479.0, + 428.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 610.0, + 418.0, + 1217.0, + 719.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 454.0, + 509.0, + 871.0, + 719.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 6.0, + 187.0, + 364.0, + 448.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 224.0, + 154.0, + 487.0 + ] + }, + "5": { + "category_name": "deer", + "bbox": [ + 0.0, + 453.0, + 420.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a6f4e0817f" + }, + "a71e0481f5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 510.0, + 131.0, + 1213.0, + 572.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 300.0, + 487.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 310.0, + 132.0, + 1153.0, + 627.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 480.0, + 133.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 388.0, + 203.0, + 1224.0, + 694.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 268.0, + 99.0, + 1185.0, + 683.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00195", + "00210", + "00220", + "00240" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a71e0481f5" + }, + "a7203deb2d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 1051.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 1041.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 2.0, + 1078.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 6.0, + 1082.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a7203deb2d" + }, + "a7392d4438": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 636.0, + 244.0, + 1163.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 625.0, + 231.0, + 1250.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 609.0, + 220.0, + 1279.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 593.0, + 214.0, + 1279.0, + 555.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a7392d4438" + }, + "a73d3c3902": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parachute", + "bbox": [ + 960.0, + 327.0, + 1019.0, + 368.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 803.0, + 685.0, + 903.0, + 719.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 760.0, + 214.0, + 805.0, + 244.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 602.0, + 585.0, + 687.0, + 647.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 749.0, + 659.0, + 830.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 903.0, + 0.0, + 955.0, + 23.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 449.0, + 340.0, + 552.0, + 376.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 516.0, + 515.0, + 618.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 972.0, + 130.0, + 1022.0, + 160.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 495.0, + 537.0, + 604.0, + 585.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a73d3c3902" + }, + "a7491f1578": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 684.0, + 233.0, + 806.0, + 354.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 793.0, + 235.0, + 936.0, + 329.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 929.0, + 246.0, + 1017.0, + 369.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 803.0, + 272.0, + 951.0, + 392.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 718.0, + 227.0, + 854.0, + 346.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 828.0, + 238.0, + 962.0, + 296.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 923.0, + 248.0, + 1003.0, + 379.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 806.0, + 271.0, + 941.0, + 371.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 808.0, + 227.0, + 958.0, + 349.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 959.0, + 238.0, + 1067.0, + 352.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 979.0, + 255.0, + 1049.0, + 375.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 822.0, + 244.0, + 973.0, + 353.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 965.0, + 235.0, + 1116.0, + 303.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1146.0, + 269.0, + 1279.0, + 370.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 1001.0, + 244.0, + 1182.0, + 409.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 879.0, + 287.0, + 1031.0, + 385.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a7491f1578" + }, + "a74b9ca19c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 492.0, + 265.0, + 823.0, + 518.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 609.0, + 284.0, + 758.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 459.0, + 186.0, + 969.0, + 465.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 490.0, + 427.0, + 883.0, + 639.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 554.0, + 180.0, + 1070.0, + 453.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 533.0, + 393.0, + 1037.0, + 649.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 652.0, + 75.0, + 1151.0, + 373.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 657.0, + 420.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a74b9ca19c" + }, + "a77b7a91df": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 305.0, + 304.0, + 628.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 422.0, + 347.0, + 764.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 427.0, + 355.0, + 770.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 396.0, + 385.0, + 579.0, + 521.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a77b7a91df" + }, + "a78195a5f5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 759.0, + 378.0, + 926.0, + 555.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 370.0, + 375.0, + 548.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 598.0, + 371.0, + 749.0, + 458.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 494.0, + 368.0, + 629.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 625.0, + 366.0, + 737.0, + 441.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 520.0, + 376.0, + 630.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 626.0, + 359.0, + 695.0, + 429.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 564.0, + 365.0, + 621.0, + 437.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a78195a5f5" + }, + "a78758d4ce": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 246.0, + 342.0, + 819.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 360.0, + 276.0, + 1019.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 616.0, + 177.0, + 1055.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 426.0, + 191.0, + 1113.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a78758d4ce" + }, + "a7e6d6c29a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 456.0, + 27.0, + 932.0, + 658.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 455.0, + 245.0, + 560.0, + 323.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 462.0, + 28.0, + 958.0, + 673.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 461.0, + 245.0, + 567.0, + 335.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 472.0, + 25.0, + 966.0, + 668.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 469.0, + 241.0, + 578.0, + 325.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 485.0, + 25.0, + 1004.0, + 686.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 493.0, + 227.0, + 600.0, + 326.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a7e6d6c29a" + }, + "a800d85e88": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 238.0, + 748.0, + 655.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1229.0, + 551.0, + 1279.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 162.0, + 794.0, + 570.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1227.0, + 463.0, + 1279.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 162.0, + 831.0, + 600.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1220.0, + 484.0, + 1279.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 164.0, + 905.0, + 611.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1189.0, + 488.0, + 1279.0, + 615.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00030" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a800d85e88" + }, + "a832fa8790": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 512.0, + 347.0, + 724.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 619.0, + 149.0, + 742.0, + 358.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 582.0, + 155.0, + 743.0, + 337.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 365.0, + 209.0, + 576.0, + 319.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a832fa8790" + }, + "a83d06410d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 691.0, + 109.0, + 983.0, + 478.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 158.0, + 364.0, + 362.0, + 554.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 375.0, + 509.0, + 801.0, + 563.0 + ] + }, + "4": { + "category_name": "fox", + "bbox": [ + 803.0, + 374.0, + 1046.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 686.0, + 108.0, + 1042.0, + 461.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 149.0, + 361.0, + 365.0, + 549.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 370.0, + 492.0, + 764.0, + 556.0 + ] + }, + "4": { + "category_name": "fox", + "bbox": [ + 733.0, + 371.0, + 1000.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 686.0, + 202.0, + 1025.0, + 471.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 205.0, + 485.0, + 467.0, + 556.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 468.0, + 494.0, + 808.0, + 556.0 + ] + }, + "4": { + "category_name": "fox", + "bbox": [ + 756.0, + 376.0, + 970.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 637.0, + 222.0, + 967.0, + 487.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 149.0, + 477.0, + 413.0, + 563.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 410.0, + 493.0, + 740.0, + 564.0 + ] + }, + "4": { + "category_name": "fox", + "bbox": [ + 739.0, + 371.0, + 873.0, + 526.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a83d06410d" + }, + "a8999af004": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 346.0, + 312.0, + 866.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 19.0, + 226.0, + 372.0, + 719.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 608.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 332.0, + 331.0, + 863.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 249.0, + 340.0, + 719.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 566.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 323.0, + 348.0, + 851.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 317.0, + 202.0, + 719.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 536.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 325.0, + 355.0, + 854.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 330.0, + 53.0, + 618.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 536.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a8999af004" + }, + "a8f78125b9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 550.0, + 292.0, + 720.0, + 459.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 451.0, + 177.0, + 689.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 542.0, + 292.0, + 715.0, + 454.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 469.0, + 179.0, + 684.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 542.0, + 315.0, + 721.0, + 465.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 488.0, + 178.0, + 672.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 560.0, + 311.0, + 735.0, + 468.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 488.0, + 178.0, + 684.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a8f78125b9" + }, + "a907b18df1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 248.0, + 200.0, + 1252.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 0.0, + 146.0, + 1262.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 0.0, + 161.0, + 1262.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 0.0, + 127.0, + 968.0, + 704.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a907b18df1" + }, + "a919392446": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 222.0, + 392.0, + 660.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 577.0, + 527.0, + 633.0, + 609.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 610.0, + 557.0, + 643.0, + 586.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 592.0, + 519.0, + 642.0, + 547.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a919392446" + }, + "a965504e88": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 286.0, + 561.0, + 591.0, + 714.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 825.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 124.0, + 516.0, + 448.0, + 669.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 777.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 236.0, + 496.0, + 566.0, + 671.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 728.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 437.0, + 497.0, + 714.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 809.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a965504e88" + }, + "a96b84b8d2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 29.0, + 160.0, + 1162.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 78.0, + 1004.0, + 443.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 195.0, + 270.0, + 1210.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 69.0, + 236.0, + 1097.0, + 487.0 + ] + } + } + ], + "frame_names": [ + "00125", + "00155", + "00180", + "00195" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a96b84b8d2" + }, + "a973f239cd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 427.0, + 230.0, + 723.0, + 552.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 435.0, + 222.0, + 733.0, + 556.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 435.0, + 201.0, + 736.0, + 554.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 436.0, + 196.0, + 750.0, + 556.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 730.0, + 116.0, + 761.0, + 144.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 772.0, + 533.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a973f239cd" + }, + "a977126596": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 165.0, + 0.0, + 704.0, + 506.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 627.0, + 102.0, + 1000.0, + 520.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 921.0, + 0.0, + 1162.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 247.0, + 0.0, + 810.0, + 546.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 758.0, + 154.0, + 1120.0, + 570.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 1044.0, + 43.0, + 1279.0, + 462.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 123.0, + 0.0, + 826.0, + 592.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 700.0, + 0.0, + 1020.0, + 475.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 975.0, + 24.0, + 1210.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 78.0, + 431.0, + 391.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 345.0, + 0.0, + 798.0, + 156.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 768.0, + 0.0, + 1099.0, + 496.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a977126596" + }, + "a9804f2a08": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 193.0, + 109.0, + 296.0, + 228.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 63.0, + 160.0, + 382.0, + 338.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 184.0, + 117.0, + 278.0, + 217.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 94.0, + 205.0, + 425.0, + 338.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 166.0, + 88.0, + 276.0, + 204.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 158.0, + 154.0, + 225.0, + 214.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 229.0, + 193.0, + 414.0, + 336.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a9804f2a08" + }, + "a984e56893": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 268.0, + 213.0, + 550.0, + 600.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 535.0, + 329.0, + 901.0, + 669.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 512.0, + 60.0, + 1191.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 221.0, + 194.0, + 520.0, + 593.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 492.0, + 310.0, + 893.0, + 671.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 477.0, + 32.0, + 1180.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 205.0, + 187.0, + 511.0, + 599.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 483.0, + 301.0, + 892.0, + 685.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 465.0, + 24.0, + 1194.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 198.0, + 182.0, + 512.0, + 606.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 510.0, + 339.0, + 897.0, + 679.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 459.0, + 7.0, + 1210.0, + 518.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a984e56893" + }, + "a99738f24c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 262.0, + 179.0, + 339.0, + 413.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 359.0, + 201.0, + 458.0, + 370.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 277.0, + 174.0, + 344.0, + 409.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 342.0, + 203.0, + 441.0, + 372.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 695.0, + 141.0, + 754.0, + 281.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 308.0, + 172.0, + 375.0, + 404.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 353.0, + 200.0, + 447.0, + 370.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 643.0, + 140.0, + 688.0, + 232.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 377.0, + 166.0, + 445.0, + 285.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 355.0, + 200.0, + 452.0, + 371.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 530.0, + 150.0, + 580.0, + 348.0 + ] + } + } + ], + "frame_names": [ + "00090", + "00100", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a99738f24c" + }, + "a99bdd0079": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 300.0, + 63.0, + 729.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 310.0, + 70.0, + 805.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 312.0, + 79.0, + 809.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 302.0, + 85.0, + 811.0, + 520.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a99bdd0079" + }, + "a9c9c1517e": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 590.0, + 345.0, + 803.0, + 462.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 997.0, + 346.0, + 1124.0, + 422.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 368.0, + 373.0, + 601.0, + 496.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 830.0, + 372.0, + 952.0, + 445.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 1091.0, + 347.0, + 1279.0, + 478.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 985.0, + 329.0, + 1160.0, + 436.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 20.0, + 341.0, + 277.0, + 478.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 577.0, + 318.0, + 697.0, + 394.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 808.0, + 280.0, + 1041.0, + 414.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 669.0, + 278.0, + 839.0, + 378.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 976.0, + 337.0, + 1095.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 104.0, + 391.0, + 259.0, + 482.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 345.0, + 326.0, + 590.0, + 473.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 113.0, + 340.0, + 357.0, + 444.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 447.0, + 400.0, + 617.0, + 507.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a9c9c1517e" + }, + "a9cbf9c41b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 1086.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 1092.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 1074.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 1114.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a9cbf9c41b" + }, + "a9e42e3c0c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 436.0, + 461.0, + 721.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 438.0, + 459.0, + 695.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 408.0, + 420.0, + 699.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 422.0, + 457.0, + 707.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a9e42e3c0c" + }, + "aa07b7c1c0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 364.0, + 339.0, + 535.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 324.0, + 359.0, + 540.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 431.0, + 477.0, + 553.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 491.0, + 479.0, + 605.0, + 579.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa07b7c1c0" + }, + "aa175e5ec7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 1151.0, + 172.0, + 1583.0, + 788.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 833.0, + 237.0, + 1550.0, + 795.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 649.0, + 238.0, + 1446.0, + 800.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 517.0, + 294.0, + 1297.0, + 802.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa175e5ec7" + }, + "aa1a338630": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 254.0, + 232.0, + 545.0, + 719.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 171.0, + 98.0, + 392.0, + 231.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 3.0, + 304.0, + 247.0, + 715.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 268.0, + 134.0, + 467.0, + 249.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 321.0, + 237.0, + 719.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 315.0, + 111.0, + 493.0, + 203.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 385.0, + 149.0, + 719.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 301.0, + 149.0, + 448.0, + 235.0 + ] + } + } + ], + "frame_names": [ + "00065", + "00085", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa1a338630" + }, + "aa27d7b868": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "hat", + "bbox": [ + 625.0, + 64.0, + 1049.0, + 494.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 746.0, + 8.0, + 822.0, + 72.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "hat", + "bbox": [ + 697.0, + 59.0, + 758.0, + 124.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 591.0, + 63.0, + 1016.0, + 307.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "hat", + "bbox": [ + 589.0, + 14.0, + 1052.0, + 247.0 + ] + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00210", + "00230", + "00245", + "00255" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa27d7b868" + }, + "aa45f1caaf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 751.0, + 216.0, + 1092.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 1182.0, + 300.0, + 1279.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 571.0, + 336.0, + 876.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 387.0, + 324.0, + 659.0, + 546.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa45f1caaf" + }, + "aa49e46432": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 264.0, + 85.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 332.0, + 96.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 246.0, + 144.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa49e46432" + }, + "aa51934e1b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 336.0, + 95.0, + 488.0, + 211.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 628.0, + 158.0, + 741.0, + 331.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 582.0, + 90.0, + 741.0, + 214.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 788.0, + 59.0, + 919.0, + 303.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 374.0, + 115.0, + 588.0, + 241.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 639.0, + 121.0, + 823.0, + 339.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 342.0, + 402.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 554.0, + 48.0, + 1094.0, + 622.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa51934e1b" + }, + "aa6287bb6c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 376.0, + 181.0, + 871.0, + 525.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 910.0, + 202.0, + 981.0, + 271.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 199.0, + 389.0, + 381.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 370.0, + 173.0, + 813.0, + 492.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 842.0, + 171.0, + 910.0, + 239.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 280.0, + 365.0, + 374.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 453.0, + 177.0, + 846.0, + 461.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 865.0, + 167.0, + 937.0, + 238.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 441.0, + 357.0, + 455.0, + 410.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 454.0, + 216.0, + 797.0, + 479.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 807.0, + 178.0, + 881.0, + 253.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00055", + "00065", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa6287bb6c" + }, + "aa6d999971": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 504.0, + 0.0, + 949.0, + 690.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 367.0, + 588.0, + 833.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 350.0, + 32.0, + 1003.0, + 719.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 453.0, + 67.0, + 897.0, + 719.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 450.0, + 32.0, + 892.0, + 719.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa6d999971" + }, + "aa85278334": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 283.0, + 333.0, + 350.0, + 569.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 71.0, + 82.0, + 317.0, + 156.0 + ] + }, + "3": { + "category_name": "train", + "bbox": [ + 256.0, + 168.0, + 830.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 231.0, + 306.0, + 286.0, + 543.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 13.0, + 57.0, + 259.0, + 129.0 + ] + }, + "3": { + "category_name": "train", + "bbox": [ + 197.0, + 112.0, + 908.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 209.0, + 289.0, + 261.0, + 533.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 0.0, + 41.0, + 233.0, + 112.0 + ] + }, + "3": { + "category_name": "train", + "bbox": [ + 170.0, + 68.0, + 986.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 181.0, + 286.0, + 231.0, + 523.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 0.0, + 39.0, + 204.0, + 110.0 + ] + }, + "3": { + "category_name": "train", + "bbox": [ + 141.0, + 8.0, + 1197.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa85278334" + }, + "aab33f0e2a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 488.0, + 148.0, + 817.0, + 523.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 629.0, + 364.0, + 772.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 502.0, + 130.0, + 824.0, + 523.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 651.0, + 340.0, + 796.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 517.0, + 139.0, + 842.0, + 558.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 673.0, + 320.0, + 821.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 454.0, + 99.0, + 715.0, + 570.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 671.0, + 344.0, + 824.0, + 621.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aab33f0e2a" + }, + "aaba004362": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 180.0, + 739.0, + 693.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 787.0, + 125.0, + 1176.0, + 698.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 123.0, + 719.0, + 668.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 759.0, + 111.0, + 1169.0, + 709.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 169.0, + 697.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 684.0, + 184.0, + 1204.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 169.0, + 623.0, + 683.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 612.0, + 264.0, + 1262.0, + 670.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aaba004362" + }, + "aade4cf385": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 639.0, + 160.0, + 955.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 36.0, + 336.0, + 348.0, + 719.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 321.0, + 367.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 668.0, + 144.0, + 954.0, + 604.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 240.0, + 282.0, + 708.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 295.0, + 132.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 498.0, + 230.0, + 969.0, + 576.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 380.0, + 279.0, + 719.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 327.0, + 174.0, + 443.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 444.0, + 251.0, + 983.0, + 580.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 417.0, + 282.0, + 719.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 321.0, + 162.0, + 517.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aade4cf385" + }, + "aae78feda4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 492.0, + 259.0, + 734.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 493.0, + 262.0, + 741.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 511.0, + 250.0, + 759.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 502.0, + 252.0, + 753.0, + 625.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aae78feda4" + }, + "aaed233bf3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 21.0, + 240.0, + 958.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 528.0, + 0.0, + 1223.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 49.0, + 127.0, + 984.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 568.0, + 0.0, + 1246.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 99.0, + 100.0, + 984.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 622.0, + 0.0, + 1255.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 97.0, + 90.0, + 986.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 614.0, + 0.0, + 1253.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aaed233bf3" + }, + "aaff16c2db": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 123.0, + 131.0, + 347.0, + 268.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 149.0, + 135.0, + 307.0, + 274.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 139.0, + 146.0, + 264.0, + 280.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 112.0, + 157.0, + 210.0, + 299.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aaff16c2db" + }, + "ab199e8dfb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 943.0, + 167.0, + 996.0, + 219.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 896.0, + 213.0, + 1024.0, + 430.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 884.0, + 278.0, + 1064.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 910.0, + 184.0, + 959.0, + 233.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 861.0, + 223.0, + 989.0, + 440.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 855.0, + 291.0, + 1020.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 897.0, + 154.0, + 948.0, + 202.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 847.0, + 193.0, + 978.0, + 427.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 836.0, + 260.0, + 1012.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 913.0, + 144.0, + 967.0, + 195.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 860.0, + 185.0, + 1000.0, + 434.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 848.0, + 261.0, + 1036.0, + 509.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ab199e8dfb" + }, + "ab23b78715": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 384.0, + 163.0, + 1001.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 346.0, + 208.0, + 957.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 271.0, + 157.0, + 852.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 311.0, + 141.0, + 887.0, + 528.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ab23b78715" + }, + "ab2e1b5577": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 337.0, + 65.0, + 873.0, + 531.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 761.0, + 383.0, + 1279.0, + 615.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 881.0, + 119.0, + 1279.0, + 403.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 354.0, + 88.0, + 891.0, + 542.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 780.0, + 402.0, + 1279.0, + 637.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 809.0, + 218.0, + 1279.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 346.0, + 158.0, + 865.0, + 605.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 755.0, + 461.0, + 1279.0, + 688.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 709.0, + 239.0, + 1279.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 370.0, + 138.0, + 889.0, + 565.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 775.0, + 424.0, + 1279.0, + 650.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 845.0, + 108.0, + 1279.0, + 436.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ab2e1b5577" + }, + "ab33a18ded": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 57.0, + 640.0, + 503.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 246.0, + 459.0, + 285.0, + 504.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 40.0, + 363.0, + 152.0, + 457.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 612.0, + 148.0, + 771.0, + 468.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 410.0, + 484.0, + 450.0, + 531.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 195.0, + 397.0, + 309.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 542.0, + 208.0, + 685.0, + 616.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 375.0, + 625.0, + 415.0, + 670.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 138.0, + 535.0, + 252.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 576.0, + 223.0, + 721.0, + 631.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 432.0, + 628.0, + 469.0, + 675.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 193.0, + 532.0, + 305.0, + 626.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ab33a18ded" + }, + "ab45078265": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 449.0, + 257.0, + 691.0, + 611.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 408.0, + 341.0, + 679.0, + 698.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 569.0, + 210.0, + 823.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 462.0, + 120.0, + 811.0, + 511.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ab45078265" + }, + "ab56201494": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 295.0, + 228.0, + 1056.0, + 514.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 613.0, + 40.0, + 1044.0, + 299.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 509.0, + 494.0, + 826.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 481.0, + 352.0, + 828.0, + 699.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ab56201494" + }, + "ab90f0d24b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 655.0, + 205.0, + 917.0, + 528.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 669.0, + 236.0, + 930.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 503.0, + 299.0, + 922.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 354.0, + 67.0, + 697.0, + 519.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ab90f0d24b" + }, + "abab2e6c20": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 562.0, + 148.0, + 744.0, + 616.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 736.0, + 110.0, + 842.0, + 238.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 497.0, + 155.0, + 662.0, + 616.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 578.0, + 270.0, + 705.0, + 355.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 443.0, + 192.0, + 656.0, + 611.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 403.0, + 151.0, + 454.0, + 288.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 511.0, + 146.0, + 669.0, + 619.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 601.0, + 247.0, + 727.0, + 353.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/abab2e6c20" + }, + "abb50c8697": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 479.0, + 402.0, + 574.0, + 548.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 515.0, + 168.0, + 611.0, + 265.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 507.0, + 361.0, + 609.0, + 513.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 420.0, + 177.0, + 597.0, + 240.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 476.0, + 317.0, + 640.0, + 451.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 322.0, + 105.0, + 483.0, + 200.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 447.0, + 259.0, + 636.0, + 406.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 254.0, + 42.0, + 384.0, + 167.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/abb50c8697" + }, + "abbe2d15a0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 297.0, + 172.0, + 972.0, + 635.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 460.0, + 412.0, + 608.0, + 509.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 356.0, + 87.0, + 602.0, + 465.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 460.0, + 370.0, + 577.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 297.0, + 175.0, + 974.0, + 645.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 452.0, + 417.0, + 592.0, + 521.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 347.0, + 97.0, + 602.0, + 481.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 460.0, + 378.0, + 583.0, + 449.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 292.0, + 180.0, + 966.0, + 647.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 449.0, + 431.0, + 597.0, + 534.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 344.0, + 106.0, + 590.0, + 481.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 456.0, + 386.0, + 565.0, + 466.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 298.0, + 182.0, + 974.0, + 648.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 447.0, + 427.0, + 601.0, + 533.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 356.0, + 106.0, + 597.0, + 458.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 465.0, + 382.0, + 576.0, + 453.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/abbe2d15a0" + }, + "abbe73cd21": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 273.0, + 0.0, + 938.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 343.0, + 0.0, + 744.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 449.0, + 0.0, + 828.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 463.0, + 0.0, + 1006.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/abbe73cd21" + }, + "abe61a11bb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 455.0, + 252.0, + 531.0, + 381.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 585.0, + 260.0, + 655.0, + 432.0 + ] + } + }, + { + "1": {}, + "2": {} + }, + { + "1": {}, + "2": {} + } + ], + "frame_names": [ + "00045", + "00085", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/abe61a11bb" + }, + "abeae8ce21": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 529.0, + 0.0, + 1166.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 553.0, + 31.0, + 1162.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 583.0, + 78.0, + 1154.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 575.0, + 163.0, + 988.0, + 531.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/abeae8ce21" + }, + "ac2b431d5f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 302.0, + 396.0, + 879.0, + 719.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 234.0, + 371.0, + 602.0, + 533.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 351.0, + 244.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 382.0, + 382.0, + 693.0, + 681.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 250.0, + 355.0, + 630.0, + 509.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 333.0, + 264.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 385.0, + 418.0, + 749.0, + 630.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 336.0, + 366.0, + 628.0, + 506.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 8.0, + 357.0, + 408.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 337.0, + 437.0, + 748.0, + 608.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 450.0, + 381.0, + 593.0, + 470.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 69.0, + 370.0, + 508.0, + 541.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ac2b431d5f" + }, + "ac2cb1b9eb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 421.0, + 348.0, + 512.0, + 401.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 436.0, + 391.0, + 526.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 375.0, + 258.0, + 440.0, + 344.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 384.0, + 349.0, + 470.0, + 369.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 350.0, + 169.0, + 444.0, + 395.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 447.0, + 414.0, + 603.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 323.0, + 149.0, + 432.0, + 423.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 438.0, + 417.0, + 607.0, + 437.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ac2cb1b9eb" + }, + "ac31fcd6d0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 734.0, + 364.0, + 896.0, + 469.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 526.0, + 0.0, + 599.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 589.0, + 360.0, + 747.0, + 461.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 375.0, + 3.0, + 450.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 401.0, + 361.0, + 563.0, + 466.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 171.0, + 2.0, + 253.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 259.0, + 355.0, + 427.0, + 460.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 12.0, + 3.0, + 106.0, + 469.0 + ] + } + } + ], + "frame_names": [ + "00150", + "00165", + "00180", + "00190" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ac31fcd6d0" + }, + "ac3d3a126d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 518.0, + 125.0, + 1015.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 418.0, + 125.0, + 905.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 416.0, + 123.0, + 881.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 318.0, + 104.0, + 859.0, + 622.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ac3d3a126d" + }, + "ac46bd8087": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 147.0, + 5.0, + 932.0, + 662.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 419.0, + 549.0, + 860.0, + 683.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 567.0, + 142.0, + 678.0, + 469.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 562.0, + 442.0, + 666.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 494.0, + 94.0, + 661.0, + 577.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 565.0, + 500.0, + 641.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 454.0, + 161.0, + 666.0, + 554.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 545.0, + 468.0, + 629.0, + 573.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ac46bd8087" + }, + "ac783ef388": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 930.0, + 215.0, + 1223.0, + 308.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 613.0, + 253.0, + 1135.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 456.0, + 283.0, + 954.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 568.0, + 419.0, + 1134.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ac783ef388" + }, + "acb73e4297": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 832.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 872.0, + 660.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 932.0, + 649.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 900.0, + 671.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/acb73e4297" + }, + "acbf581760": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 304.0, + 0.0, + 1163.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 248.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 220.0, + 0.0, + 1155.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 214.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 226.0, + 0.0, + 1147.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 230.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 212.0, + 0.0, + 1163.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 200.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/acbf581760" + }, + "accafc3531": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 492.0, + 0.0, + 1279.0, + 650.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 602.0, + 0.0, + 1279.0, + 590.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 673.0, + 0.0, + 1247.0, + 548.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1190.0, + 129.0, + 1279.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 624.0, + 59.0, + 1073.0, + 459.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1040.0, + 196.0, + 1118.0, + 430.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/accafc3531" + }, + "acf2c4b745": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 570.0, + 303.0, + 668.0, + 440.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 575.0, + 412.0, + 649.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 607.0, + 293.0, + 679.0, + 427.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 609.0, + 403.0, + 679.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 603.0, + 277.0, + 717.0, + 483.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 585.0, + 462.0, + 706.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 532.0, + 302.0, + 702.0, + 459.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 549.0, + 427.0, + 673.0, + 469.0 + ] + } + } + ], + "frame_names": [ + "00055", + "00065", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/acf2c4b745" + }, + "acf44293a2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 580.0, + 358.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 693.0, + 329.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 283.0, + 102.0, + 439.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 580.0, + 92.0, + 1009.0, + 488.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 83.0, + 564.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 588.0, + 56.0, + 1007.0, + 455.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 78.0, + 206.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/acf44293a2" + }, + "acf736a27b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 440.0, + 253.0, + 726.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 441.0, + 256.0, + 734.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 447.0, + 255.0, + 732.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 397.0, + 219.0, + 751.0, + 591.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/acf736a27b" + }, + "acff336758": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 227.0, + 92.0, + 624.0, + 587.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 165.0, + 307.0, + 535.0, + 644.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 153.0, + 293.0, + 540.0, + 661.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 648.0, + 0.0, + 1133.0, + 308.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 948.0, + 123.0, + 1183.0, + 477.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/acff336758" + }, + "ad1fe56886": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 202.0, + 373.0, + 303.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 211.0, + 377.0, + 301.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 198.0, + 372.0, + 304.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 167.0, + 361.0, + 293.0, + 491.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad1fe56886" + }, + "ad28f9b9d9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 36.0, + 173.0, + 511.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 439.0, + 227.0, + 764.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 744.0, + 234.0, + 1143.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 120.0, + 392.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 488.0, + 151.0, + 735.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 741.0, + 158.0, + 1144.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 123.0, + 372.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 472.0, + 169.0, + 735.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 769.0, + 150.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 126.0, + 312.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 480.0, + 177.0, + 735.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 737.0, + 159.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad28f9b9d9" + }, + "ad2de9f80e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 657.0, + 384.0, + 963.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 650.0, + 383.0, + 955.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 721.0, + 378.0, + 953.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 742.0, + 365.0, + 951.0, + 548.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad2de9f80e" + }, + "ad397527b2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 252.0, + 0.0, + 1187.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 270.0, + 0.0, + 1179.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 210.0, + 0.0, + 1111.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 246.0, + 0.0, + 1101.0, + 637.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad397527b2" + }, + "ad3d1cfbcb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 923.0, + 217.0, + 1279.0, + 597.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 527.0, + 161.0, + 1186.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 725.0, + 198.0, + 1279.0, + 645.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 314.0, + 122.0, + 1090.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 693.0, + 195.0, + 1279.0, + 712.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 249.0, + 116.0, + 1110.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 430.0, + 189.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 83.0, + 862.0, + 470.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad3d1cfbcb" + }, + "ad3fada9d9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 954.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 942.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 949.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1010.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad3fada9d9" + }, + "ad4108ee8e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 408.0, + 249.0, + 934.0, + 478.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 399.0, + 194.0, + 492.0, + 309.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 148.0, + 212.0, + 362.0, + 466.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 949.0, + 228.0, + 1117.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 379.0, + 190.0, + 599.0, + 361.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 924.0, + 157.0, + 1063.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 512.0, + 225.0, + 828.0, + 404.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 533.0, + 197.0, + 723.0, + 477.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad4108ee8e" + }, + "ad54468654": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 588.0, + 7.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 745.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 588.0, + 7.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 746.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 585.0, + 8.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 764.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 3.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 769.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00190", + "00200", + "00210", + "00215" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad54468654" + }, + "ad573f7d31": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 234.0, + 0.0, + 1279.0, + 657.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 316.0, + 489.0, + 1131.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 276.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 188.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 1239.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad573f7d31" + }, + "ad6255bc29": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 556.0, + 305.0, + 667.0, + 571.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 614.0, + 551.0, + 696.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 673.0, + 321.0, + 777.0, + 583.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 719.0, + 575.0, + 834.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 842.0, + 359.0, + 992.0, + 555.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 955.0, + 538.0, + 1017.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 709.0, + 241.0, + 771.0, + 411.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 711.0, + 387.0, + 758.0, + 417.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad6255bc29" + }, + "ad65ebaa07": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 316.0, + 139.0, + 915.0, + 706.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 316.0, + 121.0, + 879.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 278.0, + 126.0, + 845.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 264.0, + 110.0, + 827.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad65ebaa07" + }, + "ad97cc064a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 483.0, + 241.0, + 768.0, + 564.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 407.0, + 35.0, + 811.0, + 695.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 64.0, + 259.0, + 350.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 448.0, + 284.0, + 778.0, + 602.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 407.0, + 33.0, + 811.0, + 699.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 68.0, + 257.0, + 350.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 446.0, + 237.0, + 775.0, + 564.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 404.0, + 31.0, + 811.0, + 694.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 66.0, + 253.0, + 350.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 466.0, + 58.0, + 777.0, + 377.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 400.0, + 31.0, + 809.0, + 694.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 64.0, + 255.0, + 354.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00170", + "00195", + "00215", + "00230" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad97cc064a" + }, + "adabbd1cc4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 39.0, + 74.0, + 847.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 91.0, + 959.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 379.0, + 924.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 270.0, + 661.0, + 420.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/adabbd1cc4" + }, + "adb0b5a270": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 460.0, + 141.0, + 727.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 339.0, + 84.0, + 752.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 272.0, + 17.0, + 667.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 630.0, + 0.0, + 1202.0, + 522.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/adb0b5a270" + }, + "adc648f890": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 526.0, + 465.0, + 643.0, + 667.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 566.0, + 221.0, + 1042.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 501.0, + 504.0, + 662.0, + 703.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 581.0, + 222.0, + 1036.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 462.0, + 495.0, + 690.0, + 667.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 586.0, + 206.0, + 1025.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 510.0, + 545.0, + 762.0, + 701.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 669.0, + 182.0, + 1114.0, + 620.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/adc648f890" + }, + "add21ee467": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 40.0, + 0.0, + 931.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 8.0, + 0.0, + 757.0, + 384.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 144.0, + 0.0, + 785.0, + 457.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 332.0, + 0.0, + 915.0, + 541.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/add21ee467" + }, + "adfd15ceef": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 205.0, + 62.0, + 750.0, + 426.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 223.0, + 76.0, + 768.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 208.0, + 81.0, + 753.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 241.0, + 149.0, + 777.0, + 453.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/adfd15ceef" + }, + "adfdd52eac": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 301.0, + 303.0, + 936.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 23.0, + 17.0, + 816.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 407.0, + 223.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 181.0, + 672.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 695.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 580.0, + 571.0, + 837.0, + 719.0 + ] + }, + "4": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 635.0, + 672.0, + 829.0, + 719.0 + ] + }, + "4": { + "category_name": "dog", + "bbox": [ + 0.0, + 299.0, + 1059.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/adfdd52eac" + }, + "ae01cdab63": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 501.0, + 117.0, + 581.0, + 370.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 482.0, + 166.0, + 716.0, + 400.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 387.0, + 369.0, + 514.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 521.0, + 267.0, + 699.0, + 386.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 327.0, + 103.0, + 511.0, + 346.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 228.0, + 260.0, + 403.0, + 396.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 410.0, + 85.0, + 670.0, + 464.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae01cdab63" + }, + "ae0b50ff4f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 329.0, + 1129.0, + 685.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 91.0, + 321.0, + 1263.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 671.0, + 293.0, + 849.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 55.0, + 283.0, + 1260.0, + 648.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae0b50ff4f" + }, + "ae13ee3d70": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1162.0, + 620.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00085", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae13ee3d70" + }, + "ae1bcbd423": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 274.0, + 379.0, + 551.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 297.0, + 375.0, + 1008.0, + 640.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 43.0, + 87.0, + 1248.0, + 549.0 + ] + }, + "4": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 684.0, + 0.0, + 964.0, + 140.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 486.0, + 262.0, + 704.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 141.0, + 1279.0, + 718.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 40.0, + 0.0, + 431.0, + 297.0 + ] + }, + "4": { + "category_name": "shark", + "bbox": [ + 217.0, + 87.0, + 489.0, + 258.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 805.0, + 0.0, + 1211.0, + 320.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 557.0, + 146.0, + 700.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 607.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "shark", + "bbox": [ + 399.0, + 110.0, + 786.0, + 256.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 1091.0, + 0.0, + 1279.0, + 280.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 64.0, + 1113.0, + 677.0 + ] + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "shark", + "bbox": [ + 442.0, + 45.0, + 1049.0, + 281.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 1131.0, + 0.0, + 1279.0, + 462.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae1bcbd423" + }, + "ae20d09dea": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 331.0, + 301.0, + 1264.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 377.0, + 266.0, + 1254.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 377.0, + 269.0, + 1273.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 385.0, + 295.0, + 1257.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae20d09dea" + }, + "ae2cecf5f6": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 346.0, + 0.0, + 1107.0, + 504.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 836.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 170.0, + 0.0, + 1058.0, + 611.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 658.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 156.0, + 0.0, + 1043.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 90.0, + 294.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 356.0, + 0.0, + 1239.0, + 691.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 733.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00165", + "00175", + "00180", + "00190" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae2cecf5f6" + }, + "ae3bc4a0ef": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 187.0, + 189.0, + 1034.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 137.0, + 23.0, + 1124.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 151.0, + 4.0, + 1122.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 231.0, + 39.0, + 1096.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae3bc4a0ef" + }, + "ae499c7514": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 594.0, + 392.0, + 718.0, + 473.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 838.0, + 316.0, + 1081.0, + 490.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 737.0, + 354.0, + 848.0, + 446.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 668.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 518.0, + 375.0, + 642.0, + 455.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 776.0, + 292.0, + 1005.0, + 476.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 673.0, + 338.0, + 786.0, + 429.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 483.0, + 272.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 516.0, + 354.0, + 640.0, + 435.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 784.0, + 265.0, + 994.0, + 463.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 682.0, + 318.0, + 798.0, + 413.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 536.0, + 345.0, + 661.0, + 425.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 826.0, + 243.0, + 1008.0, + 459.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 717.0, + 305.0, + 835.0, + 405.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae499c7514" + }, + "ae628f2cd4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 148.0, + 198.0, + 668.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 143.0, + 98.0, + 768.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 146.0, + 171.0, + 698.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 267.0, + 164.0, + 819.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae628f2cd4" + }, + "ae8545d581": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 378.0, + 232.0, + 568.0, + 522.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 360.0, + 425.0, + 545.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 397.0, + 21.0, + 617.0, + 345.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 427.0, + 159.0, + 762.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 409.0, + 75.0, + 628.0, + 325.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 427.0, + 171.0, + 765.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 425.0, + 154.0, + 649.0, + 434.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 390.0, + 311.0, + 712.0, + 553.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae8545d581" + }, + "ae93214fe6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 786.0, + 125.0, + 863.0, + 303.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 547.0, + 438.0, + 817.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 443.0, + 313.0, + 521.0, + 601.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 264.0, + 684.0, + 312.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 552.0, + 415.0, + 654.0, + 716.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 204.0, + 75.0, + 510.0, + 655.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae93214fe6" + }, + "aeba9ac967": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 547.0, + 145.0, + 868.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 506.0, + 102.0, + 979.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 467.0, + 34.0, + 1023.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 485.0, + 80.0, + 1044.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aeba9ac967" + }, + "aebb242b5c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 470.0, + 231.0, + 716.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 484.0, + 220.0, + 730.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 496.0, + 227.0, + 737.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 477.0, + 228.0, + 720.0, + 503.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aebb242b5c" + }, + "aed4e0b4c4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 418.0, + 157.0, + 804.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 388.0, + 170.0, + 809.0, + 616.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 367.0, + 162.0, + 754.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 364.0, + 145.0, + 797.0, + 554.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aed4e0b4c4" + }, + "aedd71f125": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 472.0, + 318.0, + 1018.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 459.0, + 328.0, + 927.0, + 649.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 383.0, + 332.0, + 825.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 371.0, + 335.0, + 848.0, + 613.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aedd71f125" + }, + "aef3e2cb0e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 422.0, + 139.0, + 655.0, + 411.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 429.0, + 379.0, + 565.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 449.0, + 104.0, + 614.0, + 496.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 459.0, + 467.0, + 630.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 279.0, + 52.0, + 551.0, + 597.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 364.0, + 518.0, + 589.0, + 643.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 538.0, + 0.0, + 748.0, + 543.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 573.0, + 459.0, + 738.0, + 577.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aef3e2cb0e" + }, + "af0b54cee3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 816.0, + 72.0, + 1254.0, + 289.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 430.0, + 169.0, + 700.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 1156.0, + 284.0, + 1261.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 819.0, + 87.0, + 1146.0, + 295.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 436.0, + 205.0, + 609.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 1063.0, + 299.0, + 1182.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 1013.0, + 3.0, + 1277.0, + 160.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 513.0, + 71.0, + 749.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 1250.0, + 169.0, + 1277.0, + 302.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 976.0, + 29.0, + 1178.0, + 184.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 361.0, + 85.0, + 639.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 1088.0, + 183.0, + 1192.0, + 331.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/af0b54cee3" + }, + "af3de54c7a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 335.0, + 254.0, + 769.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 358.0, + 245.0, + 779.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 353.0, + 244.0, + 763.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 358.0, + 268.0, + 735.0, + 565.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/af3de54c7a" + }, + "af5fd24a36": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 419.0, + 391.0, + 979.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 465.0, + 347.0, + 970.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 460.0, + 374.0, + 973.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 460.0, + 368.0, + 939.0, + 584.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/af5fd24a36" + }, + "af8826d084": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 307.0, + 127.0, + 439.0, + 473.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 330.0, + 239.0, + 548.0, + 615.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 691.0, + 188.0, + 780.0, + 419.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 359.0, + 146.0, + 452.0, + 432.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 350.0, + 247.0, + 557.0, + 524.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 661.0, + 220.0, + 730.0, + 408.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 387.0, + 166.0, + 524.0, + 427.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 378.0, + 245.0, + 563.0, + 512.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 630.0, + 229.0, + 692.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 205.0, + 516.0, + 408.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 430.0, + 271.0, + 587.0, + 463.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 608.0, + 256.0, + 652.0, + 372.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/af8826d084" + }, + "af8ad72057": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 186.0, + 779.0, + 488.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 527.0, + 449.0, + 863.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 630.0, + 228.0, + 792.0, + 465.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 796.0, + 448.0, + 843.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 579.0, + 244.0, + 814.0, + 470.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 811.0, + 436.0, + 857.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 501.0, + 237.0, + 749.0, + 624.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 495.0, + 555.0, + 831.0, + 626.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/af8ad72057" + }, + "afb71e22c5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 735.0, + 333.0, + 868.0, + 389.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 111.0, + 439.0, + 216.0, + 526.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 844.0, + 639.0, + 961.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 797.0, + 224.0, + 897.0, + 284.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 180.0, + 332.0, + 301.0, + 387.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 894.0, + 574.0, + 1092.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 828.0, + 210.0, + 878.0, + 274.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 325.0, + 284.0, + 424.0, + 338.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 958.0, + 485.0, + 1049.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 778.0, + 210.0, + 880.0, + 281.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 411.0, + 255.0, + 512.0, + 310.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 876.0, + 406.0, + 994.0, + 523.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/afb71e22c5" + }, + "afcb331e1f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 463.0, + 337.0, + 788.0, + 580.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 261.0, + 404.0, + 349.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 461.0, + 327.0, + 812.0, + 585.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 261.0, + 402.0, + 352.0, + 503.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 462.0, + 316.0, + 853.0, + 591.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 259.0, + 406.0, + 355.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 465.0, + 299.0, + 902.0, + 600.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 257.0, + 404.0, + 355.0, + 497.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/afcb331e1f" + }, + "afe1a35c1e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 711.0, + 240.0, + 958.0, + 424.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 779.0, + 411.0, + 972.0, + 480.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 333.0, + 463.0, + 498.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 698.0, + 224.0, + 969.0, + 431.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 765.0, + 412.0, + 979.0, + 472.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 279.0, + 440.0, + 423.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 723.0, + 221.0, + 991.0, + 424.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 791.0, + 399.0, + 1000.0, + 486.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 307.0, + 470.0, + 434.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 723.0, + 225.0, + 998.0, + 458.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 793.0, + 412.0, + 991.0, + 482.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 310.0, + 435.0, + 544.0, + 524.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/afe1a35c1e" + }, + "b01080b5d3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 324.0, + 85.0, + 914.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 312.0, + 0.0, + 881.0, + 691.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 40.0, + 0.0, + 794.0, + 695.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 869.0, + 711.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b01080b5d3" + }, + "b05ad0d345": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 0.0, + 606.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 393.0, + 371.0, + 758.0, + 719.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 125.0, + 701.0, + 239.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 1142.0, + 686.0, + 1274.0, + 719.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 954.0, + 596.0, + 1160.0, + 719.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 105.0, + 513.0, + 289.0, + 714.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 261.0, + 688.0, + 415.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 199.0, + 526.0, + 403.0, + 700.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 1084.0, + 565.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 377.0, + 673.0, + 515.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b05ad0d345" + }, + "b0623a6232": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 568.0, + 218.0, + 1005.0, + 393.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 612.0, + 219.0, + 973.0, + 351.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 526.0, + 215.0, + 743.0, + 292.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 318.0, + 183.0, + 496.0, + 247.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0623a6232" + }, + "b064dbd4b7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 683.0, + 165.0, + 1016.0, + 340.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 761.0, + 287.0, + 990.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1019.0, + 96.0, + 1156.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 698.0, + 196.0, + 1035.0, + 390.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 785.0, + 325.0, + 1002.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1037.0, + 156.0, + 1176.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 703.0, + 188.0, + 1035.0, + 382.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 780.0, + 315.0, + 996.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1030.0, + 149.0, + 1168.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 732.0, + 157.0, + 1069.0, + 393.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 781.0, + 314.0, + 971.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1050.0, + 159.0, + 1167.0, + 567.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b064dbd4b7" + }, + "b06ed37831": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 459.0, + 220.0, + 517.0, + 283.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 454.0, + 214.0, + 586.0, + 420.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 555.0, + 88.0, + 596.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 591.0, + 222.0, + 640.0, + 279.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 583.0, + 145.0, + 706.0, + 383.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 639.0, + 21.0, + 653.0, + 253.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 726.0, + 242.0, + 771.0, + 289.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 699.0, + 265.0, + 802.0, + 385.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 711.0, + 168.0, + 723.0, + 308.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 740.0, + 254.0, + 782.0, + 297.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 692.0, + 295.0, + 797.0, + 389.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 732.0, + 231.0, + 762.0, + 300.0 + ] + } + } + ], + "frame_names": [ + "00225", + "00245", + "00265", + "00270" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b06ed37831" + }, + "b06f5888e6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 655.0, + 142.0, + 1050.0, + 456.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 250.0, + 212.0, + 591.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 627.0, + 145.0, + 1039.0, + 437.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 168.0, + 190.0, + 468.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 576.0, + 205.0, + 1021.0, + 448.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 7.0, + 78.0, + 331.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 323.0, + 175.0, + 725.0, + 395.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 306.0, + 406.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b06f5888e6" + }, + "b08dcc490e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 477.0, + 69.0, + 628.0, + 271.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 694.0, + 282.0, + 932.0, + 498.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 947.0, + 387.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 489.0, + 85.0, + 626.0, + 286.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 686.0, + 308.0, + 933.0, + 526.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 863.0, + 411.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 402.0, + 51.0, + 528.0, + 260.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 584.0, + 280.0, + 801.0, + 497.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 872.0, + 447.0, + 1236.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 274.0, + 49.0, + 394.0, + 256.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 418.0, + 245.0, + 650.0, + 456.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 734.0, + 427.0, + 1114.0, + 714.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b08dcc490e" + }, + "b0a68228dc": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 426.0, + 62.0, + 772.0, + 636.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 902.0, + 218.0, + 979.0, + 458.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1005.0, + 200.0, + 1075.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 415.0, + 66.0, + 767.0, + 605.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 894.0, + 222.0, + 969.0, + 467.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 969.0, + 210.0, + 1063.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 396.0, + 61.0, + 768.0, + 605.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 893.0, + 228.0, + 969.0, + 469.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 964.0, + 210.0, + 1052.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 418.0, + 43.0, + 809.0, + 585.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 934.0, + 214.0, + 1009.0, + 454.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 995.0, + 193.0, + 1059.0, + 416.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0a68228dc" + }, + "b0aece727f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 546.0, + 75.0, + 708.0, + 453.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 608.0, + 451.0, + 694.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 614.0, + 134.0, + 833.0, + 545.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 645.0, + 519.0, + 752.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 602.0, + 106.0, + 839.0, + 550.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 625.0, + 504.0, + 729.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 561.0, + 219.0, + 788.0, + 550.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 659.0, + 457.0, + 770.0, + 504.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0aece727f" + }, + "b0b0731606": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 61.0, + 143.0, + 351.0, + 295.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 120.0, + 144.0, + 427.0, + 311.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 139.0, + 147.0, + 439.0, + 321.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 176.0, + 142.0, + 484.0, + 297.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0b0731606" + }, + "b0c7f11f9f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 218.0, + 32.0, + 525.0, + 586.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 736.0, + 202.0, + 1249.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 214.0, + 36.0, + 522.0, + 583.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 730.0, + 204.0, + 1243.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 235.0, + 30.0, + 536.0, + 603.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 736.0, + 207.0, + 1243.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 199.0, + 28.0, + 512.0, + 584.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 721.0, + 212.0, + 1231.0, + 527.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0c7f11f9f" + }, + "b0cca8b830": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 549.0, + 580.0, + 755.0, + 632.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 597.0, + 181.0, + 774.0, + 613.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 535.0, + 587.0, + 782.0, + 637.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 543.0, + 156.0, + 769.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 575.0, + 455.0, + 726.0, + 599.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 500.0, + 45.0, + 837.0, + 586.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0cca8b830" + }, + "b0dd580a89": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 630.0, + 356.0, + 762.0, + 698.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 620.0, + 322.0, + 756.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 616.0, + 305.0, + 753.0, + 639.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 627.0, + 315.0, + 766.0, + 652.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0dd580a89" + }, + "b0de66ca08": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 237.0, + 227.0, + 1211.0, + 638.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 29.0, + 0.0, + 567.0, + 518.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 477.0, + 177.0, + 1276.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 175.0, + 167.0, + 1167.0, + 607.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 11.0, + 4.0, + 567.0, + 467.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 476.0, + 185.0, + 1274.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 89.0, + 28.0, + 1032.0, + 610.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 205.0, + 478.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 472.0, + 189.0, + 1275.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 49.0, + 35.0, + 1033.0, + 610.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 220.0, + 410.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 470.0, + 188.0, + 1277.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0de66ca08" + }, + "b0df7c5c5c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 40.0, + 271.0, + 98.0, + 311.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 393.0, + 14.0, + 639.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 85.0, + 274.0, + 140.0, + 312.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 448.0, + 20.0, + 639.0, + 331.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 80.0, + 269.0, + 137.0, + 307.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 382.0, + 14.0, + 639.0, + 323.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 106.0, + 266.0, + 161.0, + 304.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 303.0, + 2.0, + 639.0, + 299.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0df7c5c5c" + }, + "b0f5295608": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 399.0, + 430.0, + 623.0, + 631.0 + ] + }, + "2": {}, + "3": { + "category_name": "bird", + "bbox": [ + 443.0, + 365.0, + 656.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 527.0, + 580.0, + 706.0, + 701.0 + ] + }, + "2": {}, + "3": { + "category_name": "bird", + "bbox": [ + 531.0, + 376.0, + 697.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 397.0, + 325.0, + 667.0, + 460.0 + ] + }, + "2": {}, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00065", + "00085", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0f5295608" + }, + "b11099eb09": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 464.0, + 314.0, + 879.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 366.0, + 161.0, + 817.0, + 616.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 398.0, + 155.0, + 843.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 278.0, + 102.0, + 977.0, + 639.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b11099eb09" + }, + "b132a53086": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 414.0, + 0.0, + 1003.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 404.0, + 0.0, + 993.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 424.0, + 0.0, + 1001.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 320.0, + 0.0, + 1063.0, + 561.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b132a53086" + }, + "b1399fac64": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 139.0, + 122.0, + 459.0, + 668.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 664.0, + 315.0, + 1034.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 11.0, + 65.0, + 365.0, + 633.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 656.0, + 230.0, + 892.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 141.0, + 0.0, + 581.0, + 544.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 583.0, + 201.0, + 876.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 225.0, + 0.0, + 569.0, + 536.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 551.0, + 229.0, + 848.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1399fac64" + }, + "b13abc0c69": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 507.0, + 182.0, + 733.0, + 508.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 722.0, + 278.0, + 972.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 545.0, + 199.0, + 760.0, + 530.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 759.0, + 275.0, + 1002.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 556.0, + 201.0, + 779.0, + 530.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 778.0, + 277.0, + 1016.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 639.0, + 184.0, + 828.0, + 518.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 794.0, + 272.0, + 1025.0, + 518.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b13abc0c69" + }, + "b1457e3b5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 425.0, + 226.0, + 684.0, + 482.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 636.0, + 329.0, + 983.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 385.0, + 208.0, + 650.0, + 492.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 630.0, + 328.0, + 978.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 373.0, + 198.0, + 647.0, + 451.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 614.0, + 313.0, + 965.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 392.0, + 170.0, + 665.0, + 430.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 636.0, + 283.0, + 985.0, + 541.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1457e3b5e" + }, + "b15bf4453b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 696.0, + 448.0, + 838.0, + 548.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 460.0, + 278.0, + 652.0, + 583.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 499.0, + 602.0, + 754.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 701.0, + 448.0, + 844.0, + 552.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 463.0, + 277.0, + 657.0, + 586.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 501.0, + 604.0, + 754.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 720.0, + 456.0, + 872.0, + 565.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 469.0, + 273.0, + 675.0, + 602.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 512.0, + 614.0, + 763.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 728.0, + 464.0, + 888.0, + 583.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 459.0, + 271.0, + 679.0, + 617.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 504.0, + 631.0, + 755.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b15bf4453b" + }, + "b179c4a82d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 651.0, + 101.0, + 759.0, + 322.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 660.0, + 156.0, + 854.0, + 319.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 571.0, + 240.0, + 729.0, + 402.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 595.0, + 244.0, + 852.0, + 405.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 606.0, + 251.0, + 762.0, + 419.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 664.0, + 209.0, + 842.0, + 421.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 690.0, + 255.0, + 861.0, + 442.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 739.0, + 231.0, + 841.0, + 446.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b179c4a82d" + }, + "b17ee70e8c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 301.0, + 277.0, + 471.0, + 520.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 209.0, + 499.0, + 421.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 365.0, + 146.0, + 539.0, + 372.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 260.0, + 361.0, + 341.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 339.0, + 290.0, + 470.0, + 522.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 247.0, + 517.0, + 363.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 423.0, + 284.0, + 566.0, + 449.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 329.0, + 437.0, + 441.0, + 473.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b17ee70e8c" + }, + "b190b1aa65": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 559.0, + 272.0, + 623.0, + 417.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 555.0, + 416.0, + 611.0, + 426.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 273.0, + 638.0, + 446.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 563.0, + 440.0, + 609.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 464.0, + 114.0, + 628.0, + 392.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 477.0, + 365.0, + 593.0, + 424.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 795.0, + 143.0, + 973.0, + 469.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 837.0, + 459.0, + 980.0, + 495.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b190b1aa65" + }, + "b19b3e22c0": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 60.0, + 874.0, + 634.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 162.0, + 86.0, + 1276.0, + 640.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 368.0, + 336.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 524.0, + 97.0, + 1279.0, + 706.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 166.0, + 125.0, + 1279.0, + 597.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 334.0, + 458.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b19b3e22c0" + }, + "b19c561fab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 388.0, + 216.0, + 1072.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 309.0, + 216.0, + 1029.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 356.0, + 217.0, + 1008.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 379.0, + 209.0, + 999.0, + 605.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b19c561fab" + }, + "b1d1cd2e6e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 465.0, + 2.0, + 1157.0, + 716.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 712.0, + 225.0, + 1150.0, + 509.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 325.0, + 77.0, + 721.0, + 313.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 436.0, + 1.0, + 1223.0, + 717.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 786.0, + 198.0, + 1213.0, + 545.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 280.0, + 43.0, + 470.0, + 305.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 436.0, + 0.0, + 1141.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 748.0, + 206.0, + 1145.0, + 520.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 348.0, + 99.0, + 733.0, + 271.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 480.0, + 56.0, + 1051.0, + 685.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 740.0, + 214.0, + 1063.0, + 502.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 376.0, + 119.0, + 555.0, + 339.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1d1cd2e6e" + }, + "b1d7c03927": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 673.0, + 70.0, + 849.0, + 506.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 779.0, + 319.0, + 1045.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 511.0, + 63.0, + 842.0, + 488.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 716.0, + 375.0, + 1032.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 501.0, + 52.0, + 862.0, + 471.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 646.0, + 352.0, + 956.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 978.0, + 0.0, + 1279.0, + 413.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 684.0, + 224.0, + 1134.0, + 488.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1d7c03927" + }, + "b1d7fe2753": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 275.0, + 0.0, + 728.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 313.0, + 147.0, + 1014.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 684.0, + 153.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 776.0, + 115.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1d7fe2753" + }, + "b1f540a4bd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 175.0, + 61.0, + 842.0, + 697.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 809.0, + 353.0, + 882.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 160.0, + 64.0, + 931.0, + 707.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 841.0, + 301.0, + 960.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 161.0, + 44.0, + 969.0, + 706.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 1009.0, + 276.0, + 1092.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 2.0, + 749.0, + 713.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 966.0, + 288.0, + 1082.0, + 523.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1f540a4bd" + }, + "b1fc9c64e1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 344.0, + 165.0, + 704.0, + 602.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 847.0, + 75.0, + 917.0, + 275.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 328.0, + 178.0, + 691.0, + 625.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 831.0, + 85.0, + 932.0, + 321.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 325.0, + 201.0, + 689.0, + 640.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 847.0, + 18.0, + 999.0, + 385.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 317.0, + 214.0, + 688.0, + 639.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 858.0, + 29.0, + 1029.0, + 390.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1fc9c64e1" + }, + "b1fcbb3ced": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 434.0, + 82.0, + 813.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 444.0, + 35.0, + 793.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 454.0, + 41.0, + 791.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 35.0, + 787.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1fcbb3ced" + }, + "b220939e93": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 588.0, + 392.0, + 800.0, + 448.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 808.0, + 323.0, + 1029.0, + 463.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 697.0, + 218.0, + 803.0, + 267.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 376.0, + 187.0, + 534.0, + 318.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 600.0, + 383.0, + 780.0, + 446.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 767.0, + 336.0, + 945.0, + 483.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 669.0, + 219.0, + 787.0, + 264.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 380.0, + 187.0, + 509.0, + 317.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 617.0, + 378.0, + 776.0, + 450.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 741.0, + 343.0, + 903.0, + 492.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 674.0, + 216.0, + 789.0, + 259.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 399.0, + 186.0, + 500.0, + 311.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 632.0, + 370.0, + 747.0, + 452.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 710.0, + 342.0, + 840.0, + 551.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 656.0, + 194.0, + 785.0, + 244.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 425.0, + 158.0, + 520.0, + 288.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b220939e93" + }, + "b22099b419": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 414.0, + 114.0, + 795.0, + 596.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 816.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 384.0, + 114.0, + 767.0, + 628.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 773.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 328.0, + 103.0, + 867.0, + 537.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 124.0, + 794.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 320.0, + 126.0, + 923.0, + 454.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 871.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b22099b419" + }, + "b241e95235": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 447.0, + 205.0, + 826.0, + 466.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 759.0, + 217.0, + 1036.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 246.0, + 276.0, + 591.0, + 534.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 639.0, + 270.0, + 823.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 332.0, + 285.0, + 689.0, + 543.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 706.0, + 244.0, + 944.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 358.0, + 348.0, + 736.0, + 589.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 788.0, + 251.0, + 941.0, + 561.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b241e95235" + }, + "b2432ae86d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 307.0, + 313.0, + 778.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 277.0, + 236.0, + 761.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 189.0, + 176.0, + 697.0, + 528.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 296.0, + 44.0, + 608.0, + 567.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2432ae86d" + }, + "b2456267df": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 534.0, + 253.0, + 757.0, + 633.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 557.0, + 526.0, + 656.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 175.0, + 29.0, + 533.0, + 555.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 135.0, + 498.0, + 389.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 421.0, + 238.0, + 693.0, + 563.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 461.0, + 541.0, + 586.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 577.0, + 356.0, + 726.0, + 580.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 689.0, + 543.0, + 718.0, + 564.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2456267df" + }, + "b247940d01": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 214.0, + 426.0, + 865.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 78.0, + 243.0, + 1001.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 981.0, + 262.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 637.0, + 221.0, + 925.0, + 526.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b247940d01" + }, + "b24af1c35c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 101.0, + 986.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 90.0, + 960.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 38.0, + 110.0, + 968.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 53.0, + 109.0, + 970.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b24af1c35c" + }, + "b24f600420": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 148.0, + 187.0, + 939.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 170.0, + 177.0, + 967.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 164.0, + 181.0, + 963.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 160.0, + 208.0, + 1019.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b24f600420" + }, + "b24fe36b2a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 674.0, + 105.0, + 776.0, + 360.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 674.0, + 381.0, + 698.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 651.0, + 143.0, + 790.0, + 417.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 639.0, + 365.0, + 827.0, + 460.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 609.0, + 83.0, + 748.0, + 418.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 390.0, + 328.0, + 714.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 861.0, + 326.0, + 1081.0, + 457.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 839.0, + 408.0, + 1018.0, + 483.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b24fe36b2a" + }, + "b258fb0b7d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 284.0, + 175.0, + 666.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 275.0, + 252.0, + 632.0, + 463.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 352.0, + 222.0, + 685.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 307.0, + 208.0, + 604.0, + 476.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b258fb0b7d" + }, + "b26b219919": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 118.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 76.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1277.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b26b219919" + }, + "b26d9904de": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 606.0, + 113.0, + 660.0, + 168.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 534.0, + 156.0, + 787.0, + 551.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 578.0, + 219.0, + 782.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 648.0, + 184.0, + 726.0, + 234.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 574.0, + 231.0, + 872.0, + 641.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 627.0, + 250.0, + 831.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 698.0, + 121.0, + 783.0, + 169.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 705.0, + 168.0, + 961.0, + 556.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 522.0, + 293.0, + 1141.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 672.0, + 13.0, + 748.0, + 61.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 677.0, + 59.0, + 845.0, + 435.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 462.0, + 151.0, + 1251.0, + 718.0 + ] + } + } + ], + "frame_names": [ + "00220", + "00230", + "00265", + "00280" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b26d9904de" + }, + "b274456ce1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 417.0, + 117.0, + 986.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 369.0, + 174.0, + 832.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 557.0, + 170.0, + 1002.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 689.0, + 152.0, + 1049.0, + 538.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00065", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b274456ce1" + }, + "b27b28d581": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 382.0, + 451.0, + 623.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 525.0, + 270.0, + 1279.0, + 527.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 377.0, + 521.0, + 628.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 441.0, + 267.0, + 1279.0, + 520.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 356.0, + 692.0, + 615.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 375.0, + 254.0, + 1279.0, + 506.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 62.0, + 340.0, + 817.0, + 600.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 298.0, + 250.0, + 1235.0, + 493.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1201.0, + 341.0, + 1279.0, + 659.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b27b28d581" + }, + "b2a26bc912": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 6.0, + 986.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 42.0, + 0.0, + 1279.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 70.0, + 0.0, + 1279.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 84.0, + 0.0, + 1277.0, + 627.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2a26bc912" + }, + "b2a9c51e1b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 418.0, + 195.0, + 888.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 377.0, + 158.0, + 879.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 358.0, + 96.0, + 896.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 325.0, + 100.0, + 795.0, + 466.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2a9c51e1b" + }, + "b2b0baf470": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 482.0, + 87.0, + 820.0, + 269.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 519.0, + 39.0, + 756.0, + 226.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 520.0, + 150.0, + 793.0, + 288.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 674.0, + 176.0, + 969.0, + 358.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2b0baf470" + }, + "b2b2756fe7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 412.0, + 73.0, + 675.0, + 496.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 244.0, + 649.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 883.0, + 287.0, + 1184.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 378.0, + 56.0, + 636.0, + 495.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 210.0, + 70.0, + 603.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 875.0, + 282.0, + 1087.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 269.0, + 150.0, + 496.0, + 625.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 239.0, + 160.0, + 462.0, + 643.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2b2756fe7" + }, + "b2ce7699e3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 388.0, + 387.0, + 677.0, + 511.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 216.0, + 352.0, + 349.0, + 464.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 723.0, + 0.0, + 981.0, + 90.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 271.0, + 144.0, + 509.0, + 265.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 980.0, + 60.0, + 1279.0, + 197.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 535.0, + 344.0, + 851.0, + 471.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 808.0, + 251.0, + 969.0, + 336.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 596.0, + 327.0, + 885.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 747.0, + 413.0, + 866.0, + 541.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 214.0, + 306.0, + 510.0, + 440.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 284.0, + 416.0, + 519.0, + 575.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00085", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2ce7699e3" + }, + "b2edc76bd2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 26.0, + 0.0, + 770.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 207.0, + 683.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 586.0, + 76.0, + 913.0, + 488.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 736.0, + 431.0, + 997.0, + 719.0 + ] + }, + "5": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "monkey", + "bbox": [ + 669.0, + 265.0, + 905.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "monkey", + "bbox": [ + 667.0, + 257.0, + 906.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "monkey", + "bbox": [ + 658.0, + 240.0, + 939.0, + 527.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2edc76bd2" + }, + "b2f6b52100": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 391.0, + 312.0, + 694.0, + 479.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 124.0, + 71.0, + 612.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 205.0, + 323.0, + 501.0, + 512.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 103.0, + 411.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 209.0, + 249.0, + 545.0, + 523.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 112.0, + 478.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 249.0, + 253.0, + 591.0, + 538.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 12.0, + 127.0, + 530.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2f6b52100" + }, + "b30bf47bcd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 676.0, + 254.0, + 730.0, + 394.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 680.0, + 380.0, + 728.0, + 402.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 550.0, + 147.0, + 679.0, + 308.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 507.0, + 264.0, + 654.0, + 320.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 604.0, + 287.0, + 706.0, + 453.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 583.0, + 431.0, + 729.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 618.0, + 171.0, + 769.0, + 411.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 660.0, + 406.0, + 677.0, + 418.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b30bf47bcd" + }, + "b34105a4e9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 138.0, + 139.0, + 711.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 160.0, + 134.0, + 751.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 260.0, + 172.0, + 863.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 164.0, + 133.0, + 791.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b34105a4e9" + }, + "b372a82edf": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 547.0, + 229.0, + 853.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 605.0, + 248.0, + 914.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 527.0, + 255.0, + 955.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 490.0, + 177.0, + 979.0, + 592.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b372a82edf" + }, + "b3779a1962": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 131.0, + 183.0, + 618.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 179.0, + 188.0, + 692.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 154.0, + 199.0, + 673.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 137.0, + 181.0, + 687.0, + 549.0 + ] + } + } + ], + "frame_names": [ + "00105", + "00120", + "00140", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b3779a1962" + }, + "b37a1d69e3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 154.0, + 936.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 126.0, + 976.0, + 528.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 123.0, + 878.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 65.0, + 950.0, + 525.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b37a1d69e3" + }, + "b37c01396e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 466.0, + 351.0, + 703.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 430.0, + 272.0, + 713.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 434.0, + 287.0, + 713.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 392.0, + 367.0, + 695.0, + 506.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b37c01396e" + }, + "b382b09e25": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 465.0, + 105.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 429.0, + 109.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 451.0, + 112.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 425.0, + 88.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b382b09e25" + }, + "b3996e4ba5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 25.0, + 151.0, + 374.0, + 618.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 612.0, + 286.0, + 1130.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 148.0, + 259.0, + 505.0, + 637.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 738.0, + 314.0, + 1174.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 20.0, + 209.0, + 518.0, + 624.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 747.0, + 228.0, + 1279.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 190.0, + 476.0, + 678.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 733.0, + 220.0, + 1279.0, + 643.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b3996e4ba5" + }, + "b3d9ca2aee": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 191.0, + 243.0, + 413.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 169.0, + 205.0, + 381.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 167.0, + 181.0, + 379.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 187.0, + 207.0, + 387.0, + 559.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b3d9ca2aee" + }, + "b3dde1e1e9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 185.0, + 1107.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 233.0, + 1016.0, + 596.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 138.0, + 1134.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 199.0, + 934.0, + 470.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b3dde1e1e9" + }, + "b3eb7f05eb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 661.0, + 355.0, + 847.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 667.0, + 356.0, + 858.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 681.0, + 347.0, + 868.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 701.0, + 349.0, + 902.0, + 545.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b3eb7f05eb" + }, + "b40b25055c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 222.0, + 54.0, + 386.0, + 259.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 60.0, + 162.0, + 224.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 214.0, + 100.0, + 303.0, + 260.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 97.0, + 46.0, + 220.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 128.0, + 35.0, + 251.0, + 250.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 1.0, + 79.0, + 82.0, + 222.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 168.0, + 35.0, + 248.0, + 248.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 42.0, + 161.0, + 164.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b40b25055c" + }, + "b41e0f1f19": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 766.0, + 298.0, + 848.0, + 457.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 780.0, + 326.0, + 830.0, + 530.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 553.0, + 291.0, + 641.0, + 490.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 765.0, + 326.0, + 844.0, + 492.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 775.0, + 362.0, + 828.0, + 574.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 592.0, + 311.0, + 682.0, + 541.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 790.0, + 345.0, + 867.0, + 514.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 815.0, + 555.0, + 845.0, + 592.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 658.0, + 310.0, + 770.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 806.0, + 320.0, + 868.0, + 404.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 830.0, + 361.0, + 876.0, + 403.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 696.0, + 281.0, + 815.0, + 571.0 + ] + } + } + ], + "frame_names": [ + "00170", + "00190", + "00210", + "00225" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b41e0f1f19" + }, + "b44e32a42b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 840.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 562.0, + 245.0, + 855.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 963.0, + 417.0, + 1165.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 783.0, + 609.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 548.0, + 234.0, + 824.0, + 717.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 921.0, + 417.0, + 1129.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 829.0, + 441.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 501.0, + 333.0, + 711.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 756.0, + 358.0, + 1055.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 121.0, + 398.0, + 298.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b44e32a42b" + }, + "b4807569a5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 705.0, + 0.0, + 1279.0, + 634.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 95.0, + 245.0, + 258.0, + 389.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 268.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 112.0, + 262.0, + 313.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 949.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 1065.0, + 60.0, + 1279.0, + 523.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b4807569a5" + }, + "b48efceb3e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 407.0, + 166.0, + 788.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 548.0, + 108.0, + 745.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 655.0, + 193.0, + 980.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 561.0, + 131.0, + 946.0, + 412.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00100", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b48efceb3e" + }, + "b493c25c7f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 469.0, + 258.0, + 628.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 382.0, + 266.0, + 645.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 273.0, + 201.0, + 469.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 109.0, + 386.0, + 474.0, + 718.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b493c25c7f" + }, + "b4b565aba1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 307.0, + 47.0, + 1115.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 283.0, + 0.0, + 1119.0, + 616.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 286.0, + 0.0, + 1124.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 281.0, + 4.0, + 1133.0, + 576.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b4b565aba1" + }, + "b4b715a15b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 627.0, + 399.0, + 815.0, + 626.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 879.0, + 175.0, + 1063.0, + 378.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 607.0, + 403.0, + 777.0, + 616.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 846.0, + 216.0, + 1037.0, + 386.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 634.0, + 351.0, + 822.0, + 559.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 852.0, + 200.0, + 1002.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 645.0, + 359.0, + 848.0, + 563.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 849.0, + 183.0, + 1012.0, + 414.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b4b715a15b" + }, + "b4d0c90bf4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 303.0, + 38.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 302.0, + 42.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 305.0, + 39.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 296.0, + 178.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b4d0c90bf4" + }, + "b4d84bc371": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 953.0, + 239.0, + 1279.0, + 566.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 889.0, + 155.0, + 1235.0, + 403.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 440.0, + 216.0, + 960.0, + 589.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 218.0, + 86.0, + 514.0, + 417.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 460.0, + 73.0, + 841.0, + 250.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 977.0, + 237.0, + 1279.0, + 559.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 935.0, + 144.0, + 1279.0, + 383.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 478.0, + 232.0, + 1000.0, + 601.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 176.0, + 112.0, + 498.0, + 447.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 456.0, + 86.0, + 829.0, + 368.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1065.0, + 393.0, + 1279.0, + 695.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 901.0, + 286.0, + 1201.0, + 517.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 512.0, + 367.0, + 873.0, + 639.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 100.0, + 349.0, + 454.0, + 635.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 727.0, + 346.0, + 1035.0, + 683.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1239.0, + 401.0, + 1279.0, + 607.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1031.0, + 258.0, + 1279.0, + 535.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 678.0, + 333.0, + 947.0, + 641.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 197.0, + 322.0, + 522.0, + 605.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 902.0, + 313.0, + 1185.0, + 662.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00050", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b4d84bc371" + }, + "b4e5ad97aa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 315.0, + 289.0, + 491.0, + 368.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 296.0, + 58.0, + 600.0, + 347.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 309.0, + 465.0, + 449.0, + 555.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 318.0, + 141.0, + 712.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b4e5ad97aa" + }, + "b4eaea9e6b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 319.0, + 65.0, + 736.0, + 578.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 577.0, + 503.0, + 698.0, + 611.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 477.0, + 266.0, + 668.0, + 557.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 495.0, + 510.0, + 597.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 544.0, + 287.0, + 634.0, + 566.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 599.0, + 490.0, + 642.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 593.0, + 297.0, + 651.0, + 464.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 606.0, + 453.0, + 637.0, + 474.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b4eaea9e6b" + }, + "b50f4b90d5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 541.0, + 0.0, + 847.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 589.0, + 34.0, + 959.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 520.0, + 79.0, + 968.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 263.0, + 163.0, + 914.0, + 575.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b50f4b90d5" + }, + "b53f675641": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 413.0, + 404.0, + 525.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 404.0, + 414.0, + 513.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 566.0, + 411.0, + 675.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 526.0, + 410.0, + 643.0, + 522.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b53f675641" + }, + "b54278cd43": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 397.0, + 175.0, + 874.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 385.0, + 198.0, + 848.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 487.0, + 166.0, + 740.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 439.0, + 174.0, + 692.0, + 529.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b54278cd43" + }, + "b554843889": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 697.0, + 121.0, + 1087.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 699.0, + 114.0, + 1141.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 681.0, + 127.0, + 1041.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 751.0, + 108.0, + 1133.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b554843889" + }, + "b573c0677a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 109.0, + 325.0, + 830.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 18.0, + 223.0, + 903.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 269.0, + 847.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 6.0, + 180.0, + 985.0, + 534.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b573c0677a" + }, + "b58d853734": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 491.0, + 292.0, + 668.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 489.0, + 292.0, + 682.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 472.0, + 273.0, + 656.0, + 467.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 486.0, + 280.0, + 653.0, + 465.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b58d853734" + }, + "b5943b18ab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 247.0, + 0.0, + 1279.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 393.0, + 69.0, + 1279.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 113.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5943b18ab" + }, + "b5a09a83f3": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 217.0, + 150.0, + 422.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 763.0, + 95.0, + 998.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 211.0, + 176.0, + 415.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 762.0, + 85.0, + 998.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 21.0, + 205.0, + 208.0, + 408.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 759.0, + 83.0, + 997.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 88.0, + 211.0, + 263.0, + 408.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 762.0, + 79.0, + 998.0, + 406.0 + ] + } + } + ], + "frame_names": [ + "00075", + "00085", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5a09a83f3" + }, + "b5aae1fe25": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 301.0, + 167.0, + 962.0, + 603.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 88.0, + 182.0, + 787.0, + 572.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 0.0, + 527.0, + 32.0, + 558.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 999.0, + 313.0, + 1279.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 192.0, + 154.0, + 805.0, + 622.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5aae1fe25" + }, + "b5b9da5364": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 396.0, + 51.0, + 842.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 463.0, + 274.0, + 699.0, + 410.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 421.0, + 283.0, + 656.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 402.0, + 264.0, + 648.0, + 412.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5b9da5364" + }, + "b5eb64d419": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 35.0, + 0.0, + 1069.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 66.0, + 38.0, + 1063.0, + 680.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 86.0, + 46.0, + 1069.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 106.0, + 45.0, + 1073.0, + 668.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5eb64d419" + }, + "b5ebb1d000": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 620.0, + 97.0, + 876.0, + 715.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 498.0, + 165.0, + 1098.0, + 566.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 66.0, + 222.0, + 266.0, + 458.0 + ] + }, + "4": { + "category_name": "horse", + "bbox": [ + 0.0, + 261.0, + 86.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 600.0, + 227.0, + 848.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 479.0, + 283.0, + 1083.0, + 687.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 35.0, + 334.0, + 237.0, + 564.0 + ] + }, + "4": { + "category_name": "horse", + "bbox": [ + 15.0, + 367.0, + 53.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 625.0, + 257.0, + 871.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 521.0, + 304.0, + 1103.0, + 716.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 62.0, + 358.0, + 253.0, + 598.0 + ] + }, + "4": { + "category_name": "horse", + "bbox": [ + 15.0, + 387.0, + 85.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 529.0, + 208.0, + 895.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 557.0, + 224.0, + 1133.0, + 642.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 85.0, + 282.0, + 264.0, + 525.0 + ] + }, + "4": { + "category_name": "horse", + "bbox": [ + 22.0, + 312.0, + 100.0, + 482.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5ebb1d000" + }, + "b5f1c0c96a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1049.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1049.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1071.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1087.0, + 523.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5f1c0c96a" + }, + "b5f7fece90": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 394.0, + 67.0, + 1089.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 462.0, + 0.0, + 889.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 209.0, + 1.0, + 694.0, + 643.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 53.0, + 0.0, + 908.0, + 650.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5f7fece90" + }, + "b6070de1bb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 392.0, + 224.0, + 606.0, + 575.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 397.0, + 260.0, + 542.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 433.0, + 240.0, + 604.0, + 572.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 412.0, + 245.0, + 562.0, + 406.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 344.0, + 254.0, + 659.0, + 585.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 381.0, + 256.0, + 547.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 401.0, + 250.0, + 627.0, + 597.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 392.0, + 253.0, + 589.0, + 380.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6070de1bb" + }, + "b60a76fe73": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 606.0, + 163.0, + 750.0, + 589.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 530.0, + 496.0, + 672.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 718.0, + 102.0, + 872.0, + 530.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 728.0, + 465.0, + 886.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 659.0, + 104.0, + 803.0, + 531.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 608.0, + 463.0, + 877.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 353.0, + 6.0, + 520.0, + 532.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 392.0, + 385.0, + 641.0, + 631.0 + ] + } + } + ], + "frame_names": [ + "00220", + "00235", + "00245", + "00275" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b60a76fe73" + }, + "b61f998772": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 514.0, + 607.0, + 697.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 111.0, + 719.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 725.0, + 612.0, + 925.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 176.0, + 924.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 823.0, + 604.0, + 1051.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 164.0, + 1059.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 899.0, + 685.0, + 1033.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 214.0, + 1109.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b61f998772" + }, + "b62c943664": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 439.0, + 528.0, + 594.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 666.0, + 321.0, + 1158.0, + 550.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 721.0, + 484.0, + 868.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 386.0, + 535.0, + 549.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 651.0, + 322.0, + 1157.0, + 545.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 729.0, + 484.0, + 877.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 137.0, + 571.0, + 321.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 614.0, + 315.0, + 1152.0, + 562.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 741.0, + 489.0, + 890.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 549.0, + 135.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 580.0, + 312.0, + 1150.0, + 564.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 753.0, + 490.0, + 906.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b62c943664" + }, + "b63094ba0c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 318.0, + 119.0, + 725.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 371.0, + 119.0, + 813.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 354.0, + 119.0, + 792.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 348.0, + 125.0, + 795.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b63094ba0c" + }, + "b64fca8100": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 297.0, + 402.0, + 330.0, + 495.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 549.0, + 375.0, + 580.0, + 435.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 456.0, + 317.0, + 668.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 267.0, + 401.0, + 300.0, + 491.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 541.0, + 370.0, + 583.0, + 434.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 432.0, + 309.0, + 673.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 218.0, + 399.0, + 253.0, + 492.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 529.0, + 365.0, + 568.0, + 435.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 389.0, + 299.0, + 671.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 197.0, + 399.0, + 232.0, + 487.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 519.0, + 355.0, + 567.0, + 434.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 374.0, + 288.0, + 676.0, + 537.0 + ] + } + } + ], + "frame_names": [ + "00130", + "00150", + "00180", + "00195" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b64fca8100" + }, + "b673e7dcfb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 624.0, + 82.0, + 842.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 675.0, + 9.0, + 901.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 666.0, + 64.0, + 894.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 613.0, + 75.0, + 962.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b673e7dcfb" + }, + "b678b7db00": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 613.0, + 105.0, + 828.0, + 509.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 744.0, + 482.0, + 861.0, + 523.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 628.0, + 106.0, + 865.0, + 507.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 831.0, + 289.0, + 885.0, + 452.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 755.0, + 245.0, + 788.0, + 437.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 569.0, + 53.0, + 820.0, + 718.0 + ] + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 670.0, + 637.0, + 784.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 728.0, + 120.0, + 813.0, + 416.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 750.0, + 113.0, + 916.0, + 586.0 + ] + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 822.0, + 483.0, + 898.0, + 568.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b678b7db00" + }, + "b68fc1b217": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 101.0, + 245.0, + 643.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 110.0, + 246.0, + 641.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 96.0, + 244.0, + 645.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 96.0, + 241.0, + 640.0, + 563.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b68fc1b217" + }, + "b69926d9fa": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1132.0, + 443.0, + 1279.0, + 653.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1021.0, + 417.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1133.0, + 449.0, + 1279.0, + 658.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1027.0, + 424.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1026.0, + 413.0, + 1054.0, + 435.0 + ] + }, + "4": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1122.0, + 429.0, + 1279.0, + 675.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 894.0, + 412.0, + 1277.0, + 710.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 931.0, + 402.0, + 1116.0, + 584.0 + ] + }, + "4": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 363.0, + 332.0, + 623.0, + 559.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b69926d9fa" + }, + "b6a1df3764": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 318.0, + 310.0, + 1187.0, + 719.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 298.0, + 417.0, + 495.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 358.0, + 229.0, + 1201.0, + 719.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 328.0, + 399.0, + 509.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 562.0, + 213.0, + 1279.0, + 661.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 326.0, + 332.0, + 613.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 548.0, + 212.0, + 1279.0, + 676.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 343.0, + 325.0, + 611.0, + 565.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6a1df3764" + }, + "b6a4859528": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 36.0, + 0.0, + 414.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 34.0, + 0.0, + 214.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 528.0, + 59.0, + 839.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 525.0, + 114.0, + 668.0, + 613.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 6.0, + 0.0, + 378.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 52.0, + 5.0, + 235.0, + 689.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 506.0, + 53.0, + 787.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 386.0, + 95.0, + 573.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 92.0, + 5.0, + 416.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 66.0, + 7.0, + 246.0, + 714.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 500.0, + 60.0, + 785.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 381.0, + 150.0, + 495.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 102.0, + 6.0, + 442.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 50.0, + 8.0, + 236.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 484.0, + 47.0, + 765.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 407.0, + 172.0, + 444.0, + 333.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6a4859528" + }, + "b6b4738b78": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 309.0, + 284.0, + 713.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 651.0, + 153.0, + 906.0, + 719.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 953.0, + 414.0, + 1072.0, + 668.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 334.0, + 259.0, + 724.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 678.0, + 137.0, + 913.0, + 719.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 949.0, + 396.0, + 1069.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 346.0, + 237.0, + 750.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 653.0, + 122.0, + 883.0, + 719.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 944.0, + 387.0, + 1063.0, + 635.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 369.0, + 192.0, + 869.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 649.0, + 115.0, + 920.0, + 719.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 920.0, + 368.0, + 1027.0, + 611.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6b4738b78" + }, + "b6b4f847b7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 972.0, + 196.0, + 1088.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 972.0, + 211.0, + 1084.0, + 368.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 972.0, + 228.0, + 1090.0, + 382.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 984.0, + 237.0, + 1096.0, + 398.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6b4f847b7" + }, + "b6b8d502d4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 132.0, + 842.0, + 600.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 836.0, + 64.0, + 1279.0, + 576.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 69.0, + 512.0, + 641.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 640.0, + 136.0, + 1279.0, + 640.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 97.0, + 438.0, + 699.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 145.0, + 72.0, + 627.0, + 669.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 717.0, + 154.0, + 1279.0, + 653.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 194.0, + 452.0, + 712.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 600.0, + 166.0, + 961.0, + 571.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 975.0, + 252.0, + 1279.0, + 579.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00070", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6b8d502d4" + }, + "b6bb00e366": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 51.0, + 573.0, + 243.0, + 690.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 452.0, + 490.0, + 586.0, + 577.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 228.0, + 660.0, + 336.0, + 719.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 557.0, + 278.0, + 742.0, + 419.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6bb00e366" + }, + "b6d65a9eef": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 389.0, + 156.0, + 840.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 363.0, + 75.0, + 828.0, + 408.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 330.0, + 89.0, + 792.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 417.0, + 131.0, + 844.0, + 455.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6d65a9eef" + }, + "b6d79a0845": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 668.0, + 170.0, + 1018.0, + 719.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 1001.0, + 436.0, + 1061.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 489.0, + 156.0, + 814.0, + 719.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 783.0, + 463.0, + 804.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 457.0, + 259.0, + 732.0, + 719.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 774.0, + 139.0, + 805.0, + 300.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 387.0, + 370.0, + 563.0, + 719.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 323.0, + 347.0, + 412.0, + 436.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6d79a0845" + }, + "b6e9ec577f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 752.0, + 186.0, + 915.0, + 513.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 229.0, + 265.0, + 405.0, + 417.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 617.0, + 265.0, + 1043.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 522.0, + 173.0, + 695.0, + 469.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 206.0, + 257.0, + 371.0, + 417.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 464.0, + 239.0, + 831.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 427.0, + 158.0, + 579.0, + 400.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 379.0, + 244.0, + 516.0, + 371.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 375.0, + 196.0, + 684.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 491.0, + 141.0, + 606.0, + 395.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 602.0, + 232.0, + 690.0, + 286.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 409.0, + 220.0, + 767.0, + 474.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6e9ec577f" + }, + "b6ec609f7b": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 31 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 321.0, + 225.0, + 724.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 309.0, + 228.0, + 727.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 317.0, + 217.0, + 723.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 314.0, + 222.0, + 722.0, + 519.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6ec609f7b" + }, + "b6f92a308d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 412.0, + 133.0, + 741.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 419.0, + 148.0, + 743.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 461.0, + 168.0, + 750.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 448.0, + 177.0, + 743.0, + 516.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6f92a308d" + }, + "b70a5a0d50": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 428.0, + 223.0, + 720.0, + 717.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 373.0, + 577.0, + 547.0, + 712.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 335.0, + 103.0, + 650.0, + 717.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 161.0, + 347.0, + 359.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 246.0, + 303.0, + 475.0, + 716.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 295.0, + 392.0, + 418.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 354.0, + 491.0, + 884.0, + 719.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 748.0, + 639.0, + 952.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b70a5a0d50" + }, + "b70c052f2f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 750.0, + 311.0, + 1092.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 766.0, + 317.0, + 961.0, + 677.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 680.0, + 307.0, + 935.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 452.0, + 205.0, + 1005.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b70c052f2f" + }, + "b70d231781": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 527.0, + 141.0, + 892.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 578.0, + 111.0, + 964.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 533.0, + 111.0, + 939.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 651.0, + 71.0, + 1076.0, + 515.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b70d231781" + }, + "b72ac6e10b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 692.0, + 271.0, + 739.0, + 337.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 636.0, + 387.0, + 869.0, + 610.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 554.0, + 129.0, + 831.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 419.0, + 261.0, + 485.0, + 321.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 442.0, + 200.0, + 577.0, + 424.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 483.0, + 145.0, + 672.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 707.0, + 340.0, + 768.0, + 394.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 477.0, + 470.0, + 726.0, + 610.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 663.0, + 156.0, + 839.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 1176.0, + 276.0, + 1261.0, + 302.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 354.0, + 167.0, + 637.0, + 599.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b72ac6e10b" + }, + "b7302d8226": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 532.0, + 264.0, + 752.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 566.0, + 280.0, + 789.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 662.0, + 280.0, + 890.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 700.0, + 279.0, + 926.0, + 587.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b7302d8226" + }, + "b73867d769": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 242.0, + 0.0, + 917.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 214.0, + 8.0, + 911.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 228.0, + 11.0, + 910.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 244.0, + 16.0, + 929.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b73867d769" + }, + "b751e767f2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 178.0, + 67.0, + 807.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 76.0, + 114.0, + 809.0, + 550.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 56.0, + 136.0, + 809.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 48.0, + 205.0, + 811.0, + 592.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b751e767f2" + }, + "b76df6e059": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1195.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 170.0, + 354.0, + 972.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1131.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 207.0, + 339.0, + 947.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 116.0, + 411.0, + 1152.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 66.0, + 408.0, + 1118.0, + 635.0 + ] + } + } + ], + "frame_names": [ + "00050", + "00065", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b76df6e059" + }, + "b77e5eddef": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 138.0, + 288.0, + 436.0, + 680.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 842.0, + 186.0, + 1015.0, + 567.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 139.0, + 312.0, + 452.0, + 685.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 864.0, + 184.0, + 1048.0, + 572.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 376.0, + 363.0, + 459.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 147.0, + 318.0, + 460.0, + 675.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 869.0, + 164.0, + 1044.0, + 557.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 354.0, + 327.0, + 464.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 135.0, + 310.0, + 456.0, + 669.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 866.0, + 156.0, + 1039.0, + 552.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 315.0, + 314.0, + 458.0, + 621.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b77e5eddef" + }, + "b7a2c2c83c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 301.0, + 29.0, + 818.0, + 504.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 1090.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 836.0, + 130.0, + 1038.0, + 368.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 309.0, + 24.0, + 920.0, + 564.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 1254.0, + 597.0, + 1279.0, + 647.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1000.0, + 111.0, + 1240.0, + 380.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 245.0, + 0.0, + 938.0, + 601.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1068.0, + 71.0, + 1279.0, + 370.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 156.0, + 0.0, + 1017.0, + 653.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b7a2c2c83c" + }, + "b7bcbe6466": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 233.0, + 0.0, + 882.0, + 617.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 318.0, + 291.0, + 878.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 209.0, + 0.0, + 852.0, + 615.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 300.0, + 229.0, + 848.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 183.0, + 0.0, + 890.0, + 696.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 344.0, + 321.0, + 691.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 195.0, + 0.0, + 994.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 382.0, + 242.0, + 709.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b7bcbe6466" + }, + "b7c2a469c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 665.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 811.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 795.0, + 279.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 364.0, + 0.0, + 1237.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b7c2a469c4" + }, + "b7d69da8f0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 190.0, + 196.0, + 965.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 335.0, + 257.0, + 1158.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 485.0, + 49.0, + 1096.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 176.0, + 80.0, + 1186.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b7d69da8f0" + }, + "b7f31b7c36": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 61.0, + 309.0, + 275.0, + 666.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 480.0, + 146.0, + 736.0, + 717.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 156.0, + 173.0, + 409.0, + 717.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 31.0, + 137.0, + 294.0, + 717.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 506.0, + 248.0, + 906.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00030", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b7f31b7c36" + }, + "b7fb871660": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 711.0, + 551.0, + 897.0, + 719.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 840.0, + 288.0, + 975.0, + 381.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 751.0, + 350.0, + 1027.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 759.0, + 568.0, + 933.0, + 719.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 868.0, + 297.0, + 1008.0, + 392.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 783.0, + 362.0, + 1065.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 785.0, + 589.0, + 963.0, + 719.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 887.0, + 314.0, + 1026.0, + 413.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 793.0, + 379.0, + 1091.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 845.0, + 599.0, + 1013.0, + 719.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 931.0, + 325.0, + 1075.0, + 422.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 845.0, + 391.0, + 1147.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00025" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b7fb871660" + }, + "b82e5ad1c9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 618.0, + 213.0, + 905.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 582.0, + 127.0, + 866.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 520.0, + 156.0, + 771.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 556.0, + 216.0, + 784.0, + 718.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b82e5ad1c9" + }, + "b841cfb932": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 813.0, + 287.0, + 1057.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 786.0, + 167.0, + 1102.0, + 325.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 790.0, + 250.0, + 1133.0, + 371.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 793.0, + 149.0, + 1163.0, + 257.0 + ] + } + } + ], + "frame_names": [ + "00055", + "00070", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b841cfb932" + }, + "b84b8ae665": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 641.0, + 317.0, + 841.0, + 523.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 1046.0, + 0.0, + 1279.0, + 246.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 634.0, + 326.0, + 889.0, + 542.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 933.0, + 0.0, + 1279.0, + 210.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 788.0, + 428.0, + 1252.0, + 707.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 616.0, + 325.0, + 926.0, + 572.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 1065.0, + 0.0, + 1279.0, + 112.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b84b8ae665" + }, + "b85b78ac2b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 368.0, + 196.0, + 465.0, + 362.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 857.0, + 494.0, + 948.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 366.0, + 193.0, + 462.0, + 362.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 860.0, + 493.0, + 947.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 376.0, + 192.0, + 462.0, + 364.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 863.0, + 493.0, + 947.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 374.0, + 194.0, + 465.0, + 364.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 864.0, + 493.0, + 948.0, + 622.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b85b78ac2b" + }, + "b86c17caa6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 224.0, + 0.0, + 1033.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 252.0, + 0.0, + 1049.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 270.0, + 0.0, + 1025.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 278.0, + 0.0, + 1033.0, + 584.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b86c17caa6" + }, + "b86e50d82d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 838.0, + 201.0, + 930.0, + 247.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 975.0, + 219.0, + 1064.0, + 285.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1079.0, + 286.0, + 1134.0, + 329.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 815.0, + 206.0, + 899.0, + 249.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 940.0, + 222.0, + 1024.0, + 286.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1126.0, + 261.0, + 1189.0, + 299.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 913.0, + 172.0, + 989.0, + 211.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 1022.0, + 188.0, + 1097.0, + 243.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1072.0, + 253.0, + 1111.0, + 284.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 1043.0, + 174.0, + 1111.0, + 208.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 1142.0, + 188.0, + 1211.0, + 240.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 871.0, + 248.0, + 945.0, + 280.0 + ] + } + } + ], + "frame_names": [ + "00150", + "00165", + "00195", + "00215" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b86e50d82d" + }, + "b871db031a": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 595.0, + 511.0, + 861.0, + 617.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 765.0, + 398.0, + 959.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 618.0, + 424.0, + 792.0, + 592.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 846.0, + 396.0, + 1002.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 575.0, + 413.0, + 712.0, + 594.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 930.0, + 422.0, + 1029.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 490.0, + 419.0, + 676.0, + 586.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 903.0, + 443.0, + 1017.0, + 547.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b871db031a" + }, + "b87d56925a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 446.0, + 18.0, + 1127.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 318.0, + 116.0, + 1121.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 422.0, + 91.0, + 1129.0, + 709.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 532.0, + 114.0, + 1155.0, + 711.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b87d56925a" + }, + "b8aaa59b75": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 912.0, + 372.0, + 1104.0, + 608.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 1090.0, + 573.0, + 1209.0, + 646.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 36.0, + 655.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 144.0, + 0.0, + 294.0, + 74.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 1057.0, + 352.0, + 1275.0, + 591.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 1235.0, + 559.0, + 1279.0, + 630.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 138.0, + 3.0, + 707.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 459.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 1092.0, + 346.0, + 1275.0, + 574.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 188.0, + 0.0, + 703.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 506.0, + 708.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 671.0, + 59.0, + 731.0, + 716.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 296.0, + 0.0, + 458.0, + 654.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b8aaa59b75" + }, + "b8c03d1091": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 546.0, + 130.0, + 616.0, + 399.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 482.0, + 54.0, + 946.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 474.0, + 211.0, + 753.0, + 428.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 572.0, + 91.0, + 787.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 818.0, + 351.0, + 841.0, + 443.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 652.0, + 268.0, + 883.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 843.0, + 26.0, + 929.0, + 387.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 687.0, + 179.0, + 882.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b8c03d1091" + }, + "b8e16df00b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 544.0, + 218.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 768.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 565.0, + 207.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 792.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 367.0, + 129.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 736.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 451.0, + 117.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 744.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00040", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b8e16df00b" + }, + "b8f34cf72e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 535.0, + 162.0, + 832.0, + 461.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 487.0, + 308.0, + 616.0, + 611.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 526.0, + 150.0, + 820.0, + 450.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 454.0, + 376.0, + 608.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 519.0, + 133.0, + 810.0, + 426.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 425.0, + 384.0, + 607.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 449.0, + 1.0, + 944.0, + 404.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 313.0, + 340.0, + 589.0, + 715.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b8f34cf72e" + }, + "b8fb75864e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 207.0, + 94.0, + 908.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 205.0, + 147.0, + 838.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 243.0, + 179.0, + 864.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 271.0, + 142.0, + 840.0, + 435.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b8fb75864e" + }, + "b9004db86c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 29 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 738.0, + 110.0, + 1038.0, + 664.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 812.0, + 152.0, + 1100.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 884.0, + 165.0, + 1228.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 854.0, + 131.0, + 1158.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b9004db86c" + }, + "b9166cbae9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 419.0, + 239.0, + 624.0, + 457.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 308.0, + 305.0, + 412.0, + 406.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 435.0, + 234.0, + 640.0, + 453.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 325.0, + 303.0, + 428.0, + 403.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 463.0, + 231.0, + 687.0, + 468.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 345.0, + 304.0, + 456.0, + 410.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 514.0, + 241.0, + 802.0, + 535.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 371.0, + 339.0, + 497.0, + 459.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b9166cbae9" + }, + "b920b256a6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 347.0, + 208.0, + 545.0, + 550.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 621.0, + 274.0, + 830.0, + 651.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 335.0, + 184.0, + 541.0, + 530.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 583.0, + 272.0, + 822.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 323.0, + 178.0, + 539.0, + 531.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 603.0, + 266.0, + 816.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 285.0, + 164.0, + 533.0, + 515.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 593.0, + 237.0, + 810.0, + 621.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00075", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b920b256a6" + }, + "b93963f214": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 318.0, + 0.0, + 1279.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 380.0, + 0.0, + 1279.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 396.0, + 0.0, + 1279.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 216.0, + 0.0, + 1279.0, + 532.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b93963f214" + }, + "b941aef1a0": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 400.0, + 339.0, + 929.0, + 639.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 391.0, + 373.0, + 926.0, + 636.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 381.0, + 381.0, + 925.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 487.0, + 384.0, + 832.0, + 625.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00020", + "00030", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b941aef1a0" + }, + "b94d34d14e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 652.0, + 274.0, + 952.0, + 592.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 948.0, + 299.0, + 1017.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 504.0, + 252.0, + 949.0, + 632.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 907.0, + 271.0, + 1044.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 327.0, + 238.0, + 885.0, + 682.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 875.0, + 256.0, + 1081.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 226.0, + 590.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 572.0, + 258.0, + 1002.0, + 610.0 + ] + } + } + ], + "frame_names": [ + "00065", + "00085", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b94d34d14e" + }, + "b964c57da4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "hat", + "bbox": [ + 889.0, + 108.0, + 960.0, + 176.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 513.0, + 91.0, + 575.0, + 162.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "hat", + "bbox": [ + 513.0, + 119.0, + 569.0, + 179.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 884.0, + 122.0, + 955.0, + 188.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "hat", + "bbox": [ + 855.0, + 98.0, + 921.0, + 166.0 + ] + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00095", + "00110", + "00130", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b964c57da4" + }, + "b96a95bc7a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 292.0, + 358.0, + 719.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 191.0, + 175.0, + 333.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 709.0, + 0.0, + 1279.0, + 335.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 387.0, + 152.0, + 683.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 485.0, + 0.0, + 1046.0, + 377.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 416.0, + 117.0, + 696.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 457.0, + 0.0, + 1049.0, + 410.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 534.0, + 37.0, + 649.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 458.0, + 42.0, + 549.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 373.0, + 57.0, + 937.0, + 515.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b96a95bc7a" + }, + "b96c57d2c7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 87.0, + 400.0, + 856.0, + 576.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 151.0, + 0.0, + 844.0, + 411.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 313.0, + 371.0, + 986.0, + 543.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 110.0, + 0.0, + 736.0, + 373.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 297.0, + 342.0, + 916.0, + 516.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 71.0, + 0.0, + 805.0, + 373.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 486.0, + 359.0, + 872.0, + 518.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 113.0, + 0.0, + 774.0, + 352.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b96c57d2c7" + }, + "b9b6bdde0c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 302.0, + 240.0, + 861.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 260.0, + 184.0, + 805.0, + 710.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 330.0, + 270.0, + 771.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 342.0, + 270.0, + 893.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00085", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b9b6bdde0c" + }, + "b9bcb3e0f2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 857.0, + 134.0, + 938.0, + 190.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 851.0, + 162.0, + 972.0, + 541.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 637.0, + 142.0, + 723.0, + 196.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 847.0, + 256.0, + 941.0, + 316.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 840.0, + 296.0, + 962.0, + 662.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 636.0, + 261.0, + 723.0, + 312.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 841.0, + 259.0, + 934.0, + 321.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 843.0, + 290.0, + 961.0, + 669.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 652.0, + 276.0, + 720.0, + 332.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 847.0, + 254.0, + 937.0, + 317.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 848.0, + 285.0, + 965.0, + 668.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 657.0, + 277.0, + 723.0, + 340.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b9bcb3e0f2" + }, + "b9d3b92169": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 757.0, + 480.0, + 802.0, + 548.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 550.0, + 681.0, + 600.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 709.0, + 308.0, + 760.0, + 381.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 499.0, + 482.0, + 705.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 739.0, + 388.0, + 785.0, + 429.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 543.0, + 235.0, + 818.0, + 384.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 683.0, + 394.0, + 724.0, + 435.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 489.0, + 293.0, + 750.0, + 424.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b9d3b92169" + }, + "b9dd4b306c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 354.0, + 257.0, + 619.0, + 420.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 219.0, + 101.0, + 519.0, + 265.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 494.0, + 260.0, + 694.0, + 419.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 356.0, + 111.0, + 595.0, + 304.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 571.0, + 290.0, + 722.0, + 447.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 403.0, + 116.0, + 642.0, + 358.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 532.0, + 312.0, + 780.0, + 459.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 440.0, + 173.0, + 717.0, + 389.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b9dd4b306c" + }, + "b9f43ef41e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 479.0, + 349.0, + 675.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 285.0, + 309.0, + 800.0, + 595.0 + ] + }, + "3": { + "category_name": "train", + "bbox": [ + 806.0, + 354.0, + 1279.0, + 550.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 795.0, + 432.0, + 803.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 493.0, + 377.0, + 683.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 285.0, + 337.0, + 752.0, + 593.0 + ] + }, + "3": { + "category_name": "train", + "bbox": [ + 832.0, + 369.0, + 1279.0, + 565.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 743.0, + 414.0, + 837.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 51.0, + 504.0, + 447.0, + 692.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 321.0, + 368.0, + 710.0, + 595.0 + ] + }, + "3": { + "category_name": "train", + "bbox": [ + 908.0, + 383.0, + 1279.0, + 566.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 708.0, + 420.0, + 910.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 87.0, + 489.0, + 479.0, + 675.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 329.0, + 361.0, + 678.0, + 569.0 + ] + }, + "3": { + "category_name": "train", + "bbox": [ + 936.0, + 366.0, + 1279.0, + 544.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 677.0, + 401.0, + 941.0, + 560.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b9f43ef41e" + }, + "ba1f03c811": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 332.0, + 312.0, + 809.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 623.0, + 300.0, + 883.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 761.0, + 300.0, + 959.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 120.0, + 413.0, + 584.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 418.0, + 342.0, + 628.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 570.0, + 376.0, + 729.0, + 681.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 387.0, + 490.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 308.0, + 313.0, + 544.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 489.0, + 356.0, + 643.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 15.0, + 492.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 311.0, + 279.0, + 665.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 582.0, + 274.0, + 785.0, + 646.0 + ] + } + } + ], + "frame_names": [ + "00215", + "00240", + "00245", + "00270" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba1f03c811" + }, + "ba3a775d7b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 427.0, + 0.0, + 836.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 413.0, + 0.0, + 826.0, + 664.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 399.0, + 0.0, + 820.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 396.0, + 0.0, + 801.0, + 605.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba3a775d7b" + }, + "ba3c7f2a31": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 465.0, + 102.0, + 860.0, + 664.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 497.0, + 45.0, + 974.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 229.0, + 56.0, + 1222.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 161.0, + 0.0, + 1272.0, + 540.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba3c7f2a31" + }, + "ba3fcd417d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 175.0, + 187.0, + 992.0, + 687.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 103.0, + 90.0, + 944.0, + 668.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 107.0, + 71.0, + 960.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 142.0, + 888.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba3fcd417d" + }, + "ba5e1f4faa": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 161.0, + 146.0, + 926.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 364.0, + 0.0, + 931.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 247.0, + 186.0, + 910.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 393.0, + 0.0, + 1008.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 323.0, + 218.0, + 814.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 418.0, + 35.0, + 831.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 352.0, + 219.0, + 990.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 448.0, + 114.0, + 915.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba5e1f4faa" + }, + "ba795f3089": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 377.0, + 0.0, + 1036.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 371.0, + 0.0, + 1030.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 395.0, + 0.0, + 1042.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 489.0, + 0.0, + 1210.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba795f3089" + }, + "ba8a291e6a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 173.0, + 469.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 181.0, + 115.0, + 497.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 570.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 60.0, + 0.0, + 514.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba8a291e6a" + }, + "ba98512f97": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 764.0, + 281.0, + 1071.0, + 411.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 621.0, + 185.0, + 905.0, + 469.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 535.0, + 261.0, + 829.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 550.0, + 9.0, + 890.0, + 361.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba98512f97" + }, + "bac9db04f5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 73.0, + 745.0, + 212.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 617.0, + 134.0, + 727.0, + 244.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 743.0, + 315.0, + 866.0, + 539.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 694.0, + 479.0, + 953.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 487.0, + 357.0, + 592.0, + 573.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 469.0, + 546.0, + 537.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 618.0, + 334.0, + 698.0, + 505.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 609.0, + 487.0, + 689.0, + 514.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bac9db04f5" + }, + "baedae3442": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 497.0, + 408.0, + 814.0, + 541.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 382.0, + 0.0, + 728.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 610.0, + 528.0, + 788.0, + 554.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 522.0, + 165.0, + 826.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 657.0, + 551.0, + 920.0, + 577.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 669.0, + 48.0, + 912.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 741.0, + 544.0, + 896.0, + 604.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 520.0, + 0.0, + 883.0, + 565.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/baedae3442" + }, + "baff40d29d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 510.0, + 220.0, + 858.0, + 481.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 157.0, + 235.0, + 537.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 534.0, + 244.0, + 871.0, + 484.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 172.0, + 258.0, + 440.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 538.0, + 247.0, + 875.0, + 487.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 170.0, + 260.0, + 420.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 659.0, + 114.0, + 865.0, + 357.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 50.0, + 286.0, + 546.0, + 675.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/baff40d29d" + }, + "bb04e28695": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 375.0, + 141.0, + 441.0, + 178.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 325.0, + 159.0, + 445.0, + 444.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 353.0, + 113.0, + 432.0, + 154.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 306.0, + 133.0, + 443.0, + 483.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 617.0, + 127.0, + 687.0, + 168.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 417.0, + 100.0, + 518.0, + 152.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 368.0, + 126.0, + 552.0, + 587.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 705.0, + 125.0, + 791.0, + 170.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 525.0, + 7.0, + 640.0, + 67.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 494.0, + 40.0, + 682.0, + 548.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 822.0, + 46.0, + 922.0, + 94.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb04e28695" + }, + "bb1b0ee89f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 408.0, + 196.0, + 622.0, + 378.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 614.0, + 158.0, + 740.0, + 401.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 226.0, + 277.0, + 897.0, + 456.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 434.0, + 228.0, + 639.0, + 410.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 622.0, + 209.0, + 747.0, + 438.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 287.0, + 302.0, + 886.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 520.0, + 239.0, + 714.0, + 410.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 691.0, + 223.0, + 807.0, + 433.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 387.0, + 315.0, + 941.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 623.0, + 235.0, + 818.0, + 391.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 784.0, + 224.0, + 888.0, + 409.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 505.0, + 306.0, + 1007.0, + 465.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb1b0ee89f" + }, + "bb1c770fe7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 305.0, + 178.0, + 567.0, + 324.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 261.0, + 368.0, + 817.0, + 585.0 + ] + }, + "3": { + "category_name": "lion", + "bbox": [ + 601.0, + 418.0, + 754.0, + 457.0 + ] + }, + "4": { + "category_name": "lion", + "bbox": [ + 961.0, + 159.0, + 1212.0, + 525.0 + ] + }, + "5": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 312.0, + 172.0, + 577.0, + 321.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 254.0, + 366.0, + 808.0, + 581.0 + ] + }, + "3": { + "category_name": "lion", + "bbox": [ + 580.0, + 403.0, + 818.0, + 529.0 + ] + }, + "4": { + "category_name": "lion", + "bbox": [ + 1144.0, + 201.0, + 1249.0, + 529.0 + ] + }, + "5": { + "category_name": "lion", + "bbox": [ + 538.0, + 297.0, + 687.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 319.0, + 173.0, + 577.0, + 301.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 263.0, + 367.0, + 803.0, + 582.0 + ] + }, + "3": { + "category_name": "lion", + "bbox": [ + 634.0, + 396.0, + 817.0, + 533.0 + ] + }, + "4": { + "category_name": "lion", + "bbox": [ + 1157.0, + 382.0, + 1238.0, + 520.0 + ] + }, + "5": { + "category_name": "lion", + "bbox": [ + 536.0, + 294.0, + 705.0, + 458.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 368.0, + 172.0, + 628.0, + 318.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 309.0, + 368.0, + 860.0, + 580.0 + ] + }, + "3": { + "category_name": "lion", + "bbox": [ + 553.0, + 374.0, + 871.0, + 530.0 + ] + }, + "4": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "lion", + "bbox": [ + 604.0, + 269.0, + 862.0, + 464.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb1c770fe7" + }, + "bb1fc34f99": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 551.0, + 66.0, + 788.0, + 447.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 545.0, + 367.0, + 793.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 379.0, + 124.0, + 608.0, + 490.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 464.0, + 462.0, + 604.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 438.0, + 1.0, + 664.0, + 506.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 467.0, + 467.0, + 754.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 94.0, + 840.0, + 603.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 727.0, + 504.0, + 842.0, + 626.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb1fc34f99" + }, + "bb2d220506": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 650.0, + 135.0, + 767.0, + 282.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 631.0, + 287.0, + 668.0, + 298.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 772.0, + 71.0, + 911.0, + 239.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 844.0, + 208.0, + 939.0, + 239.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 742.0, + 56.0, + 811.0, + 205.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 723.0, + 113.0, + 816.0, + 240.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb2d220506" + }, + "bb334e5cdb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 432.0, + 140.0, + 969.0, + 581.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 491.0, + 107.0, + 1007.0, + 501.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 367.0, + 88.0, + 964.0, + 500.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 549.0, + 132.0, + 792.0, + 468.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 309.0, + 223.0, + 498.0, + 371.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb334e5cdb" + }, + "bb337f9830": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 649.0, + 221.0, + 1279.0, + 619.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 1235.0, + 70.0, + 1279.0, + 130.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 618.0, + 283.0, + 1279.0, + 701.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 594.0, + 0.0, + 1279.0, + 512.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 777.0, + 201.0, + 1279.0, + 531.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb337f9830" + }, + "bb721eb9aa": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 720.0, + 418.0, + 769.0, + 484.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 791.0, + 459.0, + 872.0, + 509.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 844.0, + 405.0, + 922.0, + 463.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 632.0, + 387.0, + 685.0, + 451.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 706.0, + 427.0, + 782.0, + 474.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 756.0, + 376.0, + 790.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 446.0, + 404.0, + 503.0, + 468.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 518.0, + 442.0, + 596.0, + 494.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 569.0, + 395.0, + 613.0, + 449.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 251.0, + 392.0, + 306.0, + 459.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 322.0, + 426.0, + 403.0, + 484.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 371.0, + 379.0, + 424.0, + 434.0 + ] + } + } + ], + "frame_names": [ + "00100", + "00125", + "00145", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb721eb9aa" + }, + "bb87ff58bd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 283.0, + 165.0, + 321.0, + 211.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 180.0, + 151.0, + 357.0, + 346.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 176.0, + 323.0, + 301.0, + 349.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 167.0, + 108.0, + 214.0, + 149.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 112.0, + 128.0, + 267.0, + 383.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 118.0, + 365.0, + 261.0, + 402.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 313.0, + 89.0, + 491.0, + 406.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 301.0, + 383.0, + 459.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 324.0, + 86.0, + 478.0, + 352.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 316.0, + 337.0, + 461.0, + 380.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb87ff58bd" + }, + "bb89a6b18a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1041.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 160.0, + 213.0, + 422.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 218.0, + 150.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1003.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 229.0, + 239.0, + 457.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 246.0, + 223.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 997.0, + 11.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 255.0, + 242.0, + 477.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 4.0, + 249.0, + 251.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 961.0, + 70.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 375.0, + 265.0, + 552.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 172.0, + 277.0, + 370.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb89a6b18a" + }, + "bbaa9a036a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 707.0, + 505.0, + 1075.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 798.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 711.0, + 494.0, + 1075.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 790.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 681.0, + 479.0, + 1069.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 770.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 703.0, + 485.0, + 1103.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 796.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bbaa9a036a" + }, + "bbb4302dda": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 248.0, + 177.0, + 1073.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 205.0, + 847.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 204.0, + 729.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 542.0, + 256.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00085", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bbb4302dda" + }, + "bbd31510cf": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 32.0, + 185.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 28.0, + 182.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 463.0, + 260.0, + 516.0, + 292.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 26.0, + 190.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 506.0, + 246.0, + 568.0, + 285.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 29.0, + 231.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 657.0, + 205.0, + 747.0, + 255.0 + ] + } + } + ], + "frame_names": [ + "00155", + "00170", + "00185", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bbd31510cf" + }, + "bbe0256a75": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 278.0, + 31.0, + 398.0, + 254.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 953.0, + 545.0, + 1083.0, + 704.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 816.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 272.0, + 116.0, + 415.0, + 253.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 950.0, + 546.0, + 1082.0, + 702.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 826.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 292.0, + 62.0, + 471.0, + 250.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 917.0, + 503.0, + 1085.0, + 667.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 862.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 294.0, + 77.0, + 525.0, + 250.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 729.0, + 309.0, + 912.0, + 499.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 754.0, + 0.0, + 1279.0, + 603.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bbe0256a75" + }, + "bc141b9ad5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 239.0, + 249.0, + 781.0, + 695.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 83.0, + 86.0, + 673.0, + 589.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 324.0, + 274.0, + 961.0, + 649.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 61.0, + 62.0, + 641.0, + 589.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 309.0, + 205.0, + 952.0, + 605.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 5.0, + 30.0, + 512.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 335.0, + 157.0, + 884.0, + 547.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 5.0, + 18.0, + 485.0, + 529.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc141b9ad5" + }, + "bc17ab8a99": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 435.0, + 493.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 672.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 393.0, + 33.0, + 1279.0, + 522.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 65.0, + 0.0, + 627.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 517.0, + 114.0, + 1279.0, + 619.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 97.0, + 0.0, + 750.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 469.0, + 178.0, + 1279.0, + 657.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 672.0, + 501.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc17ab8a99" + }, + "bc318160de": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 173.0, + 102.0, + 613.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 155.0, + 139.0, + 581.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 37.0, + 419.0, + 700.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 52.0, + 421.0, + 593.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc318160de" + }, + "bc3b9ee033": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 361.0, + 1122.0, + 521.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 350.0, + 1223.0, + 530.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 350.0, + 1247.0, + 532.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 356.0, + 1265.0, + 536.0 + ] + }, + "2": { + "category_name": "train", + "bbox": [ + 1071.0, + 469.0, + 1279.0, + 634.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc3b9ee033" + }, + "bc4240b43c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 494.0, + 169.0, + 691.0, + 523.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 296.0, + 366.0, + 501.0, + 589.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 474.0, + 146.0, + 723.0, + 637.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 175.0, + 419.0, + 477.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 514.0, + 93.0, + 739.0, + 645.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 117.0, + 403.0, + 453.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 318.0, + 49.0, + 735.0, + 714.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 16.0, + 451.0, + 256.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc4240b43c" + }, + "bc4ce49105": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 379.0, + 58.0, + 944.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 362.0, + 61.0, + 887.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 269.0, + 54.0, + 975.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 300.0, + 50.0, + 952.0, + 640.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc4ce49105" + }, + "bc4f71372d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 494.0, + 30.0, + 1105.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 429.0, + 255.0, + 584.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 470.0, + 30.0, + 1081.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 379.0, + 330.0, + 552.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 471.0, + 29.0, + 1081.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 473.0, + 266.0, + 623.0, + 473.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 508.0, + 31.0, + 1084.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 397.0, + 319.0, + 564.0, + 540.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc4f71372d" + }, + "bc6b8d6371": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 446.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 450.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 414.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 519.0, + 607.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc6b8d6371" + }, + "bcaad44ad7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 169.0, + 328.0, + 572.0, + 474.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 210.0, + 240.0, + 551.0, + 472.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 946.0, + 241.0, + 1084.0, + 303.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 224.0, + 227.0, + 575.0, + 456.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 915.0, + 237.0, + 1090.0, + 288.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 261.0, + 238.0, + 589.0, + 472.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 832.0, + 265.0, + 1007.0, + 305.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bcaad44ad7" + }, + "bcc241b081": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 239.0, + 123.0, + 1114.0, + 515.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 113.0, + 278.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 329.0, + 141.0, + 1086.0, + 493.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 118.0, + 352.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 456.0, + 164.0, + 1073.0, + 486.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 114.0, + 475.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 716.0, + 212.0, + 1093.0, + 429.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 106.0, + 572.0, + 459.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bcc241b081" + }, + "bcc5d8095e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 864.0, + 42.0, + 1138.0, + 464.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 917.0, + 102.0, + 1068.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 824.0, + 116.0, + 1090.0, + 501.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 876.0, + 186.0, + 1021.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 788.0, + 139.0, + 1014.0, + 498.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 839.0, + 205.0, + 964.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 718.0, + 190.0, + 905.0, + 471.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 780.0, + 230.0, + 869.0, + 601.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bcc5d8095e" + }, + "bcd1d39afb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 355.0, + 553.0, + 390.0, + 707.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 388.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 349.0, + 556.0, + 383.0, + 711.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 408.0, + 46.0, + 1239.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 338.0, + 561.0, + 374.0, + 719.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 449.0, + 131.0, + 1108.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 309.0, + 572.0, + 352.0, + 719.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 497.0, + 227.0, + 968.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bcd1d39afb" + }, + "bd0d849da4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 113.0, + 1048.0, + 719.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 441.0, + 72.0, + 992.0, + 307.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 89.0, + 978.0, + 719.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 319.0, + 52.0, + 934.0, + 312.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 83.0, + 946.0, + 719.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 291.0, + 40.0, + 938.0, + 301.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 91.0, + 894.0, + 719.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 207.0, + 30.0, + 894.0, + 307.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bd0d849da4" + }, + "bd0e9ed437": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 354.0, + 186.0, + 921.0, + 657.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 469.0, + 188.0, + 905.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 493.0, + 199.0, + 904.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 471.0, + 208.0, + 911.0, + 421.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bd0e9ed437" + }, + "bd2c94730f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 575.0, + 147.0, + 1246.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 191.0, + 479.0, + 487.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 571.0, + 143.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 292.0, + 382.0, + 503.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 555.0, + 104.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 319.0, + 347.0, + 516.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 465.0, + 40.0, + 1276.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 304.0, + 325.0, + 528.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bd2c94730f" + }, + "bd321d2be6": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 108.0, + 0.0, + 1279.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 220.0, + 0.0, + 1279.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 228.0, + 0.0, + 1279.0, + 653.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 86.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00030", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bd321d2be6" + }, + "bd3ec46511": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 92.0, + 1099.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 86.0, + 1084.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 61.0, + 33.0, + 1120.0, + 541.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 43.0, + 970.0, + 483.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bd3ec46511" + }, + "bd7e02b139": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 676.0, + 214.0, + 748.0, + 384.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 610.0, + 275.0, + 836.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 649.0, + 212.0, + 728.0, + 374.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 573.0, + 258.0, + 809.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 645.0, + 228.0, + 718.0, + 392.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 565.0, + 274.0, + 806.0, + 455.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 642.0, + 226.0, + 722.0, + 390.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 570.0, + 277.0, + 816.0, + 451.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bd7e02b139" + }, + "bd96f9943a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 193.0, + 534.0, + 270.0, + 584.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 630.0, + 328.0, + 757.0, + 687.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 188.0, + 460.0, + 256.0, + 524.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 624.0, + 272.0, + 739.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 175.0, + 421.0, + 244.0, + 488.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 618.0, + 237.0, + 730.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 174.0, + 402.0, + 246.0, + 447.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 619.0, + 194.0, + 734.0, + 546.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bd96f9943a" + }, + "bda224cb25": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 346.0, + 238.0, + 620.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 382.0, + 173.0, + 637.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 148.0, + 325.0, + 799.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 379.0, + 110.0, + 739.0, + 593.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bda224cb25" + }, + "bda4a82837": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 376.0, + 243.0, + 801.0, + 625.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 727.0, + 67.0, + 1033.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 376.0, + 231.0, + 828.0, + 656.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 652.0, + 103.0, + 1016.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 363.0, + 168.0, + 767.0, + 559.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 432.0, + 0.0, + 1015.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 349.0, + 163.0, + 645.0, + 549.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 289.0, + 159.0, + 910.0, + 464.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bda4a82837" + }, + "bdb74e333f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 254.0, + 108.0, + 835.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 204.0, + 157.0, + 831.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 118.0, + 1071.0, + 528.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 175.0, + 1007.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bdb74e333f" + }, + "bdccd69dde": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 119.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 113.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 116.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 115.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bdccd69dde" + }, + "bddcc15521": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 514.0, + 306.0, + 695.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 563.0, + 373.0, + 806.0, + 710.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 628.0, + 355.0, + 1151.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 772.0, + 420.0, + 1197.0, + 715.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bddcc15521" + }, + "be116aab29": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 380.0, + 142.0, + 776.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 394.0, + 164.0, + 873.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 575.0, + 335.0, + 966.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 450.0, + 222.0, + 919.0, + 491.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be116aab29" + }, + "be15e18f1e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 578.0, + 184.0, + 719.0, + 463.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 600.0, + 126.0, + 715.0, + 386.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 565.0, + 160.0, + 705.0, + 365.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 572.0, + 185.0, + 740.0, + 356.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be15e18f1e" + }, + "be1a284edb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 179.0, + 438.0, + 417.0, + 597.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 528.0, + 389.0, + 736.0, + 588.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 114.0, + 266.0, + 399.0, + 389.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 751.0, + 166.0, + 909.0, + 261.0 + ] + }, + "5": { + "category_name": "penguin", + "bbox": [ + 361.0, + 66.0, + 523.0, + 153.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 131.0, + 428.0, + 294.0, + 614.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 448.0, + 414.0, + 692.0, + 605.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 68.0, + 287.0, + 351.0, + 407.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 703.0, + 180.0, + 858.0, + 275.0 + ] + }, + "5": { + "category_name": "penguin", + "bbox": [ + 299.0, + 80.0, + 464.0, + 174.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 130.0, + 347.0, + 308.0, + 529.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 430.0, + 349.0, + 687.0, + 523.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 64.0, + 205.0, + 350.0, + 327.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 704.0, + 101.0, + 858.0, + 195.0 + ] + }, + "5": { + "category_name": "penguin", + "bbox": [ + 289.0, + 0.0, + 460.0, + 86.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 130.0, + 341.0, + 294.0, + 526.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 430.0, + 344.0, + 688.0, + 519.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 64.0, + 201.0, + 349.0, + 320.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 703.0, + 97.0, + 857.0, + 190.0 + ] + }, + "5": { + "category_name": "penguin", + "bbox": [ + 277.0, + 0.0, + 464.0, + 82.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be1a284edb" + }, + "be2a367a7b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 477.0, + 176.0, + 1279.0, + 641.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1252.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 483.0, + 274.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 499.0, + 286.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 567.0, + 0.0, + 1279.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 471.0, + 331.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 662.0, + 0.0, + 1279.0, + 395.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be2a367a7b" + }, + "be376082d0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 353.0, + 121.0, + 1002.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 393.0, + 13.0, + 730.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 421.0, + 141.0, + 678.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 465.0, + 247.0, + 756.0, + 467.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be376082d0" + }, + "be3e3cffbd": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 534.0, + 60.0, + 751.0, + 486.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 935.0, + 60.0, + 1279.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 544.0, + 59.0, + 763.0, + 470.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 947.0, + 59.0, + 1273.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 541.0, + 52.0, + 764.0, + 481.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 948.0, + 54.0, + 1275.0, + 439.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 561.0, + 130.0, + 748.0, + 476.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 905.0, + 126.0, + 1273.0, + 542.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be3e3cffbd" + }, + "be5d1d89a0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 545.0, + 0.0, + 648.0, + 239.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 515.0, + 166.0, + 812.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 531.0, + 0.0, + 646.0, + 189.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 531.0, + 168.0, + 814.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 495.0, + 0.0, + 619.0, + 233.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 503.0, + 181.0, + 784.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 329.0, + 0.0, + 619.0, + 260.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 393.0, + 192.0, + 808.0, + 523.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be5d1d89a0" + }, + "be8b72fe37": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 803.0, + 43.0, + 1029.0, + 494.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 783.0, + 360.0, + 913.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 462.0, + 249.0, + 669.0, + 681.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 538.0, + 657.0, + 713.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 510.0, + 184.0, + 667.0, + 541.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 526.0, + 453.0, + 703.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 731.0, + 39.0, + 921.0, + 434.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 604.0, + 369.0, + 915.0, + 505.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be8b72fe37" + }, + "be9b29e08e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 732.0, + 190.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 705.0, + 167.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 743.0, + 224.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 735.0, + 266.0, + 1201.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be9b29e08e" + }, + "bea1f6e62c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 610.0, + 302.0, + 900.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 586.0, + 213.0, + 880.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 551.0, + 197.0, + 993.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 547.0, + 197.0, + 983.0, + 545.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bea1f6e62c" + }, + "bea83281b5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 1.0, + 324.0, + 186.0, + 486.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 205.0, + 323.0, + 385.0, + 470.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 432.0, + 325.0, + 683.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 3.0, + 329.0, + 164.0, + 506.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 207.0, + 331.0, + 446.0, + 483.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 509.0, + 320.0, + 704.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 9.0, + 341.0, + 154.0, + 540.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 167.0, + 334.0, + 386.0, + 499.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 557.0, + 324.0, + 660.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 55.0, + 371.0, + 422.0, + 604.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 92.0, + 353.0, + 376.0, + 549.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 453.0, + 337.0, + 688.0, + 549.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bea83281b5" + }, + "beb921a4c9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 386.0, + 130.0, + 841.0, + 416.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 392.0, + 118.0, + 848.0, + 411.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 353.0, + 128.0, + 828.0, + 424.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 189.0, + 119.0, + 297.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 327.0, + 126.0, + 824.0, + 430.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 189.0, + 116.0, + 300.0 + ] + } + } + ], + "frame_names": [ + "00080", + "00090", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/beb921a4c9" + }, + "bec5e9edcd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 561.0, + 157.0, + 767.0, + 502.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 590.0, + 372.0, + 822.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 480.0, + 431.0, + 608.0, + 567.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 473.0, + 552.0, + 502.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 493.0, + 455.0, + 546.0, + 553.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 474.0, + 528.0, + 544.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 409.0, + 506.0, + 470.0, + 592.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 396.0, + 576.0, + 448.0, + 599.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bec5e9edcd" + }, + "beeb8a3f92": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 489.0, + 281.0, + 754.0, + 564.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 473.0, + 371.0, + 616.0, + 530.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 354.0, + 240.0, + 582.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 545.0, + 301.0, + 823.0, + 591.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 559.0, + 408.0, + 703.0, + 571.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 440.0, + 277.0, + 640.0, + 428.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 528.0, + 335.0, + 794.0, + 662.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 541.0, + 459.0, + 683.0, + 635.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 425.0, + 339.0, + 594.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 557.0, + 352.0, + 836.0, + 590.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 575.0, + 507.0, + 716.0, + 684.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 458.0, + 383.0, + 653.0, + 536.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/beeb8a3f92" + }, + "bf2232b58d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 297.0, + 665.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 420.0, + 0.0, + 878.0, + 654.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 687.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 602.0, + 0.0, + 977.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 101.0, + 515.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 251.0, + 0.0, + 984.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 310.0, + 376.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 922.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf2232b58d" + }, + "bf28751739": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 575.0, + 211.0, + 1013.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 617.0, + 225.0, + 1081.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 586.0, + 243.0, + 1130.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 653.0, + 299.0, + 1176.0, + 660.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00070", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf28751739" + }, + "bf443804e8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 403.0, + 484.0, + 813.0, + 707.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 253.0, + 106.0, + 523.0, + 478.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 461.0, + 327.0, + 741.0, + 475.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 466.0, + 395.0, + 866.0, + 613.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 321.0, + 61.0, + 586.0, + 383.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 531.0, + 232.0, + 799.0, + 378.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 503.0, + 533.0, + 922.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 368.0, + 138.0, + 609.0, + 516.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 592.0, + 404.0, + 843.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 441.0, + 434.0, + 841.0, + 651.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 300.0, + 54.0, + 544.0, + 420.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 529.0, + 300.0, + 782.0, + 425.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf443804e8" + }, + "bf461df850": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 554.0, + 0.0, + 947.0, + 325.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 976.0, + 0.0, + 1043.0, + 55.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 403.0, + 197.0, + 750.0, + 439.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 496.0, + 212.0, + 817.0, + 330.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 395.0, + 297.0, + 423.0, + 334.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 370.0, + 192.0, + 808.0, + 427.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 282.0, + 179.0, + 452.0, + 408.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 730.0, + 196.0, + 1075.0, + 327.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 266.0, + 247.0, + 536.0, + 422.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 502.0, + 268.0, + 572.0, + 411.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf461df850" + }, + "bf5374f122": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 74.0, + 169.0, + 670.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 241.0, + 207.0, + 838.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 276.0, + 184.0, + 852.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 237.0, + 150.0, + 831.0, + 527.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf5374f122" + }, + "bf551a6f60": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 473.0, + 286.0, + 628.0, + 579.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 459.0, + 547.0, + 689.0, + 586.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 533.0, + 285.0, + 635.0, + 528.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 492.0, + 500.0, + 675.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 514.0, + 342.0, + 618.0, + 613.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 465.0, + 559.0, + 656.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 443.0, + 219.0, + 572.0, + 573.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 381.0, + 510.0, + 605.0, + 594.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf551a6f60" + }, + "bf8d0f5ada": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 265.0, + 246.0, + 662.0, + 613.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 903.0, + 311.0, + 1066.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 211.0, + 238.0, + 619.0, + 613.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 851.0, + 298.0, + 1012.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 195.0, + 238.0, + 613.0, + 611.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 854.0, + 307.0, + 1003.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 229.0, + 265.0, + 658.0, + 615.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 894.0, + 331.0, + 1046.0, + 551.0 + ] + } + } + ], + "frame_names": [ + "00115", + "00145", + "00160", + "00180" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf8d0f5ada" + }, + "bf961167a6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 302.0, + 128.0, + 1090.0, + 643.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 391.0, + 115.0, + 1085.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 357.0, + 132.0, + 1087.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 391.0, + 220.0, + 1115.0, + 670.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf961167a6" + }, + "bfab1ad8f9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 447.0, + 223.0, + 1027.0, + 719.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 437.0, + 210.0, + 581.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 456.0, + 248.0, + 1013.0, + 719.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 438.0, + 211.0, + 590.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 465.0, + 207.0, + 1011.0, + 719.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 445.0, + 227.0, + 606.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 471.0, + 312.0, + 1004.0, + 719.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 446.0, + 232.0, + 613.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00040", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bfab1ad8f9" + }, + "bfcb05d88d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 871.0, + 109.0, + 1253.0, + 321.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 164.0, + 362.0, + 761.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 811.0, + 144.0, + 1091.0, + 325.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 456.0, + 297.0, + 809.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 901.0, + 162.0, + 1149.0, + 342.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 637.0, + 285.0, + 986.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 973.0, + 140.0, + 1163.0, + 322.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 649.0, + 270.0, + 921.0, + 642.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00075", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bfcb05d88d" + }, + "bfd8f6e6c9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 466.0, + 222.0, + 772.0, + 449.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 729.0, + 271.0, + 842.0, + 328.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 580.0, + 330.0, + 908.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 478.0, + 225.0, + 768.0, + 469.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 621.0, + 290.0, + 909.0, + 463.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 245.0, + 209.0, + 722.0, + 469.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 465.0, + 120.0, + 773.0, + 462.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 425.0, + 2.0, + 789.0, + 465.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 535.0, + 150.0, + 806.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 717.0, + 233.0, + 891.0, + 429.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 683.0, + 126.0, + 770.0, + 235.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 451.0, + 187.0, + 884.0, + 480.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bfd8f6e6c9" + }, + "bfd91d0742": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 385.0, + 155.0, + 1248.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 393.0, + 161.0, + 1250.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 427.0, + 152.0, + 1274.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 335.0, + 29.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bfd91d0742" + }, + "bfe262322f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 69.0, + 821.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 857.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 91.0, + 841.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 19.0, + 829.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bfe262322f" + }, + "c013f42ed7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 572.0, + 188.0, + 970.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 594.0, + 169.0, + 926.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 596.0, + 169.0, + 959.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 820.0, + 171.0, + 1190.0, + 488.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c013f42ed7" + }, + "c01878083f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 606.0, + 138.0, + 1053.0, + 636.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 578.0, + 111.0, + 1055.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 605.0, + 87.0, + 1062.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 603.0, + 71.0, + 1050.0, + 597.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c01878083f" + }, + "c01faff1ed": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 441.0, + 297.0, + 521.0, + 376.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 488.0, + 231.0, + 618.0, + 606.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 848.0, + 175.0, + 875.0, + 241.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 397.0, + 103.0, + 457.0, + 221.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 318.0, + 201.0, + 563.0, + 555.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 846.0, + 174.0, + 884.0, + 248.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 497.0, + 76.0, + 538.0, + 173.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 334.0, + 151.0, + 522.0, + 526.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 867.0, + 160.0, + 904.0, + 242.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 582.0, + 240.0, + 608.0, + 272.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 600.0, + 188.0, + 741.0, + 433.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 664.0, + 189.0, + 702.0, + 234.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00085", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c01faff1ed" + }, + "c046fd0edb": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 24 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 795.0, + 167.0, + 1279.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 704.0, + 179.0, + 1183.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 475.0, + 241.0, + 1099.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 199.0, + 261.0, + 470.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00060", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c046fd0edb" + }, + "c053e35f97": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 655.0, + 145.0, + 1084.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 670.0, + 149.0, + 1106.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 658.0, + 193.0, + 1127.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 733.0, + 194.0, + 1218.0, + 566.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c053e35f97" + }, + "c079a6482d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 889.0, + 352.0, + 948.0, + 499.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 804.0, + 308.0, + 843.0, + 412.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 557.0, + 309.0, + 585.0, + 385.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 876.0, + 335.0, + 923.0, + 480.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 826.0, + 291.0, + 867.0, + 397.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 541.0, + 291.0, + 571.0, + 366.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 791.0, + 320.0, + 840.0, + 467.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 871.0, + 272.0, + 914.0, + 382.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 469.0, + 275.0, + 501.0, + 351.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 748.0, + 329.0, + 799.0, + 477.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 892.0, + 278.0, + 932.0, + 390.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 431.0, + 282.0, + 462.0, + 362.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c079a6482d" + }, + "c0847b521a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 726.0, + 323.0, + 1031.0, + 514.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 447.0, + 37.0, + 665.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 731.0, + 336.0, + 1062.0, + 537.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 494.0, + 36.0, + 657.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 698.0, + 368.0, + 1032.0, + 597.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 451.0, + 62.0, + 619.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 693.0, + 359.0, + 1043.0, + 601.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 431.0, + 35.0, + 605.0, + 481.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c0847b521a" + }, + "c0a1e06710": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 23 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 131.0, + 869.0, + 525.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 779.0, + 435.0, + 868.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 749.0, + 147.0, + 946.0, + 626.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 740.0, + 578.0, + 932.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 432.0, + 39.0, + 674.0, + 666.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 453.0, + 631.0, + 661.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 439.0, + 63.0, + 722.0, + 592.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 413.0, + 555.0, + 593.0, + 613.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c0a1e06710" + }, + "c0e8d4635c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 524.0, + 138.0, + 717.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 544.0, + 108.0, + 944.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 602.0, + 55.0, + 917.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 510.0, + 0.0, + 767.0, + 702.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c0e8d4635c" + }, + "c0e973ad85": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 480.0, + 0.0, + 1201.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1257.0, + 539.0, + 1279.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 462.0, + 0.0, + 1077.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1229.0, + 465.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 450.0, + 0.0, + 1011.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1171.0, + 349.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 496.0, + 0.0, + 1039.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1175.0, + 201.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c0e973ad85" + }, + "c0f49c6579": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 632.0, + 250.0, + 726.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 700.0, + 188.0, + 791.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 780.0, + 288.0, + 877.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 846.0, + 196.0, + 943.0, + 389.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c0f49c6579" + }, + "c0f5b222d7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 590.0, + 478.0, + 699.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 588.0, + 477.0, + 704.0, + 503.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 571.0, + 473.0, + 729.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 566.0, + 469.0, + 749.0, + 511.0 + ] + } + } + ], + "frame_names": [ + "00165", + "00170", + "00200", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c0f5b222d7" + }, + "c10d07c90d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 649.0, + 115.0, + 814.0, + 431.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 681.0, + 391.0, + 770.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 684.0, + 88.0, + 856.0, + 338.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 791.0, + 279.0, + 866.0, + 354.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 493.0, + 176.0, + 662.0, + 408.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 491.0, + 379.0, + 594.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 432.0, + 224.0, + 586.0, + 459.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 448.0, + 443.0, + 610.0, + 479.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c10d07c90d" + }, + "c1268d998c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 553.0, + 70.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 474.0, + 9.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 717.0, + 145.0, + 1279.0, + 587.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 291.0, + 373.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 686.0, + 192.0, + 1200.0, + 515.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 316.0, + 385.0, + 587.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c1268d998c" + }, + "c130c3fc0c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 556.0, + 325.0, + 646.0, + 364.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 420.0, + 238.0, + 694.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 431.0, + 231.0, + 769.0, + 641.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c130c3fc0c" + }, + "c14826ad5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 598.0, + 303.0, + 730.0, + 408.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 533.0, + 270.0, + 646.0, + 326.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 480.0, + 236.0, + 533.0, + 286.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 410.0, + 260.0, + 511.0, + 356.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c14826ad5e" + }, + "c15b922281": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 580.0, + 244.0, + 839.0, + 658.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 570.0, + 267.0, + 818.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 533.0, + 246.0, + 786.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 584.0, + 250.0, + 833.0, + 593.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c15b922281" + }, + "c16f09cb63": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 327.0, + 2.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 636.0, + 0.0, + 1279.0, + 209.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 337.0, + 329.0, + 1096.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 377.0, + 96.0, + 1192.0, + 318.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 207.0, + 261.0, + 1150.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 345.0, + 0.0, + 619.0, + 93.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 369.0, + 504.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 489.0, + 0.0, + 1250.0, + 348.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c16f09cb63" + }, + "c18e19d922": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 260.0, + 136.0, + 1279.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 366.0, + 200.0, + 1279.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 414.0, + 252.0, + 1279.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 492.0, + 448.0, + 1047.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c18e19d922" + }, + "c1c830a735": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 500.0, + 494.0, + 1065.0, + 701.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 108.0, + 594.0, + 264.0, + 679.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 434.0, + 669.0, + 881.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 520.0, + 485.0, + 1087.0, + 699.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 90.0, + 584.0, + 214.0, + 666.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 647.0, + 669.0, + 1179.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 494.0, + 474.0, + 1059.0, + 693.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 46.0, + 564.0, + 154.0, + 631.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 903.0, + 690.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 504.0, + 518.0, + 1081.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 69.0, + 616.0, + 146.0, + 668.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c1c830a735" + }, + "c1e8aeea45": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 225.0, + 16.0, + 689.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 173.0, + 0.0, + 1279.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 285.0, + 57.0, + 734.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 239.0, + 0.0, + 1279.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 321.0, + 41.0, + 761.0, + 636.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 261.0, + 0.0, + 1279.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 339.0, + 41.0, + 804.0, + 716.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 273.0, + 0.0, + 1279.0, + 617.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c1e8aeea45" + }, + "c20a5ccc99": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 741.0, + 78.0, + 929.0, + 406.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 400.0, + 432.0, + 763.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 486.0, + 462.0, + 765.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 232.0, + 401.0, + 603.0, + 558.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c20a5ccc99" + }, + "c20fd5e597": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 894.0, + 128.0, + 1279.0, + 706.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 83.0, + 454.0, + 421.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 906.0, + 290.0, + 1279.0, + 663.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 77.0, + 650.0, + 319.0, + 718.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 890.0, + 384.0, + 1279.0, + 712.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 95.0, + 675.0, + 341.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 980.0, + 350.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 93.0, + 687.0, + 505.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c20fd5e597" + }, + "c219d6f8dc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 328.0, + 398.0, + 658.0, + 622.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 533.0, + 448.0, + 821.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 182.0, + 202.0, + 610.0, + 315.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 675.0, + 305.0, + 833.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 351.0, + 60.0, + 667.0, + 259.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 535.0, + 78.0, + 723.0, + 340.0 + ] + }, + "2": { + "category_name": "eagle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c219d6f8dc" + }, + "c2406ae462": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 225.0, + 106.0, + 830.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 620.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 251.0, + 99.0, + 879.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 672.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 257.0, + 186.0, + 888.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 715.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 179.0, + 226.0, + 852.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 702.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c2406ae462" + }, + "c26f7b5824": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 488.0, + 54.0, + 1255.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 470.0, + 71.0, + 1159.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 467.0, + 0.0, + 1253.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 260.0, + 66.0, + 1109.0, + 718.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c26f7b5824" + }, + "c279e641ee": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 611.0, + 325.0, + 721.0, + 461.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 846.0, + 281.0, + 1010.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 740.0, + 298.0, + 892.0, + 444.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 939.0, + 244.0, + 1106.0, + 363.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 736.0, + 264.0, + 969.0, + 501.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 901.0, + 185.0, + 1172.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 527.0, + 337.0, + 887.0, + 631.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 477.0, + 245.0, + 847.0, + 395.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c279e641ee" + }, + "c27adaeac5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 443.0, + 7.0, + 1279.0, + 564.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 740.0, + 0.0, + 1279.0, + 352.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 648.0, + 254.0, + 935.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 408.0, + 0.0, + 1279.0, + 469.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 749.0, + 0.0, + 1279.0, + 251.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 654.0, + 232.0, + 1279.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 450.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 646.0, + 372.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 462.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 518.0, + 314.0, + 1154.0, + 719.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 1073.0, + 272.0, + 1279.0, + 581.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c27adaeac5" + }, + "c2a35c1cda": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 140.0, + 106.0, + 1279.0, + 577.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 154.0, + 236.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 148.0, + 1279.0, + 557.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 1093.0, + 206.0, + 1279.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 92.0, + 153.0, + 1279.0, + 522.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 283.0, + 518.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 80.0, + 200.0, + 1279.0, + 581.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 268.0, + 568.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c2a35c1cda" + }, + "c2a9903b8b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 427.0, + 0.0, + 834.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 391.0, + 0.0, + 990.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 437.0, + 0.0, + 910.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 465.0, + 0.0, + 1050.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 455.0, + 0.0, + 928.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 475.0, + 0.0, + 1074.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 487.0, + 0.0, + 958.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 510.0, + 0.0, + 1111.0, + 628.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c2a9903b8b" + }, + "c2b62567c1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 525.0, + 0.0, + 792.0, + 128.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 454.0, + 108.0, + 756.0, + 166.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 0.0, + 698.0, + 132.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 381.0, + 105.0, + 677.0, + 161.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 451.0, + 0.0, + 496.0, + 109.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 404.0, + 94.0, + 536.0, + 139.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 354.0, + 0.0, + 451.0, + 148.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 357.0, + 130.0, + 432.0, + 179.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c2b62567c1" + }, + "c2b974ec8c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 242.0, + 32.0, + 1117.0, + 626.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 436.0, + 414.0, + 741.0, + 585.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 620.0, + 335.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 240.0, + 84.0, + 1269.0, + 539.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 558.0, + 442.0, + 869.0, + 620.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 715.0, + 323.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 226.0, + 121.0, + 1279.0, + 667.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 584.0, + 487.0, + 857.0, + 694.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 637.0, + 480.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 212.0, + 32.0, + 1279.0, + 446.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 590.0, + 383.0, + 1161.0, + 538.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 528.0, + 453.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c2b974ec8c" + }, + "c2baaff7bf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 42.0, + 219.0, + 1057.0, + 623.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 470.0, + 121.0, + 986.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 178.0, + 244.0, + 956.0, + 605.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 350.0, + 205.0, + 732.0, + 366.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 262.0, + 224.0, + 982.0, + 605.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 409.0, + 228.0, + 698.0, + 333.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 257.0, + 233.0, + 985.0, + 608.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 395.0, + 196.0, + 987.0, + 376.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c2baaff7bf" + }, + "c2be6900f2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 230.0, + 98.0, + 751.0, + 512.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 34.0, + 250.0, + 863.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 170.0, + 40.0, + 709.0, + 471.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 208.0, + 803.0, + 674.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 212.0, + 27.0, + 737.0, + 458.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 20.0, + 186.0, + 835.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 244.0, + 21.0, + 825.0, + 468.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 46.0, + 180.0, + 929.0, + 672.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c2be6900f2" + }, + "c304dd44d5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 20.0, + 278.0, + 470.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 435.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 238.0, + 104.0, + 743.0, + 487.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 303.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 242.0, + 159.0, + 701.0, + 554.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 537.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 230.0, + 223.0, + 665.0, + 563.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 470.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c304dd44d5" + }, + "c307f33da2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 333.0, + 181.0, + 991.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 375.0, + 301.0, + 699.0, + 606.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 307.0, + 199.0, + 966.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 355.0, + 318.0, + 673.0, + 617.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 253.0, + 217.0, + 1076.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 374.0, + 337.0, + 689.0, + 603.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1182.0, + 260.0, + 1279.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 227.0, + 250.0, + 936.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 511.0, + 408.0, + 687.0, + 621.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1110.0, + 193.0, + 1279.0, + 407.0 + ] + } + } + ], + "frame_names": [ + "00220", + "00240", + "00260", + "00280" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c307f33da2" + }, + "c30a7b62c9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 873.0, + 247.0, + 1032.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 925.0, + 246.0, + 1095.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 938.0, + 228.0, + 1112.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 970.0, + 205.0, + 1143.0, + 418.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c30a7b62c9" + }, + "c3128733ee": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 828.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 65.0, + 835.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 53.0, + 848.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 6.0, + 908.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3128733ee" + }, + "c31fa6c598": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 474.0, + 192.0, + 1089.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 82.0, + 472.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 99.0, + 544.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 4.0, + 0.0, + 610.0, + 229.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c31fa6c598" + }, + "c325c8201e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 191.0, + 132.0, + 458.0, + 651.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 511.0, + 113.0, + 999.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 213.0, + 128.0, + 475.0, + 644.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 508.0, + 128.0, + 933.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 247.0, + 100.0, + 508.0, + 616.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 517.0, + 131.0, + 910.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 282.0, + 96.0, + 537.0, + 612.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 535.0, + 151.0, + 779.0, + 703.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c325c8201e" + }, + "c32d4aa5d1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 793.0, + 503.0, + 824.0, + 517.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 789.0, + 335.0, + 862.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 708.0, + 540.0, + 989.0, + 630.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 608.0, + 0.0, + 947.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 664.0, + 394.0, + 821.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1026.0, + 425.0, + 1064.0, + 514.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c32d4aa5d1" + }, + "c33f28249a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 735.0, + 302.0, + 857.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 506.0, + 254.0, + 757.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 508.0, + 226.0, + 894.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 496.0, + 98.0, + 895.0, + 455.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c33f28249a" + }, + "c34365e2d7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 298.0, + 49.0, + 1279.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 26.0, + 1279.0, + 676.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 16.0, + 1279.0, + 683.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c34365e2d7" + }, + "c3457af795": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 172.0, + 50.0, + 1217.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 629.0, + 0.0, + 826.0, + 36.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 164.0, + 36.0, + 1127.0, + 649.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 616.0, + 0.0, + 818.0, + 46.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 120.0, + 44.0, + 989.0, + 628.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 613.0, + 0.0, + 813.0, + 55.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 110.0, + 104.0, + 979.0, + 699.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 639.0, + 20.0, + 837.0, + 96.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3457af795" + }, + "c34d120a88": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 1154.0, + 457.0, + 1235.0, + 554.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 855.0, + 425.0, + 936.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 1187.0, + 475.0, + 1279.0, + 572.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 1019.0, + 431.0, + 1062.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 955.0, + 490.0, + 976.0, + 519.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 855.0, + 496.0, + 879.0, + 520.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c34d120a88" + }, + "c3509e728d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 484.0, + 425.0, + 781.0, + 598.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 616.0, + 430.0, + 851.0, + 604.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 905.0, + 194.0, + 944.0, + 244.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 464.0, + 420.0, + 793.0, + 572.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 677.0, + 364.0, + 955.0, + 492.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1051.0, + 166.0, + 1092.0, + 220.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 457.0, + 381.0, + 801.0, + 580.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 727.0, + 394.0, + 922.0, + 587.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1216.0, + 122.0, + 1262.0, + 181.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 345.0, + 264.0, + 624.0, + 598.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 637.0, + 465.0, + 934.0, + 607.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1227.0, + 24.0, + 1279.0, + 96.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3509e728d" + }, + "c35e4fa6c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 336.0, + 903.0, + 619.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 232.0, + 961.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 392.0, + 120.0, + 955.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 378.0, + 208.0, + 961.0, + 660.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00085", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c35e4fa6c4" + }, + "c36240d96f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 427.0, + 187.0, + 874.0, + 454.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 154.0, + 326.0, + 384.0, + 578.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 732.0, + 132.0, + 1047.0, + 418.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 426.0, + 349.0, + 714.0, + 593.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 246.0, + 262.0, + 385.0, + 403.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c36240d96f" + }, + "c3641dfc5a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 1.0, + 291.0, + 895.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 10.0, + 270.0, + 799.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 9.0, + 66.0, + 775.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 31.0, + 64.0, + 800.0, + 645.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3641dfc5a" + }, + "c37b17a4a9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 725.0, + 215.0, + 1046.0, + 392.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 442.0, + 369.0, + 743.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 741.0, + 217.0, + 1050.0, + 392.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 443.0, + 363.0, + 750.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 743.0, + 236.0, + 1039.0, + 402.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 479.0, + 462.0, + 746.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 677.0, + 260.0, + 987.0, + 443.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 536.0, + 615.0, + 673.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c37b17a4a9" + }, + "c39559ddf6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 400.0, + 193.0, + 783.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 379.0, + 245.0, + 813.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 393.0, + 269.0, + 855.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 409.0, + 234.0, + 886.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c39559ddf6" + }, + "c3b0c6e180": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 454.0, + 91.0, + 1023.0, + 651.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 358.0, + 127.0, + 965.0, + 658.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 355.0, + 119.0, + 982.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 374.0, + 94.0, + 990.0, + 562.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3b0c6e180" + }, + "c3b3d82e6c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 255.0, + 42.0, + 549.0, + 360.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 368.0, + 590.0, + 759.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 195.0, + 0.0, + 540.0, + 303.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 374.0, + 525.0, + 797.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 104.0, + 0.0, + 250.0, + 13.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 166.0, + 142.0, + 685.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 46.0, + 0.0, + 222.0, + 13.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 192.0, + 0.0, + 933.0, + 699.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3b3d82e6c" + }, + "c3be369fdb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 142.0, + 415.0, + 563.0, + 716.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 919.0, + 69.0, + 1156.0, + 494.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 798.0, + 1.0, + 1009.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 88.0, + 465.0, + 492.0, + 717.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 812.0, + 177.0, + 961.0, + 542.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 731.0, + 1.0, + 1005.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 70.0, + 480.0, + 358.0, + 691.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 553.0, + 236.0, + 787.0, + 504.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 724.0, + 65.0, + 1046.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 185.0, + 482.0, + 393.0, + 652.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 437.0, + 236.0, + 668.0, + 502.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 803.0, + 107.0, + 990.0, + 493.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3be369fdb" + }, + "c3bf1e40c2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 414.0, + 293.0, + 531.0, + 612.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 571.0, + 309.0, + 652.0, + 608.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 680.0, + 345.0, + 768.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 403.0, + 279.0, + 528.0, + 616.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 558.0, + 336.0, + 645.0, + 611.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 678.0, + 363.0, + 756.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 395.0, + 315.0, + 518.0, + 617.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 551.0, + 326.0, + 641.0, + 611.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 667.0, + 334.0, + 744.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 388.0, + 314.0, + 513.0, + 619.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 525.0, + 297.0, + 631.0, + 614.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 656.0, + 342.0, + 739.0, + 609.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3bf1e40c2" + }, + "c3c760b015": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 570.0, + 152.0, + 632.0, + 211.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 533.0, + 171.0, + 592.0, + 236.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 524.0, + 176.0, + 583.0, + 246.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 596.0, + 193.0, + 675.0, + 272.0 + ] + } + } + ], + "frame_names": [ + "00075", + "00090", + "00100", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3c760b015" + }, + "c3dd38bf98": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 1276.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 1272.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 253.0, + 1279.0, + 405.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 286.0, + 1274.0, + 451.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3dd38bf98" + }, + "c3e4274614": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 270.0, + 0.0, + 463.0, + 231.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 879.0, + 113.0, + 1094.0, + 231.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 3.0, + 1.0, + 306.0, + 341.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 605.0, + 222.0, + 820.0, + 339.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1159.0, + 148.0, + 1277.0, + 337.0 + ] + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 1134.0, + 83.0, + 1270.0, + 318.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 56.0, + 1.0, + 315.0, + 300.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 664.0, + 178.0, + 881.0, + 300.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1212.0, + 98.0, + 1277.0, + 295.0 + ] + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 1190.0, + 43.0, + 1279.0, + 282.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 1.0, + 73.0, + 57.0, + 142.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 285.0, + 356.0, + 497.0, + 475.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 828.0, + 277.0, + 1033.0, + 475.0 + ] + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 807.0, + 219.0, + 947.0, + 463.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3e4274614" + }, + "c3edc48cbd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 631.0, + 201.0, + 692.0, + 403.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 639.0, + 388.0, + 689.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 459.0, + 228.0, + 721.0, + 453.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 553.0, + 433.0, + 684.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 379.0, + 175.0, + 531.0, + 597.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 387.0, + 557.0, + 576.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 610.0, + 223.0, + 851.0, + 719.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 839.0, + 404.0, + 1085.0, + 640.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3edc48cbd" + }, + "c41e6587f5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 364.0, + 0.0, + 1030.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 602.0, + 285.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 190.0, + 84.0, + 1113.0, + 678.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 578.0, + 129.0, + 1279.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 210.0, + 3.0, + 1117.0, + 658.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 620.0, + 141.0, + 1279.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 202.0, + 207.0, + 1003.0, + 527.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 735.0, + 188.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c41e6587f5" + }, + "c4272227b0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 568.0, + 380.0, + 1036.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 126.0, + 0.0, + 1005.0, + 690.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 536.0, + 321.0, + 985.0, + 690.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 775.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 592.0, + 320.0, + 985.0, + 693.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 775.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 707.0, + 344.0, + 1053.0, + 717.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 799.0, + 677.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c4272227b0" + }, + "c42917fe82": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 470.0, + 0.0, + 964.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 493.0, + 247.0, + 624.0, + 463.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 443.0, + 0.0, + 1030.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 367.0, + 125.0, + 556.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 451.0, + 0.0, + 996.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 324.0, + 110.0, + 529.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 463.0, + 0.0, + 1026.0, + 662.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 135.0, + 0.0, + 477.0, + 427.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c42917fe82" + }, + "c438858117": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 376.0, + 638.0, + 421.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 579.0, + 410.0, + 611.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 632.0, + 362.0, + 677.0, + 412.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 633.0, + 404.0, + 670.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 700.0, + 286.0, + 763.0, + 382.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 680.0, + 355.0, + 797.0, + 392.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 736.0, + 360.0, + 818.0, + 433.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 710.0, + 430.0, + 803.0, + 443.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c438858117" + }, + "c44676563f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 566.0, + 579.0, + 985.0, + 719.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 367.0, + 101.0, + 826.0, + 393.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 322.0, + 46.0, + 982.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 91.0, + 1225.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 19.0, + 51.0, + 1187.0, + 602.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c44676563f" + }, + "c44beb7472": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 381.0, + 225.0, + 573.0, + 421.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 268.0, + 226.0, + 886.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 431.0, + 221.0, + 754.0, + 436.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 271.0, + 249.0, + 900.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 467.0, + 194.0, + 744.0, + 433.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 287.0, + 243.0, + 909.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 547.0, + 202.0, + 780.0, + 440.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 247.0, + 251.0, + 866.0, + 591.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c44beb7472" + }, + "c45411dacb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 498.0, + 235.0, + 861.0, + 455.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1183.0, + 330.0, + 1279.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 510.0, + 260.0, + 861.0, + 471.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1157.0, + 349.0, + 1279.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 504.0, + 293.0, + 850.0, + 493.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1105.0, + 375.0, + 1279.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 509.0, + 303.0, + 843.0, + 479.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1000.0, + 378.0, + 1188.0, + 438.0 + ] + } + } + ], + "frame_names": [ + "00080", + "00090", + "00100", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c45411dacb" + }, + "c4571bedc8": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 204.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 501.0, + 333.0, + 536.0, + 403.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 608.0, + 303.0, + 680.0, + 348.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 205.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 526.0, + 303.0, + 566.0, + 384.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 643.0, + 274.0, + 685.0, + 288.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 207.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 516.0, + 296.0, + 560.0, + 381.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 670.0, + 204.0, + 727.0, + 227.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 211.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 526.0, + 276.0, + 576.0, + 370.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 656.0, + 162.0, + 721.0, + 228.0 + ] + } + } + ], + "frame_names": [ + "00115", + "00135", + "00145", + "00170" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c4571bedc8" + }, + "c46deb2956": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 1052.0, + 188.0, + 1279.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 994.0, + 186.0, + 1279.0, + 619.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 966.0, + 263.0, + 1279.0, + 681.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 917.0, + 221.0, + 1279.0, + 655.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c46deb2956" + }, + "c479ee052e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 331.0, + 163.0, + 996.0, + 702.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 119.0, + 120.0, + 854.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 97.0, + 125.0, + 798.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 325.0, + 77.0, + 824.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c479ee052e" + }, + "c47d551843": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 510.0, + 76.0, + 845.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 509.0, + 84.0, + 850.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 501.0, + 29.0, + 852.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 487.0, + 22.0, + 840.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c47d551843" + }, + "c49f07d46d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 665.0, + 0.0, + 1279.0, + 534.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 472.0, + 361.0, + 895.0, + 660.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 669.0, + 20.0, + 1279.0, + 545.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 473.0, + 360.0, + 890.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 475.0, + 140.0, + 1271.0, + 440.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 472.0, + 366.0, + 892.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 356.0, + 128.0, + 1278.0, + 428.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 471.0, + 365.0, + 893.0, + 663.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c49f07d46d" + }, + "c4cc40c1fc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 132.0, + 45.0, + 1129.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 64.0, + 0.0, + 1167.0, + 636.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 134.0, + 827.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c4cc40c1fc" + }, + "c4f256f5d5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 521.0, + 260.0, + 1037.0, + 714.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 295.0, + 205.0, + 1033.0, + 496.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 682.0, + 214.0, + 1209.0, + 385.0 + ] + }, + "4": { + "category_name": "lizard", + "bbox": [ + 595.0, + 79.0, + 1222.0, + 288.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 431.0, + 407.0, + 1150.0, + 715.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 217.0, + 172.0, + 892.0, + 510.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 457.0, + 211.0, + 1139.0, + 363.0 + ] + }, + "4": { + "category_name": "lizard", + "bbox": [ + 511.0, + 67.0, + 1158.0, + 280.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 435.0, + 358.0, + 1110.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 214.0, + 189.0, + 893.0, + 531.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 431.0, + 254.0, + 1132.0, + 407.0 + ] + }, + "4": { + "category_name": "lizard", + "bbox": [ + 589.0, + 84.0, + 1195.0, + 274.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 371.0, + 355.0, + 1018.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 106.0, + 201.0, + 815.0, + 562.0 + ] + }, + "3": { + "category_name": "lizard", + "bbox": [ + 362.0, + 258.0, + 1049.0, + 408.0 + ] + }, + "4": { + "category_name": "lizard", + "bbox": [ + 490.0, + 115.0, + 1109.0, + 297.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c4f256f5d5" + }, + "c4f5b1ddcc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 564.0, + 109.0, + 1127.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 564.0, + 86.0, + 1131.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 666.0, + 95.0, + 1096.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 937.0, + 43.0, + 1279.0, + 438.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c4f5b1ddcc" + }, + "c4ff9b4885": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 50.0, + 39.0, + 707.0, + 325.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 686.0, + 126.0, + 1061.0, + 705.0 + ] + }, + "3": { + "category_name": "frog", + "bbox": [ + 943.0, + 198.0, + 1211.0, + 709.0 + ] + }, + "4": { + "category_name": "frog", + "bbox": [ + 424.0, + 289.0, + 919.0, + 719.0 + ] + }, + "5": { + "category_name": "frog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "6": { + "category_name": "frog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 26.0, + 133.0, + 544.0, + 342.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 835.0, + 204.0, + 1047.0, + 689.0 + ] + }, + "3": { + "category_name": "frog", + "bbox": [ + 949.0, + 254.0, + 1231.0, + 719.0 + ] + }, + "4": { + "category_name": "frog", + "bbox": [ + 296.0, + 0.0, + 1001.0, + 712.0 + ] + }, + "5": { + "category_name": "frog", + "bbox": [ + 442.0, + 451.0, + 929.0, + 719.0 + ] + }, + "6": { + "category_name": "frog", + "bbox": [ + 877.0, + 95.0, + 1185.0, + 307.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 4.0, + 123.0, + 568.0, + 328.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 763.0, + 154.0, + 973.0, + 566.0 + ] + }, + "3": { + "category_name": "frog", + "bbox": [ + 943.0, + 251.0, + 1231.0, + 719.0 + ] + }, + "4": { + "category_name": "frog", + "bbox": [ + 338.0, + 0.0, + 1053.0, + 717.0 + ] + }, + "5": { + "category_name": "frog", + "bbox": [ + 564.0, + 418.0, + 909.0, + 719.0 + ] + }, + "6": { + "category_name": "frog", + "bbox": [ + 893.0, + 124.0, + 1231.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 10.0, + 42.0, + 669.0, + 352.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 631.0, + 131.0, + 1057.0, + 708.0 + ] + }, + "3": { + "category_name": "frog", + "bbox": [ + 997.0, + 381.0, + 1225.0, + 719.0 + ] + }, + "4": { + "category_name": "frog", + "bbox": [ + 783.0, + 42.0, + 1207.0, + 416.0 + ] + }, + "5": { + "category_name": "frog", + "bbox": [ + 358.0, + 386.0, + 917.0, + 719.0 + ] + }, + "6": { + "category_name": "frog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c4ff9b4885" + }, + "c52bce43db": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 257.0, + 195.0, + 569.0, + 427.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 647.0, + 0.0, + 1042.0, + 616.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 749.0, + 438.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 256.0, + 208.0, + 577.0, + 431.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 648.0, + 0.0, + 1042.0, + 617.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 748.0, + 443.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 234.0, + 208.0, + 557.0, + 438.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 627.0, + 0.0, + 1075.0, + 620.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 727.0, + 444.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 249.0, + 214.0, + 570.0, + 432.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 653.0, + 0.0, + 1155.0, + 616.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 747.0, + 439.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c52bce43db" + }, + "c544da6854": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 559.0, + 155.0, + 706.0, + 414.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 598.0, + 253.0, + 681.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 603.0, + 108.0, + 718.0, + 338.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 649.0, + 245.0, + 700.0, + 410.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 78.0, + 700.0, + 299.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 560.0, + 167.0, + 690.0, + 391.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 438.0, + 56.0, + 599.0, + 228.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 428.0, + 138.0, + 662.0, + 330.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c544da6854" + }, + "c55784c766": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 659.0, + 264.0, + 776.0, + 452.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 685.0, + 441.0, + 779.0, + 467.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 54.0, + 431.0, + 192.0, + 562.0 + ] + }, + "6": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 552.0, + 190.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 660.0, + 244.0, + 779.0, + 443.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 713.0, + 436.0, + 772.0, + 459.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 124.0, + 411.0, + 260.0, + 538.0 + ] + }, + "6": { + "category_name": "snowboard", + "bbox": [ + 54.0, + 532.0, + 257.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 447.0, + 424.0, + 582.0, + 615.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 491.0, + 613.0, + 522.0, + 647.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 605.0, + 0.0, + 708.0, + 88.0 + ] + }, + "6": { + "category_name": "snowboard", + "bbox": [ + 570.0, + 77.0, + 711.0, + 107.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "6": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c55784c766" + }, + "c557b69fbf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 218.0, + 0.0, + 1111.0, + 576.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 66.0, + 0.0, + 739.0, + 648.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 78.0, + 232.0, + 823.0, + 585.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 468.0, + 153.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 188.0, + 108.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 28.0, + 182.0, + 311.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00050", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c557b69fbf" + }, + "c593a3f7ab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 39.0, + 239.0, + 293.0, + 392.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 51.0, + 232.0, + 306.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 52.0, + 279.0, + 321.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 39.0, + 280.0, + 318.0, + 438.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c593a3f7ab" + }, + "c598faa682": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 673.0, + 338.0, + 847.0, + 697.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 624.0, + 565.0, + 750.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 772.0, + 362.0, + 901.0, + 626.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 838.0, + 566.0, + 904.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 444.0, + 618.0, + 698.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 524.0, + 697.0, + 565.0, + 707.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 452.0, + 437.0, + 592.0, + 692.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 439.0, + 659.0, + 536.0, + 700.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c598faa682" + }, + "c5ab1f09c8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 35.0, + 0.0, + 1207.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 39.0, + 0.0, + 1197.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 7.0, + 0.0, + 1193.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 63.0, + 0.0, + 1202.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c5ab1f09c8" + }, + "c5b6da8602": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 869.0, + 279.0, + 905.0, + 393.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 759.0, + 276.0, + 800.0, + 358.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 694.0, + 281.0, + 738.0, + 385.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 856.0, + 240.0, + 894.0, + 346.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 748.0, + 234.0, + 786.0, + 354.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 682.0, + 241.0, + 727.0, + 326.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 895.0, + 256.0, + 929.0, + 368.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 788.0, + 251.0, + 824.0, + 354.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 722.0, + 257.0, + 766.0, + 368.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 956.0, + 285.0, + 990.0, + 398.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 848.0, + 279.0, + 885.0, + 400.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 782.0, + 285.0, + 824.0, + 382.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c5b6da8602" + }, + "c5b9128d94": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 544.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 287.0, + 271.0, + 585.0, + 502.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 17.0, + 322.0, + 54.0, + 443.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 657.0, + 0.0, + 1279.0, + 687.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 306.0, + 267.0, + 680.0, + 514.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 19.0, + 321.0, + 54.0, + 442.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 809.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 336.0, + 246.0, + 751.0, + 526.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 20.0, + 322.0, + 63.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 1033.0, + 0.0, + 1279.0, + 622.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 366.0, + 226.0, + 835.0, + 538.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 29.0, + 322.0, + 66.0, + 444.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c5b9128d94" + }, + "c5e845c6b7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 337.0, + 90.0, + 587.0, + 323.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 363.0, + 81.0, + 586.0, + 330.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 365.0, + 169.0, + 606.0, + 402.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 405.0, + 138.0, + 605.0, + 406.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c5e845c6b7" + }, + "c5fba7b341": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 31.0, + 90.0, + 1132.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 57.0, + 116.0, + 575.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 47.0, + 139.0, + 1098.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 181.0, + 158.0, + 798.0, + 574.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c5fba7b341" + }, + "c60897f093": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 342.0, + 231.0, + 549.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 523.0, + 120.0, + 771.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 458.0, + 232.0, + 646.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 598.0, + 116.0, + 837.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 449.0, + 201.0, + 631.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 590.0, + 87.0, + 816.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 200.0, + 620.0, + 719.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 579.0, + 85.0, + 804.0, + 598.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c60897f093" + }, + "c61fe6ed7c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 456.0, + 168.0, + 847.0, + 695.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 450.0, + 310.0, + 954.0, + 613.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 413.0, + 385.0, + 979.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 488.0, + 584.0, + 991.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00120", + "00145", + "00155", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c61fe6ed7c" + }, + "c62188c536": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 646.0, + 371.0, + 888.0, + 643.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 367.0, + 390.0, + 457.0, + 506.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 429.0, + 428.0, + 488.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 461.0, + 429.0, + 673.0, + 705.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 320.0, + 435.0, + 402.0, + 552.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 390.0, + 468.0, + 441.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 621.0, + 391.0, + 804.0, + 658.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 516.0, + 398.0, + 590.0, + 512.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 578.0, + 437.0, + 623.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 470.0, + 457.0, + 665.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c62188c536" + }, + "c64035b2e2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 199.0, + 5.0, + 982.0, + 703.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 770.0, + 22.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 117.0, + 78.0, + 914.0, + 719.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 675.0, + 10.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 107.0, + 101.0, + 919.0, + 719.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 622.0, + 49.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 127.0, + 142.0, + 920.0, + 719.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 655.0, + 244.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c64035b2e2" + }, + "c69689f177": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 879.0, + 251.0, + 1163.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 779.0, + 290.0, + 1116.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 793.0, + 318.0, + 980.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 791.0, + 464.0, + 968.0, + 614.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c69689f177" + }, + "c6a12c131f": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 310.0, + 0.0, + 1233.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 978.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 684.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 10.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 786.0, + 51.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00030" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c6a12c131f" + }, + "c6bb6d2d5c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 387.0, + 9.0, + 976.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 349.0, + 57.0, + 958.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 241.0, + 0.0, + 846.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 309.0, + 0.0, + 880.0, + 507.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00075", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c6bb6d2d5c" + }, + "c6c18e860f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 346.0, + 40.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 972.0, + 326.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 369.0, + 84.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 1070.0, + 339.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 330.0, + 114.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 731.0, + 183.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 332.0, + 127.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c6c18e860f" + }, + "c6d9526e0d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 96.0, + 416.0, + 414.0, + 655.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 558.0, + 382.0, + 829.0, + 603.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 687.0, + 283.0, + 953.0, + 523.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 1080.0, + 133.0, + 1273.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 66.0, + 372.0, + 395.0, + 607.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 503.0, + 354.0, + 780.0, + 561.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 631.0, + 279.0, + 927.0, + 481.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 1060.0, + 75.0, + 1275.0, + 361.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 60.0, + 362.0, + 375.0, + 594.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 578.0, + 310.0, + 801.0, + 537.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 738.0, + 246.0, + 918.0, + 467.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 1045.0, + 62.0, + 1273.0, + 348.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 3.0, + 345.0, + 303.0, + 575.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 635.0, + 226.0, + 875.0, + 477.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 631.0, + 185.0, + 906.0, + 388.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 975.0, + 28.0, + 1223.0, + 335.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c6d9526e0d" + }, + "c6e55c33f0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 56.0, + 0.0, + 718.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 149.0, + 0.0, + 769.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 157.0, + 0.0, + 808.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 142.0, + 0.0, + 721.0, + 649.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c6e55c33f0" + }, + "c7030b28bd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 543.0, + 296.0, + 740.0, + 511.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 309.0, + 244.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 578.0, + 272.0, + 803.0, + 521.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 307.0, + 290.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 597.0, + 255.0, + 879.0, + 558.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 308.0, + 321.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 599.0, + 209.0, + 952.0, + 563.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 9.0, + 290.0, + 335.0, + 546.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c7030b28bd" + }, + "c70682c7cc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 444.0, + 254.0, + 601.0, + 424.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 489.0, + 0.0, + 1215.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 442.0, + 289.0, + 677.0, + 438.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 457.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 446.0, + 252.0, + 634.0, + 476.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 365.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 466.0, + 0.0, + 833.0, + 396.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 295.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c70682c7cc" + }, + "c70f9be8c5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 25.0, + 347.0, + 236.0, + 472.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 1.0, + 379.0, + 444.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 222.0, + 262.0, + 414.0, + 373.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 75.0, + 293.0, + 629.0, + 421.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 328.0, + 304.0, + 530.0, + 405.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 171.0, + 348.0, + 744.0, + 449.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 145.0, + 142.0, + 369.0, + 260.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 3.0, + 199.0, + 579.0, + 298.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c70f9be8c5" + }, + "c71f30d7b6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 576.0, + 230.0, + 791.0, + 586.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 542.0, + 249.0, + 771.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 576.0, + 222.0, + 827.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 548.0, + 217.0, + 883.0, + 591.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c71f30d7b6" + }, + "c73c8e747f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 215.0, + 936.0, + 549.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 401.0, + 65.0, + 1136.0, + 556.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 66.0, + 358.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 507.0, + 76.0, + 1230.0, + 537.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 90.0, + 546.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 413.0, + 17.0, + 1208.0, + 554.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 119.0, + 809.0, + 539.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c73c8e747f" + }, + "c760eeb8b3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 606.0, + 0.0, + 854.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 408.0, + 71.0, + 743.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 568.0, + 196.0, + 801.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 540.0, + 163.0, + 829.0, + 510.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c760eeb8b3" + }, + "c7637cab0a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 330.0, + 326.0, + 473.0, + 475.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 385.0, + 329.0, + 524.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 417.0, + 332.0, + 552.0, + 460.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 436.0, + 328.0, + 580.0, + 471.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c7637cab0a" + }, + "c7a1a17308": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 628.0, + 187.0, + 1075.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 591.0, + 142.0, + 968.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 537.0, + 134.0, + 787.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 611.0, + 237.0, + 857.0, + 573.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c7a1a17308" + }, + "c7bf937af5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 701.0, + 466.0, + 774.0, + 584.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 445.0, + 376.0, + 588.0, + 439.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 556.0, + 296.0, + 664.0, + 374.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 799.0, + 450.0, + 874.0, + 558.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 578.0, + 359.0, + 712.0, + 438.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 536.0, + 281.0, + 648.0, + 346.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 826.0, + 448.0, + 902.0, + 553.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 623.0, + 354.0, + 760.0, + 417.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 516.0, + 254.0, + 618.0, + 319.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 882.0, + 452.0, + 958.0, + 568.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 696.0, + 368.0, + 830.0, + 430.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 495.0, + 241.0, + 596.0, + 309.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c7bf937af5" + }, + "c7c2860db3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1005.0, + 461.0, + 1083.0, + 669.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 976.0, + 501.0, + 1014.0, + 527.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 601.0, + 55.0, + 622.0, + 120.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 777.0, + 453.0, + 884.0, + 621.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 736.0, + 456.0, + 781.0, + 500.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 620.0, + 67.0, + 642.0, + 124.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 534.0, + 487.0, + 667.0, + 686.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 555.0, + 535.0, + 575.0, + 556.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 684.0, + 61.0, + 710.0, + 128.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 516.0, + 684.0, + 689.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 690.0, + 80.0, + 713.0, + 137.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c7c2860db3" + }, + "c7cef4aee2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 786.0, + 204.0, + 950.0, + 469.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 792.0, + 229.0, + 892.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 504.0, + 96.0, + 775.0, + 443.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 469.0, + 109.0, + 749.0, + 482.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c7cef4aee2" + }, + "c7ebfc5d57": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 330.0, + 234.0, + 728.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 308.0, + 128.0, + 723.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 134.0, + 203.0, + 655.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 495.0, + 255.0, + 737.0, + 509.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c7ebfc5d57" + }, + "c813dcf13c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 758.0, + 545.0, + 792.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 759.0, + 543.0, + 788.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 749.0, + 544.0, + 779.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 744.0, + 543.0, + 776.0, + 621.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c813dcf13c" + }, + "c82235a49a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 414.0, + 485.0, + 532.0, + 578.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 481.0, + 543.0, + 596.0, + 619.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 365.0, + 565.0, + 516.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 547.0, + 499.0, + 614.0, + 573.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 580.0, + 542.0, + 638.0, + 603.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 510.0, + 569.0, + 598.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 502.0, + 643.0, + 551.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 587.0, + 531.0, + 637.0, + 580.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 530.0, + 551.0, + 619.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 634.0, + 475.0, + 671.0, + 531.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 597.0, + 503.0, + 650.0, + 563.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 574.0, + 539.0, + 671.0, + 591.0 + ] + } + } + ], + "frame_names": [ + "00200", + "00230", + "00240", + "00265" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c82235a49a" + }, + "c82a7619a1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 372.0, + 91.0, + 1026.0, + 672.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 292.0, + 282.0, + 748.0, + 588.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 192.0, + 373.0, + 732.0, + 687.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 1063.0, + 304.0, + 1279.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 267.0, + 378.0, + 749.0, + 621.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 844.0, + 290.0, + 1279.0, + 490.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c82a7619a1" + }, + "c82ecb90cb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 154.0, + 332.0, + 343.0, + 500.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 289.0, + 304.0, + 432.0, + 452.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 403.0, + 383.0, + 560.0, + 466.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 146.0, + 352.0, + 381.0, + 527.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 289.0, + 334.0, + 434.0, + 472.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 407.0, + 403.0, + 570.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 158.0, + 350.0, + 397.0, + 506.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 300.0, + 315.0, + 430.0, + 445.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 413.0, + 398.0, + 576.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 153.0, + 335.0, + 319.0, + 497.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 294.0, + 306.0, + 441.0, + 446.0 + ] + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 390.0, + 374.0, + 569.0, + 466.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c82ecb90cb" + }, + "c844f03dc7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 335.0, + 149.0, + 383.0, + 295.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 270.0, + 191.0, + 473.0, + 345.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 343.0, + 151.0, + 390.0, + 322.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 287.0, + 190.0, + 456.0, + 363.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 118.0, + 495.0, + 281.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 387.0, + 166.0, + 537.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 326.0, + 91.0, + 464.0, + 319.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 351.0, + 151.0, + 453.0, + 417.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c844f03dc7" + }, + "c8557963f3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 415.0, + 170.0, + 965.0, + 401.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 135.0, + 476.0, + 327.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 474.0, + 202.0, + 1026.0, + 461.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 5.0, + 166.0, + 447.0, + 390.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 491.0, + 151.0, + 1116.0, + 444.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 189.0, + 421.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 796.0, + 169.0, + 1279.0, + 434.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 125.0, + 129.0, + 713.0, + 422.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8557963f3" + }, + "c89147e6e8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 298.0, + 53.0, + 1079.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 414.0, + 122.0, + 1195.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 220.0, + 155.0, + 715.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 228.0, + 142.0, + 725.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c89147e6e8" + }, + "c8a46ff0c8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 118.0, + 456.0, + 721.0, + 643.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 450.0, + 403.0, + 803.0, + 647.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 776.0, + 486.0, + 947.0, + 629.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 779.0, + 546.0, + 1191.0, + 665.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 951.0, + 427.0, + 1279.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 454.0, + 476.0, + 631.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 238.0, + 402.0, + 556.0, + 628.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 523.0, + 468.0, + 695.0, + 617.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 526.0, + 521.0, + 923.0, + 633.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 707.0, + 406.0, + 1131.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 453.0, + 274.0, + 634.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 24.0, + 400.0, + 362.0, + 626.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 331.0, + 461.0, + 506.0, + 600.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 335.0, + 512.0, + 719.0, + 624.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 500.0, + 397.0, + 923.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 439.0, + 164.0, + 617.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 404.0, + 250.0, + 610.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 223.0, + 445.0, + 396.0, + 598.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 235.0, + 491.0, + 703.0, + 608.0 + ] + }, + "5": { + "category_name": "sheep", + "bbox": [ + 390.0, + 381.0, + 823.0, + 591.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8a46ff0c8" + }, + "c8ab107dd5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 151.0, + 286.0, + 385.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 137.0, + 283.0, + 390.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 233.0, + 268.0, + 401.0, + 650.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 197.0, + 299.0, + 340.0, + 611.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8ab107dd5" + }, + "c8b869a04a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 655.0, + 553.0, + 677.0, + 589.0 + ] + }, + "2": {}, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 465.0, + 1279.0, + 719.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 648.0, + 550.0, + 674.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 691.0, + 561.0, + 752.0, + 594.0 + ] + }, + "2": {}, + "3": { + "category_name": "sedan", + "bbox": [ + 576.0, + 513.0, + 609.0, + 561.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 669.0, + 504.0, + 747.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 375.0, + 1279.0, + 719.0 + ] + }, + "2": {}, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 580.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8b869a04a" + }, + "c8c7b306a6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 713.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 727.0, + 210.0, + 953.0, + 672.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 701.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 734.0, + 161.0, + 952.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 651.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 737.0, + 145.0, + 957.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 480.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 785.0, + 191.0, + 956.0, + 590.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8c7b306a6" + }, + "c8c8b28781": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 480.0, + 257.0, + 1111.0, + 698.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 406.0, + 0.0, + 925.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 402.0, + 213.0, + 983.0, + 670.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 412.0, + 0.0, + 937.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 486.0, + 336.0, + 1099.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 406.0, + 6.0, + 957.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 622.0, + 368.0, + 1177.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 416.0, + 0.0, + 1015.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8c8b28781" + }, + "c8d79e3163": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 714.0, + 39.0, + 1110.0, + 498.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 396.0, + 149.0, + 764.0, + 609.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 258.0, + 593.0, + 671.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 1169.0, + 481.0, + 1279.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 707.0, + 30.0, + 1081.0, + 424.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 555.0, + 202.0, + 820.0, + 591.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 385.0, + 157.0, + 652.0, + 634.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 1195.0, + 443.0, + 1279.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 761.0, + 53.0, + 1070.0, + 488.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 504.0, + 159.0, + 843.0, + 536.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 333.0, + 255.0, + 687.0, + 685.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 1171.0, + 534.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 754.0, + 121.0, + 1212.0, + 640.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 127.0, + 258.0, + 659.0, + 449.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 345.0, + 296.0, + 750.0, + 719.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 1165.0, + 487.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8d79e3163" + }, + "c8edab0415": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 457.0, + 71.0, + 866.0, + 517.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 520.0, + 488.0, + 672.0, + 539.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 499.0, + 290.0, + 572.0, + 479.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1178.0, + 285.0, + 1279.0, + 562.0 + ] + }, + "4": { + "category_name": "others", + "bbox": [ + 1242.0, + 299.0, + 1279.0, + 332.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 559.0, + 338.0, + 622.0, + 492.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1019.0, + 366.0, + 1126.0, + 616.0 + ] + }, + "4": { + "category_name": "others", + "bbox": [ + 1009.0, + 377.0, + 1052.0, + 406.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 770.0, + 397.0, + 816.0, + 489.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 633.0, + 548.0, + 701.0, + 719.0 + ] + }, + "4": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8edab0415" + }, + "c8f494f416": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 102.0, + 245.0, + 405.0, + 652.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 491.0, + 309.0, + 744.0, + 576.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 810.0, + 246.0, + 1086.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 30.0, + 257.0, + 345.0, + 660.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 432.0, + 310.0, + 650.0, + 576.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 783.0, + 255.0, + 992.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 91.0, + 230.0, + 375.0, + 643.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 508.0, + 292.0, + 759.0, + 553.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 907.0, + 240.0, + 1129.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 94.0, + 228.0, + 366.0, + 652.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 509.0, + 291.0, + 730.0, + 541.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 918.0, + 238.0, + 1141.0, + 591.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8f494f416" + }, + "c8f6cba9fd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 522.0, + 365.0, + 587.0, + 489.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 356.0, + 42.0, + 775.0, + 429.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 733.0, + 319.0, + 787.0, + 374.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 579.0, + 290.0, + 623.0, + 427.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 477.0, + 0.0, + 720.0, + 444.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 836.0, + 199.0, + 903.0, + 256.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 243.0, + 235.0, + 340.0, + 311.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 207.0, + 0.0, + 625.0, + 451.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 639.0, + 22.0, + 698.0, + 84.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 270.0, + 469.0, + 374.0, + 590.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 283.0, + 174.0, + 700.0, + 459.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 626.0, + 99.0, + 686.0, + 157.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8f6cba9fd" + }, + "c909ceea97": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 328.0, + 252.0, + 546.0, + 527.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 584.0, + 286.0, + 739.0, + 494.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 600.0, + 216.0, + 867.0, + 457.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 328.0, + 251.0, + 554.0, + 527.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 594.0, + 281.0, + 748.0, + 494.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 610.0, + 217.0, + 875.0, + 455.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 225.0, + 269.0, + 503.0, + 515.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 608.0, + 271.0, + 758.0, + 481.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 622.0, + 207.0, + 885.0, + 449.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 252.0, + 263.0, + 535.0, + 535.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 644.0, + 291.0, + 794.0, + 501.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 656.0, + 224.0, + 920.0, + 469.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c909ceea97" + }, + "c9188f4980": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 20.0, + 367.0, + 809.0, + 719.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 328.0, + 618.0, + 719.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 380.0, + 289.0, + 829.0, + 719.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 142.0, + 871.0, + 537.0 + ] + }, + "5": { + "category_name": "deer", + "bbox": [ + 356.0, + 65.0, + 685.0, + 304.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 52.0, + 374.0, + 634.0, + 719.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 335.0, + 306.0, + 719.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 374.0, + 300.0, + 827.0, + 719.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 157.0, + 865.0, + 544.0 + ] + }, + "5": { + "category_name": "deer", + "bbox": [ + 256.0, + 80.0, + 683.0, + 321.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 72.0, + 358.0, + 602.0, + 719.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 324.0, + 318.0, + 719.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 376.0, + 290.0, + 893.0, + 719.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 153.0, + 877.0, + 516.0 + ] + }, + "5": { + "category_name": "deer", + "bbox": [ + 310.0, + 119.0, + 570.0, + 224.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 44.0, + 363.0, + 568.0, + 719.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 294.0, + 568.0, + 719.0 + ] + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 160.0, + 841.0, + 539.0 + ] + }, + "5": { + "category_name": "deer", + "bbox": [ + 282.0, + 130.0, + 827.0, + 643.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9188f4980" + }, + "c922365dd4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 250.0, + 1279.0, + 636.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 158.0, + 1279.0, + 600.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 282.0, + 555.0, + 472.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 166.0, + 1279.0, + 609.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 460.0, + 552.0, + 643.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 203.0, + 1279.0, + 619.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 847.0, + 484.0, + 1033.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c922365dd4" + }, + "c92c8c3c75": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 749.0, + 265.0, + 777.0, + 374.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 773.0, + 261.0, + 798.0, + 338.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 784.0, + 328.0, + 837.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 718.0, + 229.0, + 755.0, + 291.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 694.0, + 216.0, + 725.0, + 294.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 705.0, + 275.0, + 747.0, + 370.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 726.0, + 203.0, + 767.0, + 306.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 689.0, + 186.0, + 725.0, + 267.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 700.0, + 247.0, + 740.0, + 339.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 716.0, + 204.0, + 752.0, + 312.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 674.0, + 187.0, + 705.0, + 268.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 678.0, + 249.0, + 719.0, + 340.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c92c8c3c75" + }, + "c937eb0b83": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 352.0, + 0.0, + 827.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 330.0, + 0.0, + 801.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 361.0, + 0.0, + 894.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 127.0, + 0.0, + 712.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c937eb0b83" + }, + "c94b31b5e5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 251.0, + 38.0, + 598.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 138.0, + 72.0, + 933.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 84.0, + 0.0, + 1005.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 216.0, + 12.0, + 1113.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c94b31b5e5" + }, + "c95cd17749": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 354.0, + 188.0, + 1125.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 376.0, + 181.0, + 1159.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 398.0, + 126.0, + 1175.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 400.0, + 161.0, + 1039.0, + 635.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c95cd17749" + }, + "c96379c03c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 233.0, + 0.0, + 612.0, + 693.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 294.0, + 422.0, + 590.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 509.0, + 187.0, + 817.0, + 681.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 622.0, + 529.0, + 711.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 558.0, + 170.0, + 770.0, + 584.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 600.0, + 534.0, + 731.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 596.0, + 184.0, + 741.0, + 566.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c96379c03c" + }, + "c96465ee65": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 136.0, + 79.0, + 833.0, + 440.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 236.0, + 83.0, + 841.0, + 446.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 108.0, + 191.0, + 778.0, + 390.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 232.0, + 82.0, + 858.0, + 441.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 97.0, + 189.0, + 813.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 244.0, + 82.0, + 862.0, + 444.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 101.0, + 179.0, + 807.0, + 393.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c96465ee65" + }, + "c965afa713": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 252.0, + 341.0, + 553.0, + 500.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 392.0, + 343.0, + 481.0, + 391.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 583.0, + 0.0, + 894.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 404.0, + 327.0, + 497.0, + 474.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 1203.0, + 494.0, + 1279.0, + 649.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 541.0, + 25.0, + 844.0, + 469.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 390.0, + 319.0, + 493.0, + 474.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 519.0, + 337.0, + 928.0, + 702.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 520.0, + 0.0, + 806.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 325.0, + 322.0, + 443.0, + 452.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 495.0, + 266.0, + 810.0, + 707.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 481.0, + 0.0, + 793.0, + 417.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c965afa713" + }, + "c9734b451f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1073.0, + 306.0, + 1226.0, + 714.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 214.0, + 285.0, + 290.0, + 450.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 286.0, + 0.0, + 1153.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1103.0, + 346.0, + 1253.0, + 716.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 262.0, + 276.0, + 361.0, + 481.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 280.0, + 0.0, + 1183.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1123.0, + 346.0, + 1271.0, + 714.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 281.0, + 291.0, + 374.0, + 493.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 282.0, + 0.0, + 1213.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1148.0, + 415.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 310.0, + 315.0, + 344.0, + 447.0 + ] + }, + "3": { + "category_name": "truck", + "bbox": [ + 246.0, + 56.0, + 1231.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9734b451f" + }, + "c9862d82dc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 57.0, + 1279.0, + 706.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 90.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 88.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 260.0, + 91.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9862d82dc" + }, + "c98b6fe013": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 369.0, + 92.0, + 948.0, + 286.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 320.0, + 396.0, + 416.0, + 461.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c98b6fe013" + }, + "c9999b7c48": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 645.0, + 101.0, + 820.0, + 441.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 664.0, + 276.0, + 827.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 652.0, + 76.0, + 889.0, + 553.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 682.0, + 302.0, + 862.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 657.0, + 0.0, + 973.0, + 494.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 700.0, + 117.0, + 931.0, + 695.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 777.0, + 6.0, + 1061.0, + 513.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 810.0, + 287.0, + 1057.0, + 514.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9999b7c48" + }, + "c99e92aaf0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 490.0, + 164.0, + 773.0, + 705.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 354.0, + 167.0, + 644.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 505.0, + 192.0, + 806.0, + 682.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 380.0, + 148.0, + 677.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 531.0, + 299.0, + 831.0, + 672.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 401.0, + 149.0, + 709.0, + 650.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 584.0, + 232.0, + 846.0, + 680.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 422.0, + 155.0, + 732.0, + 658.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c99e92aaf0" + }, + "c9b3a8fbda": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 428.0, + 273.0, + 649.0, + 606.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 486.0, + 180.0, + 639.0, + 365.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 288.0, + 241.0, + 456.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 665.0, + 242.0, + 1125.0, + 679.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 478.0, + 137.0, + 733.0, + 639.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 210.0, + 0.0, + 640.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 765.0, + 148.0, + 1205.0, + 655.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 582.0, + 167.0, + 833.0, + 622.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 296.0, + 33.0, + 691.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1047.0, + 228.0, + 1279.0, + 336.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 769.0, + 219.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9b3a8fbda" + }, + "c9bf64e965": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 231.0, + 0.0, + 842.0, + 382.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 284.0, + 429.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 341.0, + 0.0, + 852.0, + 486.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 386.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 279.0, + 0.0, + 802.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 411.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 287.0, + 0.0, + 822.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 336.0, + 161.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9bf64e965" + }, + "c9c3cb3797": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 944.0, + 451.0, + 1122.0, + 671.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 408.0, + 411.0, + 502.0, + 581.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 551.0, + 551.0, + 695.0, + 710.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 847.0, + 428.0, + 1024.0, + 655.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 309.0, + 380.0, + 407.0, + 560.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 454.0, + 532.0, + 599.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 757.0, + 421.0, + 944.0, + 653.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 232.0, + 370.0, + 324.0, + 557.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 373.0, + 533.0, + 514.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 699.0, + 447.0, + 882.0, + 677.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 169.0, + 386.0, + 260.0, + 576.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 311.0, + 554.0, + 450.0, + 711.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9c3cb3797" + }, + "c9d1c60cd0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 955.0, + 507.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 744.0, + 347.0, + 1110.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 687.0, + 451.0, + 1110.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 725.0, + 267.0, + 963.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9d1c60cd0" + }, + "c9de9c22c4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 1070.0, + 226.0, + 1231.0, + 290.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 315.0, + 365.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 777.0, + 323.0, + 913.0, + 383.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 467.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 889.0, + 225.0, + 1029.0, + 291.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 307.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 853.0, + 212.0, + 997.0, + 272.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 296.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00085", + "00110", + "00130", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9de9c22c4" + }, + "ca1828fa54": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 341.0, + 139.0, + 860.0, + 693.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 383.0, + 89.0, + 948.0, + 647.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 360.0, + 143.0, + 879.0, + 694.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 412.0, + 78.0, + 913.0, + 644.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 412.0, + 132.0, + 805.0, + 707.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 372.0, + 76.0, + 849.0, + 594.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 1051.0, + 481.0, + 1237.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 302.0, + 192.0, + 823.0, + 700.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 361.0, + 113.0, + 841.0, + 586.0 + ] + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ca1828fa54" + }, + "ca346f17eb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 625.0, + 301.0, + 726.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 602.0, + 387.0, + 654.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 676.0, + 407.0, + 722.0, + 473.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 675.0, + 446.0, + 711.0, + 524.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ca346f17eb" + }, + "ca3787d3d3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 364.0, + 165.0, + 803.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 372.0, + 190.0, + 769.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 496.0, + 115.0, + 859.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ca3787d3d3" + }, + "ca4b99cbac": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 439.0, + 144.0, + 773.0, + 589.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 346.0, + 143.0, + 756.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 309.0, + 120.0, + 908.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 253.0, + 139.0, + 938.0, + 539.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ca4b99cbac" + }, + "ca91c69e3b": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 192.0, + 110.0, + 859.0, + 587.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 446.0, + 8.0, + 1249.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 202.0, + 115.0, + 915.0, + 592.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 348.0, + 10.0, + 1251.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 184.0, + 122.0, + 887.0, + 630.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 296.0, + 7.0, + 1257.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 108.0, + 139.0, + 811.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 234.0, + 55.0, + 1221.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00020", + "00030", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ca91c69e3b" + }, + "caa8e97f81": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 799.0, + 503.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 717.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 815.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 771.0, + 551.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/caa8e97f81" + }, + "caac5807f8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 344.0, + 313.0, + 917.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 366.0, + 264.0, + 1027.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 56.0, + 287.0, + 863.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 140.0, + 310.0, + 955.0, + 667.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/caac5807f8" + }, + "cabba242c2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1185.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1133.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1101.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00120", + "00155", + "00165", + "00190" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cabba242c2" + }, + "cad5a656a9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 668.0, + 287.0, + 977.0, + 410.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 670.0, + 293.0, + 986.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 596.0, + 296.0, + 909.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 629.0, + 283.0, + 789.0, + 369.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cad5a656a9" + }, + "cad673e375": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 458.0, + 237.0, + 829.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 443.0, + 217.0, + 838.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 524.0, + 177.0, + 848.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 641.0, + 154.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cad673e375" + }, + "cad8a85930": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parachute", + "bbox": [ + 286.0, + 101.0, + 923.0, + 358.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 487.0, + 544.0, + 605.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 221.0, + 157.0, + 818.0, + 432.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 469.0, + 538.0, + 571.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 244.0, + 156.0, + 825.0, + 419.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 502.0, + 513.0, + 585.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 377.0, + 142.0, + 855.0, + 306.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 578.0, + 434.0, + 650.0, + 527.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cad8a85930" + }, + "cae7b0a02b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 68.0, + 25.0, + 604.0, + 352.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 81.0, + 86.0, + 613.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 86.0, + 132.0, + 626.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 98.0, + 201.0, + 645.0, + 527.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cae7b0a02b" + }, + "cae7ef3184": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 176.0, + 619.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 33.0, + 646.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 27.0, + 589.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 99.0, + 782.0, + 567.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cae7ef3184" + }, + "caeb6b6cbb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 789.0, + 162.0, + 1018.0, + 266.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 786.0, + 155.0, + 918.0, + 236.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 763.0, + 165.0, + 855.0, + 242.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 732.0, + 195.0, + 797.0, + 251.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/caeb6b6cbb" + }, + "caecf0a5db": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 88.0, + 138.0, + 204.0, + 259.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 207.0, + 178.0, + 292.0, + 218.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 26.0, + 138.0, + 214.0, + 239.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 188.0, + 179.0, + 258.0, + 212.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 1.0, + 83.0, + 109.0, + 255.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 138.0, + 160.0, + 191.0, + 202.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 1.0, + 156.0, + 157.0, + 264.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 190.0, + 198.0, + 282.0, + 232.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/caecf0a5db" + }, + "cb15312003": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 348.0, + 183.0, + 457.0, + 283.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 319.0, + 172.0, + 462.0, + 313.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 277.0, + 152.0, + 521.0, + 300.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 335.0, + 159.0, + 556.0, + 292.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cb15312003" + }, + "cb2e35d610": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 154.0, + 0.0, + 866.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 154.0, + 0.0, + 859.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 175.0, + 0.0, + 884.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 154.0, + 0.0, + 876.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cb2e35d610" + }, + "cb35a87504": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 464.0, + 442.0, + 642.0, + 597.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 95.0, + 437.0, + 337.0, + 625.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 960.0, + 373.0, + 1171.0, + 463.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 647.0, + 352.0, + 795.0, + 430.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cb35a87504" + }, + "cb3f22b0cf": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 0.0, + 534.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 199.0, + 250.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 0.0, + 753.0, + 615.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 195.0, + 0.0, + 1279.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 0.0, + 875.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 666.0, + 191.0, + 1279.0, + 694.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 256.0, + 0.0, + 1195.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cb3f22b0cf" + }, + "cbb410da64": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 621.0, + 198.0, + 655.0, + 254.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 627.0, + 197.0, + 662.0, + 254.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 637.0, + 194.0, + 670.0, + 255.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 666.0, + 191.0, + 701.0, + 247.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cbb410da64" + }, + "cc8728052e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 310.0, + 41.0, + 988.0, + 380.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 314.0, + 39.0, + 863.0, + 382.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 319.0, + 46.0, + 817.0, + 386.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 308.0, + 0.0, + 812.0, + 393.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cc8728052e" + }, + "cc892997b8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 71.0, + 679.0, + 546.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 675.0, + 149.0, + 1279.0, + 654.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 41.0, + 940.0, + 599.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 914.0, + 209.0, + 1279.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 44.0, + 1073.0, + 682.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 1047.0, + 343.0, + 1279.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 75.0, + 1066.0, + 617.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cc892997b8" + }, + "cce03c2a9b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 163.0, + 0.0, + 758.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 649.0, + 585.0, + 827.0, + 702.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 201.0, + 0.0, + 784.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 666.0, + 580.0, + 839.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 197.0, + 3.0, + 768.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 689.0, + 587.0, + 842.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 199.0, + 0.0, + 774.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 662.0, + 606.0, + 839.0, + 700.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cce03c2a9b" + }, + "cd47a23e31": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 51.0, + 247.0, + 816.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 20.0, + 1032.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 81.0, + 273.0, + 808.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 40.0, + 1008.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 97.0, + 279.0, + 832.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 35.0, + 1024.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 95.0, + 253.0, + 912.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 45.0, + 1056.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cd47a23e31" + }, + "cd4dc03dc0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 879.0, + 61.0, + 1033.0, + 400.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 895.0, + 378.0, + 1016.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 695.0, + 12.0, + 1071.0, + 402.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 744.0, + 377.0, + 890.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 658.0, + 104.0, + 789.0, + 462.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 676.0, + 451.0, + 793.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 738.0, + 128.0, + 829.0, + 416.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 763.0, + 408.0, + 833.0, + 438.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cd4dc03dc0" + }, + "cd5ae611da": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 613.0, + 230.0, + 659.0, + 355.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 660.0, + 225.0, + 712.0, + 376.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 713.0, + 222.0, + 771.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 177.0, + 659.0, + 277.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 658.0, + 170.0, + 703.0, + 302.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 702.0, + 165.0, + 753.0, + 306.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 595.0, + 164.0, + 632.0, + 271.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 630.0, + 154.0, + 670.0, + 292.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 662.0, + 152.0, + 715.0, + 304.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 657.0, + 212.0, + 690.0, + 302.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 695.0, + 206.0, + 719.0, + 297.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 708.0, + 203.0, + 749.0, + 308.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cd5ae611da" + }, + "cd603bb9d1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 476.0, + 117.0, + 631.0, + 399.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 621.0, + 295.0, + 683.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 632.0, + 263.0, + 765.0, + 435.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 666.0, + 412.0, + 729.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 559.0, + 137.0, + 659.0, + 286.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 603.0, + 280.0, + 661.0, + 298.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 558.0, + 121.0, + 662.0, + 253.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 639.0, + 223.0, + 668.0, + 257.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cd603bb9d1" + }, + "cd8f49734c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 722.0, + 389.0, + 857.0, + 464.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 604.0, + 328.0, + 831.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 573.0, + 240.0, + 756.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 611.0, + 294.0, + 919.0, + 519.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cd8f49734c" + }, + "cdc6b1c032": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 377.0, + 249.0, + 648.0, + 687.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 124.0, + 411.0, + 691.0, + 719.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 854.0, + 584.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 301.0, + 231.0, + 578.0, + 719.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 19.0, + 277.0, + 663.0, + 707.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 847.0, + 583.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 62.0, + 366.0, + 234.0, + 674.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 863.0, + 585.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 134.0, + 175.0, + 571.0, + 440.0 + ] + }, + "2": { + "category_name": "bike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sign", + "bbox": [ + 861.0, + 583.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cdc6b1c032" + }, + "cdcfe008ad": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 22 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 906.0, + 376.0, + 1247.0, + 616.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 896.0, + 355.0, + 1205.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 833.0, + 234.0, + 1084.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 741.0, + 349.0, + 1062.0, + 436.0 + ] + } + } + ], + "frame_names": [ + "00050", + "00065", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cdcfe008ad" + }, + "cdd57027c2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 388.0, + 122.0, + 590.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 267.0, + 169.0, + 578.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 280.0, + 74.0, + 640.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 251.0, + 78.0, + 721.0, + 625.0 + ] + } + } + ], + "frame_names": [ + "00065", + "00080", + "00105", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cdd57027c2" + }, + "ce1af99b4b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 573.0, + 186.0, + 954.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 419.0, + 213.0, + 792.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 425.0, + 215.0, + 794.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 361.0, + 207.0, + 732.0, + 506.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce1af99b4b" + }, + "ce1bc5743a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 630.0, + 365.0, + 838.0, + 475.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 762.0, + 435.0, + 841.0, + 480.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 929.0, + 409.0, + 1083.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 528.0, + 374.0, + 763.0, + 500.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 669.0, + 467.0, + 785.0, + 504.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 1044.0, + 438.0, + 1145.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 531.0, + 379.0, + 749.0, + 519.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 655.0, + 464.0, + 806.0, + 520.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 1157.0, + 462.0, + 1279.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 592.0, + 379.0, + 689.0, + 526.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce1bc5743a" + }, + "ce25872021": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 436.0, + 255.0, + 778.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 449.0, + 249.0, + 779.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 436.0, + 236.0, + 799.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 447.0, + 235.0, + 809.0, + 575.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce25872021" + }, + "ce2776f78f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 232.0, + 92.0, + 858.0, + 403.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 403.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 215.0, + 118.0, + 840.0, + 403.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 403.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 233.0, + 49.0, + 881.0, + 342.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 403.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 273.0, + 71.0, + 915.0, + 403.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 403.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce2776f78f" + }, + "ce49b1f474": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 931.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 927.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 1059.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 1107.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce49b1f474" + }, + "ce4f0a266f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 756.0, + 249.0, + 910.0, + 442.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 110.0, + 2.0, + 703.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 744.0, + 236.0, + 906.0, + 427.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 121.0, + 0.0, + 717.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 704.0, + 256.0, + 914.0, + 444.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 108.0, + 4.0, + 706.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 704.0, + 259.0, + 898.0, + 435.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 106.0, + 0.0, + 700.0, + 596.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce4f0a266f" + }, + "ce5641b195": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 120.0, + 0.0, + 770.0, + 570.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 466.0, + 0.0, + 897.0, + 348.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 147.0, + 0.0, + 807.0, + 597.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 537.0, + 0.0, + 991.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 146.0, + 0.0, + 821.0, + 579.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 391.0, + 0.0, + 992.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 168.0, + 0.0, + 830.0, + 587.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 599.0, + 0.0, + 1235.0, + 437.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce5641b195" + }, + "ce6866aa19": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 237.0, + 661.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 218.0, + 640.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 109.0, + 640.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 97.0, + 636.0, + 538.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce6866aa19" + }, + "ce712ed3c9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 434.0, + 24.0, + 648.0, + 445.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 549.0, + 354.0, + 960.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 196.0, + 12.0, + 395.0, + 446.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 449.0, + 358.0, + 877.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 0.0, + 45.0, + 118.0, + 435.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 346.0, + 330.0, + 812.0, + 679.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 314.0, + 355.0, + 796.0, + 708.0 + ] + } + } + ], + "frame_names": [ + "00100", + "00110", + "00130", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce712ed3c9" + }, + "ce7d1c8117": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 486.0, + 151.0, + 823.0, + 489.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 23.0, + 0.0, + 945.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 396.0, + 83.0, + 945.0, + 508.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 104.0, + 0.0, + 1017.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 368.0, + 90.0, + 785.0, + 537.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 641.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 414.0, + 69.0, + 735.0, + 550.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce7d1c8117" + }, + "ce7dbeaa88": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 487.0, + 256.0, + 707.0, + 308.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 352.0, + 258.0, + 789.0, + 339.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 649.0, + 246.0, + 857.0, + 314.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 487.0, + 323.0, + 719.0, + 361.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce7dbeaa88" + }, + "ce9b015a5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 120.0, + 219.0, + 402.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 185.0, + 139.0, + 402.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 96.0, + 152.0, + 404.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 253.0, + 405.0, + 548.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce9b015a5e" + }, + "cea7697b25": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 54.0, + 0.0, + 753.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 619.0, + 103.0, + 845.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 939.0, + 85.0, + 1225.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 190.0, + 0.0, + 799.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 694.0, + 132.0, + 863.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 995.0, + 116.0, + 1279.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 182.0, + 512.0, + 256.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 396.0, + 0.0, + 873.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1057.0, + 85.0, + 1279.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 169.0, + 362.0, + 443.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 799.0, + 0.0, + 1123.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 562.0, + 0.0, + 755.0, + 672.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 320.0, + 345.0, + 641.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00075", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cea7697b25" + }, + "cebbd826cf": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 758.0, + 325.0, + 1244.0, + 542.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 768.0, + 327.0, + 1212.0, + 541.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 774.0, + 323.0, + 1228.0, + 542.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 0.0, + 217.0, + 39.0, + 269.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 774.0, + 318.0, + 1236.0, + 542.0 + ] + }, + "2": { + "category_name": "camel", + "bbox": [ + 0.0, + 177.0, + 315.0, + 604.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cebbd826cf" + }, + "cec3415361": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 380.0, + 135.0, + 877.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 469.0, + 189.0, + 981.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 533.0, + 193.0, + 1089.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 352.0, + 0.0, + 838.0, + 544.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cec3415361" + }, + "cec41ad4f4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 534.0, + 203.0, + 611.0, + 367.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 583.0, + 182.0, + 670.0, + 372.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 557.0, + 184.0, + 643.0, + 378.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 474.0, + 158.0, + 584.0, + 374.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cec41ad4f4" + }, + "ced49d26df": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 507.0, + 169.0, + 621.0, + 372.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 511.0, + 218.0, + 582.0, + 363.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 483.0, + 274.0, + 522.0, + 352.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 484.0, + 280.0, + 520.0, + 353.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ced49d26df" + }, + "ced7705ab2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 468.0, + 0.0, + 944.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 73.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 327.0, + 0.0, + 933.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 77.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 374.0, + 0.0, + 1061.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 44.0, + 108.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 388.0, + 0.0, + 1124.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 71.0, + 116.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ced7705ab2" + }, + "cef824a1e1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 518.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 492.0, + 15.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 478.0, + 40.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 574.0, + 285.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cef824a1e1" + }, + "cf13f5c95a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 452.0, + 141.0, + 979.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 935.0, + 0.0, + 1279.0, + 273.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 1251.0, + 73.0, + 1269.0, + 94.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cf13f5c95a" + }, + "cf4376a52d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 166.0, + 927.0, + 630.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 843.0, + 254.0, + 951.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 151.0, + 947.0, + 631.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 851.0, + 250.0, + 955.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 127.0, + 867.0, + 625.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 776.0, + 229.0, + 894.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 56.0, + 825.0, + 568.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 723.0, + 152.0, + 863.0, + 372.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cf4376a52d" + }, + "cf85ab28b5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 439.0, + 90.0, + 608.0, + 256.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 482.0, + 155.0, + 959.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 171.0, + 155.0, + 381.0, + 354.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 280.0, + 88.0, + 796.0, + 454.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 26.0, + 310.0, + 152.0, + 421.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 323.0, + 244.0, + 645.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 31.0, + 328.0, + 178.0, + 449.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 360.0, + 276.0, + 569.0, + 487.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cf85ab28b5" + }, + "cfc2e50b9d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 371.0, + 0.0, + 1076.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 367.0, + 0.0, + 1072.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 371.0, + 0.0, + 1092.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 365.0, + 0.0, + 1076.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cfc2e50b9d" + }, + "cfcd571fff": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 63.0, + 10.0, + 810.0, + 588.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 981.0, + 336.0, + 1279.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 72.0, + 0.0, + 808.0, + 473.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 898.0, + 271.0, + 1279.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 736.0, + 527.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 973.0, + 260.0, + 1279.0, + 524.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 48.0, + 0.0, + 710.0, + 577.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 1010.0, + 319.0, + 1279.0, + 553.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cfcd571fff" + }, + "cfd9d4ae47": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 428.0, + 311.0, + 715.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 430.0, + 313.0, + 745.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 532.0, + 279.0, + 715.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 540.0, + 231.0, + 765.0, + 491.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cfd9d4ae47" + }, + "cfda2dcce5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 587.0, + 251.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 323.0, + 361.0, + 658.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 127.0, + 154.0, + 746.0, + 594.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cfda2dcce5" + }, + "cff035928b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 425.0, + 226.0, + 553.0, + 516.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 509.0, + 279.0, + 717.0, + 436.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 994.0, + 531.0, + 1256.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 492.0, + 221.0, + 647.0, + 475.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 471.0, + 284.0, + 786.0, + 475.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1017.0, + 531.0, + 1251.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 490.0, + 220.0, + 653.0, + 512.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 480.0, + 288.0, + 805.0, + 492.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1022.0, + 532.0, + 1253.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 474.0, + 226.0, + 583.0, + 518.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 679.0, + 295.0, + 866.0, + 436.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1024.0, + 530.0, + 1260.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cff035928b" + }, + "d01608c2a5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 718.0, + 244.0, + 1057.0, + 525.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 309.0, + 293.0, + 723.0, + 517.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 0.0, + 244.0, + 342.0, + 575.0 + ] + }, + "4": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 811.0, + 231.0, + 1190.0, + 553.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 133.0, + 267.0, + 739.0, + 562.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 0.0, + 237.0, + 181.0, + 643.0 + ] + }, + "4": { + "category_name": "bus", + "bbox": [ + 756.0, + 322.0, + 815.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 811.0, + 192.0, + 1233.0, + 547.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 215.0, + 642.0, + 589.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "bus", + "bbox": [ + 663.0, + 284.0, + 817.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 803.0, + 137.0, + 1238.0, + 508.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 152.0, + 577.0, + 556.0 + ] + }, + "3": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "bus", + "bbox": [ + 604.0, + 224.0, + 808.0, + 441.0 + ] + } + } + ], + "frame_names": [ + "00215", + "00245", + "00265", + "00275" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d01608c2a5" + }, + "d01a8f1f83": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 611.0, + 473.0, + 854.0, + 536.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 526.0, + 77.0, + 838.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 546.0, + 319.0, + 704.0, + 427.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 486.0, + 1.0, + 681.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 585.0, + 387.0, + 651.0, + 424.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 567.0, + 238.0, + 686.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 657.0, + 198.0, + 691.0, + 282.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 601.0, + 134.0, + 685.0, + 283.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d01a8f1f83" + }, + "d021d68bca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 19.0, + 316.0, + 545.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 312.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 327.0, + 712.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 478.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 217.0, + 299.0, + 782.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 540.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 279.0, + 438.0, + 760.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 561.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00085", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d021d68bca" + }, + "d04258ca14": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 525.0, + 76.0, + 796.0, + 546.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 651.0, + 279.0, + 882.0, + 541.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 826.0, + 174.0, + 894.0, + 311.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 525.0, + 115.0, + 762.0, + 546.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 749.0, + 283.0, + 896.0, + 495.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 852.0, + 156.0, + 910.0, + 296.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 533.0, + 91.0, + 800.0, + 541.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 755.0, + 237.0, + 892.0, + 507.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 539.0, + 107.0, + 806.0, + 546.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 793.0, + 220.0, + 898.0, + 498.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d04258ca14" + }, + "d0483573dc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 511.0, + 0.0, + 1279.0, + 346.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 920.0, + 543.0, + 1158.0, + 718.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 471.0, + 0.0, + 1279.0, + 306.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 874.0, + 543.0, + 1112.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 361.0, + 149.0, + 1279.0, + 390.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 662.0, + 587.0, + 888.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 373.0, + 204.0, + 1279.0, + 488.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0483573dc" + }, + "d04a90aaff": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 100.0, + 937.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 539.0, + 0.0, + 1229.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 69.0, + 901.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 564.0, + 0.0, + 1216.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 17.0, + 908.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 511.0, + 0.0, + 1262.0, + 695.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 935.0, + 710.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 758.0, + 0.0, + 1213.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d04a90aaff" + }, + "d05279c0bd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 121.0, + 0.0, + 997.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 216.0, + 0.0, + 773.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 445.0, + 29.0, + 894.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 392.0, + 0.0, + 728.0, + 692.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d05279c0bd" + }, + "d0696bd5fc": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 687.0, + 184.0, + 928.0, + 633.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 519.0, + 154.0, + 670.0, + 369.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 295.0, + 130.0, + 419.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 690.0, + 229.0, + 943.0, + 638.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 487.0, + 200.0, + 643.0, + 445.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 263.0, + 183.0, + 410.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 680.0, + 238.0, + 941.0, + 687.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 474.0, + 211.0, + 634.0, + 475.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 250.0, + 198.0, + 399.0, + 537.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 663.0, + 205.0, + 942.0, + 691.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 377.0, + 176.0, + 545.0, + 446.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 190.0, + 166.0, + 381.0, + 584.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0696bd5fc" + }, + "d072fda75b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 391.0, + 337.0, + 702.0, + 697.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 332.0, + 301.0, + 785.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 386.0, + 330.0, + 758.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 401.0, + 303.0, + 760.0, + 613.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d072fda75b" + }, + "d0a83bcd9f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 4.0, + 832.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 480.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 20.0, + 659.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 69.0, + 771.0, + 612.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0a83bcd9f" + }, + "d0ab39112e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 249.0, + 56.0, + 1024.0, + 697.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 353.0, + 53.0, + 1038.0, + 702.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 272.0, + 72.0, + 1025.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 270.0, + 119.0, + 1023.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0ab39112e" + }, + "d0acde820f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 705.0, + 196.0, + 968.0, + 659.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 264.0, + 0.0, + 402.0, + 290.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 717.0, + 257.0, + 1003.0, + 715.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 211.0, + 23.0, + 348.0, + 340.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 790.0, + 275.0, + 1111.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 222.0, + 28.0, + 386.0, + 350.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 776.0, + 281.0, + 1124.0, + 719.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 131.0, + 32.0, + 306.0, + 365.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0acde820f" + }, + "d0b4442c71": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 364.0, + 152.0, + 985.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 392.0, + 110.0, + 947.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 378.0, + 96.0, + 937.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 382.0, + 94.0, + 997.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0b4442c71" + }, + "d0c65e9e95": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 373.0, + 89.0, + 666.0, + 719.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 194.0, + 130.0, + 393.0, + 286.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 309.0, + 79.0, + 774.0, + 705.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 211.0, + 115.0, + 401.0, + 245.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 441.0, + 79.0, + 734.0, + 455.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 287.0, + 124.0, + 445.0, + 331.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 443.0, + 64.0, + 700.0, + 397.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 283.0, + 90.0, + 446.0, + 344.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0c65e9e95" + }, + "d0fb600c73": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 58.0, + 280.0, + 642.0, + 602.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 45.0, + 236.0, + 602.0, + 604.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 703.0, + 222.0, + 754.0, + 351.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 35.0, + 235.0, + 612.0, + 576.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 605.0, + 211.0, + 754.0, + 463.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 260.0, + 239.0, + 652.0, + 575.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 704.0, + 227.0, + 756.0, + 458.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0fb600c73" + }, + "d107a1457c": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 481.0, + 225.0, + 663.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 477.0, + 184.0, + 656.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 471.0, + 91.0, + 650.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 457.0, + 60.0, + 650.0, + 508.0 + ] + } + } + ], + "frame_names": [ + "00075", + "00085", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d107a1457c" + }, + "d123d674c1": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 1210.0, + 291.0, + 1249.0, + 318.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1183.0, + 314.0, + 1279.0, + 616.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 1101.0, + 299.0, + 1134.0, + 319.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1075.0, + 316.0, + 1162.0, + 575.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 909.0, + 311.0, + 928.0, + 324.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 892.0, + 323.0, + 949.0, + 499.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 382.0, + 80.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 722.0, + 315.0, + 736.0, + 324.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 711.0, + 324.0, + 748.0, + 437.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 332.0, + 163.0, + 437.0 + ] + } + } + ], + "frame_names": [ + "00225", + "00230", + "00240", + "00260" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d123d674c1" + }, + "d14d1e9289": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 309.0, + 20.0, + 942.0, + 517.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 5.0, + 282.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 296.0, + 6.0, + 967.0, + 529.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 26.0, + 2.0, + 318.0, + 718.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 262.0, + 0.0, + 954.0, + 542.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 30.0, + 19.0, + 333.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 254.0, + 0.0, + 952.0, + 550.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 20.0, + 23.0, + 340.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d14d1e9289" + }, + "d154e3388e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 162.0, + 0.0, + 640.0, + 609.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 423.0, + 103.0, + 935.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 72.0, + 28.0, + 468.0, + 663.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 416.0, + 0.0, + 913.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 50.0, + 130.0, + 430.0, + 681.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 416.0, + 8.0, + 911.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 28.0, + 598.0, + 454.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 492.0, + 59.0, + 909.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d154e3388e" + }, + "d177e9878a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 433.0, + 260.0, + 463.0, + 282.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 355.0, + 253.0, + 382.0, + 274.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 307.0, + 251.0, + 331.0, + 271.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 330.0, + 252.0, + 352.0, + 275.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 284.0, + 247.0, + 306.0, + 270.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 247.0, + 245.0, + 263.0, + 257.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 292.0, + 230.0, + 318.0, + 261.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 236.0, + 218.0, + 264.0, + 244.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 211.0, + 216.0, + 237.0, + 234.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 302.0, + 218.0, + 329.0, + 245.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 241.0, + 203.0, + 269.0, + 224.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 212.0, + 205.0, + 237.0, + 222.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d177e9878a" + }, + "d1802f69f8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 31.0, + 332.0, + 578.0, + 612.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 668.0, + 208.0, + 1025.0, + 334.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 271.0, + 345.0, + 714.0, + 579.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 439.0, + 345.0, + 704.0, + 460.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 526.0, + 223.0, + 723.0, + 341.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "parachute", + "bbox": [ + 694.0, + 139.0, + 718.0, + 190.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d1802f69f8" + }, + "d182c4483a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 606.0, + 576.0, + 849.0, + 634.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 480.0, + 172.0, + 803.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 601.0, + 576.0, + 709.0, + 638.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 504.0, + 135.0, + 807.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 522.0, + 528.0, + 668.0, + 598.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 514.0, + 167.0, + 667.0, + 574.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 536.0, + 519.0, + 711.0, + 585.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 458.0, + 132.0, + 765.0, + 539.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d182c4483a" + }, + "d195d31128": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 290.0, + 160.0, + 1009.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 376.0, + 177.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 308.0, + 22.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 311.0, + 14.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d195d31128" + }, + "d200838929": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 601.0, + 46.0, + 1146.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 398.0, + 204.0, + 993.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 412.0, + 197.0, + 1015.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 278.0, + 19.0, + 1077.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d200838929" + }, + "d205e3cff5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 651.0, + 276.0, + 915.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 662.0, + 289.0, + 937.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 611.0, + 311.0, + 981.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 642.0, + 65.0, + 934.0, + 570.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d205e3cff5" + }, + "d247420c4c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 648.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 823.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 637.0, + 709.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 518.0, + 714.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d247420c4c" + }, + "d2484bff33": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 220.0, + 201.0, + 573.0, + 286.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 233.0, + 199.0, + 583.0, + 283.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 241.0, + 201.0, + 591.0, + 287.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 256.0, + 204.0, + 613.0, + 291.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d2484bff33" + }, + "d26f6ed9b0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 445.0, + 88.0, + 718.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 514.0, + 57.0, + 794.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 485.0, + 54.0, + 758.0, + 421.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 515.0, + 173.0, + 862.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d26f6ed9b0" + }, + "d280fcd1cb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 552.0, + 322.0, + 1016.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 580.0, + 322.0, + 1046.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 604.0, + 327.0, + 1074.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 610.0, + 329.0, + 1076.0, + 562.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d280fcd1cb" + }, + "d2857f0faa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 427.0, + 184.0, + 832.0, + 596.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 475.0, + 263.0, + 662.0, + 455.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 419.0, + 176.0, + 826.0, + 595.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 470.0, + 263.0, + 655.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 409.0, + 228.0, + 836.0, + 641.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 463.0, + 310.0, + 639.0, + 503.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 408.0, + 272.0, + 830.0, + 687.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 459.0, + 353.0, + 619.0, + 542.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d2857f0faa" + }, + "d295ea2dc7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 254.0, + 320.0, + 633.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 101.0, + 316.0, + 476.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 360.0, + 281.0, + 738.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 339.0, + 186.0, + 721.0, + 397.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d295ea2dc7" + }, + "d2a58b4fa6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 454.0, + 127.0, + 795.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 786.0, + 337.0, + 846.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 444.0, + 138.0, + 781.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 784.0, + 345.0, + 838.0, + 439.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 428.0, + 56.0, + 831.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 944.0, + 275.0, + 1008.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 354.0, + 20.0, + 945.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 1064.0, + 249.0, + 1134.0, + 385.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d2a58b4fa6" + }, + "d2b026739a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 681.0, + 215.0, + 1198.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 640.0, + 183.0, + 1097.0, + 373.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 642.0, + 249.0, + 1042.0, + 408.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 615.0, + 223.0, + 1016.0, + 390.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d2b026739a" + }, + "d2ebe0890f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 47.0, + 917.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 98.0, + 970.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 268.0, + 0.0, + 1017.0, + 366.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 229.0, + 144.0, + 1015.0, + 450.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d2ebe0890f" + }, + "d2ede5d862": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 515.0, + 304.0, + 649.0, + 500.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 583.0, + 397.0, + 980.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 432.0, + 297.0, + 565.0, + 495.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 512.0, + 403.0, + 954.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 167.0, + 268.0, + 311.0, + 475.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 241.0, + 341.0, + 635.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 277.0, + 76.0, + 429.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 13.0, + 314.0, + 440.0, + 445.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d2ede5d862" + }, + "d301ca58cc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 807.0, + 180.0, + 1210.0, + 667.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 432.0, + 26.0, + 553.0, + 231.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 845.0, + 22.0, + 964.0, + 69.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 823.0, + 205.0, + 1226.0, + 699.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 405.0, + 2.0, + 619.0, + 183.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 812.0, + 35.0, + 1014.0, + 98.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 810.0, + 256.0, + 1224.0, + 712.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 436.0, + 0.0, + 617.0, + 185.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 845.0, + 58.0, + 1063.0, + 110.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 802.0, + 430.0, + 1277.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 377.0, + 33.0, + 656.0, + 197.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 1004.0, + 89.0, + 1203.0, + 140.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d301ca58cc" + }, + "d3069da8bb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 791.0, + 320.0, + 837.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 790.0, + 335.0, + 834.0, + 441.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 790.0, + 322.0, + 840.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 789.0, + 362.0, + 836.0, + 513.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3069da8bb" + }, + "d343d4a77d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 21 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 829.0, + 115.0, + 1279.0, + 535.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 514.0, + 193.0, + 902.0, + 474.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 190.0, + 249.0, + 327.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1273.0, + 293.0, + 1279.0, + 349.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 779.0, + 166.0, + 1279.0, + 513.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 265.0, + 225.0, + 464.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 927.0, + 149.0, + 1279.0, + 506.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 320.0, + 215.0, + 554.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 594.0, + 136.0, + 1095.0, + 496.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d343d4a77d" + }, + "d355e634ef": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 536.0, + 117.0, + 1061.0, + 279.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 248.0, + 377.0, + 905.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 785.0, + 111.0, + 1250.0, + 234.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 628.0, + 344.0, + 1206.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 913.0, + 110.0, + 1279.0, + 208.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 782.0, + 335.0, + 1279.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 588.0, + 118.0, + 1026.0, + 236.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 464.0, + 349.0, + 1049.0, + 565.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d355e634ef" + }, + "d367fb5253": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 563.0, + 284.0, + 1038.0, + 406.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 571.0, + 287.0, + 1040.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 598.0, + 327.0, + 1086.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 550.0, + 313.0, + 1042.0, + 431.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d367fb5253" + }, + "d36d16358e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 71.0, + 12.0, + 556.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 91.0, + 19.0, + 639.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 93.0, + 12.0, + 607.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 149.0, + 0.0, + 529.0, + 359.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d36d16358e" + }, + "d38bc77e2c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 19 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 448.0, + 374.0, + 626.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 548.0, + 317.0, + 663.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 662.0, + 355.0, + 775.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 546.0, + 238.0, + 739.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 676.0, + 187.0, + 801.0, + 683.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 785.0, + 212.0, + 889.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 241.0, + 735.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 726.0, + 216.0, + 903.0, + 436.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 925.0, + 220.0, + 1033.0, + 676.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 737.0, + 168.0, + 919.0, + 705.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 745.0, + 114.0, + 971.0, + 653.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 961.0, + 184.0, + 1055.0, + 363.0 + ] + } + } + ], + "frame_names": [ + "00115", + "00130", + "00155", + "00175" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d38bc77e2c" + }, + "d38d1679e2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 535.0, + 148.0, + 772.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 461.0, + 137.0, + 733.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 479.0, + 159.0, + 739.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 478.0, + 265.0, + 861.0, + 600.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d38d1679e2" + }, + "d3932ad4bd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 549.0, + 119.0, + 1269.0, + 648.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 300.0, + 220.0, + 836.0, + 542.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 66.0, + 154.0, + 468.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 343.0, + 246.0, + 1269.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 476.0, + 193.0, + 656.0, + 313.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 161.0, + 184.0, + 476.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 533.0, + 221.0, + 1267.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 347.0, + 244.0, + 571.0, + 552.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 163.0, + 146.0, + 460.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 549.0, + 184.0, + 1269.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 382.0, + 249.0, + 719.0, + 579.0 + ] + }, + "3": { + "category_name": "cat", + "bbox": [ + 171.0, + 99.0, + 517.0, + 492.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3932ad4bd" + }, + "d3987b2930": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 445.0, + 88.0, + 668.0, + 585.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 529.0, + 505.0, + 652.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 652.0, + 164.0, + 844.0, + 461.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 657.0, + 428.0, + 734.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 651.0, + 200.0, + 807.0, + 486.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 661.0, + 428.0, + 755.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 662.0, + 218.0, + 851.0, + 584.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 667.0, + 554.0, + 853.0, + 595.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3987b2930" + }, + "d39934abe3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 502.0, + 238.0, + 1015.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 502.0, + 244.0, + 999.0, + 698.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 460.0, + 249.0, + 1015.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 458.0, + 210.0, + 1013.0, + 698.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d39934abe3" + }, + "d3ae1c3f4c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 194.0, + 161.0, + 616.0, + 471.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 336.0, + 197.0, + 864.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 268.0, + 208.0, + 646.0, + 549.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 377.0, + 243.0, + 913.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 401.0, + 221.0, + 821.0, + 543.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 535.0, + 215.0, + 1045.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 261.0, + 177.0, + 612.0, + 572.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 389.0, + 230.0, + 879.0, + 565.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3ae1c3f4c" + }, + "d3b088e593": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 311.0, + 127.0, + 609.0, + 299.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 754.0, + 355.0, + 825.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 151.0, + 144.0, + 620.0, + 324.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 661.0, + 377.0, + 951.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 193.0, + 151.0, + 663.0, + 334.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 615.0, + 386.0, + 952.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 203.0, + 119.0, + 550.0, + 311.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 474.0, + 367.0, + 950.0, + 641.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3b088e593" + }, + "d3e6e05e16": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3e6e05e16" + }, + "d3eefae7c5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 2.0, + 65.0, + 1213.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 58.0, + 72.0, + 1209.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 70.0, + 61.0, + 1171.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 8.0, + 69.0, + 1117.0, + 583.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3eefae7c5" + }, + "d3f55f5ab8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 790.0, + 31.0, + 942.0, + 416.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 852.0, + 409.0, + 944.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1011.0, + 206.0, + 1060.0, + 340.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 1022.0, + 331.0, + 1063.0, + 353.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1012.0, + 303.0, + 1054.0, + 423.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 1029.0, + 414.0, + 1052.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 855.0, + 187.0, + 995.0, + 500.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 905.0, + 479.0, + 996.0, + 534.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3f55f5ab8" + }, + "d3f5c309cc": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 238.0, + 588.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 500.0, + 215.0, + 601.0, + 306.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 448.0, + 206.0, + 541.0, + 281.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 246.0, + 186.0, + 319.0, + 306.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3f5c309cc" + }, + "d4034a7fdf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 107.0, + 175.0, + 705.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 86.0, + 180.0, + 706.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 135.0, + 183.0, + 724.0, + 541.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 158.0, + 170.0, + 720.0, + 528.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d4034a7fdf" + }, + "d4193011f3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 335.0, + 220.0, + 971.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 452.0, + 251.0, + 957.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 451.0, + 247.0, + 949.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 449.0, + 240.0, + 941.0, + 588.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d4193011f3" + }, + "d429c67630": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 118.0, + 17.0, + 1279.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 176.0, + 0.0, + 1195.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 156.0, + 0.0, + 1157.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 38.0, + 71.0, + 1115.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d429c67630" + }, + "d42c0ff975": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 521.0, + 83.0, + 816.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 537.0, + 102.0, + 886.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 658.0, + 97.0, + 972.0, + 664.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 642.0, + 53.0, + 1040.0, + 514.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d42c0ff975" + }, + "d44a764409": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 461.0, + 8.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 225.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 357.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d44a764409" + }, + "d44e6acd1d": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 510.0, + 218.0, + 970.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 520.0, + 230.0, + 1001.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 539.0, + 224.0, + 1009.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 549.0, + 178.0, + 1045.0, + 560.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d44e6acd1d" + }, + "d45158c175": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 469.0, + 0.0, + 1071.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 519.0, + 32.0, + 1109.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 528.0, + 89.0, + 1107.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 507.0, + 79.0, + 1097.0, + 695.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d45158c175" + }, + "d454e8444f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 616.0, + 367.0, + 732.0, + 500.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 393.0, + 382.0, + 493.0, + 502.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 745.0, + 518.0, + 996.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 611.0, + 391.0, + 697.0, + 509.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 380.0, + 399.0, + 496.0, + 517.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 668.0, + 543.0, + 963.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 578.0, + 422.0, + 655.0, + 500.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 364.0, + 418.0, + 482.0, + 523.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 643.0, + 511.0, + 987.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 542.0, + 432.0, + 621.0, + 522.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 344.0, + 410.0, + 470.0, + 479.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 450.0, + 510.0, + 713.0, + 666.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d454e8444f" + }, + "d45f62717e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 126.0, + 413.0, + 500.0, + 660.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 434.0, + 0.0, + 892.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 216.0, + 485.0, + 544.0, + 708.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 426.0, + 0.0, + 800.0, + 489.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 291.0, + 481.0, + 539.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 412.0, + 0.0, + 859.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 303.0, + 353.0, + 649.0, + 687.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 443.0, + 0.0, + 1042.0, + 353.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d45f62717e" + }, + "d48ebdcf74": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 485.0, + 264.0, + 936.0, + 712.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 424.0, + 196.0, + 752.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 371.0, + 221.0, + 668.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 216.0, + 303.0, + 743.0, + 661.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d48ebdcf74" + }, + "d49ab52a25": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 542.0, + 149.0, + 674.0, + 459.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 481.0, + 301.0, + 654.0, + 650.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 746.0, + 243.0, + 833.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 539.0, + 148.0, + 670.0, + 456.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 459.0, + 295.0, + 656.0, + 629.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 754.0, + 239.0, + 838.0, + 424.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 162.0, + 691.0, + 460.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 520.0, + 301.0, + 703.0, + 626.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 752.0, + 242.0, + 856.0, + 406.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 171.0, + 707.0, + 450.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 532.0, + 311.0, + 687.0, + 630.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 748.0, + 253.0, + 839.0, + 416.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d49ab52a25" + }, + "d4a607ad81": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 270.0, + 206.0, + 653.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 266.0, + 200.0, + 664.0, + 428.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 253.0, + 197.0, + 674.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 258.0, + 203.0, + 725.0, + 446.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d4a607ad81" + }, + "d4b063c7db": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 142.0, + 26.0, + 705.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 52.0, + 0.0, + 875.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 53.0, + 21.0, + 890.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 205.0, + 30.0, + 868.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d4b063c7db" + }, + "d4da13e9ba": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 461.0, + 283.0, + 737.0, + 510.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 669.0, + 231.0, + 847.0, + 400.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 489.0, + 324.0, + 774.0, + 559.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 672.0, + 277.0, + 839.0, + 402.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 481.0, + 325.0, + 856.0, + 558.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 589.0, + 268.0, + 718.0, + 334.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 463.0, + 336.0, + 838.0, + 566.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 481.0, + 275.0, + 597.0, + 389.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d4da13e9ba" + }, + "d4dd1a7d00": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 736.0, + 464.0, + 899.0, + 718.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 334.0, + 88.0, + 855.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 750.0, + 468.0, + 901.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 345.0, + 77.0, + 857.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 743.0, + 461.0, + 901.0, + 706.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 348.0, + 59.0, + 853.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 747.0, + 460.0, + 896.0, + 707.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 333.0, + 57.0, + 846.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d4dd1a7d00" + }, + "d4f4f7c9c3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 630.0, + 31.0, + 828.0, + 189.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 462.0, + 130.0, + 1059.0, + 719.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 167.0, + 502.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 656.0, + 21.0, + 856.0, + 179.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 476.0, + 128.0, + 1068.0, + 719.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 4.0, + 153.0, + 454.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 713.0, + 0.0, + 923.0, + 144.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 564.0, + 105.0, + 1127.0, + 719.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 16.0, + 239.0, + 530.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 759.0, + 0.0, + 983.0, + 137.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 578.0, + 98.0, + 1179.0, + 719.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 14.0, + 238.0, + 588.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d4f4f7c9c3" + }, + "d521aba02e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 390.0, + 206.0, + 729.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 300.0, + 151.0, + 694.0, + 691.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 193.0, + 120.0, + 590.0, + 665.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 235.0, + 18.0, + 658.0, + 603.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d521aba02e" + }, + "d535bb1b97": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "motorbike", + "bbox": [ + 76.0, + 397.0, + 959.0, + 719.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "motorbike", + "bbox": [ + 101.0, + 449.0, + 937.0, + 719.0 + ] + } + }, + { + "1": {}, + "2": {} + }, + { + "1": {}, + "2": {} + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d535bb1b97" + }, + "d53b955f78": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 423.0, + 147.0, + 1126.0, + 575.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 311.0, + 78.0, + 767.0, + 182.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 510.0, + 152.0, + 1071.0, + 540.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 654.0, + 166.0, + 745.0, + 207.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1132.0, + 321.0, + 1279.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 538.0, + 157.0, + 1040.0, + 522.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 1016.0, + 233.0, + 1051.0, + 269.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 583.0, + 121.0, + 1031.0, + 545.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 998.0, + 223.0, + 1231.0, + 305.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d53b955f78" + }, + "d55cb7a205": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 643.0, + 131.0, + 729.0, + 376.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 508.0, + 185.0, + 884.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 625.0, + 174.0, + 715.0, + 412.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 506.0, + 259.0, + 903.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 564.0, + 135.0, + 643.0, + 377.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 439.0, + 210.0, + 821.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 533.0, + 106.0, + 636.0, + 317.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 421.0, + 181.0, + 778.0, + 416.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d55cb7a205" + }, + "d55f247a45": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 496.0, + 171.0, + 651.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 480.0, + 246.0, + 649.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 457.0, + 313.0, + 640.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 551.0, + 287.0, + 753.0, + 684.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d55f247a45" + }, + "d5695544d8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 105.0, + 114.0, + 1279.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 175.0, + 145.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 441.0, + 192.0, + 1198.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 545.0, + 172.0, + 1062.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d5695544d8" + }, + "d5853d9b8b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 146.0, + 487.0, + 953.0, + 719.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 161.0, + 182.0, + 756.0, + 660.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 158.0, + 499.0, + 1006.0, + 719.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 160.0, + 221.0, + 805.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 291.0, + 500.0, + 854.0, + 719.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 160.0, + 157.0, + 910.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 307.0, + 525.0, + 819.0, + 719.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 161.0, + 189.0, + 898.0, + 612.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d5853d9b8b" + }, + "d5b6c6d94a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1219.0, + 223.0, + 1264.0, + 313.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1216.0, + 217.0, + 1258.0, + 309.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 97.0, + 225.0, + 126.0, + 245.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1194.0, + 228.0, + 1235.0, + 319.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 81.0, + 233.0, + 102.0, + 246.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1188.0, + 232.0, + 1230.0, + 322.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00125", + "00145", + "00165", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d5b6c6d94a" + }, + "d5cae12834": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 670.0, + 506.0, + 717.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 591.0, + 541.0, + 640.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 486.0, + 538.0, + 534.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 372.0, + 407.0, + 422.0, + 436.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d5cae12834" + }, + "d5df027f0c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 766.0, + 418.0, + 817.0, + 471.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 359.0, + 315.0, + 799.0, + 627.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 521.0, + 54.0, + 893.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 768.0, + 462.0, + 827.0, + 513.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 364.0, + 342.0, + 810.0, + 651.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 538.0, + 53.0, + 891.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 472.0, + 391.0, + 505.0, + 430.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 330.0, + 323.0, + 741.0, + 682.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 479.0, + 62.0, + 853.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 781.0, + 431.0, + 837.0, + 477.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 338.0, + 324.0, + 791.0, + 628.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 527.0, + 58.0, + 899.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d5df027f0c" + }, + "d5ee40e5d0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 428.0, + 383.0, + 448.0, + 394.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 403.0, + 219.0, + 462.0, + 384.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 127.0, + 234.0, + 162.0, + 320.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 476.0, + 420.0, + 579.0, + 451.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 466.0, + 222.0, + 608.0, + 435.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 731.0, + 301.0, + 817.0, + 411.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 494.0, + 77.0, + 807.0, + 417.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 582.0, + 555.0, + 642.0, + 595.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 536.0, + 211.0, + 751.0, + 584.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d5ee40e5d0" + }, + "d600046f73": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 215.0, + 292.0, + 589.0, + 437.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 165.0, + 0.0, + 703.0, + 341.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 470.0, + 335.0, + 641.0, + 465.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 87.0, + 0.0, + 763.0, + 332.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 481.0, + 349.0, + 802.0, + 469.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 102.0, + 0.0, + 767.0, + 334.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 253.0, + 431.0, + 883.0, + 578.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 685.0, + 376.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d600046f73" + }, + "d632fd3510": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 231.0, + 188.0, + 828.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 360.0, + 224.0, + 598.0, + 322.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 373.0, + 203.0, + 576.0, + 256.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 480.0, + 297.0, + 559.0, + 334.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d632fd3510" + }, + "d6476cad55": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 733.0, + 3.0, + 1005.0, + 331.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 587.0, + 272.0, + 849.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 810.0, + 0.0, + 975.0, + 517.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 562.0, + 363.0, + 788.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 791.0, + 53.0, + 1013.0, + 591.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 614.0, + 402.0, + 810.0, + 682.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 710.0, + 67.0, + 922.0, + 563.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 600.0, + 362.0, + 819.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6476cad55" + }, + "d65a7bae86": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 497.0, + 12.0, + 1092.0, + 685.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 712.0, + 451.0, + 1077.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 359.0, + 0.0, + 1172.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 729.0, + 482.0, + 1144.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 233.0, + 0.0, + 1233.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 366.0, + 417.0, + 1102.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 387.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 476.0, + 337.0, + 946.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d65a7bae86" + }, + "d664c89912": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 135.0, + 88.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 139.0, + 88.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 39.0, + 60.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 35.0, + 99.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d664c89912" + }, + "d689658f06": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parachute", + "bbox": [ + 554.0, + 0.0, + 1069.0, + 98.0 + ] + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 556.0, + 0.0, + 1279.0, + 96.0 + ] + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 558.0, + 0.0, + 787.0, + 109.0 + ] + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 560.0, + 0.0, + 779.0, + 94.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d689658f06" + }, + "d6917db4be": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 584.0, + 489.0, + 718.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 565.0, + 483.0, + 700.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 571.0, + 484.0, + 698.0, + 601.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6917db4be" + }, + "d69967143e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 567.0, + 235.0, + 948.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 704.0, + 337.0, + 1026.0, + 719.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 613.0, + 502.0, + 1106.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 637.0, + 215.0, + 1038.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 750.0, + 326.0, + 1084.0, + 719.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 625.0, + 489.0, + 1156.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 483.0, + 189.0, + 1266.0, + 633.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 648.0, + 268.0, + 1134.0, + 595.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 515.0, + 492.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 577.0, + 318.0, + 1138.0, + 642.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 708.0, + 430.0, + 1190.0, + 664.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 543.0, + 573.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00165", + "00170", + "00200", + "00215" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d69967143e" + }, + "d699d3d798": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 1006.0, + 162.0, + 1210.0, + 502.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 768.0, + 77.0, + 1025.0, + 506.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 626.0, + 162.0, + 837.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 1012.0, + 156.0, + 1223.0, + 497.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 801.0, + 83.0, + 1029.0, + 505.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 648.0, + 172.0, + 847.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 1093.0, + 169.0, + 1279.0, + 475.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 1004.0, + 154.0, + 1246.0, + 481.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 841.0, + 86.0, + 1021.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 1087.0, + 164.0, + 1273.0, + 460.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 1012.0, + 147.0, + 1273.0, + 476.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 839.0, + 95.0, + 1030.0, + 484.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d699d3d798" + }, + "d69f757a3f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 212.0, + 397.0, + 770.0, + 690.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 397.0, + 269.0, + 703.0, + 719.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 629.0, + 441.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 65.0, + 129.0, + 205.0, + 577.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 170.0, + 75.0, + 348.0, + 465.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d69f757a3f" + }, + "d6ac0e065c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 622.0, + 225.0, + 1175.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 622.0, + 241.0, + 1155.0, + 460.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 240.0, + 199.0, + 815.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 368.0, + 244.0, + 917.0, + 477.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6ac0e065c" + }, + "d6c02bfda5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 361.0, + 11.0, + 957.0, + 715.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 402.0, + 136.0, + 735.0, + 526.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 317.0, + 50.0, + 448.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 497.0, + 86.0, + 902.0, + 717.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 634.0, + 162.0, + 937.0, + 556.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 84.0, + 231.0, + 256.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 459.0, + 142.0, + 699.0, + 685.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 608.0, + 147.0, + 942.0, + 541.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 117.0, + 205.0, + 257.0, + 457.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 407.0, + 126.0, + 648.0, + 633.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 552.0, + 101.0, + 870.0, + 500.0 + ] + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 122.0, + 158.0, + 188.0, + 415.0 + ] + } + } + ], + "frame_names": [ + "00055", + "00080", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6c02bfda5" + }, + "d6c1b5749e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 337.0, + 781.0, + 676.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 224.0, + 218.0, + 825.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 196.0, + 360.0, + 771.0, + 649.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 208.0, + 187.0, + 777.0, + 630.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6c1b5749e" + }, + "d6e12ef6cc": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 524.0, + 195.0, + 793.0, + 326.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 671.0, + 283.0, + 791.0, + 356.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 532.0, + 224.0, + 804.0, + 353.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 629.0, + 344.0, + 762.0, + 382.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 555.0, + 238.0, + 804.0, + 374.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 655.0, + 370.0, + 768.0, + 390.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 566.0, + 229.0, + 755.0, + 372.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6e12ef6cc" + }, + "d6eed152c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 367.0, + 0.0, + 1085.0, + 412.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 430.0, + 0.0, + 1061.0, + 342.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 521.0, + 179.0, + 1077.0, + 487.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 440.0, + 0.0, + 1121.0, + 406.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 394.0, + 0.0, + 1158.0, + 474.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 353.0, + 0.0, + 1194.0, + 333.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 414.0, + 0.0, + 1023.0, + 469.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 388.0, + 0.0, + 1067.0, + 367.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6eed152c4" + }, + "d6faaaf726": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 520.0, + 271.0, + 661.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 388.0, + 246.0, + 552.0, + 392.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 286.0, + 318.0, + 468.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 271.0, + 368.0, + 460.0, + 460.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6faaaf726" + }, + "d704766646": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 1168.0, + 279.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 1204.0, + 274.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 1142.0, + 295.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 89.0, + 1134.0, + 328.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d704766646" + }, + "d708e1350c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 379.0, + 214.0, + 661.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 374.0, + 208.0, + 644.0, + 596.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 412.0, + 297.0, + 720.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 356.0, + 367.0, + 752.0, + 557.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d708e1350c" + }, + "d7135cf104": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 61.0, + 743.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 340.0, + 740.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 344.0, + 778.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 44.0, + 751.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7135cf104" + }, + "d719cf9316": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 212.0, + 128.0, + 731.0, + 717.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 801.0, + 413.0, + 1012.0, + 585.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 6.0, + 448.0, + 215.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 412.0, + 132.0, + 868.0, + 655.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 946.0, + 387.0, + 1160.0, + 563.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 154.0, + 422.0, + 388.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 450.0, + 101.0, + 871.0, + 580.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 962.0, + 337.0, + 1174.0, + 508.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 168.0, + 371.0, + 404.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 436.0, + 85.0, + 839.0, + 547.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 938.0, + 312.0, + 1148.0, + 483.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 143.0, + 350.0, + 378.0, + 466.0 + ] + } + } + ], + "frame_names": [ + "00170", + "00200", + "00225", + "00235" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d719cf9316" + }, + "d724134cfd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 778.0, + 352.0, + 825.0, + 436.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 713.0, + 434.0, + 841.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 451.0, + 333.0, + 546.0, + 454.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 386.0, + 449.0, + 534.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 345.0, + 695.0, + 547.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 475.0, + 531.0, + 609.0, + 551.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d724134cfd" + }, + "d73a60a244": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 400.0, + 134.0, + 1044.0, + 377.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 365.0, + 262.0, + 555.0, + 353.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 399.0, + 125.0, + 1080.0, + 363.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 350.0, + 247.0, + 571.0, + 353.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 430.0, + 100.0, + 1071.0, + 338.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 375.0, + 207.0, + 583.0, + 310.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 436.0, + 102.0, + 1090.0, + 340.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 384.0, + 212.0, + 588.0, + 312.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d73a60a244" + }, + "d7411662da": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1033.0, + 124.0, + 1277.0, + 345.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 999.0, + 103.0, + 1279.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 353.0, + 25.0, + 521.0, + 369.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 497.0, + 133.0, + 589.0, + 307.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7411662da" + }, + "d74875ea7c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 458.0, + 40.0, + 1155.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 281.0, + 513.0, + 315.0, + 554.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 297.0, + 523.0, + 351.0, + 596.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 370.0, + 43.0, + 1139.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 275.0, + 525.0, + 312.0, + 566.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 289.0, + 536.0, + 347.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 406.0, + 78.0, + 1129.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 284.0, + 518.0, + 318.0, + 559.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 296.0, + 529.0, + 354.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 206.0, + 67.0, + 1049.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 266.0, + 532.0, + 301.0, + 573.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 279.0, + 542.0, + 337.0, + 615.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d74875ea7c" + }, + "d756f5a694": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 818.0, + 103.0, + 1156.0, + 603.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 239.0, + 220.0, + 596.0, + 510.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 1.0, + 460.0, + 477.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 866.0, + 84.0, + 1207.0, + 585.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 285.0, + 155.0, + 628.0, + 497.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 54.0, + 434.0, + 531.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 876.0, + 15.0, + 1182.0, + 521.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 78.0, + 1.0, + 409.0, + 437.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 39.0, + 313.0, + 421.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 147.0, + 132.0, + 531.0, + 518.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 417.0, + 372.0, + 762.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d756f5a694" + }, + "d7572b7d8a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 485.0, + 278.0, + 672.0, + 556.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 586.0, + 524.0, + 667.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 520.0, + 231.0, + 690.0, + 532.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 530.0, + 485.0, + 613.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 486.0, + 295.0, + 654.0, + 496.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 581.0, + 479.0, + 636.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 460.0, + 227.0, + 607.0, + 449.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 482.0, + 433.0, + 615.0, + 466.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7572b7d8a" + }, + "d763bd6d96": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 56.0, + 338.0, + 807.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 222.0, + 325.0, + 930.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 241.0, + 305.0, + 952.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 285.0, + 360.0, + 960.0, + 681.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d763bd6d96" + }, + "d7697c8b13": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 279.0, + 245.0, + 539.0, + 656.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 416.0, + 123.0, + 617.0, + 442.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 757.0, + 120.0, + 907.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 238.0, + 218.0, + 459.0, + 603.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 467.0, + 123.0, + 701.0, + 438.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 764.0, + 117.0, + 916.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 213.0, + 195.0, + 433.0, + 561.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 516.0, + 126.0, + 703.0, + 439.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 768.0, + 125.0, + 912.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 277.0, + 175.0, + 473.0, + 530.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 521.0, + 169.0, + 703.0, + 416.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 782.0, + 132.0, + 934.0, + 538.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7697c8b13" + }, + "d7797196b4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 375.0, + 539.0, + 958.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 341.0, + 436.0, + 840.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 357.0, + 544.0, + 806.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 379.0, + 475.0, + 855.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7797196b4" + }, + "d79c834768": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 680.0, + 127.0, + 969.0, + 590.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 719.0, + 542.0, + 989.0, + 619.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 528.0, + 72.0, + 807.0, + 646.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 489.0, + 607.0, + 799.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 581.0, + 53.0, + 833.0, + 566.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 580.0, + 538.0, + 871.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 537.0, + 29.0, + 807.0, + 541.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 568.0, + 510.0, + 659.0, + 561.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d79c834768" + }, + "d7b34e5d73": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 291.0, + 3.0, + 453.0, + 206.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 886.0, + 192.0, + 1267.0, + 714.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 239.0, + 2.0, + 423.0, + 240.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 833.0, + 193.0, + 1204.0, + 715.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 16.0, + 3.0, + 222.0, + 326.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 598.0, + 116.0, + 1061.0, + 436.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 377.0, + 304.0, + 1228.0, + 687.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 94.0, + 26.0, + 317.0, + 421.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 432.0, + 186.0, + 979.0, + 546.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 672.0, + 158.0, + 1273.0, + 711.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7b34e5d73" + }, + "d7bb6b37a7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 197.0, + 351.0, + 705.0, + 693.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 198.0, + 213.0, + 796.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 204.0, + 158.0, + 970.0, + 681.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 190.0, + 161.0, + 1187.0, + 680.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7bb6b37a7" + }, + "d7c7e064a6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 16.0, + 940.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 61.0, + 3.0, + 980.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 103.0, + 0.0, + 1010.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 123.0, + 0.0, + 1032.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7c7e064a6" + }, + "d7fbf545b3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 694.0, + 294.0, + 763.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 621.0, + 278.0, + 697.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 561.0, + 269.0, + 726.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 585.0, + 267.0, + 722.0, + 415.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7fbf545b3" + }, + "d82a0aa15b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 577.0, + 133.0, + 1060.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 468.0, + 185.0, + 1061.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 370.0, + 63.0, + 974.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 311.0, + 173.0, + 988.0, + 499.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d82a0aa15b" + }, + "d847e24abd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 394.0, + 305.0, + 514.0, + 524.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 443.0, + 321.0, + 588.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 459.0, + 460.0, + 521.0, + 520.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 502.0, + 465.0, + 549.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 466.0, + 437.0, + 549.0, + 508.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 511.0, + 459.0, + 570.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 466.0, + 422.0, + 530.0, + 477.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 508.0, + 438.0, + 558.0, + 497.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d847e24abd" + }, + "d8596701b7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 253.0, + 457.0, + 384.0, + 712.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 786.0, + 644.0, + 883.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 533.0, + 303.0, + 778.0, + 643.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 419.0, + 579.0, + 862.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 220.0, + 99.0, + 449.0, + 293.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 230.0, + 255.0, + 437.0, + 349.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 730.0, + 235.0, + 825.0, + 412.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d8596701b7" + }, + "d86101499c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 365.0, + 68.0, + 680.0, + 653.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 692.0, + 402.0, + 898.0, + 704.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 802.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 313.0, + 20.0, + 709.0, + 407.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 631.0, + 421.0, + 902.0, + 685.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 801.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 302.0, + 2.0, + 732.0, + 425.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 631.0, + 417.0, + 902.0, + 686.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 826.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 171.0, + 0.0, + 685.0, + 565.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 631.0, + 409.0, + 897.0, + 682.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 852.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d86101499c" + }, + "d87069ba86": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 356.0, + 139.0, + 1279.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 396.0, + 249.0, + 1165.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 462.0, + 272.0, + 1089.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 771.0, + 341.0, + 817.0, + 380.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d87069ba86" + }, + "d87160957b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 542.0, + 349.0, + 785.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 758.0, + 365.0, + 1009.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 544.0, + 382.0, + 795.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 574.0, + 285.0, + 1015.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 568.0, + 360.0, + 839.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 637.0, + 252.0, + 1047.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 604.0, + 357.0, + 859.0, + 719.0 + ] + }, + "2": { + "category_name": "lizard", + "bbox": [ + 663.0, + 237.0, + 1091.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d87160957b" + }, + "d874654b52": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 676.0, + 191.0, + 1000.0, + 668.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 316.0, + 117.0, + 482.0, + 449.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 439.0, + 133.0, + 632.0, + 489.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 516.0, + 0.0, + 765.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 422.0, + 0.0, + 705.0, + 363.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d874654b52" + }, + "d88a403092": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 382.0, + 0.0, + 1109.0, + 544.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 645.0, + 86.0, + 1279.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 430.0, + 0.0, + 1093.0, + 595.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 598.0, + 92.0, + 1279.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 338.0, + 0.0, + 1043.0, + 562.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 657.0, + 62.0, + 1279.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 282.0, + 0.0, + 1079.0, + 518.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 663.0, + 70.0, + 1279.0, + 545.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d88a403092" + }, + "d8aee40f3f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 132.0, + 392.0, + 883.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 178.0, + 340.0, + 925.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 216.0, + 227.0, + 1072.0, + 378.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 3.0, + 0.0, + 587.0, + 226.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d8aee40f3f" + }, + "d8e77a222d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 349.0, + 483.0, + 614.0, + 617.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 759.0, + 424.0, + 1035.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 210.0, + 196.0, + 429.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 916.0, + 302.0, + 1088.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 148.0, + 190.0, + 456.0, + 719.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 885.0, + 290.0, + 1124.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 230.0, + 277.0, + 644.0, + 678.0 + ] + }, + "2": { + "category_name": "paddle", + "bbox": [ + 763.0, + 277.0, + 1136.0, + 657.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d8e77a222d" + }, + "d8eb07c381": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 560.0, + 28.0, + 875.0, + 424.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 356.0, + 0.0, + 791.0, + 423.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 584.0, + 0.0, + 813.0, + 417.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 386.0, + 0.0, + 805.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 406.0, + 613.0, + 544.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d8eb07c381" + }, + "d9010348a1": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 33.0, + 370.0, + 1231.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 266.0, + 1224.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 247.0, + 1115.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 134.0, + 1139.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d9010348a1" + }, + "d90e3cf281": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 43.0, + 126.0, + 1216.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 426.0, + 1279.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 55.0, + 210.0, + 1183.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 486.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 42.0, + 196.0, + 1202.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 460.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 48.0, + 229.0, + 1191.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 489.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d90e3cf281" + }, + "d92532c7b2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 6.0, + 47.0, + 389.0, + 595.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 228.0, + 84.0, + 1026.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 454.0, + 0.0, + 953.0, + 345.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 443.0, + 0.0, + 808.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 414.0, + 0.0, + 1067.0, + 326.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 642.0, + 0.0, + 1070.0, + 718.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 1095.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d92532c7b2" + }, + "d927fae122": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 246.0, + 124.0, + 1042.0, + 636.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 231.0, + 197.0, + 1108.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 246.0, + 168.0, + 837.0, + 650.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 188.0, + 257.0, + 992.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 246.0, + 181.0, + 788.0, + 657.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 173.0, + 207.0, + 1032.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 286.0, + 147.0, + 860.0, + 682.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 273.0, + 194.0, + 1086.0, + 703.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d927fae122" + }, + "d95707bca8": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 517.0, + 295.0, + 683.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 433.0, + 158.0, + 623.0, + 364.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 404.0, + 151.0, + 613.0, + 344.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 424.0, + 74.0, + 617.0, + 386.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d95707bca8" + }, + "d973b31c00": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 397.0, + 199.0, + 1164.0, + 602.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 325.0, + 0.0, + 1126.0, + 578.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 811.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 545.0, + 0.0, + 1120.0, + 566.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 433.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 557.0, + 0.0, + 1158.0, + 559.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d973b31c00" + }, + "d991cb471d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 246.0, + 62.0, + 893.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 274.0, + 50.0, + 917.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 296.0, + 95.0, + 951.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 274.0, + 102.0, + 899.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d991cb471d" + }, + "d992c69d37": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 332.0, + 19.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 336.0, + 21.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 328.0, + 26.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 341.0, + 33.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d992c69d37" + }, + "d99d770820": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 208.0, + 154.0, + 863.0, + 385.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 134.0, + 115.0, + 833.0, + 368.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 127.0, + 138.0, + 818.0, + 403.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 170.0, + 131.0, + 801.0, + 405.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d99d770820" + }, + "d9b63abc11": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 408.0, + 101.0, + 799.0, + 597.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 574.0, + 111.0, + 933.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 564.0, + 177.0, + 965.0, + 583.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 573.0, + 45.0, + 899.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 546.0, + 125.0, + 1039.0, + 620.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 553.0, + 38.0, + 976.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 372.0, + 185.0, + 570.0, + 704.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 400.0, + 0.0, + 753.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d9b63abc11" + }, + "d9db6f1983": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 789.0, + 64.0, + 925.0, + 174.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 782.0, + 84.0, + 913.0, + 181.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 641.0, + 259.0, + 774.0, + 354.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d9db6f1983" + }, + "d9e52be2d2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 361.0, + 282.0, + 413.0, + 379.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 526.0, + 274.0, + 562.0, + 353.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 680.0, + 278.0, + 717.0, + 385.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 310.0, + 277.0, + 357.0, + 388.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 471.0, + 277.0, + 508.0, + 354.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 629.0, + 280.0, + 679.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 253.0, + 250.0, + 313.0, + 348.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 421.0, + 241.0, + 457.0, + 318.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 583.0, + 245.0, + 635.0, + 353.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 154.0, + 273.0, + 208.0, + 377.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 331.0, + 261.0, + 369.0, + 338.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 494.0, + 270.0, + 541.0, + 378.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d9e52be2d2" + }, + "d9edc82650": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 367.0, + 113.0, + 959.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 372.0, + 119.0, + 947.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 374.0, + 120.0, + 947.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 297.0, + 174.0, + 985.0, + 569.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d9edc82650" + }, + "da01070697": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 734.0, + 171.0, + 882.0, + 350.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 637.0, + 160.0, + 784.0, + 300.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 252.0, + 175.0, + 397.0, + 322.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 748.0, + 173.0, + 899.0, + 332.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 634.0, + 167.0, + 773.0, + 278.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 339.0, + 187.0, + 508.0, + 338.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 760.0, + 185.0, + 911.0, + 353.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 636.0, + 175.0, + 782.0, + 305.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 406.0, + 159.0, + 549.0, + 305.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 762.0, + 178.0, + 909.0, + 329.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 631.0, + 175.0, + 779.0, + 272.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 474.0, + 169.0, + 616.0, + 274.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da01070697" + }, + "da070ea4b7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 617.0, + 0.0, + 1279.0, + 530.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 143.0, + 923.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 615.0, + 165.0, + 1260.0, + 631.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 52.0, + 992.0, + 344.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 533.0, + 207.0, + 1098.0, + 562.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 20.0, + 1199.0, + 342.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 512.0, + 249.0, + 946.0, + 564.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 380.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00075", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da070ea4b7" + }, + "da080507b9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 209.0, + 347.0, + 656.0, + 699.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 203.0, + 26.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 249.0, + 198.0, + 637.0, + 685.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 187.0, + 15.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 257.0, + 169.0, + 794.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 234.0, + 51.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 57.0, + 111.0, + 924.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 156.0, + 44.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da080507b9" + }, + "da0e944cc4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 350.0, + 62.0, + 924.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 340.0, + 63.0, + 938.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 855.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 780.0, + 587.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da0e944cc4" + }, + "da28d94ff4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 12.0, + 0.0, + 207.0, + 306.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 157.0, + 203.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 824.0, + 0.0, + 1086.0, + 279.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 22.0, + 1.0, + 213.0, + 292.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 163.0, + 195.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 832.0, + 0.0, + 1096.0, + 269.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 14.0, + 1.0, + 194.0, + 243.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 82.0, + 147.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 819.0, + 0.0, + 1075.0, + 219.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 24.0, + 0.0, + 203.0, + 244.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 143.0, + 146.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 823.0, + 0.0, + 1087.0, + 222.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da28d94ff4" + }, + "da5d78b9d1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 416.0, + 107.0, + 806.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 421.0, + 130.0, + 816.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 417.0, + 140.0, + 802.0, + 508.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 349.0, + 105.0, + 737.0, + 499.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da5d78b9d1" + }, + "da6003fc72": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 1010.0, + 423.0, + 1115.0, + 479.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 531.0, + 641.0, + 653.0, + 682.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 545.0, + 141.0, + 784.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 559.0, + 403.0, + 587.0, + 470.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 462.0, + 421.0, + 574.0, + 630.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 488.0, + 90.0, + 804.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 336.0, + 373.0, + 511.0, + 583.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 366.0, + 460.0, + 573.0, + 692.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 524.0, + 59.0, + 845.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 374.0, + 310.0, + 666.0, + 547.0 + ] + }, + "2": { + "category_name": "lion", + "bbox": [ + 570.0, + 398.0, + 658.0, + 591.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 568.0, + 39.0, + 909.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da6003fc72" + }, + "da690fee9f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 3.0, + 29.0, + 798.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 4.0, + 788.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 858.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 3.0, + 751.0, + 523.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da690fee9f" + }, + "da6c68708f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 544.0, + 301.0, + 570.0, + 358.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 413.0, + 231.0, + 625.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 497.0, + 189.0, + 575.0, + 322.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 381.0, + 224.0, + 641.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 452.0, + 303.0, + 521.0, + 387.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 313.0, + 204.0, + 471.0, + 664.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 698.0, + 393.0, + 733.0, + 444.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 460.0, + 234.0, + 719.0, + 641.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da6c68708f" + }, + "da7a816676": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 208.0, + 629.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 728.0, + 72.0, + 1210.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 337.0, + 223.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 585.0, + 43.0, + 1174.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 590.0, + 59.0, + 719.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 603.0, + 0.0, + 1162.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 216.0, + 410.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da7a816676" + }, + "dac361e828": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 87.0, + 321.0, + 536.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1236.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 490.0, + 96.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 381.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 110.0, + 196.0, + 609.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 391.0, + 159.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 547.0, + 340.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 313.0, + 0.0, + 1206.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 547.0, + 23.0, + 1036.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 73.0, + 564.0, + 471.0, + 719.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 575.0, + 63.0, + 719.0 + ] + }, + "5": { + "category_name": "airplane", + "bbox": [ + 0.0, + 501.0, + 259.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 370.0, + 119.0, + 545.0, + 556.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 469.0, + 0.0, + 865.0, + 676.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 292.0, + 82.0, + 382.0, + 334.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 127.0, + 41.0, + 285.0, + 266.0 + ] + }, + "5": { + "category_name": "airplane", + "bbox": [ + 0.0, + 86.0, + 596.0, + 636.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dac361e828" + }, + "dac71659b8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 346.0, + 253.0, + 467.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 403.0, + 277.0, + 611.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 610.0, + 248.0, + 664.0, + 426.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 598.0, + 318.0, + 656.0, + 435.0 + ] + } + } + ], + "frame_names": [ + "00060", + "00080", + "00105", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dac71659b8" + }, + "dad980385d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 175.0, + 272.0, + 841.0, + 476.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 260.0, + 198.0, + 959.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 336.0, + 133.0, + 959.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 229.0, + 98.0, + 927.0, + 514.0 + ] + } + } + ], + "frame_names": [ + "00150", + "00180", + "00195", + "00205" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dad980385d" + }, + "daebc12b77": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 520.0, + 227.0, + 656.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 524.0, + 223.0, + 660.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 522.0, + 228.0, + 660.0, + 403.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 515.0, + 224.0, + 652.0, + 391.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/daebc12b77" + }, + "db0968cdd3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 566.0, + 422.0, + 1207.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 586.0, + 332.0, + 1088.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 498.0, + 295.0, + 865.0, + 639.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 424.0, + 319.0, + 790.0, + 671.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/db0968cdd3" + }, + "db231a7100": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 259.0, + 264.0, + 849.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 261.0, + 264.0, + 864.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 254.0, + 265.0, + 891.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 252.0, + 255.0, + 931.0, + 512.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/db231a7100" + }, + "db59282ace": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 733.0, + 206.0, + 795.0, + 313.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 720.0, + 263.0, + 803.0, + 375.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 753.0, + 214.0, + 824.0, + 342.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 766.0, + 278.0, + 817.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 768.0, + 208.0, + 835.0, + 343.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 767.0, + 277.0, + 833.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 756.0, + 201.0, + 824.0, + 346.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 720.0, + 276.0, + 857.0, + 416.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/db59282ace" + }, + "db7f267c3f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 345.0, + 261.0, + 582.0, + 402.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 352.0, + 265.0, + 583.0, + 406.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 354.0, + 264.0, + 579.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 353.0, + 263.0, + 585.0, + 404.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/db7f267c3f" + }, + "dba35b87fd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 887.0, + 497.0, + 917.0, + 571.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 846.0, + 422.0, + 904.0, + 577.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 601.0, + 444.0, + 655.0, + 601.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 613.0, + 521.0, + 663.0, + 593.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 511.0, + 528.0, + 563.0, + 602.0 + ] + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00060", + "00065", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dba35b87fd" + }, + "dbba735a50": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1132.0, + 306.0, + 1198.0, + 534.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 660.0, + 263.0, + 695.0, + 385.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 703.0, + 251.0, + 749.0, + 306.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 850.0, + 308.0, + 918.0, + 538.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 604.0, + 255.0, + 639.0, + 369.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 648.0, + 245.0, + 700.0, + 302.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 807.0, + 383.0, + 878.0, + 604.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 653.0, + 323.0, + 702.0, + 438.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 709.0, + 309.0, + 755.0, + 360.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 611.0, + 310.0, + 681.0, + 543.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 645.0, + 255.0, + 689.0, + 340.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 702.0, + 242.0, + 754.0, + 296.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dbba735a50" + }, + "dbca076acd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 622.0, + 0.0, + 831.0, + 504.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 626.0, + 0.0, + 865.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 606.0, + 0.0, + 835.0, + 473.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 600.0, + 0.0, + 835.0, + 487.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dbca076acd" + }, + "dbd66dc3ac": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 367.0, + 0.0, + 912.0, + 660.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 380.0, + 0.0, + 910.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 406.0, + 0.0, + 986.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 475.0, + 0.0, + 976.0, + 551.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dbd66dc3ac" + }, + "dbdc3c292b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 278.0, + 311.0, + 825.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 304.0, + 318.0, + 959.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 296.0, + 316.0, + 655.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 134.0, + 347.0, + 622.0, + 553.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dbdc3c292b" + }, + "dbf4a5b32b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 751.0, + 384.0, + 842.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 755.0, + 385.0, + 866.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 773.0, + 364.0, + 950.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 920.0, + 222.0, + 1114.0, + 615.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dbf4a5b32b" + }, + "dbfc417d28": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 193.0, + 142.0, + 956.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 262.0, + 290.0, + 931.0, + 672.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 230.0, + 272.0, + 815.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 286.0, + 312.0, + 649.0, + 403.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dbfc417d28" + }, + "dc1745e0a2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 227.0, + 0.0, + 723.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 236.0, + 0.0, + 747.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 186.0, + 0.0, + 741.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 358.0, + 0.0, + 827.0, + 488.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dc1745e0a2" + }, + "dc32a44804": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 419.0, + 204.0, + 587.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 395.0, + 196.0, + 591.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 403.0, + 218.0, + 599.0, + 665.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 397.0, + 191.0, + 603.0, + 663.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dc32a44804" + }, + "dc34b35e30": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 543.0, + 180.0, + 802.0, + 406.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 548.0, + 176.0, + 841.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 498.0, + 171.0, + 602.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 481.0, + 311.0, + 627.0, + 433.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dc34b35e30" + }, + "dc504a4f79": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 37.0, + 276.0, + 285.0, + 408.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 159.0, + 271.0, + 361.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 154.0, + 267.0, + 353.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 3.0, + 296.0, + 255.0, + 434.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dc504a4f79" + }, + "dc704dd647": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 267.0, + 188.0, + 694.0, + 674.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 926.0, + 229.0, + 1158.0, + 719.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 419.0, + 133.0, + 712.0, + 681.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 896.0, + 247.0, + 1279.0, + 679.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 666.0, + 10.0, + 942.0, + 458.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 924.0, + 55.0, + 1262.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 629.0, + 87.0, + 1034.0, + 503.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 930.0, + 157.0, + 1279.0, + 536.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dc704dd647" + }, + "dc71bc6918": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 558.0, + 306.0, + 676.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 566.0, + 303.0, + 688.0, + 441.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 571.0, + 298.0, + 704.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 573.0, + 294.0, + 731.0, + 460.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dc71bc6918" + }, + "dc7771b3be": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 509.0, + 366.0, + 695.0, + 487.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 392.0, + 44.0, + 671.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 579.0, + 174.0, + 792.0, + 306.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 427.0, + 0.0, + 699.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 667.0, + 89.0, + 843.0, + 266.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 500.0, + 1.0, + 743.0, + 711.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 592.0, + 104.0, + 737.0, + 297.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 446.0, + 45.0, + 706.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00075", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dc7771b3be" + }, + "dcf8c93617": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 574.0, + 473.0, + 999.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 586.0, + 367.0, + 1005.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 559.0, + 153.0, + 981.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 500.0, + 97.0, + 938.0, + 572.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dcf8c93617" + }, + "dd0f4c9fb9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 809.0, + 445.0, + 971.0, + 516.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 707.0, + 35.0, + 1005.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 919.0, + 426.0, + 963.0, + 453.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 842.0, + 251.0, + 970.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 792.0, + 437.0, + 818.0, + 456.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 729.0, + 239.0, + 824.0, + 441.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 700.0, + 545.0, + 802.0, + 587.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 624.0, + 139.0, + 863.0, + 553.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dd0f4c9fb9" + }, + "dd415df125": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 22 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 18.0, + 405.0, + 406.0, + 717.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 647.0, + 373.0, + 897.0, + 498.0 + ] + }, + "3": { + "category_name": "frog", + "bbox": [ + 951.0, + 358.0, + 1035.0, + 413.0 + ] + }, + "4": { + "category_name": "frog", + "bbox": [ + 324.0, + 366.0, + 428.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 46.0, + 430.0, + 386.0, + 719.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 657.0, + 353.0, + 897.0, + 488.0 + ] + }, + "3": { + "category_name": "frog", + "bbox": [ + 809.0, + 341.0, + 911.0, + 379.0 + ] + }, + "4": { + "category_name": "frog", + "bbox": [ + 310.0, + 365.0, + 426.0, + 456.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 354.0, + 378.0, + 719.0, + 715.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 749.0, + 389.0, + 895.0, + 459.0 + ] + }, + "3": { + "category_name": "frog", + "bbox": [ + 803.0, + 338.0, + 891.0, + 394.0 + ] + }, + "4": { + "category_name": "frog", + "bbox": [ + 274.0, + 368.0, + 442.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 446.0, + 438.0, + 821.0, + 717.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 773.0, + 293.0, + 897.0, + 419.0 + ] + }, + "3": { + "category_name": "frog", + "bbox": [ + 707.0, + 412.0, + 837.0, + 460.0 + ] + }, + "4": { + "category_name": "frog", + "bbox": [ + 280.0, + 429.0, + 462.0, + 557.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00065", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dd415df125" + }, + "dd601f9a3f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 323.0, + 357.0, + 650.0, + 648.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 765.0, + 338.0, + 1130.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 313.0, + 350.0, + 644.0, + 643.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 768.0, + 324.0, + 1133.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 345.0, + 299.0, + 670.0, + 595.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 826.0, + 322.0, + 1126.0, + 660.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 343.0, + 241.0, + 635.0, + 586.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 810.0, + 323.0, + 1126.0, + 662.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dd601f9a3f" + }, + "dd61d903df": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 444.0, + 68.0, + 847.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 426.0, + 138.0, + 833.0, + 702.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 434.0, + 86.0, + 829.0, + 702.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 434.0, + 82.0, + 827.0, + 701.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dd61d903df" + }, + "dd77583736": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 577.0, + 40.0, + 1262.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1226.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 523.0, + 94.0, + 1208.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1173.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 523.0, + 83.0, + 1204.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1192.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 359.0, + 17.0, + 1170.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dd77583736" + }, + "dd8636bd8b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 454.0, + 277.0, + 967.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 454.0, + 411.0, + 902.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 417.0, + 341.0, + 893.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 421.0, + 368.0, + 699.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dd8636bd8b" + }, + "dd9fe6c6ac": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 623.0, + 2.0, + 866.0, + 373.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 254.0, + 132.0, + 666.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 425.0, + 126.0, + 778.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 380.0, + 88.0, + 937.0, + 481.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dd9fe6c6ac" + }, + "ddb2da4c14": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 254.0, + 70.0, + 817.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 248.0, + 0.0, + 843.0, + 708.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 353.0, + 33.0, + 818.0, + 490.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 501.0, + 215.0, + 698.0, + 495.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ddb2da4c14" + }, + "ddcd450d47": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 488.0, + 92.0, + 921.0, + 435.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 54.0, + 163.0, + 1207.0, + 673.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 537.0, + 137.0, + 944.0, + 478.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 11.0, + 153.0, + 1212.0, + 673.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 365.0, + 157.0, + 778.0, + 482.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 53.0, + 1162.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 479.0, + 128.0, + 860.0, + 465.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 11.0, + 64.0, + 1188.0, + 673.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ddcd450d47" + }, + "dde8e67fb4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 150.0, + 450.0, + 296.0, + 714.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 988.0, + 642.0, + 1066.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 11.0, + 402.0, + 146.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 798.0, + 613.0, + 926.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 561.0, + 580.0, + 696.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1042.0, + 663.0, + 1114.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 399.0, + 512.0, + 545.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 820.0, + 595.0, + 976.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00110", + "00130", + "00145", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dde8e67fb4" + }, + "ddfc3f04d3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 428.0, + 258.0, + 755.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 447.0, + 268.0, + 763.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 448.0, + 270.0, + 770.0, + 550.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 459.0, + 282.0, + 769.0, + 561.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ddfc3f04d3" + }, + "de2ab79dfa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 399.0, + 191.0, + 715.0, + 474.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 669.0, + 298.0, + 1005.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 402.0, + 197.0, + 712.0, + 477.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 671.0, + 304.0, + 1005.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 395.0, + 215.0, + 691.0, + 481.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 655.0, + 334.0, + 998.0, + 596.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 388.0, + 245.0, + 698.0, + 526.0 + ] + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 633.0, + 363.0, + 977.0, + 628.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de2ab79dfa" + }, + "de2f35b2fd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 907.0, + 316.0, + 1033.0, + 632.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 1105.0, + 450.0, + 1279.0, + 624.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1180.0, + 344.0, + 1247.0, + 475.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 899.0, + 319.0, + 1009.0, + 593.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 1069.0, + 421.0, + 1279.0, + 596.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1111.0, + 345.0, + 1186.0, + 457.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 985.0, + 307.0, + 1095.0, + 588.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 1149.0, + 439.0, + 1279.0, + 590.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1192.0, + 337.0, + 1266.0, + 454.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 915.0, + 281.0, + 1022.0, + 561.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 1058.0, + 379.0, + 1279.0, + 555.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1102.0, + 309.0, + 1172.0, + 424.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de2f35b2fd" + }, + "de30990a51": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 606.0, + 233.0, + 955.0, + 609.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 509.0, + 238.0, + 830.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 455.0, + 306.0, + 745.0, + 677.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 548.0, + 170.0, + 812.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 437.0, + 323.0, + 737.0, + 568.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 604.0, + 274.0, + 859.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 450.0, + 367.0, + 744.0, + 547.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 499.0, + 342.0, + 838.0, + 607.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de30990a51" + }, + "de36b216da": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 451.0, + 148.0, + 883.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 445.0, + 142.0, + 980.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 419.0, + 64.0, + 1010.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 365.0, + 11.0, + 969.0, + 685.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de36b216da" + }, + "de37403340": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 159.0, + 797.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 39.0, + 733.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 156.0, + 818.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 363.0, + 218.0, + 748.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de37403340" + }, + "de46e4943b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 496.0, + 0.0, + 841.0, + 449.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 391.0, + 351.0, + 848.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 561.0, + 0.0, + 899.0, + 462.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 457.0, + 375.0, + 924.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 529.0, + 0.0, + 910.0, + 512.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 441.0, + 413.0, + 912.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 391.0, + 0.0, + 956.0, + 354.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 596.0, + 135.0, + 751.0, + 413.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de46e4943b" + }, + "de4ddbccb1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 791.0, + 244.0, + 1014.0, + 453.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 411.0, + 0.0, + 816.0, + 380.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 888.0, + 217.0, + 1038.0, + 465.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 458.0, + 0.0, + 901.0, + 372.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 909.0, + 253.0, + 1109.0, + 468.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 464.0, + 0.0, + 945.0, + 379.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 953.0, + 263.0, + 1160.0, + 476.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 466.0, + 0.0, + 918.0, + 377.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de4ddbccb1" + }, + "de5e480f05": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 644.0, + 168.0, + 1279.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 680.0, + 193.0, + 1279.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 684.0, + 161.0, + 1136.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 728.0, + 291.0, + 1064.0, + 551.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de5e480f05" + }, + "de6a9382ca": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 404.0, + 192.0, + 829.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 350.0, + 216.0, + 785.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 510.0, + 240.0, + 893.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 554.0, + 325.0, + 895.0, + 681.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00090", + "00100", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de6a9382ca" + }, + "de74a601d3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 276.0, + 111.0, + 549.0, + 390.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 630.0, + 0.0, + 1279.0, + 682.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 270.0, + 106.0, + 559.0, + 390.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 586.0, + 13.0, + 1279.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 203.0, + 129.0, + 492.0, + 338.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 831.0, + 0.0, + 1279.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 132.0, + 155.0, + 420.0, + 362.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 746.0, + 0.0, + 1279.0, + 632.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de74a601d3" + }, + "de827c510d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 328.0, + 128.0, + 838.0, + 713.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 754.0, + 222.0, + 1006.0, + 705.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 917.0, + 306.0, + 1189.0, + 704.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 614.0, + 167.0, + 886.0, + 706.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 317.0, + 116.0, + 838.0, + 713.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 728.0, + 214.0, + 982.0, + 707.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 905.0, + 311.0, + 1183.0, + 707.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 593.0, + 159.0, + 867.0, + 708.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 354.0, + 160.0, + 879.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 733.0, + 241.0, + 996.0, + 710.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 913.0, + 339.0, + 1177.0, + 705.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 627.0, + 189.0, + 749.0, + 354.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 350.0, + 107.0, + 879.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 738.0, + 180.0, + 917.0, + 695.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 883.0, + 275.0, + 1111.0, + 707.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 627.0, + 134.0, + 885.0, + 706.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de827c510d" + }, + "ded6069f7b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 378.0, + 35.0, + 1189.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 366.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 322.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 648.0, + 253.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ded6069f7b" + }, + "defb71c741": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 410.0, + 250.0, + 679.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 408.0, + 269.0, + 742.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 247.0, + 0.0, + 992.0, + 598.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 273.0, + 0.0, + 1000.0, + 615.0 + ] + } + } + ], + "frame_names": [ + "00065", + "00085", + "00115", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/defb71c741" + }, + "df01f277f1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 606.0, + 378.0, + 915.0, + 640.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 804.0, + 533.0, + 1041.0, + 719.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 0.0, + 137.0, + 340.0, + 318.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 150.0, + 506.0, + 364.0, + 719.0 + ] + }, + "5": { + "category_name": "penguin", + "bbox": [ + 46.0, + 352.0, + 322.0, + 660.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 554.0, + 223.0, + 865.0, + 647.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 681.0, + 521.0, + 875.0, + 719.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 0.0, + 148.0, + 174.0, + 305.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 0.0, + 533.0, + 264.0, + 719.0 + ] + }, + "5": { + "category_name": "penguin", + "bbox": [ + 0.0, + 384.0, + 100.0, + 672.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 66.0, + 364.0, + 568.0, + 666.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 240.0, + 584.0, + 409.0, + 719.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 52.0, + 259.0, + 442.0, + 545.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 83.0, + 498.0, + 392.0, + 719.0 + ] + }, + "3": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "5": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df01f277f1" + }, + "df05214b82": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 453.0, + 199.0, + 624.0, + 341.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 683.0, + 224.0, + 880.0, + 356.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 443.0, + 176.0, + 642.0, + 324.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 682.0, + 206.0, + 892.0, + 342.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 399.0, + 166.0, + 599.0, + 313.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 626.0, + 196.0, + 851.0, + 333.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 396.0, + 250.0, + 637.0, + 401.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 655.0, + 282.0, + 878.0, + 416.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df05214b82" + }, + "df11931ffe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 466.0, + 0.0, + 689.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 340.0, + 0.0, + 600.0, + 499.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df11931ffe" + }, + "df1b0e4620": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 3.0, + 96.0, + 412.0, + 335.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 1.0, + 97.0, + 413.0, + 336.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 1.0, + 96.0, + 412.0, + 334.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 1.0, + 97.0, + 411.0, + 334.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df1b0e4620" + }, + "df20a8650d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 16.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 13.0, + 1260.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 1048.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 5.0, + 1224.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df20a8650d" + }, + "df2bc56d7c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 820.0, + 164.0, + 950.0, + 429.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 781.0, + 399.0, + 858.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 584.0, + 42.0, + 839.0, + 558.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 661.0, + 487.0, + 812.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 559.0, + 98.0, + 808.0, + 564.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 646.0, + 490.0, + 755.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 506.0, + 0.0, + 725.0, + 451.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 621.0, + 329.0, + 691.0, + 415.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df2bc56d7c" + }, + "df365282c6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 629.0, + 279.0, + 857.0, + 457.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 392.0, + 149.0, + 981.0, + 476.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 105.0, + 0.0, + 1279.0, + 564.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1270.0, + 691.0 + ] + }, + "2": { + "category_name": "shark", + "bbox": [ + 434.0, + 584.0, + 553.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 240.0, + 292.0, + 637.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df365282c6" + }, + "df39a0d9df": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 1140.0, + 336.0, + 1438.0, + 572.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 216.0, + 177.0, + 336.0, + 283.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1104.0, + 367.0, + 1215.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1367.0, + 290.0, + 1908.0, + 685.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 105.0, + 90.0, + 224.0, + 204.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 993.0, + 314.0, + 1149.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 398.0, + 430.0, + 529.0, + 529.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1299.0, + 472.0, + 1462.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 366.0, + 453.0, + 514.0, + 562.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1306.0, + 428.0, + 1479.0, + 549.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df39a0d9df" + }, + "df3c430c24": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1017.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1023.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1021.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1013.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df3c430c24" + }, + "df5536cfb9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 605.0, + 141.0, + 1132.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 599.0, + 121.0, + 1136.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 597.0, + 128.0, + 1128.0, + 707.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 633.0, + 221.0, + 1108.0, + 715.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df5536cfb9" + }, + "df59cfd91d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 156.0, + 244.0, + 878.0, + 543.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 130.0, + 333.0, + 284.0, + 426.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1048.0, + 280.0, + 1121.0, + 318.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 163.0, + 248.0, + 892.0, + 545.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 137.0, + 334.0, + 298.0, + 426.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1058.0, + 292.0, + 1129.0, + 329.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 375.0, + 217.0, + 1110.0, + 532.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 338.0, + 325.0, + 515.0, + 421.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1227.0, + 253.0, + 1279.0, + 291.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 653.0, + 181.0, + 1279.0, + 500.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 600.0, + 301.0, + 775.0, + 399.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df59cfd91d" + }, + "df5e2152b3": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1075.0, + 330.0, + 1128.0, + 425.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1021.0, + 336.0, + 1096.0, + 439.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 962.0, + 323.0, + 1004.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1096.0, + 336.0, + 1151.0, + 425.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1027.0, + 342.0, + 1079.0, + 435.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 959.0, + 341.0, + 1009.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1079.0, + 314.0, + 1167.0, + 430.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1022.0, + 317.0, + 1095.0, + 443.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 953.0, + 333.0, + 1021.0, + 441.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1088.0, + 302.0, + 1179.0, + 429.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1030.0, + 327.0, + 1108.0, + 441.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 960.0, + 336.0, + 1025.0, + 444.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df5e2152b3" + }, + "df741313c9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 372.0, + 148.0, + 947.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 143.0, + 1101.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1012.0, + 280.0, + 1279.0, + 378.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 466.0, + 134.0, + 1173.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 9.0, + 174.0, + 924.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 510.0, + 259.0, + 1191.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 60.0, + 228.0, + 742.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 526.0, + 384.0, + 957.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 44.0, + 323.0, + 682.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df741313c9" + }, + "df7626172f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 463.0, + 790.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1087.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 133.0, + 989.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 458.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 50.0, + 1017.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 690.0, + 284.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 48.0, + 1045.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 20.0, + 441.0, + 420.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df7626172f" + }, + "df8ad5deb9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 460.0, + 0.0, + 761.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 384.0, + 0.0, + 632.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 290.0, + 43.0, + 793.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 340.0, + 0.0, + 969.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df8ad5deb9" + }, + "df96aa609a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 547.0, + 339.0, + 578.0, + 392.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 644.0, + 318.0, + 683.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 740.0, + 295.0, + 795.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 669.0, + 285.0, + 808.0, + 435.0 + ] + } + } + ], + "frame_names": [ + "00095", + "00110", + "00130", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df96aa609a" + }, + "df9705605c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 359.0, + 377.0, + 606.0, + 698.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 358.0, + 367.0, + 615.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 292.0, + 354.0, + 618.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 377.0, + 488.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df9705605c" + }, + "df9c91c4da": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 77.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 323.0, + 84.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 110.0, + 147.0, + 937.0, + 650.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 208.0, + 0.0, + 879.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df9c91c4da" + }, + "dfc0d3d27a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 536.0, + 262.0, + 764.0, + 637.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 617.0, + 597.0, + 756.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 530.0, + 11.0, + 792.0, + 585.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 628.0, + 162.0, + 768.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 340.0, + 185.0, + 795.0, + 579.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 622.0, + 514.0, + 721.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 526.0, + 210.0, + 759.0, + 600.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 643.0, + 548.0, + 739.0, + 639.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dfc0d3d27a" + }, + "dfdbf91a99": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 203.0, + 359.0, + 978.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 202.0, + 362.0, + 988.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 293.0, + 309.0, + 1035.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 137.0, + 264.0, + 890.0, + 607.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dfdbf91a99" + }, + "e00baaae9b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 427.0, + 77.0, + 854.0, + 648.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 63.0, + 273.0, + 508.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 441.0, + 85.0, + 894.0, + 610.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 108.0, + 273.0, + 587.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 461.0, + 128.0, + 900.0, + 638.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 140.0, + 375.0, + 487.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 455.0, + 186.0, + 914.0, + 712.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 277.0, + 521.0, + 649.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e00baaae9b" + }, + "e0a938c6e7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 602.0, + 140.0, + 947.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 743.0, + 160.0, + 875.0, + 430.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 800.0, + 167.0, + 1090.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 573.0, + 144.0, + 893.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 683.0, + 171.0, + 800.0, + 417.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 731.0, + 182.0, + 1022.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 449.0, + 141.0, + 749.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 549.0, + 147.0, + 653.0, + 376.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 595.0, + 160.0, + 857.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 421.0, + 143.0, + 737.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 533.0, + 149.0, + 647.0, + 380.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 588.0, + 167.0, + 845.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0a938c6e7" + }, + "e0b2ceee6f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 675.0, + 26.0, + 1136.0, + 607.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 807.0, + 592.0, + 1027.0, + 672.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 722.0, + 47.0, + 936.0, + 614.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 643.0, + 581.0, + 935.0, + 650.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 715.0, + 10.0, + 941.0, + 622.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 620.0, + 581.0, + 977.0, + 674.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 584.0, + 145.0, + 835.0, + 586.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 541.0, + 578.0, + 826.0, + 637.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0b2ceee6f" + }, + "e0be1f6e17": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 245.0, + 205.0, + 1045.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 288.0, + 212.0, + 1008.0, + 443.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 182.0, + 149.0, + 1045.0, + 419.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 25.0, + 195.0, + 1175.0, + 550.0 + ] + } + } + ], + "frame_names": [ + "00160", + "00180", + "00215", + "00235" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0be1f6e17" + }, + "e0c478f775": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 361.0, + 141.0, + 912.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 360.0, + 186.0, + 944.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 336.0, + 158.0, + 932.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 422.0, + 192.0, + 1025.0, + 597.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0c478f775" + }, + "e0de82caa7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 20.0, + 142.0, + 398.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 174.0, + 550.0, + 613.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 220.0, + 104.0, + 661.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 68.0, + 128.0, + 636.0, + 471.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0de82caa7" + }, + "e0f217dd59": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 421.0, + 266.0, + 822.0, + 536.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 946.0, + 442.0, + 1158.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 421.0, + 275.0, + 834.0, + 532.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 953.0, + 456.0, + 1159.0, + 611.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 328.0, + 268.0, + 758.0, + 562.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 937.0, + 460.0, + 1151.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 382.0, + 98.0, + 465.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 856.0, + 445.0, + 1194.0, + 633.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0f217dd59" + }, + "e0f7208874": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 381.0, + 233.0, + 450.0, + 404.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 291.0, + 230.0, + 379.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 331.0, + 323.0, + 416.0, + 495.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 253.0, + 329.0, + 346.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 270.0, + 254.0, + 336.0, + 430.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 74.0, + 265.0, + 238.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 261.0, + 262.0, + 407.0, + 393.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0f7208874" + }, + "e0fb58395e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 207.0, + 950.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 223.0, + 938.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 258.0, + 979.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 260.0, + 981.0, + 684.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0fb58395e" + }, + "e1194c2e9d": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 473.0, + 198.0, + 802.0, + 697.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 577.0, + 174.0, + 851.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 630.0, + 208.0, + 870.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 559.0, + 175.0, + 777.0, + 624.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1194c2e9d" + }, + "e11adcd05d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 21 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 702.0, + 345.0, + 928.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 631.0, + 295.0, + 824.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 334.0, + 301.0, + 540.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 458.0, + 451.0, + 669.0, + 708.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00070", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e11adcd05d" + }, + "e128124b9d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1152.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1142.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1158.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1150.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e128124b9d" + }, + "e1495354e4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 393.0, + 282.0, + 938.0, + 556.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 417.0, + 192.0, + 882.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 431.0, + 182.0, + 896.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 439.0, + 172.0, + 906.0, + 494.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00085", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1495354e4" + }, + "e1561d6d4b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 464.0, + 344.0, + 683.0, + 514.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 743.0, + 212.0, + 974.0, + 503.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 88.0, + 324.0, + 297.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 486.0, + 336.0, + 702.0, + 506.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 699.0, + 273.0, + 909.0, + 493.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 108.0, + 313.0, + 312.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 532.0, + 313.0, + 723.0, + 481.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 703.0, + 316.0, + 925.0, + 473.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 158.0, + 307.0, + 367.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 524.0, + 302.0, + 739.0, + 461.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 693.0, + 293.0, + 879.0, + 452.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 198.0, + 549.0, + 385.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1561d6d4b" + }, + "e158805399": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 387.0, + 680.0, + 474.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 607.0, + 474.0, + 659.0, + 509.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 546.0, + 432.0, + 807.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 613.0, + 388.0, + 673.0, + 476.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 604.0, + 476.0, + 655.0, + 516.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 541.0, + 438.0, + 802.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 509.0, + 271.0, + 582.0, + 365.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 504.0, + 353.0, + 551.0, + 390.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 440.0, + 321.0, + 701.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 562.0, + 403.0, + 641.0, + 477.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 573.0, + 468.0, + 612.0, + 511.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 522.0, + 438.0, + 785.0, + 513.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e158805399" + }, + "e19edcd34b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 277.0, + 365.0, + 417.0, + 606.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 1138.0, + 403.0, + 1279.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 167.0, + 383.0, + 327.0, + 608.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 944.0, + 390.0, + 1186.0, + 678.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 125.0, + 379.0, + 273.0, + 634.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 858.0, + 396.0, + 1072.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 0.0, + 425.0, + 49.0, + 649.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 565.0, + 397.0, + 774.0, + 665.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e19edcd34b" + }, + "e1a1544285": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 414.0, + 0.0, + 1169.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 330.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 276.0, + 0.0, + 1166.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 167.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 251.0, + 0.0, + 1161.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 194.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 335.0, + 0.0, + 1169.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 316.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1a1544285" + }, + "e1ab7957f4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 271.0, + 0.0, + 1200.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 474.0, + 49.0, + 711.0, + 354.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 557.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 508.0, + 114.0, + 791.0, + 459.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 409.0, + 0.0, + 1160.0, + 640.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 706.0, + 325.0, + 727.0, + 338.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 329.0, + 0.0, + 978.0, + 695.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 569.0, + 302.0, + 719.0, + 470.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1ab7957f4" + }, + "e1d26d35be": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 27.0, + 0.0, + 353.0, + 173.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 169.0, + 112.0, + 282.0, + 516.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 38.0, + 68.0, + 152.0, + 471.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 78.0, + 0.0, + 310.0, + 178.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 165.0, + 63.0, + 286.0, + 510.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 43.0, + 70.0, + 156.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 93.0, + 0.0, + 321.0, + 195.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 149.0, + 56.0, + 295.0, + 511.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 47.0, + 80.0, + 157.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 108.0, + 0.0, + 259.0, + 43.0 + ] + }, + "2": { + "category_name": "knife", + "bbox": [ + 17.0, + 0.0, + 269.0, + 666.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00060", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1d26d35be" + }, + "e1e957085b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 112.0, + 0.0, + 847.0, + 615.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 867.0, + 225.0, + 1179.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1174.0, + 305.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 118.0, + 0.0, + 851.0, + 577.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 785.0, + 190.0, + 1171.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1164.0, + 257.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 38.0, + 0.0, + 771.0, + 566.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 745.0, + 176.0, + 1235.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1147.0, + 240.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 725.0, + 561.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 669.0, + 166.0, + 1179.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1147.0, + 260.0, + 1279.0, + 642.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1e957085b" + }, + "e1f14510fa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 435.0, + 199.0, + 760.0, + 564.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 87.0, + 56.0, + 970.0, + 608.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 701.0, + 0.0, + 1137.0, + 455.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 465.0, + 227.0, + 822.0, + 584.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 139.0, + 88.0, + 990.0, + 634.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 735.0, + 0.0, + 1175.0, + 475.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 435.0, + 254.0, + 764.0, + 605.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 107.0, + 97.0, + 976.0, + 649.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 707.0, + 0.0, + 1144.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 477.0, + 245.0, + 806.0, + 618.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 121.0, + 133.0, + 979.0, + 654.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 725.0, + 0.0, + 1166.0, + 497.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1f14510fa" + }, + "e214b160f4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 463.0, + 316.0, + 716.0, + 469.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 687.0, + 143.0, + 1279.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 435.0, + 312.0, + 742.0, + 507.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 715.0, + 200.0, + 1279.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 433.0, + 297.0, + 742.0, + 525.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 977.0, + 234.0, + 1279.0, + 540.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 453.0, + 308.0, + 770.0, + 546.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 945.0, + 253.0, + 1279.0, + 569.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e214b160f4" + }, + "e2167379b8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 157.0, + 213.0, + 523.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 209.0, + 187.0, + 491.0, + 408.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 177.0, + 169.0, + 466.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 173.0, + 173.0, + 457.0, + 412.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2167379b8" + }, + "e21acb20ab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 325.0, + 0.0, + 1042.0, + 709.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 315.0, + 0.0, + 1040.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 293.0, + 23.0, + 882.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 267.0, + 0.0, + 922.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e21acb20ab" + }, + "e221105579": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 421.0, + 69.0, + 591.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 368.0, + 121.0, + 549.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 414.0, + 110.0, + 598.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 412.0, + 105.0, + 594.0, + 663.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e221105579" + }, + "e22ddf8a1b": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 473.0, + 0.0, + 842.0, + 315.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 568.0, + 0.0, + 898.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 564.0, + 0.0, + 1120.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 456.0, + 101.0, + 1028.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e22ddf8a1b" + }, + "e22de45950": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 99.0, + 31.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 709.0, + 126.0, + 780.0, + 200.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 803.0, + 45.0, + 896.0, + 186.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 132.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 698.0, + 104.0, + 784.0, + 174.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 801.0, + 89.0, + 874.0, + 159.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 184.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 714.0, + 14.0, + 798.0, + 85.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 808.0, + 0.0, + 884.0, + 80.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 205.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 756.0, + 0.0, + 842.0, + 29.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e22de45950" + }, + "e22ffc469b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 894.0, + 491.0, + 993.0, + 572.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 640.0, + 227.0, + 910.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 877.0, + 429.0, + 1051.0, + 514.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 712.0, + 234.0, + 998.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 838.0, + 482.0, + 1035.0, + 576.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 700.0, + 283.0, + 951.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 672.0, + 642.0, + 838.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 484.0, + 340.0, + 734.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00070", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e22ffc469b" + }, + "e23cca5244": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 1033.0, + 47.0, + 1279.0, + 689.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1016.0, + 52.0, + 1219.0, + 180.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 837.0, + 168.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 384.0, + 0.0, + 1279.0, + 266.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 542.0, + 251.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 16.0, + 669.0, + 321.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 344.0, + 199.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e23cca5244" + }, + "e252f46f0b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 329.0, + 85.0, + 938.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 272.0, + 105.0, + 951.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 667.0, + 201.0, + 957.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 520.0, + 0.0, + 925.0, + 614.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e252f46f0b" + }, + "e25fa6cf39": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 458.0, + 0.0, + 1279.0, + 386.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 430.0, + 0.0, + 1279.0, + 354.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 539.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e25fa6cf39" + }, + "e26e486026": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 458.0, + 260.0, + 750.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 468.0, + 247.0, + 783.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 437.0, + 248.0, + 755.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 447.0, + 223.0, + 793.0, + 595.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e26e486026" + }, + "e275760245": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 855.0, + 195.0, + 942.0, + 330.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 775.0, + 174.0, + 831.0, + 301.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 772.0, + 176.0, + 831.0, + 324.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 882.0, + 186.0, + 946.0, + 346.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 889.0, + 169.0, + 942.0, + 298.0 + ] + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00175", + "00210", + "00220", + "00250" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e275760245" + }, + "e27bbedbfe": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 646.0, + 304.0, + 1275.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 632.0, + 328.0, + 1275.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 654.0, + 329.0, + 1273.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 702.0, + 264.0, + 1273.0, + 508.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e27bbedbfe" + }, + "e29e9868a8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 573.0, + 228.0, + 759.0, + 643.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 548.0, + 136.0, + 584.0, + 288.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 548.0, + 237.0, + 663.0, + 606.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 639.0, + 399.0, + 756.0, + 450.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 589.0, + 242.0, + 739.0, + 656.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 340.0, + 30.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 642.0, + 314.0, + 746.0, + 621.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 740.0, + 380.0, + 789.0, + 427.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e29e9868a8" + }, + "e2b37ff8af": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 713.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 434.0, + 718.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 400.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 344.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2b37ff8af" + }, + "e2b608d309": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 209.0, + 395.0, + 355.0, + 588.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 260.0, + 292.0, + 884.0, + 593.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 763.0, + 169.0, + 1201.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 297.0, + 366.0, + 526.0, + 536.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 72.0, + 222.0, + 453.0, + 547.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 809.0, + 158.0, + 1111.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 318.0, + 379.0, + 549.0, + 543.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 64.0, + 266.0, + 477.0, + 554.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 800.0, + 233.0, + 962.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 400.0, + 356.0, + 520.0, + 476.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 106.0, + 157.0, + 507.0, + 528.0 + ] + }, + "3": { + "category_name": "leopard", + "bbox": [ + 402.0, + 289.0, + 925.0, + 563.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2b608d309" + }, + "e2bef4da9a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 563.0, + 148.0, + 837.0, + 655.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 452.0, + 156.0, + 554.0, + 267.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 654.0, + 205.0, + 938.0, + 713.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 463.0, + 218.0, + 570.0, + 317.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 694.0, + 226.0, + 942.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 420.0, + 217.0, + 537.0, + 314.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 699.0, + 243.0, + 867.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 583.0, + 173.0, + 682.0, + 268.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2bef4da9a" + }, + "e2c87a6421": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 704.0, + 125.0, + 794.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 670.0, + 121.0, + 791.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 506.0, + 128.0, + 623.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 511.0, + 133.0, + 660.0, + 490.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2c87a6421" + }, + "e2ea25542c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 562.0, + 399.0, + 713.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 485.0, + 397.0, + 681.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 391.0, + 399.0, + 640.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 448.0, + 419.0, + 696.0, + 543.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2ea25542c" + }, + "e2fb1d6497": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 495.0, + 222.0, + 828.0, + 483.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 489.0, + 215.0, + 842.0, + 477.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 485.0, + 217.0, + 834.0, + 477.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 485.0, + 215.0, + 826.0, + 486.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2fb1d6497" + }, + "e2fcc99117": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 155.0, + 11.0, + 1248.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 184.0, + 68.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 184.0, + 79.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 232.0, + 42.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2fcc99117" + }, + "e33c18412a": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 54.0, + 154.0, + 154.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 182.0, + 43.0, + 307.0, + 135.0 + ] + }, + "3": { + "category_name": "snake", + "bbox": [ + 173.0, + 118.0, + 200.0, + 136.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 80.0, + 75.0, + 241.0, + 197.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 96.0, + 30.0, + 163.0, + 98.0 + ] + }, + "3": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 204.0, + 61.0, + 317.0, + 137.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 40.0, + 52.0, + 170.0, + 163.0 + ] + }, + "3": { + "category_name": "snake", + "bbox": [ + 159.0, + 126.0, + 192.0, + 141.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 182.0, + 53.0, + 309.0, + 127.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 52.0, + 69.0, + 189.0, + 189.0 + ] + }, + "3": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e33c18412a" + }, + "e348377191": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 229.0, + 390.0, + 553.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 38.0, + 105.0, + 254.0, + 483.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 10.0, + 231.0, + 426.0, + 557.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 68.0, + 100.0, + 290.0, + 476.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 67.0, + 240.0, + 521.0, + 580.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 148.0, + 109.0, + 375.0, + 493.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 191.0, + 229.0, + 686.0, + 587.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 268.0, + 97.0, + 525.0, + 527.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e348377191" + }, + "e352cb59c8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 537.0, + 325.0, + 1212.0, + 649.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 154.0, + 1242.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 616.0, + 282.0, + 1279.0, + 609.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 39.0, + 105.0, + 1279.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 670.0, + 534.0, + 1176.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 119.0, + 0.0, + 1268.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 717.0, + 421.0, + 1143.0, + 585.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 184.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e352cb59c8" + }, + "e36ac982f0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 124.0, + 43.0, + 1117.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 653.0, + 195.0, + 832.0, + 451.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 251.0, + 0.0, + 1253.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 572.0, + 126.0, + 787.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 273.0, + 0.0, + 1253.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 548.0, + 146.0, + 711.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 348.0, + 67.0, + 1265.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 518.0, + 235.0, + 747.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e36ac982f0" + }, + "e391bc981e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 108.0, + 58.0, + 596.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 120.0, + 64.0, + 633.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 93.0, + 61.0, + 624.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 76.0, + 63.0, + 629.0, + 635.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e391bc981e" + }, + "e39e3e0a06": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 507.0, + 269.0, + 883.0, + 479.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 713.0, + 211.0, + 1017.0, + 423.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 822.0, + 238.0, + 1063.0, + 442.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1006.0, + 221.0, + 1221.0, + 407.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 698.0, + 248.0, + 928.0, + 405.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 911.0, + 258.0, + 1167.0, + 401.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 322.0, + 238.0, + 460.0, + 399.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 757.0, + 207.0, + 945.0, + 396.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 421.0, + 81.0, + 849.0, + 337.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 561.0, + 246.0, + 735.0, + 390.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e39e3e0a06" + }, + "e3bf38265f": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 505.0, + 248.0, + 605.0, + 354.0 + ] + }, + "3": { + "category_name": "bike", + "bbox": [ + 526.0, + 213.0, + 580.0, + 313.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 553.0, + 220.0, + 605.0, + 315.0 + ] + }, + "3": { + "category_name": "bike", + "bbox": [ + 556.0, + 255.0, + 635.0, + 347.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 643.0, + 253.0, + 687.0, + 328.0 + ] + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00035" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e3bf38265f" + }, + "e3d5b2cd21": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 326.0, + 372.0, + 1017.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 961.0, + 191.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 438.0, + 284.0, + 1057.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 1017.0, + 0.0, + 1279.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 450.0, + 653.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 717.0, + 0.0, + 1150.0, + 317.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 278.0, + 318.0, + 630.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 585.0, + 0.0, + 958.0, + 163.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e3d5b2cd21" + }, + "e3e3245492": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 481.0, + 296.0, + 650.0, + 451.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 801.0, + 364.0, + 925.0, + 433.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 1000.0, + 352.0, + 1096.0, + 425.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 505.0, + 267.0, + 665.0, + 425.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 764.0, + 338.0, + 886.0, + 410.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 930.0, + 343.0, + 1035.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 491.0, + 286.0, + 669.0, + 443.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 658.0, + 368.0, + 751.0, + 437.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 810.0, + 354.0, + 906.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 483.0, + 362.0, + 663.0, + 518.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 557.0, + 449.0, + 689.0, + 514.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 742.0, + 433.0, + 870.0, + 485.0 + ] + } + } + ], + "frame_names": [ + "00075", + "00085", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e3e3245492" + }, + "e3e4134877": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 495.0, + 62.0, + 644.0, + 226.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 509.0, + 43.0, + 660.0, + 206.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 503.0, + 0.0, + 652.0, + 156.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 911.0, + 351.0, + 1218.0, + 644.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e3e4134877" + }, + "e3f4635e03": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 335.0, + 726.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 403.0, + 296.0, + 843.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 265.0, + 262.0, + 887.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 181.0, + 282.0, + 785.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e3f4635e03" + }, + "e4004ee048": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 271.0, + 0.0, + 1240.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 267.0, + 0.0, + 1228.0, + 694.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 243.0, + 0.0, + 1218.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 329.0, + 0.0, + 1246.0, + 661.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4004ee048" + }, + "e402d1afa5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 25.0, + 51.0, + 1008.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 13.0, + 9.0, + 1024.0, + 658.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 17.0, + 120.0, + 984.0, + 656.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 25.0, + 33.0, + 978.0, + 675.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e402d1afa5" + }, + "e415093d27": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 410.0, + 181.0, + 1219.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 248.0, + 182.0, + 1025.0, + 691.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 256.0, + 189.0, + 895.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 188.0, + 645.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e415093d27" + }, + "e41ceb5d81": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 314.0, + 0.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 77.0, + 8.0, + 422.0, + 304.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 243.0, + 0.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 44.0, + 45.0, + 425.0, + 311.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 223.0, + 0.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 10.0, + 102.0, + 351.0, + 378.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 342.0, + 0.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 245.0, + 141.0, + 599.0, + 347.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e41ceb5d81" + }, + "e424653b78": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 186.0, + 236.0, + 531.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 379.0, + 221.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 96.0, + 309.0, + 433.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 475.0, + 84.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 161.0, + 225.0, + 492.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 366.0, + 179.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 172.0, + 216.0, + 499.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 353.0, + 198.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e424653b78" + }, + "e42b6d3dbb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 139.0, + 38.0, + 704.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 830.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1012.0, + 544.0, + 1091.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 9.0, + 595.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 581.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 676.0, + 515.0, + 768.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 90.0, + 531.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 519.0, + 0.0, + 1050.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 611.0, + 582.0, + 709.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 185.0, + 20.0, + 710.0, + 702.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 856.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 770.0, + 311.0, + 1038.0, + 622.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e42b6d3dbb" + }, + "e42d60f0d4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 648.0, + 32.0, + 835.0, + 424.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 514.0, + 198.0, + 675.0, + 571.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 379.0, + 168.0, + 554.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 552.0, + 165.0, + 760.0, + 414.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 414.0, + 436.0, + 714.0, + 587.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 418.0, + 235.0, + 597.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 533.0, + 180.0, + 760.0, + 420.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 467.0, + 436.0, + 631.0, + 579.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 416.0, + 214.0, + 629.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 521.0, + 168.0, + 765.0, + 393.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 458.0, + 421.0, + 610.0, + 592.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 444.0, + 236.0, + 639.0, + 392.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e42d60f0d4" + }, + "e436d0ff1e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 374.0, + 225.0, + 502.0, + 414.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 228.0, + 212.0, + 899.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 321.0, + 245.0, + 532.0, + 439.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 200.0, + 236.0, + 873.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 320.0, + 251.0, + 529.0, + 440.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 197.0, + 235.0, + 869.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 329.0, + 244.0, + 526.0, + 444.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 205.0, + 238.0, + 877.0, + 609.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e436d0ff1e" + }, + "e43d7ae2c5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 246.0, + 85.0, + 1191.0, + 582.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 50.0, + 416.0, + 330.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 267.0, + 92.0, + 1216.0, + 599.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 75.0, + 437.0, + 357.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 295.0, + 94.0, + 1228.0, + 613.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 88.0, + 445.0, + 369.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 278.0, + 94.0, + 1236.0, + 621.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 97.0, + 449.0, + 348.0, + 523.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e43d7ae2c5" + }, + "e4428801bc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 230.0, + 278.0, + 486.0, + 524.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 622.0, + 309.0, + 700.0, + 355.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 959.0, + 320.0, + 1032.0, + 364.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 149.0, + 273.0, + 459.0, + 523.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 644.0, + 291.0, + 722.0, + 340.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 997.0, + 304.0, + 1068.0, + 350.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 309.0, + 241.0, + 583.0, + 488.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 456.0, + 277.0, + 725.0, + 532.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4428801bc" + }, + "e44e0b4917": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 519.0, + 252.0, + 1279.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 447.0, + 270.0, + 1279.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 337.0, + 256.0, + 1279.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 347.0, + 258.0, + 1279.0, + 623.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e44e0b4917" + }, + "e470345ede": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 155.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00070", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e470345ede" + }, + "e48e8b4263": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 152.0, + 383.0, + 971.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 232.0, + 407.0, + 1038.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 301.0, + 415.0, + 1078.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 341.0, + 418.0, + 1104.0, + 627.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00075", + "00100", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e48e8b4263" + }, + "e4922e3726": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 331.0, + 193.0, + 1025.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 265.0, + 196.0, + 1039.0, + 541.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 196.0, + 264.0, + 1062.0, + 541.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 124.0, + 286.0, + 1019.0, + 547.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4922e3726" + }, + "e4936852bb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 212.0, + 1.0, + 911.0, + 648.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 557.0, + 323.0, + 965.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 203.0, + 1.0, + 915.0, + 643.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 531.0, + 344.0, + 944.0, + 705.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 183.0, + 0.0, + 1048.0, + 580.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 640.0, + 328.0, + 965.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 194.0, + 0.0, + 1034.0, + 542.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 646.0, + 278.0, + 999.0, + 619.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4936852bb" + }, + "e499228f26": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 481.0, + 163.0, + 704.0, + 374.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 469.0, + 206.0, + 931.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 420.0, + 156.0, + 736.0, + 443.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 417.0, + 197.0, + 1051.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 371.0, + 68.0, + 825.0, + 543.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 349.0, + 136.0, + 1180.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 179.0, + 281.0, + 764.0, + 583.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 364.0, + 967.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e499228f26" + }, + "e4af66e163": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 524.0, + 130.0, + 1238.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 519.0, + 196.0, + 1269.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 560.0, + 45.0, + 1097.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 477.0, + 0.0, + 1223.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4af66e163" + }, + "e4b2095f58": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 776.0, + 302.0, + 887.0, + 521.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 801.0, + 241.0, + 935.0, + 421.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 773.0, + 262.0, + 832.0, + 405.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 752.0, + 280.0, + 823.0, + 424.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4b2095f58" + }, + "e4d19c8283": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 532.0, + 31.0, + 1269.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 424.0, + 1.0, + 1269.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 320.0, + 0.0, + 1269.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 244.0, + 0.0, + 1265.0, + 465.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4d19c8283" + }, + "e4d4872dab": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 553.0, + 478.0, + 592.0, + 495.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 485.0, + 342.0, + 573.0, + 476.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 460.0, + 330.0, + 556.0, + 464.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 465.0, + 463.0, + 511.0, + 483.0 + ] + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 432.0, + 448.0, + 499.0, + 486.0 + ] + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00095", + "00120", + "00130", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4d4872dab" + }, + "e4eaa63aab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 287.0, + 72.0, + 843.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 511.0, + 43.0, + 794.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 653.0, + 25.0, + 896.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 592.0, + 30.0, + 908.0, + 474.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4eaa63aab" + }, + "e4ef0a3a34": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 710.0, + 162.0, + 924.0, + 695.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 676.0, + 166.0, + 888.0, + 689.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 689.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 703.0, + 180.0, + 922.0, + 696.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 745.0, + 150.0, + 959.0, + 690.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 682.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4ef0a3a34" + }, + "e4f8e5f46e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 400.0, + 95.0, + 965.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 404.0, + 95.0, + 984.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 422.0, + 176.0, + 1141.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 376.0, + 185.0, + 1013.0, + 588.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4f8e5f46e" + }, + "e4ffb6d0dd": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 728.0, + 344.0, + 938.0, + 399.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 907.0, + 240.0, + 1000.0, + 285.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 232.0, + 255.0, + 285.0, + 281.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 731.0, + 344.0, + 946.0, + 399.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 914.0, + 236.0, + 1008.0, + 282.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 217.0, + 250.0, + 273.0, + 279.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 736.0, + 336.0, + 964.0, + 392.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 922.0, + 221.0, + 1024.0, + 272.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 189.0, + 236.0, + 245.0, + 268.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 745.0, + 330.0, + 983.0, + 393.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 936.0, + 205.0, + 1039.0, + 260.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 157.0, + 225.0, + 219.0, + 258.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00020", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4ffb6d0dd" + }, + "e53e21aa02": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 713.0, + 304.0, + 1159.0, + 590.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 882.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 618.0, + 260.0, + 839.0, + 399.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 660.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 604.0, + 215.0, + 749.0, + 393.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 701.0, + 428.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 608.0, + 223.0, + 797.0, + 390.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 721.0, + 426.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e53e21aa02" + }, + "e57f4f668b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 432.0, + 137.0, + 1279.0, + 543.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 334.0, + 202.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 457.0, + 122.0, + 1207.0, + 456.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 443.0, + 122.0, + 1212.0, + 454.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 294.0, + 103.0, + 1240.0, + 455.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e57f4f668b" + }, + "e588433c1e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 97.0, + 46.0, + 906.0, + 680.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 192.0, + 229.0, + 544.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1122.0, + 349.0, + 1228.0, + 632.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 984.0, + 410.0, + 1277.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 139.0, + 19.0, + 1024.0, + 695.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 174.0, + 253.0, + 531.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1172.0, + 348.0, + 1279.0, + 628.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 1009.0, + 430.0, + 1200.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 171.0, + 6.0, + 1112.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 181.0, + 278.0, + 539.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1194.0, + 366.0, + 1279.0, + 645.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 1110.0, + 426.0, + 1203.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 261.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 191.0, + 341.0, + 559.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e588433c1e" + }, + "e597442c99": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 274.0, + 1166.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 299.0, + 1155.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 300.0, + 1115.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 10.0, + 286.0, + 1073.0, + 407.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e597442c99" + }, + "e5abc0e96b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 518.0, + 105.0, + 743.0, + 494.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 418.0, + 185.0, + 768.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 560.0, + 78.0, + 783.0, + 462.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 455.0, + 154.0, + 813.0, + 568.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 455.0, + 139.0, + 706.0, + 531.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 342.0, + 202.0, + 737.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 361.0, + 158.0, + 674.0, + 643.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 211.0, + 237.0, + 757.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e5abc0e96b" + }, + "e5be628030": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 196.0, + 229.0, + 729.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 186.0, + 244.0, + 771.0, + 683.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 210.0, + 226.0, + 811.0, + 650.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 208.0, + 231.0, + 753.0, + 681.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e5be628030" + }, + "e5ce96a55d": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 184.0, + 851.0, + 687.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 118.0, + 154.0, + 284.0, + 690.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 517.0, + 172.0, + 737.0, + 685.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 25.0, + 175.0, + 215.0, + 698.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 552.0, + 227.0, + 774.0, + 700.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 73.0, + 206.0, + 276.0, + 704.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 623.0, + 302.0, + 800.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 150.0, + 292.0, + 346.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e5ce96a55d" + }, + "e5d6b70a9f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 226.0, + 153.0, + 494.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1117.0, + 365.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 265.0, + 146.0, + 382.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 721.0, + 175.0, + 913.0, + 598.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e5d6b70a9f" + }, + "e5fde1574c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 523.0, + 235.0, + 1078.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 524.0, + 210.0, + 1035.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 506.0, + 206.0, + 1006.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 478.0, + 171.0, + 877.0, + 515.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e5fde1574c" + }, + "e625e1d27b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 183.0, + 1012.0, + 565.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 895.0, + 0.0, + 1279.0, + 472.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 173.0, + 1099.0, + 585.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 897.0, + 0.0, + 1279.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 202.0, + 1045.0, + 594.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 885.0, + 0.0, + 1279.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 196.0, + 1038.0, + 592.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 895.0, + 0.0, + 1279.0, + 505.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e625e1d27b" + }, + "e6261d2348": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 226.0, + 178.0, + 511.0, + 326.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 723.0, + 211.0, + 997.0, + 320.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 193.0, + 189.0, + 529.0, + 338.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 732.0, + 238.0, + 977.0, + 331.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 167.0, + 196.0, + 533.0, + 336.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 692.0, + 213.0, + 964.0, + 339.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 62.0, + 238.0, + 449.0, + 356.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 528.0, + 249.0, + 869.0, + 356.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e6261d2348" + }, + "e6267d46bc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 937.0, + 91.0, + 1015.0, + 221.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1014.0, + 90.0, + 1092.0, + 239.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 96.0, + 1279.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 924.0, + 90.0, + 1005.0, + 219.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1005.0, + 92.0, + 1082.0, + 240.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 91.0, + 1271.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 910.0, + 88.0, + 989.0, + 219.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 989.0, + 89.0, + 1069.0, + 239.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 98.0, + 1265.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 909.0, + 101.0, + 987.0, + 234.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 987.0, + 103.0, + 1070.0, + 252.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 108.0, + 1263.0, + 620.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e6267d46bc" + }, + "e6295f223f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 692.0, + 290.0, + 802.0, + 485.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 378.0, + 477.0, + 484.0, + 683.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 459.0, + 449.0, + 613.0, + 566.0 + ] + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 433.0, + 303.0, + 502.0, + 337.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 322.0, + 479.0, + 431.0, + 594.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 372.0, + 430.0, + 512.0, + 540.0 + ] + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 96.0, + 382.0, + 199.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 408.0, + 301.0, + 431.0, + 312.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 313.0, + 472.0, + 434.0, + 577.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 347.0, + 430.0, + 452.0, + 500.0 + ] + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 309.0, + 136.0, + 458.0, + 253.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 85.0, + 436.0, + 203.0, + 607.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 319.0, + 479.0, + 435.0, + 578.0 + ] + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 332.0, + 442.0, + 428.0, + 507.0 + ] + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 311.0, + 137.0, + 447.0, + 255.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e6295f223f" + }, + "e63463d8c6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 670.0, + 212.0, + 767.0, + 388.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 31.0, + 193.0, + 364.0, + 435.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 279.0, + 491.0, + 381.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 617.0, + 263.0, + 865.0, + 472.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 169.0, + 375.0, + 361.0, + 487.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 306.0, + 467.0, + 409.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 797.0, + 323.0, + 956.0, + 496.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 192.0, + 432.0, + 361.0, + 558.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 293.0, + 424.0, + 398.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 532.0, + 304.0, + 987.0, + 510.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 259.0, + 452.0, + 326.0, + 533.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 252.0, + 440.0, + 417.0, + 588.0 + ] + } + } + ], + "frame_names": [ + "00085", + "00105", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e63463d8c6" + }, + "e6387bd1e0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 446.0, + 159.0, + 827.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 386.0, + 158.0, + 814.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 476.0, + 95.0, + 875.0, + 486.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 388.0, + 81.0, + 908.0, + 424.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e6387bd1e0" + }, + "e653883384": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 690.0, + 273.0, + 961.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 700.0, + 261.0, + 1002.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 626.0, + 230.0, + 1001.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 533.0, + 207.0, + 1022.0, + 464.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e653883384" + }, + "e65f134e0b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 620.0, + 231.0, + 843.0, + 368.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 46.0, + 357.0, + 859.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 639.0, + 223.0, + 860.0, + 387.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 128.0, + 356.0, + 856.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 636.0, + 196.0, + 851.0, + 382.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 132.0, + 318.0, + 819.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 572.0, + 129.0, + 805.0, + 351.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 68.0, + 287.0, + 761.0, + 537.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e65f134e0b" + }, + "e668ef5664": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 557.0, + 304.0, + 1279.0, + 530.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 553.0, + 384.0, + 1279.0, + 601.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 87.0, + 107.0, + 141.0, + 179.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 577.0, + 364.0, + 1279.0, + 567.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 72.0, + 145.0, + 115.0, + 223.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 465.0, + 323.0, + 1279.0, + 526.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 22.0, + 80.0, + 109.0, + 158.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e668ef5664" + }, + "e672ccd250": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 435.0, + 171.0, + 750.0, + 573.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 384.0, + 347.0, + 448.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 412.0, + 154.0, + 792.0, + 587.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 356.0, + 334.0, + 421.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 414.0, + 133.0, + 866.0, + 596.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 355.0, + 340.0, + 423.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 420.0, + 123.0, + 893.0, + 612.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 353.0, + 334.0, + 435.0, + 505.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e672ccd250" + }, + "e674510b20": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 250.0, + 272.0, + 675.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 222.0, + 304.0, + 800.0, + 463.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 76.0, + 261.0, + 508.0, + 456.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 221.0, + 264.0, + 805.0, + 445.0 + ] + } + } + ], + "frame_names": [ + "00050", + "00070", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e674510b20" + }, + "e676107765": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 258.0, + 329.0, + 1003.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 341.0, + 338.0, + 1004.0, + 439.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 357.0, + 349.0, + 998.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 325.0, + 353.0, + 982.0, + 455.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e676107765" + }, + "e699da0cdf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 445.0, + 215.0, + 969.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 384.0, + 260.0, + 911.0, + 685.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 391.0, + 230.0, + 930.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 258.0, + 137.0, + 801.0, + 538.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e699da0cdf" + }, + "e6deab5e0b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 714.0, + 402.0, + 821.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 760.0, + 440.0, + 904.0, + 557.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 875.0, + 516.0, + 1156.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 901.0, + 539.0, + 1236.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e6deab5e0b" + }, + "e6f065f2b9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 218.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 322.0, + 325.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 354.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 178.0, + 333.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 440.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 258.0, + 276.0 + ] + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 246.0, + 0.0, + 1213.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e6f065f2b9" + }, + "e71629e7b5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 959.0, + 234.0, + 1000.0, + 299.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 963.0, + 298.0, + 1001.0, + 311.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 910.0, + 253.0, + 949.0, + 317.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 901.0, + 314.0, + 943.0, + 326.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 794.0, + 241.0, + 838.0, + 298.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 781.0, + 293.0, + 839.0, + 308.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 684.0, + 204.0, + 718.0, + 273.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 663.0, + 267.0, + 696.0, + 278.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e71629e7b5" + }, + "e72a7d7b0b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 307.0, + 168.0, + 726.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 315.0, + 185.0, + 756.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 325.0, + 178.0, + 800.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 477.0, + 140.0, + 936.0, + 596.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e72a7d7b0b" + }, + "e72f6104e1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 135.0, + 158.0, + 740.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 271.0, + 146.0, + 794.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 444.0, + 208.0, + 908.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 266.0, + 54.0, + 870.0, + 473.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e72f6104e1" + }, + "e75a466eea": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 475.0, + 117.0, + 799.0, + 702.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 463.0, + 48.0, + 803.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 458.0, + 44.0, + 800.0, + 710.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 476.0, + 80.0, + 823.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00030", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e75a466eea" + }, + "e76c55933f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 677.0, + 52.0, + 1074.0, + 719.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 753.0, + 35.0, + 1121.0, + 719.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 746.0, + 166.0, + 862.0, + 308.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 730.0, + 31.0, + 1168.0, + 719.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 714.0, + 187.0, + 836.0, + 362.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 642.0, + 23.0, + 1141.0, + 719.0 + ] + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 661.0, + 163.0, + 804.0, + 393.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e76c55933f" + }, + "e7784ec8ad": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 568.0, + 226.0, + 1279.0, + 665.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 308.0, + 327.0, + 598.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 572.0, + 229.0, + 1279.0, + 674.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 308.0, + 323.0, + 598.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 568.0, + 231.0, + 1279.0, + 668.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 308.0, + 323.0, + 596.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 576.0, + 233.0, + 1279.0, + 672.0 + ] + }, + "2": { + "category_name": "frog", + "bbox": [ + 314.0, + 331.0, + 603.0, + 582.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e7784ec8ad" + }, + "e78d450a9c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 254.0, + 245.0, + 344.0, + 286.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 289.0, + 238.0, + 430.0, + 289.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 253.0, + 245.0, + 309.0, + 288.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 317.0, + 238.0, + 473.0, + 291.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 250.0, + 241.0, + 336.0, + 287.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 603.0, + 239.0, + 782.0, + 319.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 323.0, + 237.0, + 491.0, + 295.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e78d450a9c" + }, + "e7c6354e77": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 679.0, + 1.0, + 1015.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 657.0, + 1.0, + 915.0, + 378.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 486.0, + 1.0, + 754.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 494.0, + 207.0, + 665.0, + 491.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e7c6354e77" + }, + "e7c8de1fce": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 434.0, + 382.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 87.0, + 139.0, + 1028.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 431.0, + 391.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 151.0, + 159.0, + 1032.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 408.0, + 376.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 40.0, + 81.0, + 1039.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 404.0, + 344.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 38.0, + 89.0, + 1037.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00040", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e7c8de1fce" + }, + "e7ea10db28": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 522.0, + 127.0, + 1125.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 687.0, + 38.0, + 1279.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 550.0, + 84.0, + 1231.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 514.0, + 15.0, + 1279.0, + 707.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e7ea10db28" + }, + "e803918710": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 526.0, + 372.0, + 703.0, + 536.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 515.0, + 129.0, + 747.0, + 640.0 + ] + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 1056.0, + 368.0, + 1113.0, + 406.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 1079.0, + 276.0, + 1183.0, + 466.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 633.0, + 395.0, + 784.0, + 529.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 517.0, + 132.0, + 743.0, + 639.0 + ] + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 1067.0, + 331.0, + 1115.0, + 392.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 1083.0, + 289.0, + 1182.0, + 467.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 579.0, + 441.0, + 701.0, + 581.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 512.0, + 166.0, + 742.0, + 641.0 + ] + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 1027.0, + 286.0, + 1096.0, + 335.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 1086.0, + 279.0, + 1198.0, + 466.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 639.0, + 375.0, + 703.0, + 547.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 486.0, + 159.0, + 743.0, + 652.0 + ] + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 1073.0, + 321.0, + 1136.0, + 425.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 1091.0, + 276.0, + 1191.0, + 472.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e803918710" + }, + "e8073a140b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 204.0, + 99.0, + 1094.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 216.0, + 88.0, + 1099.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 206.0, + 106.0, + 1089.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 213.0, + 101.0, + 1075.0, + 572.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8073a140b" + }, + "e828dd02db": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 672.0, + 269.0, + 883.0, + 485.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 613.0, + 328.0, + 836.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 601.0, + 322.0, + 822.0, + 569.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 608.0, + 311.0, + 839.0, + 556.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e828dd02db" + }, + "e845994987": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 672.0, + 55.0, + 894.0, + 504.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 692.0, + 439.0, + 919.0, + 532.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 825.0, + 0.0, + 1085.0, + 512.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 774.0, + 434.0, + 1132.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 700.0, + 0.0, + 1018.0, + 526.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 730.0, + 443.0, + 988.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 713.0, + 0.0, + 1192.0, + 596.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 747.0, + 414.0, + 1037.0, + 507.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e845994987" + }, + "e8485a2615": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 392.0, + 269.0, + 438.0, + 297.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 349.0, + 284.0, + 502.0, + 481.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 374.0, + 467.0, + 511.0, + 495.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 419.0, + 193.0, + 471.0, + 223.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 319.0, + 210.0, + 591.0, + 496.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 486.0, + 473.0, + 625.0, + 508.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 690.0, + 39.0, + 782.0, + 102.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 691.0, + 86.0, + 905.0, + 519.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 701.0, + 502.0, + 977.0, + 561.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 1178.0, + 257.0, + 1279.0, + 349.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 774.0, + 0.0, + 870.0, + 38.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 724.0, + 18.0, + 996.0, + 547.0 + ] + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 771.0, + 524.0, + 1048.0, + 586.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 1125.0, + 262.0, + 1279.0, + 362.0 + ] + } + } + ], + "frame_names": [ + "00135", + "00145", + "00180", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8485a2615" + }, + "e85c5118a7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 613.0, + 309.0, + 986.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 601.0, + 3.0, + 1160.0, + 312.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 660.0, + 0.0, + 924.0, + 205.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 754.0, + 102.0, + 1176.0, + 415.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e85c5118a7" + }, + "e88b6736e4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 298.0, + 816.0, + 602.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 537.0, + 467.0, + 847.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 611.0, + 103.0, + 800.0, + 544.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 538.0, + 519.0, + 876.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 208.0, + 789.0, + 705.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 479.0, + 647.0, + 851.0, + 709.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 529.0, + 68.0, + 695.0, + 396.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 500.0, + 361.0, + 756.0, + 402.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e88b6736e4" + }, + "e8962324e3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 319.0, + 771.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 349.0, + 3.0, + 747.0, + 328.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 563.0, + 558.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 480.0, + 660.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 111.0, + 689.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00065", + "00080", + "00100", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8962324e3" + }, + "e8b3018d36": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 179.0, + 443.0, + 851.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 180.0, + 431.0, + 855.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 171.0, + 439.0, + 846.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 156.0, + 437.0, + 843.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8b3018d36" + }, + "e8cee8bf0b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 559.0, + 294.0, + 754.0, + 486.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 607.0, + 461.0, + 688.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 513.0, + 379.0, + 625.0, + 482.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 448.0, + 343.0, + 568.0, + 413.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 499.0, + 262.0, + 675.0, + 386.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 533.0, + 377.0, + 589.0, + 423.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8cee8bf0b" + }, + "e8d97ebece": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 887.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 909.0, + 347.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 965.0, + 610.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 963.0, + 509.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8d97ebece" + }, + "e8da49ea6a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 412.0, + 248.0, + 735.0, + 596.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 474.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 430.0, + 249.0, + 758.0, + 602.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 485.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 389.0, + 307.0, + 733.0, + 695.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 429.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 445.0, + 333.0, + 816.0, + 719.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 483.0, + 629.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8da49ea6a" + }, + "e8ed1a3ccf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 1027.0, + 316.0, + 1053.0, + 351.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 945.0, + 32.0, + 988.0, + 61.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8ed1a3ccf" + }, + "e8f7904326": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 242.0, + 22.0, + 947.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 196.0, + 0.0, + 963.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 140.0, + 0.0, + 963.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 134.0, + 0.0, + 967.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8f7904326" + }, + "e8f8341dec": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 390.0, + 202.0, + 887.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 440.0, + 115.0, + 927.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 380.0, + 0.0, + 817.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 356.0, + 0.0, + 979.0, + 641.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8f8341dec" + }, + "e8fa21eb13": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 54.0, + 761.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 541.0, + 0.0, + 1279.0, + 617.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 66.0, + 783.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 544.0, + 0.0, + 1279.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 73.0, + 795.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 574.0, + 0.0, + 1279.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 100.0, + 807.0, + 719.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 463.0, + 50.0, + 1279.0, + 598.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00065", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8fa21eb13" + }, + "e90c10fc4c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 651.0, + 232.0, + 746.0, + 400.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 674.0, + 364.0, + 749.0, + 417.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 727.0, + 274.0, + 821.0, + 448.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 751.0, + 302.0, + 878.0, + 488.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 343.0, + 80.0, + 524.0, + 267.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 300.0, + 64.0, + 392.0, + 309.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e90c10fc4c" + }, + "e914b8cac8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 528.0, + 0.0, + 1105.0, + 324.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 574.0, + 0.0, + 985.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 526.0, + 0.0, + 947.0, + 412.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 526.0, + 0.0, + 949.0, + 423.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e914b8cac8" + }, + "e92e1b7623": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 362.0, + 52.0, + 814.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 715.0, + 492.0, + 795.0, + 654.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 347.0, + 145.0, + 803.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 270.0, + 126.0, + 713.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 594.0, + 508.0, + 677.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 22.0, + 124.0, + 575.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 230.0, + 352.0, + 511.0, + 606.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e92e1b7623" + }, + "e93f83e512": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 719.0, + 296.0, + 810.0, + 532.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 851.0, + 219.0, + 899.0, + 350.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 915.0, + 209.0, + 957.0, + 349.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 547.0, + 304.0, + 652.0, + 539.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 758.0, + 223.0, + 804.0, + 353.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 822.0, + 217.0, + 869.0, + 352.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 490.0, + 303.0, + 597.0, + 543.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 783.0, + 221.0, + 830.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 373.0, + 312.0, + 446.0, + 555.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e93f83e512" + }, + "e9460b55f9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 337.0, + 376.0, + 951.0, + 632.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 237.0, + 88.0, + 652.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 215.0, + 33.0, + 615.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 19.0, + 304.0, + 690.0, + 466.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00085", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e9460b55f9" + }, + "e9502628f6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 272.0, + 116.0, + 773.0, + 369.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 738.0, + 173.0, + 1279.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 272.0, + 113.0, + 778.0, + 370.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 719.0, + 169.0, + 1279.0, + 573.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 282.0, + 113.0, + 794.0, + 373.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 735.0, + 169.0, + 1279.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 270.0, + 116.0, + 778.0, + 367.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 740.0, + 176.0, + 1279.0, + 575.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e9502628f6" + }, + "e950befd5f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 529.0, + 233.0, + 700.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 513.0, + 234.0, + 695.0, + 405.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 522.0, + 237.0, + 696.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 521.0, + 233.0, + 694.0, + 408.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e950befd5f" + }, + "e9582bdd1b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 320.0, + 280.0, + 727.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 369.0, + 246.0, + 850.0, + 475.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 402.0, + 250.0, + 855.0, + 467.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 368.0, + 326.0, + 789.0, + 556.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e9582bdd1b" + }, + "e95e5afe0f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 613.0, + 187.0, + 729.0, + 292.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 458.0, + 187.0, + 625.0, + 270.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 483.0, + 258.0, + 740.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 707.0, + 263.0, + 800.0, + 369.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 526.0, + 264.0, + 700.0, + 341.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 560.0, + 315.0, + 821.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 465.0, + 194.0, + 560.0, + 294.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 295.0, + 192.0, + 471.0, + 273.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 335.0, + 249.0, + 583.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 534.0, + 220.0, + 622.0, + 320.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 365.0, + 217.0, + 539.0, + 298.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 416.0, + 277.0, + 657.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00175", + "00190", + "00215", + "00230" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e95e5afe0f" + }, + "e97cfac475": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 479.0, + 55.0, + 611.0, + 225.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 436.0, + 462.0, + 727.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 399.0, + 5.0, + 546.0, + 310.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 364.0, + 457.0, + 655.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 410.0, + 0.0, + 625.0, + 311.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 373.0, + 435.0, + 666.0, + 491.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 367.0, + 42.0, + 635.0, + 365.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 354.0, + 413.0, + 646.0, + 471.0 + ] + } + } + ], + "frame_names": [ + "00200", + "00220", + "00235", + "00260" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e97cfac475" + }, + "e98d57d99c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 297.0, + 335.0, + 595.0, + 523.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 222.0, + 345.0, + 529.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 193.0, + 354.0, + 482.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 145.0, + 359.0, + 402.0, + 540.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e98d57d99c" + }, + "e98eda8978": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 1179.0, + 355.0, + 1279.0, + 484.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 844.0, + 347.0, + 1279.0, + 537.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 284.0, + 641.0, + 624.0 + ] + }, + "2": { + "category_name": "bus", + "bbox": [ + 783.0, + 294.0, + 1279.0, + 553.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e98eda8978" + }, + "e9bc0760ba": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 371.0, + 174.0, + 621.0, + 640.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 297.0, + 51.0, + 563.0, + 408.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 403.0, + 136.0, + 633.0, + 584.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 323.0, + 29.0, + 573.0, + 366.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 439.0, + 146.0, + 708.0, + 605.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 523.0, + 40.0, + 726.0, + 390.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 429.0, + 180.0, + 710.0, + 629.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 479.0, + 85.0, + 736.0, + 426.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e9bc0760ba" + }, + "e9d3c78bf3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 901.0, + 281.0, + 1279.0, + 665.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 498.0, + 107.0, + 945.0, + 518.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 448.0, + 381.0, + 569.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 871.0, + 291.0, + 1279.0, + 681.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 514.0, + 148.0, + 921.0, + 525.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 406.0, + 392.0, + 589.0, + 506.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 1175.0, + 359.0, + 1279.0, + 711.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 939.0, + 244.0, + 1177.0, + 538.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 678.0, + 456.0, + 899.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 813.0, + 395.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 563.0, + 366.0, + 746.0, + 578.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 249.0, + 506.0, + 463.0, + 626.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e9d3c78bf3" + }, + "e9ec1b7ea8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 448.0, + 148.0, + 1255.0, + 562.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 484.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 833.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 691.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 334.0, + 226.0, + 815.0, + 583.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e9ec1b7ea8" + }, + "ea065cc205": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 204.0, + 66.0, + 523.0, + 524.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 438.0, + 264.0, + 728.0, + 425.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 230.0, + 66.0, + 490.0, + 542.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 461.0, + 255.0, + 720.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 228.0, + 76.0, + 766.0, + 432.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 493.0, + 261.0, + 885.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 613.0, + 109.0, + 1171.0, + 502.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 557.0, + 264.0, + 810.0, + 500.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea065cc205" + }, + "ea138b6617": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 396.0, + 238.0, + 758.0, + 538.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 333.0, + 133.0, + 676.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 417.0, + 168.0, + 708.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 366.0, + 160.0, + 714.0, + 652.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea138b6617" + }, + "ea16d3fd48": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 514.0, + 188.0, + 787.0, + 561.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 550.0, + 529.0, + 723.0, + 579.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 248.0, + 218.0, + 719.0, + 497.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 490.0, + 275.0, + 865.0, + 636.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 518.0, + 255.0, + 885.0, + 625.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00085", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea16d3fd48" + }, + "ea2545d64b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 318.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 276.0, + 41.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 138.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 222.0, + 173.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00050", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea2545d64b" + }, + "ea286a581c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 490.0, + 0.0, + 665.0, + 152.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 423.0, + 129.0, + 752.0, + 315.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 175.0, + 210.0, + 507.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 490.0, + 0.0, + 664.0, + 155.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 432.0, + 126.0, + 742.0, + 344.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 197.0, + 209.0, + 464.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 492.0, + 0.0, + 667.0, + 148.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 473.0, + 122.0, + 744.0, + 350.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 227.0, + 212.0, + 508.0, + 430.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 496.0, + 0.0, + 662.0, + 151.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 463.0, + 117.0, + 755.0, + 328.0 + ] + }, + "3": { + "category_name": "turtle", + "bbox": [ + 241.0, + 208.0, + 517.0, + 412.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea286a581c" + }, + "ea320da917": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 556.0, + 445.0, + 949.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 271.0, + 466.0, + 580.0, + 719.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 304.0, + 627.0, + 399.0, + 689.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 603.0, + 74.0, + 956.0, + 677.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 584.0, + 430.0, + 953.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 214.0, + 449.0, + 608.0, + 719.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 264.0, + 537.0, + 445.0, + 686.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 627.0, + 69.0, + 950.0, + 672.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 469.0, + 399.0, + 846.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 26.0, + 352.0, + 522.0, + 719.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 192.0, + 480.0, + 347.0, + 611.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 576.0, + 38.0, + 967.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 412.0, + 431.0, + 815.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 369.0, + 459.0, + 719.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 1.0, + 585.0, + 165.0, + 654.0 + ] + }, + "4": { + "category_name": "person", + "bbox": [ + 500.0, + 59.0, + 890.0, + 652.0 + ] + } + } + ], + "frame_names": [ + "00130", + "00135", + "00160", + "00180" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea320da917" + }, + "ea345f3627": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 468.0, + 234.0, + 606.0, + 378.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 721.0, + 533.0, + 814.0, + 589.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 971.0, + 508.0, + 1014.0, + 582.0 + ] + }, + "4": { + "category_name": "bear", + "bbox": [ + 466.0, + 143.0, + 591.0, + 306.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 488.0, + 243.0, + 663.0, + 390.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 764.0, + 536.0, + 845.0, + 595.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 1065.0, + 512.0, + 1121.0, + 571.0 + ] + }, + "4": { + "category_name": "bear", + "bbox": [ + 482.0, + 150.0, + 617.0, + 342.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 457.0, + 255.0, + 616.0, + 411.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 731.0, + 550.0, + 798.0, + 613.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 1095.0, + 514.0, + 1152.0, + 582.0 + ] + }, + "4": { + "category_name": "bear", + "bbox": [ + 439.0, + 168.0, + 600.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 463.0, + 247.0, + 653.0, + 391.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 715.0, + 532.0, + 762.0, + 600.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 1112.0, + 508.0, + 1182.0, + 570.0 + ] + }, + "4": { + "category_name": "bear", + "bbox": [ + 400.0, + 151.0, + 604.0, + 377.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea345f3627" + }, + "ea3b94a591": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 735.0, + 264.0, + 923.0, + 501.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 673.0, + 365.0, + 801.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 656.0, + 185.0, + 832.0, + 527.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 584.0, + 502.0, + 910.0, + 535.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 701.0, + 348.0, + 850.0, + 589.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 753.0, + 557.0, + 888.0, + 601.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 682.0, + 427.0, + 742.0, + 550.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 677.0, + 529.0, + 734.0, + 562.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea3b94a591" + }, + "ea444a37eb": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 455.0, + 22.0, + 593.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 468.0, + 80.0, + 903.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 560.0, + 0.0, + 849.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 402.0, + 272.0, + 606.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 456.0, + 97.0, + 747.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 596.0, + 0.0, + 901.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 18.0, + 393.0, + 298.0, + 594.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 416.0, + 137.0, + 728.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 588.0, + 0.0, + 901.0, + 676.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 248.0, + 311.0, + 446.0, + 471.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 372.0, + 160.0, + 765.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 488.0, + 0.0, + 991.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea444a37eb" + }, + "ea4a01216b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 277.0, + 82.0, + 841.0, + 693.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 281.0, + 52.0, + 871.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 278.0, + 32.0, + 868.0, + 698.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 308.0, + 5.0, + 859.0, + 715.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00085", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea4a01216b" + }, + "ea5672ffa8": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 680.0, + 323.0, + 720.0, + 379.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 595.0, + 412.0, + 648.0, + 463.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 586.0, + 384.0, + 662.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 366.0, + 674.0, + 608.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea5672ffa8" + }, + "eaa99191cb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 643.0, + 239.0, + 893.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 657.0, + 253.0, + 901.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 664.0, + 250.0, + 896.0, + 393.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 586.0, + 173.0, + 945.0, + 426.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eaa99191cb" + }, + "eaab4d746c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 321.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 65.0, + 1279.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 135.0, + 1279.0, + 505.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eaab4d746c" + }, + "eac7a59bc1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 629.0, + 0.0, + 1279.0, + 340.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 674.0, + 31.0, + 1279.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 477.0, + 55.0, + 1279.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 517.0, + 68.0, + 1279.0, + 495.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eac7a59bc1" + }, + "ead5d3835a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 730.0, + 275.0, + 789.0, + 327.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 618.0, + 315.0, + 836.0, + 533.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 606.0, + 342.0, + 941.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 728.0, + 292.0, + 777.0, + 338.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 598.0, + 328.0, + 816.0, + 530.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 596.0, + 357.0, + 893.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 734.0, + 289.0, + 782.0, + 333.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 643.0, + 324.0, + 822.0, + 523.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 628.0, + 341.0, + 902.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 697.0, + 225.0, + 755.0, + 274.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 585.0, + 260.0, + 796.0, + 463.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 583.0, + 281.0, + 881.0, + 530.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00080", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ead5d3835a" + }, + "eaec65cfa7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 350.0, + 75.0, + 805.0, + 707.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 701.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 374.0, + 0.0, + 775.0, + 692.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 656.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 382.0, + 0.0, + 773.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 599.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 388.0, + 0.0, + 755.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 594.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eaec65cfa7" + }, + "eaed1a87be": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 265.0, + 269.0, + 545.0, + 719.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 277.0, + 0.0, + 683.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 269.0, + 210.0, + 649.0, + 719.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 277.0, + 0.0, + 682.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 268.0, + 162.0, + 628.0, + 719.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 277.0, + 0.0, + 683.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 265.0, + 131.0, + 541.0, + 719.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 277.0, + 0.0, + 682.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eaed1a87be" + }, + "eb2f821c6f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 424.0, + 180.0, + 909.0, + 460.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 426.0, + 181.0, + 927.0, + 463.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 431.0, + 217.0, + 934.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 446.0, + 159.0, + 944.0, + 487.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eb2f821c6f" + }, + "eb383cb82e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 183.0, + 31.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 646.0, + 95.0, + 741.0, + 196.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 146.0, + 0.0, + 1279.0, + 717.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 599.0, + 37.0, + 688.0, + 134.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 57.0, + 0.0, + 1279.0, + 676.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 420.0, + 0.0, + 526.0, + 56.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 326.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 393.0, + 0.0, + 423.0, + 84.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eb383cb82e" + }, + "eb6992fe02": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 174.0, + 97.0, + 869.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 140.0, + 0.0, + 791.0, + 688.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 141.0, + 207.0, + 892.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 133.0, + 22.0, + 914.0, + 643.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eb6992fe02" + }, + "eb6ac20a01": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 259.0, + 193.0, + 549.0, + 530.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 667.0, + 193.0, + 924.0, + 399.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 192.0, + 200.0, + 511.0, + 538.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 598.0, + 204.0, + 857.0, + 407.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 101.0, + 216.0, + 421.0, + 560.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 501.0, + 220.0, + 775.0, + 423.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 5.0, + 183.0, + 390.0, + 578.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 480.0, + 187.0, + 795.0, + 428.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eb6ac20a01" + }, + "eb6d7ab39e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 589.0, + 72.0, + 764.0, + 569.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 826.0, + 52.0, + 897.0, + 121.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1152.0, + 32.0, + 1227.0, + 80.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 612.0, + 68.0, + 775.0, + 569.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 840.0, + 42.0, + 916.0, + 112.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 1174.0, + 15.0, + 1249.0, + 61.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 255.0, + 67.0, + 434.0, + 604.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 509.0, + 51.0, + 593.0, + 120.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 883.0, + 30.0, + 951.0, + 85.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 300.0, + 78.0, + 483.0, + 631.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 559.0, + 55.0, + 631.0, + 125.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 842.0, + 30.0, + 920.0, + 87.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eb6d7ab39e" + }, + "eb7921facd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 492.0, + 271.0, + 551.0, + 339.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 500.0, + 332.0, + 570.0, + 348.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 710.0, + 324.0, + 778.0, + 424.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 715.0, + 400.0, + 808.0, + 448.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 584.0, + 196.0, + 673.0, + 287.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 571.0, + 231.0, + 603.0, + 314.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 680.0, + 375.0, + 791.0, + 499.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 732.0, + 474.0, + 793.0, + 508.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eb7921facd" + }, + "eb8fce51a6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 753.0, + 0.0, + 1083.0, + 509.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 296.0, + 10.0, + 782.0, + 352.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 457.0, + 287.0, + 828.0, + 580.0 + ] + }, + "4": { + "category_name": "parrot", + "bbox": [ + 56.0, + 231.0, + 640.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 737.0, + 0.0, + 1059.0, + 573.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 266.0, + 30.0, + 768.0, + 373.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 435.0, + 313.0, + 810.0, + 597.0 + ] + }, + "4": { + "category_name": "parrot", + "bbox": [ + 22.0, + 253.0, + 612.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 741.0, + 0.0, + 1063.0, + 561.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 274.0, + 23.0, + 770.0, + 356.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 437.0, + 302.0, + 816.0, + 577.0 + ] + }, + "4": { + "category_name": "parrot", + "bbox": [ + 28.0, + 243.0, + 622.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 739.0, + 0.0, + 1061.0, + 574.0 + ] + }, + "2": { + "category_name": "parrot", + "bbox": [ + 266.0, + 30.0, + 772.0, + 374.0 + ] + }, + "3": { + "category_name": "parrot", + "bbox": [ + 441.0, + 309.0, + 806.0, + 606.0 + ] + }, + "4": { + "category_name": "parrot", + "bbox": [ + 18.0, + 255.0, + 618.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eb8fce51a6" + }, + "ebbb90e9f9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 375.0, + 24.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 174.0, + 67.0, + 920.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ebbb90e9f9" + }, + "ebbf5c9ee1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 429.0, + 1.0, + 750.0, + 424.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 429.0, + 327.0, + 483.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 518.0, + 0.0, + 765.0, + 463.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 473.0, + 390.0, + 749.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 843.0, + 375.0, + 973.0, + 558.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 915.0, + 558.0, + 956.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 655.0, + 396.0, + 719.0, + 578.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 661.0, + 564.0, + 725.0, + 593.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ebbf5c9ee1" + }, + "ebc4ec32e6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 267.0, + 94.0, + 360.0, + 271.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 177.0, + 159.0, + 408.0, + 322.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 287.0, + 44.0, + 388.0, + 240.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 195.0, + 136.0, + 423.0, + 296.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 219.0, + 62.0, + 320.0, + 240.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 208.0, + 163.0, + 325.0, + 291.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 148.0, + 38.0, + 202.0, + 152.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 83.0, + 112.0, + 273.0, + 244.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ebc4ec32e6" + }, + "ebe56e5ef8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 119.0, + 121.0, + 1010.0, + 460.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 89.0, + 134.0, + 1036.0, + 479.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 155.0, + 882.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 83.0, + 193.0, + 844.0, + 520.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ebe56e5ef8" + }, + "ec1299aee4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 240.0, + 0.0, + 1075.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 296.0, + 13.0, + 1119.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 282.0, + 3.0, + 1117.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 262.0, + 12.0, + 1111.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec1299aee4" + }, + "ec139ff675": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 504.0, + 210.0, + 935.0, + 611.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 659.0, + 178.0, + 1036.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 609.0, + 171.0, + 1092.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 657.0, + 195.0, + 1113.0, + 471.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec139ff675" + }, + "ec193e1a01": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 360.0, + 0.0, + 1091.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 328.0, + 0.0, + 1013.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 368.0, + 0.0, + 1021.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 346.0, + 0.0, + 1085.0, + 585.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec193e1a01" + }, + "ec28252938": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 346.0, + 26.0, + 1243.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 290.0, + 173.0, + 646.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 330.0, + 20.0, + 1233.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 302.0, + 163.0, + 664.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 348.0, + 40.0, + 1233.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 292.0, + 180.0, + 647.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 346.0, + 34.0, + 1253.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 302.0, + 183.0, + 648.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec28252938" + }, + "ec387be051": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 697.0, + 396.0, + 841.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 731.0, + 384.0, + 846.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 667.0, + 303.0, + 852.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 728.0, + 310.0, + 900.0, + 583.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec387be051" + }, + "ec3d4fac00": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 267.0, + 2.0, + 566.0, + 268.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 353.0, + 17.0, + 644.0, + 275.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 344.0, + 27.0, + 653.0, + 237.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 308.0, + 16.0, + 708.0, + 274.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec3d4fac00" + }, + "ec4186ce12": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 542.0, + 236.0, + 815.0, + 423.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 315.0, + 379.0, + 1089.0, + 498.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 475.0, + 306.0, + 991.0, + 414.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 541.0, + 196.0, + 727.0, + 387.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 287.0, + 344.0, + 1022.0, + 424.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 671.0, + 157.0, + 719.0, + 326.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 496.0, + 196.0, + 688.0, + 372.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 252.0, + 333.0, + 941.0, + 405.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 629.0, + 229.0, + 708.0, + 318.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 335.0, + 240.0, + 530.0, + 382.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 132.0, + 365.0, + 724.0, + 429.0 + ] + }, + "3": { + "category_name": "paddle", + "bbox": [ + 309.0, + 185.0, + 646.0, + 422.0 + ] + } + } + ], + "frame_names": [ + "00160", + "00180", + "00190", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec4186ce12" + }, + "ec579c2f96": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 585.0, + 189.0, + 800.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 597.0, + 105.0, + 818.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 594.0, + 112.0, + 816.0, + 548.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 551.0, + 136.0, + 751.0, + 546.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec579c2f96" + }, + "ecae59b782": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1093.0, + 82.0, + 1119.0, + 137.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 1005.0, + 559.0, + 1115.0, + 617.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1009.0, + 387.0, + 1205.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1064.0, + 82.0, + 1082.0, + 136.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 882.0, + 499.0, + 950.0, + 547.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 897.0, + 360.0, + 1021.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1021.0, + 82.0, + 1039.0, + 136.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 771.0, + 495.0, + 840.0, + 514.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 800.0, + 368.0, + 919.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 941.0, + 81.0, + 964.0, + 137.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 635.0, + 541.0, + 660.0, + 583.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 621.0, + 362.0, + 732.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ecae59b782" + }, + "ecb33a0448": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 290.0, + 175.0, + 708.0, + 635.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 357.0, + 247.0, + 739.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 178.0, + 235.0, + 446.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 460.0, + 294.0, + 706.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ecb33a0448" + }, + "ece6bc9e92": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 238.0, + 319.0, + 379.0, + 519.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 416.0, + 290.0, + 543.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 217.0, + 334.0, + 375.0, + 529.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 423.0, + 404.0, + 568.0, + 550.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 206.0, + 325.0, + 366.0, + 541.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 421.0, + 415.0, + 564.0, + 554.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 171.0, + 317.0, + 379.0, + 526.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 419.0, + 412.0, + 547.0, + 552.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ece6bc9e92" + }, + "ecfedd4035": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 5.0, + 105.0, + 581.0, + 509.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 569.0, + 103.0, + 1275.0, + 522.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 1.0, + 123.0, + 550.0, + 519.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 505.0, + 114.0, + 1197.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 3.0, + 125.0, + 602.0, + 521.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 575.0, + 106.0, + 1213.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 68.0, + 170.0, + 724.0, + 546.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 658.0, + 135.0, + 1275.0, + 548.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ecfedd4035" + }, + "ecfff22fd6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 554.0, + 0.0, + 918.0, + 384.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 643.0, + 110.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 605.0, + 0.0, + 914.0, + 406.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 416.0, + 148.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 571.0, + 0.0, + 961.0, + 570.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 99.0, + 589.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 577.0, + 0.0, + 984.0, + 546.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 144.0, + 641.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ecfff22fd6" + }, + "ed3291c3d6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 368.0, + 642.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 232.0, + 723.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 326.0, + 151.0, + 817.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 304.0, + 164.0, + 771.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed3291c3d6" + }, + "ed3cd5308d": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 252.0, + 198.0, + 965.0, + 682.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 304.0, + 248.0, + 872.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 290.0, + 282.0, + 870.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 259.0, + 179.0, + 871.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00085", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed3cd5308d" + }, + "ed3e6fc1a5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 582.0, + 339.0, + 912.0, + 609.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 506.0, + 320.0, + 908.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 505.0, + 331.0, + 906.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 512.0, + 316.0, + 914.0, + 585.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed3e6fc1a5" + }, + "ed72ae8825": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 395.0, + 104.0, + 803.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 372.0, + 146.0, + 769.0, + 673.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 242.0, + 334.0, + 801.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 180.0, + 319.0, + 830.0, + 693.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed72ae8825" + }, + "ed7455da68": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 720.0, + 447.0, + 757.0, + 471.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 817.0, + 454.0, + 859.0, + 489.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 734.0, + 456.0, + 772.0, + 487.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 825.0, + 467.0, + 872.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 731.0, + 482.0, + 769.0, + 507.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 816.0, + 490.0, + 864.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 719.0, + 463.0, + 754.0, + 487.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 803.0, + 473.0, + 848.0, + 499.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed7455da68" + }, + "ed844e879f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 510.0, + 148.0, + 714.0, + 342.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 702.0, + 269.0, + 780.0, + 335.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 642.0, + 194.0, + 797.0, + 329.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 401.0, + 351.0, + 494.0, + 467.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 449.0, + 277.0, + 594.0, + 410.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed844e879f" + }, + "ed8f814b2b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 546.0, + 0.0, + 1047.0, + 379.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 519.0, + 1.0, + 1121.0, + 420.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 503.0, + 2.0, + 1181.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 435.0, + 1.0, + 1257.0, + 349.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed8f814b2b" + }, + "ed911a1f63": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 44.0, + 78.0, + 947.0, + 701.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 464.0, + 44.0, + 1241.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 494.0, + 91.0, + 1279.0, + 650.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 314.0, + 38.0, + 1279.0, + 694.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00065", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed911a1f63" + }, + "ed9ff4f649": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 1025.0, + 381.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 1150.0, + 235.0, + 1279.0, + 549.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 316.0, + 210.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed9ff4f649" + }, + "eda8ab984b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 208.0, + 472.0, + 705.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 716.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 324.0, + 402.0, + 761.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 742.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 253.0, + 327.0, + 561.0, + 624.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 873.0, + 475.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 797.0, + 272.0, + 1037.0, + 427.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1005.0, + 477.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eda8ab984b" + }, + "edb8878849": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 318.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 490.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 242.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 391.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 434.0, + 0.0, + 1279.0, + 639.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 483.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 110.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 32.0, + 173.0, + 458.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00070", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/edb8878849" + }, + "edbfdfe1b4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 305.0, + 90.0, + 561.0, + 304.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 111.0, + 0.0, + 353.0, + 106.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 65.0, + 18.0, + 317.0, + 260.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 121.0, + 142.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 322.0, + 40.0, + 582.0, + 276.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 43.0, + 43.0, + 234.0, + 226.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 135.0, + 153.0, + 372.0, + 380.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 62.0, + 71.0, + 240.0, + 228.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/edbfdfe1b4" + }, + "edd22c46a2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 569.0, + 293.0, + 1279.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 324.0, + 217.0, + 1153.0, + 434.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 284.0, + 134.0, + 1100.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 75.0, + 797.0, + 490.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/edd22c46a2" + }, + "edd663afa3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 627.0, + 318.0, + 894.0, + 490.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 631.0, + 320.0, + 896.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 834.0, + 425.0, + 1110.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 309.0, + 494.0, + 417.0, + 632.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/edd663afa3" + }, + "ede3552eae": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 226.0, + 255.0, + 671.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 658.0, + 396.0, + 807.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 94.0, + 123.0, + 751.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 631.0, + 271.0, + 978.0, + 686.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 272.0, + 214.0, + 695.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 739.0, + 296.0, + 1102.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 464.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 508.0, + 213.0, + 721.0, + 645.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ede3552eae" + }, + "edeab61ee0": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 379.0, + 234.0, + 718.0, + 462.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 359.0, + 241.0, + 736.0, + 473.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 154.0, + 682.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 102.0, + 557.0, + 404.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00045", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/edeab61ee0" + }, + "ee07583fc0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 452.0, + 0.0, + 1186.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 389.0, + 0.0, + 1216.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 322.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 626.0, + 130.0, + 1104.0, + 453.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee07583fc0" + }, + "ee316eaed6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 677.0, + 365.0, + 991.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 917.0, + 485.0, + 1067.0, + 693.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1021.0, + 670.0, + 1039.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 643.0, + 0.0, + 1115.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 950.0, + 482.0, + 1160.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 1039.0, + 322.0, + 1279.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 556.0, + 0.0, + 921.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 929.0, + 477.0, + 1180.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 894.0, + 360.0, + 1247.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 360.0, + 0.0, + 727.0, + 719.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 756.0, + 443.0, + 1005.0, + 719.0 + ] + }, + "3": { + "category_name": "plant", + "bbox": [ + 653.0, + 289.0, + 943.0, + 476.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee316eaed6" + }, + "ee3f509537": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 221.0, + 94.0, + 660.0, + 558.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 253.0, + 317.0, + 431.0, + 507.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 301.0, + 110.0, + 694.0, + 571.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 299.0, + 301.0, + 377.0, + 533.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 317.0, + 129.0, + 714.0, + 609.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 325.0, + 134.0, + 623.0, + 639.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 315.0, + 133.0, + 736.0, + 616.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 342.0, + 140.0, + 646.0, + 622.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee3f509537" + }, + "ee40a1e491": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 0.0, + 0.0, + 483.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 0.0, + 0.0, + 385.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 282.0, + 21.0, + 389.0, + 85.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 9.0, + 0.0, + 463.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 470.0, + 79.0, + 578.0, + 132.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 353.0, + 175.0, + 836.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 981.0, + 275.0, + 1065.0, + 317.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee40a1e491" + }, + "ee4bf100f1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 310.0, + 352.0, + 675.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 256.0, + 0.0, + 512.0, + 214.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 645.0, + 158.0, + 991.0, + 592.0 + ] + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 692.0, + 0.0, + 912.0, + 222.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 516.0, + 264.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 662.0, + 231.0, + 944.0, + 608.0 + ] + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 592.0, + 1.0, + 754.0, + 189.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 616.0, + 220.0, + 963.0, + 629.0 + ] + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 323.0, + 67.0, + 616.0, + 276.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 502.0, + 183.0, + 831.0, + 506.0 + ] + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee4bf100f1" + }, + "ee6f9b01f9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 670.0, + 12.0, + 1268.0, + 392.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 674.0, + 0.0, + 1279.0, + 388.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 517.0, + 87.0, + 1200.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 549.0, + 32.0, + 1278.0, + 448.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee6f9b01f9" + }, + "ee947ed771": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 557.0, + 1.0, + 688.0, + 440.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 261.0, + 116.0, + 492.0, + 681.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 924.0, + 0.0, + 1051.0, + 399.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 761.0, + 94.0, + 946.0, + 670.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 168.0, + 50.0, + 361.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 963.0, + 0.0, + 1039.0, + 155.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 767.0, + 0.0, + 1006.0, + 484.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 350.0, + 0.0, + 551.0, + 315.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1006.0, + 0.0, + 1138.0, + 135.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee947ed771" + }, + "ee9706ac7f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 764.0, + 218.0, + 934.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 514.0, + 92.0, + 795.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 413.0, + 206.0, + 653.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 477.0, + 131.0, + 726.0, + 429.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee9706ac7f" + }, + "ee9a7840ae": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 425.0, + 48.0, + 944.0, + 530.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 6.0, + 137.0, + 514.0, + 518.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 450.0, + 55.0, + 1103.0, + 521.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 162.0, + 0.0, + 760.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 404.0, + 160.0, + 989.0, + 594.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 227.0, + 2.0, + 778.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 414.0, + 146.0, + 1009.0, + 581.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 244.0, + 0.0, + 780.0, + 575.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee9a7840ae" + }, + "eeb90cb569": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 635.0, + 233.0, + 895.0, + 499.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 709.0, + 361.0, + 908.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 732.0, + 285.0, + 903.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 697.0, + 135.0, + 889.0, + 359.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eeb90cb569" + }, + "eebf45e5c5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 593.0, + 240.0, + 768.0, + 440.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 621.0, + 161.0, + 698.0, + 323.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 607.0, + 222.0, + 762.0, + 425.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 609.0, + 134.0, + 716.0, + 288.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 622.0, + 162.0, + 739.0, + 434.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 616.0, + 108.0, + 723.0, + 303.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 543.0, + 179.0, + 853.0, + 551.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 596.0, + 60.0, + 711.0, + 352.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eebf45e5c5" + }, + "eeed0c7d73": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 513.0, + 224.0, + 1074.0, + 587.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 507.0, + 222.0, + 1032.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 559.0, + 140.0, + 1173.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 525.0, + 2.0, + 1033.0, + 629.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eeed0c7d73" + }, + "ef0061a309": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 243.0, + 345.0, + 874.0, + 642.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 253.0, + 341.0, + 864.0, + 619.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 317.0, + 335.0, + 918.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 437.0, + 205.0, + 1012.0, + 454.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef0061a309" + }, + "ef07f1a655": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 412.0, + 159.0, + 726.0, + 634.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 519.0, + 591.0, + 737.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 477.0, + 202.0, + 895.0, + 713.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 483.0, + 607.0, + 726.0, + 668.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 677.0, + 121.0, + 936.0, + 711.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 659.0, + 607.0, + 870.0, + 684.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 734.0, + 120.0, + 1058.0, + 578.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 737.0, + 533.0, + 926.0, + 609.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef07f1a655" + }, + "ef0a8e8f35": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 580.0, + 298.0, + 1161.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 532.0, + 258.0, + 1055.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 460.0, + 187.0, + 1005.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 416.0, + 141.0, + 965.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef0a8e8f35" + }, + "ef232a2aed": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 464.0, + 244.0, + 699.0, + 397.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 589.0, + 238.0, + 834.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 206.0, + 278.0, + 492.0, + 422.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 368.0, + 321.0, + 770.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 445.0, + 359.0, + 804.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 0.0, + 338.0, + 355.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 412.0, + 212.0, + 816.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef232a2aed" + }, + "ef308ad2e9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 162.0, + 355.0, + 502.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 264.0, + 285.0, + 797.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 70.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 70.0, + 15.0, + 1113.0, + 635.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef308ad2e9" + }, + "ef44945428": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 239.0, + 127.0, + 959.0, + 693.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 254.0, + 121.0, + 959.0, + 653.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 257.0, + 117.0, + 959.0, + 650.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 283.0, + 69.0, + 959.0, + 602.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef44945428" + }, + "ef45ce3035": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 333.0, + 628.0, + 464.0, + 719.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 394.0, + 566.0, + 530.0, + 693.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 733.0, + 673.0, + 822.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 375.0, + 539.0, + 514.0, + 660.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 634.0, + 598.0, + 806.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 350.0, + 496.0, + 492.0, + 622.0 + ] + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 600.0, + 466.0, + 771.0, + 646.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef45ce3035" + }, + "ef5dde449d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 211.0, + 197.0, + 1202.0, + 673.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 236.0, + 338.0, + 502.0, + 719.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 532.0, + 616.0, + 1001.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 126.0, + 213.0, + 1195.0, + 692.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 226.0, + 126.0, + 437.0, + 719.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 516.0, + 609.0, + 950.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 132.0, + 179.0, + 1203.0, + 691.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 249.0, + 91.0, + 603.0, + 342.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 527.0, + 607.0, + 972.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 104.0, + 260.0, + 1199.0, + 689.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 158.0, + 94.0, + 762.0, + 362.0 + ] + }, + "3": { + "category_name": "mouse", + "bbox": [ + 526.0, + 601.0, + 970.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef5dde449d" + }, + "ef5e770988": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 235.0, + 0.0, + 1279.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 207.0, + 0.0, + 1279.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 207.0, + 0.0, + 1279.0, + 531.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 205.0, + 0.0, + 1279.0, + 550.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef5e770988" + }, + "ef6359cea3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 154.0, + 188.0, + 562.0, + 708.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 873.0, + 38.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 221.0, + 126.0, + 694.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 338.0, + 128.0, + 787.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 162.0, + 4.0, + 710.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1091.0, + 603.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef6359cea3" + }, + "ef65268834": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 430.0, + 247.0, + 789.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 495.0, + 177.0, + 754.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 480.0, + 243.0, + 685.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 530.0, + 221.0, + 735.0, + 617.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef65268834" + }, + "ef6cb5eae0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 255.0, + 191.0, + 649.0, + 623.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 237.0, + 184.0, + 648.0, + 615.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 180.0, + 134.0, + 659.0, + 583.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 98.0, + 94.0, + 680.0, + 575.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef6cb5eae0" + }, + "ef78972bc2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 642.0, + 217.0, + 781.0, + 311.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 545.0, + 101.0, + 735.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 334.0, + 199.0, + 496.0, + 305.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 461.0, + 118.0, + 720.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 488.0, + 148.0, + 536.0, + 270.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 498.0, + 142.0, + 705.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 752.0, + 126.0, + 854.0, + 253.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 568.0, + 74.0, + 787.0, + 655.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef78972bc2" + }, + "ef8cfcfc4f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 891.0, + 454.0, + 1095.0, + 717.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 956.0, + 519.0, + 1108.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 894.0, + 238.0, + 1277.0, + 714.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 1011.0, + 599.0, + 1158.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef8cfcfc4f" + }, + "ef96501dd0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 631.0, + 50.0, + 717.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 619.0, + 147.0, + 731.0, + 258.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 512.0, + 331.0, + 719.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 724.0, + 0.0, + 857.0, + 181.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 471.0, + 384.0, + 719.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 721.0, + 21.0, + 866.0, + 147.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 56.0, + 527.0, + 384.0, + 719.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 647.0, + 105.0, + 854.0, + 237.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00060", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef96501dd0" + }, + "ef9a2e976b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 341.0, + 203.0, + 622.0, + 366.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 415.0, + 192.0, + 653.0, + 344.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 759.0, + 185.0, + 1022.0, + 346.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 368.0, + 239.0, + 643.0, + 399.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 432.0, + 228.0, + 670.0, + 386.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 761.0, + 224.0, + 1049.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 272.0, + 220.0, + 545.0, + 389.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 334.0, + 207.0, + 580.0, + 397.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 648.0, + 223.0, + 924.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 296.0, + 368.0, + 547.0, + 519.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 364.0, + 356.0, + 594.0, + 515.0 + ] + }, + "3": { + "category_name": "zebra", + "bbox": [ + 660.0, + 355.0, + 941.0, + 528.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef9a2e976b" + }, + "efb24f950f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 720.0, + 352.0, + 745.0, + 439.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 702.0, + 241.0, + 785.0, + 426.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 689.0, + 278.0, + 855.0, + 519.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 668.0, + 108.0, + 879.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 559.0, + 320.0, + 626.0, + 477.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 535.0, + 219.0, + 656.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 654.0, + 557.0, + 690.0, + 589.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 601.0, + 267.0, + 736.0, + 561.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00065", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/efb24f950f" + }, + "efce0c1868": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 91.0, + 482.0, + 544.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 428.0, + 83.0, + 614.0, + 396.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 428.0, + 167.0, + 783.0, + 454.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 664.0, + 167.0, + 795.0, + 445.0 + ] + }, + "5": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 86.0, + 365.0, + 535.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 416.0, + 54.0, + 605.0, + 387.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 366.0, + 117.0, + 789.0, + 447.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 656.0, + 140.0, + 807.0, + 445.0 + ] + }, + "5": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 238.0, + 41.0, + 668.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 283.0, + 78.0, + 567.0, + 544.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 137.0, + 122.0, + 843.0, + 618.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 582.0, + 102.0, + 897.0, + 609.0 + ] + }, + "5": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 133.0, + 73.0, + 725.0, + 629.0 + ] + }, + "3": { + "category_name": "ape", + "bbox": [ + 13.0, + 134.0, + 690.0, + 641.0 + ] + }, + "4": { + "category_name": "ape", + "bbox": [ + 524.0, + 129.0, + 841.0, + 474.0 + ] + }, + "5": { + "category_name": "ape", + "bbox": [ + 530.0, + 221.0, + 562.0, + 285.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00045", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/efce0c1868" + }, + "efe5ac6901": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 417.0, + 37.0, + 487.0, + 164.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 522.0, + 145.0, + 575.0, + 246.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 537.0, + 202.0, + 592.0, + 349.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 389.0, + 44.0, + 469.0, + 157.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 518.0, + 142.0, + 569.0, + 219.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 542.0, + 215.0, + 582.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 376.0, + 45.0, + 462.0, + 180.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 521.0, + 143.0, + 557.0, + 211.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 530.0, + 197.0, + 583.0, + 319.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 341.0, + 57.0, + 417.0, + 183.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 524.0, + 135.0, + 554.0, + 224.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 511.0, + 182.0, + 570.0, + 259.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/efe5ac6901" + }, + "efe828affa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 793.0, + 237.0, + 1017.0, + 455.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 478.0, + 268.0, + 644.0, + 482.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 789.0, + 233.0, + 1015.0, + 444.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 478.0, + 263.0, + 636.0, + 424.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 793.0, + 235.0, + 1021.0, + 445.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 478.0, + 265.0, + 634.0, + 421.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 769.0, + 218.0, + 1009.0, + 427.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 524.0, + 271.0, + 645.0, + 481.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00085", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/efe828affa" + }, + "efea4e0523": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 417.0, + 88.0, + 922.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 385.0, + 82.0, + 866.0, + 630.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 341.0, + 100.0, + 828.0, + 635.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 351.0, + 113.0, + 714.0, + 644.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/efea4e0523" + }, + "f0268aa627": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 963.0, + 301.0, + 1107.0, + 555.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 1073.0, + 403.0, + 1218.0, + 485.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 903.0, + 291.0, + 1007.0, + 499.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 954.0, + 303.0, + 1101.0, + 565.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 1062.0, + 404.0, + 1204.0, + 497.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 920.0, + 296.0, + 1006.0, + 505.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 803.0, + 318.0, + 947.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 989.0, + 307.0, + 1135.0, + 576.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 1109.0, + 405.0, + 1218.0, + 506.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 994.0, + 302.0, + 1106.0, + 454.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 817.0, + 312.0, + 1000.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 992.0, + 300.0, + 1185.0, + 574.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 1115.0, + 393.0, + 1243.0, + 505.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 1006.0, + 362.0, + 1135.0, + 443.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 812.0, + 332.0, + 1023.0, + 596.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00065", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0268aa627" + }, + "f0483250c8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 128.0, + 63.0, + 767.0, + 395.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 204.0, + 0.0, + 645.0, + 348.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 202.0, + 83.0, + 640.0, + 468.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 755.0, + 324.0, + 1189.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0483250c8" + }, + "f04cf99ee6": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 744.0, + 415.0, + 893.0, + 663.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 325.0, + 807.0, + 784.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 503.0, + 242.0, + 964.0, + 871.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1615.0, + 754.0, + 1838.0, + 1018.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 637.0, + 309.0, + 1004.0, + 833.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1420.0, + 702.0, + 1614.0, + 953.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f04cf99ee6" + }, + "f05b189097": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 359.0, + 351.0, + 739.0, + 605.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 344.0, + 534.0, + 447.0, + 673.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 10.0, + 448.0, + 158.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 356.0, + 336.0, + 734.0, + 591.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 358.0, + 528.0, + 471.0, + 664.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 16.0, + 438.0, + 167.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 350.0, + 332.0, + 736.0, + 587.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 362.0, + 502.0, + 484.0, + 661.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 26.0, + 434.0, + 171.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 304.0, + 317.0, + 713.0, + 585.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 356.0, + 500.0, + 478.0, + 655.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 17.0, + 428.0, + 163.0, + 491.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f05b189097" + }, + "f08928c6d3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 464.0, + 201.0, + 1056.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 604.0, + 35.0, + 822.0, + 299.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 663.0, + 2.0, + 717.0, + 46.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 3.0, + 0.0, + 317.0, + 210.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 423.0, + 165.0, + 1021.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 625.0, + 36.0, + 983.0, + 540.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 699.0, + 0.0, + 765.0, + 45.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 278.0, + 169.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 425.0, + 125.0, + 977.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 419.0, + 20.0, + 938.0, + 532.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 765.0, + 0.0, + 840.0, + 36.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 287.0, + 151.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 404.0, + 136.0, + 988.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 347.0, + 28.0, + 873.0, + 547.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 617.0, + 0.0, + 704.0, + 54.0 + ] + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 279.0, + 166.0 + ] + } + } + ], + "frame_names": [ + "00160", + "00180", + "00205", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f08928c6d3" + }, + "f09d74856f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 304.0, + 67.0, + 856.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 327.0, + 76.0, + 844.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 342.0, + 90.0, + 870.0, + 683.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 334.0, + 90.0, + 874.0, + 686.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f09d74856f" + }, + "f0a7607d63": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 217.0, + 6.0, + 676.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 247.0, + 48.0, + 830.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 235.0, + 39.0, + 812.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 265.0, + 49.0, + 722.0, + 510.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00075", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0a7607d63" + }, + "f0ad38da27": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 25.0, + 283.0, + 473.0, + 649.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 940.0, + 368.0, + 999.0, + 529.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 83.0, + 247.0, + 602.0, + 675.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 966.0, + 326.0, + 1019.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 139.0, + 222.0, + 747.0, + 657.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 959.0, + 304.0, + 1008.0, + 495.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 232.0, + 208.0, + 985.0, + 666.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 930.0, + 311.0, + 993.0, + 497.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0ad38da27" + }, + "f0c34e1213": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1039.0, + 256.0, + 1161.0, + 549.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1183.0, + 237.0, + 1279.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 950.0, + 266.0, + 1072.0, + 587.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1076.0, + 261.0, + 1203.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 735.0, + 273.0, + 852.0, + 613.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 858.0, + 269.0, + 955.0, + 612.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 654.0, + 223.0, + 776.0, + 585.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 762.0, + 222.0, + 884.0, + 594.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0c34e1213" + }, + "f0c7f86c29": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 417.0, + 149.0, + 899.0, + 511.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 501.0, + 117.0, + 872.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 376.0, + 233.0, + 824.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 386.0, + 225.0, + 840.0, + 507.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0c7f86c29" + }, + "f0dfa18ba7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 145.0, + 145.0, + 1024.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1232.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 159.0, + 128.0, + 1141.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 138.0, + 162.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 44.0, + 1266.0, + 719.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1241.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0dfa18ba7" + }, + "f0eb3179f7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 532.0, + 170.0, + 658.0, + 375.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 432.0, + 0.0, + 1094.0, + 302.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 538.0, + 167.0, + 646.0, + 388.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 438.0, + 0.0, + 1094.0, + 304.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 587.0, + 189.0, + 769.0, + 436.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 477.0, + 0.0, + 1094.0, + 319.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 541.0, + 177.0, + 814.0, + 480.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 410.0, + 0.0, + 1093.0, + 307.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0eb3179f7" + }, + "f119bab27d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 261.0, + 329.0, + 684.0, + 719.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 241.0, + 533.0, + 682.0, + 719.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 179.0, + 197.0, + 1102.0, + 719.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 344.0, + 500.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 449.0, + 194.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "mouse", + "bbox": [ + 299.0, + 412.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00040", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f119bab27d" + }, + "f14409b6a3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 456.0, + 470.0, + 613.0, + 540.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 456.0, + 0.0, + 659.0, + 503.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 537.0, + 288.0, + 598.0, + 481.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 530.0, + 409.0, + 554.0, + 451.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 529.0, + 275.0, + 593.0, + 443.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 491.0, + 463.0, + 573.0, + 486.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 480.0, + 174.0, + 592.0, + 471.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00080", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f14409b6a3" + }, + "f1489baff4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 355.0, + 367.0, + 759.0, + 618.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 526.0, + 580.0, + 674.0, + 668.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 429.0, + 17.0, + 891.0, + 288.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 372.0, + 347.0, + 792.0, + 606.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 535.0, + 573.0, + 655.0, + 684.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 453.0, + 0.0, + 928.0, + 273.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 341.0, + 310.0, + 784.0, + 571.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 495.0, + 386.0, + 836.0, + 628.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 470.0, + 0.0, + 929.0, + 221.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 364.0, + 296.0, + 814.0, + 561.0 + ] + }, + "2": { + "category_name": "bird", + "bbox": [ + 680.0, + 375.0, + 1048.0, + 543.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 510.0, + 0.0, + 948.0, + 222.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f1489baff4" + }, + "f14c18cf6a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 419.0, + 159.0, + 644.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 415.0, + 163.0, + 643.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 379.0, + 165.0, + 613.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 423.0, + 165.0, + 650.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f14c18cf6a" + }, + "f15c607b92": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 29 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 291.0, + 215.0, + 838.0, + 692.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 816.0, + 297.0, + 1024.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 297.0, + 236.0, + 728.0, + 711.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 770.0, + 281.0, + 970.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 195.0, + 368.0, + 593.0, + 719.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 646.0, + 411.0, + 846.0, + 676.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 126.0, + 0.0, + 909.0, + 464.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 681.0, + 338.0, + 799.0, + 490.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f15c607b92" + }, + "f1af214222": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 136.0, + 73.0, + 335.0, + 386.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 412.0, + 147.0, + 717.0, + 401.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 840.0, + 164.0, + 1106.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 226.0, + 66.0, + 406.0, + 379.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 566.0, + 146.0, + 806.0, + 398.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 825.0, + 158.0, + 1139.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 238.0, + 52.0, + 403.0, + 367.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 563.0, + 132.0, + 805.0, + 384.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 818.0, + 143.0, + 1130.0, + 399.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 165.0, + 53.0, + 350.0, + 366.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 481.0, + 134.0, + 730.0, + 388.0 + ] + }, + "3": { + "category_name": "elephant", + "bbox": [ + 712.0, + 144.0, + 1055.0, + 396.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f1af214222" + }, + "f1b77bd309": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 217.0, + 0.0, + 872.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 223.0, + 0.0, + 882.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 223.0, + 0.0, + 880.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 219.0, + 0.0, + 872.0, + 718.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f1b77bd309" + }, + "f1ba9e1a3e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 56.0, + 188.0, + 839.0, + 526.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 220.0, + 109.0, + 791.0, + 551.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 259.0, + 19.0, + 825.0, + 427.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 453.0, + 17.0, + 884.0, + 446.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f1ba9e1a3e" + }, + "f1d99239eb": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 381.0, + 0.0, + 1279.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 369.0, + 0.0, + 1279.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 342.0, + 0.0, + 1279.0, + 582.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 321.0, + 0.0, + 1279.0, + 563.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f1d99239eb" + }, + "f1dc710cf4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 988.0, + 299.0, + 1270.0, + 719.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 537.0, + 266.0, + 882.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 972.0, + 305.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 527.0, + 266.0, + 877.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 985.0, + 308.0, + 1275.0, + 719.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 504.0, + 257.0, + 864.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 873.0, + 312.0, + 1083.0, + 662.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 556.0, + 286.0, + 772.0, + 465.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f1dc710cf4" + }, + "f1ec5c08fa": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 657.0, + 369.0, + 1208.0, + 602.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 430.0, + 269.0, + 955.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 392.0, + 218.0, + 940.0, + 462.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 361.0, + 169.0, + 885.0, + 450.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f1ec5c08fa" + }, + "f22648fe12": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 452.0, + 119.0, + 932.0, + 581.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 916.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 430.0, + 109.0, + 940.0, + 580.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 914.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 435.0, + 128.0, + 933.0, + 656.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 910.0, + 515.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 452.0, + 149.0, + 984.0, + 649.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 627.0, + 458.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00080", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f22648fe12" + }, + "f22d21f1f1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 548.0, + 528.0, + 869.0, + 668.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 331.0, + 424.0, + 594.0, + 603.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 249.0, + 205.0, + 443.0, + 362.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 57.0, + 97.0, + 191.0, + 199.0 + ] + }, + "5": { + "category_name": "duck", + "bbox": [ + 329.0, + 35.0, + 443.0, + 183.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 453.0, + 514.0, + 795.0, + 651.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 479.0, + 347.0, + 739.0, + 460.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 203.0, + 227.0, + 446.0, + 329.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 99.0, + 14.0, + 178.0, + 129.0 + ] + }, + "5": { + "category_name": "duck", + "bbox": [ + 357.0, + 33.0, + 475.0, + 193.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 575.0, + 497.0, + 811.0, + 669.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 762.0, + 344.0, + 973.0, + 473.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 274.0, + 148.0, + 358.0, + 289.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 248.0, + 13.0, + 388.0, + 109.0 + ] + }, + "5": { + "category_name": "duck", + "bbox": [ + 512.0, + 84.0, + 621.0, + 262.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 628.0, + 481.0, + 766.0, + 684.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 851.0, + 356.0, + 1032.0, + 502.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 302.0, + 135.0, + 429.0, + 270.0 + ] + }, + "4": { + "category_name": "duck", + "bbox": [ + 320.0, + 27.0, + 500.0, + 123.0 + ] + }, + "5": { + "category_name": "duck", + "bbox": [ + 560.0, + 117.0, + 669.0, + 302.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f22d21f1f1" + }, + "f233257395": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 735.0, + 204.0, + 806.0, + 400.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 793.0, + 155.0, + 871.0, + 344.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 713.0, + 225.0, + 787.0, + 444.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 769.0, + 154.0, + 843.0, + 341.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 685.0, + 230.0, + 776.0, + 445.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 741.0, + 154.0, + 813.0, + 339.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 238.0, + 683.0, + 445.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 634.0, + 161.0, + 698.0, + 276.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f233257395" + }, + "f23e95dbe5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 144.0, + 1104.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 555.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 313.0, + 1274.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 227.0, + 0.0, + 1279.0, + 705.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 287.0, + 1050.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 281.0, + 0.0, + 1192.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 94.0, + 822.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 264.0, + 0.0, + 1176.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f23e95dbe5" + }, + "f2445b1572": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 39.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 3.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 3.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2445b1572" + }, + "f253b3486d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 757.0, + 208.0, + 1100.0, + 613.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 159.0, + 183.0, + 449.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 737.0, + 205.0, + 1110.0, + 614.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 155.0, + 184.0, + 456.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 724.0, + 136.0, + 1141.0, + 609.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 154.0, + 181.0, + 460.0, + 638.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 742.0, + 101.0, + 1140.0, + 621.0 + ] + }, + "2": { + "category_name": "owl", + "bbox": [ + 161.0, + 181.0, + 451.0, + 635.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f253b3486d" + }, + "f277c7a6a4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 383.0, + 225.0, + 1275.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 304.0, + 224.0, + 1262.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 272.0, + 231.0, + 1259.0, + 533.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 241.0, + 240.0, + 1263.0, + 543.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f277c7a6a4" + }, + "f2ab2b84d6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 139.0, + 199.0, + 1244.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 162.0, + 179.0, + 1279.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 127.0, + 167.0, + 1252.0, + 560.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1165.0, + 465.0, + 1201.0, + 492.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2ab2b84d6" + }, + "f2b7c9b1f3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 319.0, + 418.0, + 705.0, + 554.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 53.0, + 171.0, + 509.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 311.0, + 387.0, + 445.0, + 529.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 86.0, + 178.0, + 451.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 336.0, + 369.0, + 437.0, + 528.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 80.0, + 179.0, + 480.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 302.0, + 362.0, + 411.0, + 485.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 61.0, + 192.0, + 576.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2b7c9b1f3" + }, + "f2b83d5ce5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 648.0, + 402.0, + 986.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 603.0, + 278.0, + 894.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 297.0, + 339.0, + 610.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 219.0, + 422.0, + 628.0, + 619.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2b83d5ce5" + }, + "f2c276018f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 398.0, + 257.0, + 1225.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 344.0, + 203.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 278.0, + 165.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 168.0, + 55.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2c276018f" + }, + "f2cfd94d64": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 558.0, + 22.0, + 928.0, + 405.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 275.0, + 10.0, + 768.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 577.0, + 0.0, + 971.0, + 445.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 355.0, + 66.0, + 738.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 182.0, + 34.0, + 805.0, + 339.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 342.0, + 203.0, + 704.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 182.0, + 118.0, + 1197.0, + 612.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 288.0, + 266.0, + 756.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2cfd94d64" + }, + "f2dd6e3add": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 368.0, + 199.0, + 502.0, + 373.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 402.0, + 0.0, + 697.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 573.0, + 141.0, + 688.0, + 295.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 645.0, + 0.0, + 780.0, + 379.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 398.0, + 193.0, + 519.0, + 407.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 439.0, + 0.0, + 627.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 418.0, + 151.0, + 537.0, + 338.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 328.0, + 0.0, + 684.0, + 345.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2dd6e3add" + }, + "f2e7653f16": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 185.0, + 163.0, + 403.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 211.0, + 155.0, + 417.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 197.0, + 159.0, + 409.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 181.0, + 185.0, + 385.0, + 532.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2e7653f16" + }, + "f2f333ad06": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 507.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 149.0, + 297.0, + 1249.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 461.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 140.0, + 274.0, + 1212.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 481.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 145.0, + 297.0, + 1191.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 459.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 151.0, + 275.0, + 1192.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2f333ad06" + }, + "f2f55d6713": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 201.0, + 0.0, + 785.0, + 383.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 704.0, + 92.0, + 1162.0, + 347.0 + ] + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 337.0, + 1152.0, + 509.0 + ] + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 389.0, + 407.0, + 1279.0, + 719.0 + ] + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 1062.0, + 163.0, + 1279.0, + 357.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 159.0, + 0.0, + 617.0, + 314.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 650.0, + 43.0, + 1134.0, + 288.0 + ] + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 254.0, + 1169.0, + 443.0 + ] + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 409.0, + 396.0, + 1279.0, + 719.0 + ] + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 1023.0, + 132.0, + 1279.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 0.0, + 591.0, + 390.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 498.0, + 46.0, + 916.0, + 301.0 + ] + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 267.0, + 1110.0, + 487.0 + ] + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 232.0, + 412.0, + 1279.0, + 719.0 + ] + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 888.0, + 2.0, + 1279.0, + 470.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 8.0, + 0.0, + 550.0, + 389.0 + ] + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 452.0, + 42.0, + 872.0, + 291.0 + ] + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 273.0, + 1077.0, + 496.0 + ] + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 183.0, + 418.0, + 1279.0, + 719.0 + ] + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 855.0, + 0.0, + 1279.0, + 457.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00075", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2f55d6713" + }, + "f2fdb6abec": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 29 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 551.0, + 302.0, + 680.0, + 399.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 546.0, + 313.0, + 679.0, + 398.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 544.0, + 324.0, + 641.0, + 405.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 544.0, + 332.0, + 579.0, + 394.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2fdb6abec" + }, + "f3085d6570": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 318.0, + 8.0, + 441.0, + 110.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 94.0, + 0.0, + 1279.0, + 647.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 535.0, + 2.0, + 605.0, + 83.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 399.0, + 0.0, + 1279.0, + 582.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 262.0, + 369.0, + 538.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 470.0, + 3.0, + 521.0, + 76.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 364.0, + 0.0, + 1279.0, + 535.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 379.0, + 343.0, + 630.0, + 707.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 423.0, + 37.0, + 472.0, + 102.0 + ] + }, + "2": { + "category_name": "truck", + "bbox": [ + 283.0, + 0.0, + 1279.0, + 520.0 + ] + }, + "3": { + "category_name": "bucket", + "bbox": [ + 274.0, + 203.0, + 528.0, + 580.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3085d6570" + }, + "f3325c3338": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 739.0, + 407.0, + 846.0, + 614.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 703.0, + 599.0, + 932.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 641.0, + 424.0, + 753.0, + 619.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 631.0, + 587.0, + 817.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 756.0, + 419.0, + 883.0, + 570.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 702.0, + 536.0, + 934.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 379.0, + 606.0, + 519.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 510.0, + 506.0, + 637.0, + 522.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00060", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3325c3338" + }, + "f3400f1204": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 543.0, + 147.0, + 674.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 536.0, + 270.0, + 672.0, + 619.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 528.0, + 268.0, + 740.0, + 709.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 554.0, + 396.0, + 691.0, + 631.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3400f1204" + }, + "f34497c932": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 341.0, + 249.0, + 834.0, + 528.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 347.0, + 223.0, + 944.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 349.0, + 215.0, + 1000.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 346.0, + 183.0, + 1204.0, + 634.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f34497c932" + }, + "f34a56525e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 580.0, + 389.0, + 703.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 572.0, + 208.0, + 717.0, + 429.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 549.0, + 130.0, + 744.0, + 360.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 604.0, + 171.0, + 765.0, + 384.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f34a56525e" + }, + "f36483c824": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 1193.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 1139.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 286.0, + 123.0, + 927.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 474.0, + 149.0, + 941.0, + 719.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f36483c824" + }, + "f3704d5663": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 360.0, + 188.0, + 882.0, + 505.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 442.0, + 203.0, + 966.0, + 525.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 425.0, + 176.0, + 956.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 206.0, + 288.0, + 819.0, + 597.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3704d5663" + }, + "f3734c4913": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 569.0, + 207.0, + 826.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 587.0, + 214.0, + 838.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 475.0, + 229.0, + 742.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 389.0, + 224.0, + 702.0, + 592.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3734c4913" + }, + "f38e5aa5b4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 546.0, + 160.0, + 952.0, + 536.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1089.0, + 374.0, + 1125.0, + 535.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1060.0, + 361.0, + 1097.0, + 498.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 636.0, + 238.0, + 914.0, + 497.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1018.0, + 380.0, + 1070.0, + 539.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1004.0, + 366.0, + 1038.0, + 502.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 669.0, + 270.0, + 888.0, + 497.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 991.0, + 386.0, + 1034.0, + 551.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 979.0, + 373.0, + 1009.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 688.0, + 296.0, + 868.0, + 496.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 989.0, + 397.0, + 1044.0, + 590.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 976.0, + 384.0, + 1010.0, + 546.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f38e5aa5b4" + }, + "f3986fba44": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 399.0, + 143.0, + 960.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 537.0, + 110.0, + 1066.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 513.0, + 115.0, + 1042.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 493.0, + 83.0, + 970.0, + 489.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3986fba44" + }, + "f3a0ffc7d9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 426.0, + 143.0, + 904.0, + 690.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 444.0, + 125.0, + 834.0, + 675.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 487.0, + 96.0, + 897.0, + 681.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 314.0, + 86.0, + 735.0, + 681.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00085", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3a0ffc7d9" + }, + "f3b24a7d28": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 738.0, + 344.0, + 848.0, + 389.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 702.0, + 398.0, + 831.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 752.0, + 366.0, + 949.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 668.0, + 370.0, + 895.0, + 470.0 + ] + } + } + ], + "frame_names": [ + "00220", + "00235", + "00260", + "00270" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3b24a7d28" + }, + "f3e6c35ec3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 552.0, + 365.0, + 891.0, + 683.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 562.0, + 450.0, + 963.0, + 682.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 514.0, + 539.0, + 1033.0, + 680.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 506.0, + 435.0, + 999.0, + 686.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3e6c35ec3" + }, + "f3fc0ea80b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 144.0, + 272.0, + 973.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 304.0, + 215.0, + 1119.0, + 562.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 490.0, + 298.0, + 636.0, + 345.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 438.0, + 279.0, + 608.0, + 345.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3fc0ea80b" + }, + "f40a683fbe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 654.0, + 353.0, + 1159.0, + 528.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 643.0, + 362.0, + 1181.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 351.0, + 308.0, + 1106.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 257.0, + 301.0, + 1027.0, + 396.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f40a683fbe" + }, + "f4207ca554": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 668.0, + 386.0, + 1279.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 544.0, + 347.0, + 1120.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 554.0, + 442.0, + 1036.0, + 586.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 542.0, + 418.0, + 994.0, + 567.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f4207ca554" + }, + "f4377499c2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1141.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1165.0, + 651.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 826.0, + 696.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 17.0, + 949.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f4377499c2" + }, + "f46184f393": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 186.0, + 98.0, + 971.0, + 719.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 494.0, + 0.0, + 624.0, + 107.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 236.0, + 84.0, + 905.0, + 719.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 190.0, + 18.0, + 839.0, + 719.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 410.0, + 0.0, + 1021.0, + 587.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f46184f393" + }, + "f46c2d0a6d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 193.0, + 81.0, + 523.0, + 428.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 209.0, + 97.0, + 529.0, + 437.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 237.0, + 114.0, + 567.0, + 474.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 267.0, + 173.0, + 605.0, + 499.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f46c2d0a6d" + }, + "f46c364dca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 53.0, + 666.0, + 608.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 674.0, + 57.0, + 1124.0, + 581.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 33.0, + 629.0, + 579.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 646.0, + 31.0, + 1084.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 39.0, + 635.0, + 589.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 636.0, + 42.0, + 1096.0, + 571.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 42.0, + 629.0, + 592.0 + ] + }, + "2": { + "category_name": "snail", + "bbox": [ + 634.0, + 48.0, + 1092.0, + 586.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f46c364dca" + }, + "f46f7a0b63": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 241.0, + 66.0, + 1279.0, + 570.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 668.0, + 0.0, + 1279.0, + 379.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 249.0, + 134.0, + 1106.0, + 670.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 601.0, + 0.0, + 1279.0, + 435.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 291.0, + 159.0, + 1106.0, + 610.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 599.0, + 0.0, + 1279.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 269.0, + 159.0, + 1074.0, + 617.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 583.0, + 0.0, + 1279.0, + 446.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f46f7a0b63" + }, + "f46fe141b0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 266.0, + 197.0, + 1207.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 550.0, + 99.0, + 633.0, + 202.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 184.0, + 154.0, + 1197.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 590.0, + 62.0, + 656.0, + 163.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 174.0, + 129.0, + 1247.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 632.0, + 50.0, + 726.0, + 146.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 68.0, + 138.0, + 1185.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 552.0, + 66.0, + 619.0, + 147.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f46fe141b0" + }, + "f470b9aeb0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 600.0, + 246.0, + 907.0, + 614.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 580.0, + 543.0, + 861.0, + 664.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 719.0, + 219.0, + 981.0, + 719.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 566.0, + 145.0, + 809.0, + 617.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 568.0, + 613.0, + 663.0, + 665.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 773.0, + 104.0, + 1051.0, + 713.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 665.0, + 626.0, + 973.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f470b9aeb0" + }, + "f47eb7437f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 232.0, + 271.0, + 1225.0, + 655.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 572.0, + 133.0, + 1279.0, + 288.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 161.0, + 235.0, + 1275.0, + 662.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 542.0, + 77.0, + 1279.0, + 251.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 162.0, + 133.0, + 1279.0, + 633.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 629.0, + 0.0, + 1279.0, + 157.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 366.0, + 99.0, + 1279.0, + 637.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 876.0, + 0.0, + 1279.0, + 122.0 + ] + } + } + ], + "frame_names": [ + "00125", + "00135", + "00170", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f47eb7437f" + }, + "f48b535719": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 7.0, + 263.0, + 491.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 269.0, + 466.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 267.0, + 450.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 732.0, + 602.0, + 1118.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 256.0, + 448.0, + 719.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 649.0, + 551.0, + 889.0, + 716.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f48b535719" + }, + "f49e4866ac": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 276.0, + 260.0, + 683.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 300.0, + 212.0, + 709.0, + 480.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 310.0, + 162.0, + 767.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 226.0, + 169.0, + 711.0, + 587.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00050", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f49e4866ac" + }, + "f4aa882cfd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 449.0, + 143.0, + 1024.0, + 354.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 427.0, + 164.0, + 993.0, + 371.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 466.0, + 164.0, + 887.0, + 384.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 301.0, + 308.0, + 476.0, + 600.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f4aa882cfd" + }, + "f4daa3dbd5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 838.0, + 0.0, + 1120.0, + 387.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 816.0, + 0.0, + 1130.0, + 442.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1013.0, + 0.0, + 1235.0, + 442.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 824.0, + 0.0, + 1077.0, + 436.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 787.0, + 197.0, + 938.0, + 660.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 859.0, + 0.0, + 1206.0, + 663.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 716.0, + 3.0, + 862.0, + 292.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00075", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f4daa3dbd5" + }, + "f4dd51ac35": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 721.0, + 145.0, + 806.0, + 365.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 703.0, + 207.0, + 833.0, + 349.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 253.0, + 727.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 623.0, + 176.0, + 743.0, + 379.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f4dd51ac35" + }, + "f507a1b9dc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 188.0, + 124.0, + 864.0, + 619.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 145.0, + 111.0, + 845.0, + 622.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 92.0, + 47.0, + 869.0, + 591.0 + ] + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 56.0, + 52.0, + 956.0, + 638.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f507a1b9dc" + }, + "f51c5ac84b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 482.0, + 223.0, + 538.0, + 350.0 + ] + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 411.0, + 312.0, + 897.0, + 392.0 + ] + }, + "4": { + "category_name": "boat", + "bbox": [ + 485.0, + 219.0, + 543.0, + 346.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 280.0, + 687.0, + 356.0 + ] + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 455.0, + 211.0, + 523.0, + 329.0 + ] + }, + "4": { + "category_name": "boat", + "bbox": [ + 608.0, + 267.0, + 665.0, + 340.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 391.0, + 292.0, + 881.0, + 371.0 + ] + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 589.0, + 258.0, + 641.0, + 333.0 + ] + }, + "4": { + "category_name": "boat", + "bbox": [ + 365.0, + 283.0, + 858.0, + 364.0 + ] + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f51c5ac84b" + }, + "f52104164b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 246.0, + 200.0, + 736.0, + 411.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 844.0, + 222.0, + 1171.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 278.0, + 165.0, + 746.0, + 416.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 975.0, + 246.0, + 1168.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 265.0, + 175.0, + 706.0, + 428.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 958.0, + 265.0, + 1152.0, + 465.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 261.0, + 189.0, + 709.0, + 435.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 966.0, + 269.0, + 1157.0, + 478.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f52104164b" + }, + "f54c67b9bb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 105.0, + 278.0, + 379.0, + 703.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 232.0, + 215.0, + 344.0, + 325.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 427.0, + 181.0, + 487.0, + 301.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 241.0, + 175.0, + 652.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 229.0, + 238.0, + 344.0, + 448.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 416.0, + 170.0, + 484.0, + 303.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 502.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 201.0, + 290.0, + 441.0, + 719.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 286.0, + 153.0, + 376.0, + 326.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 560.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 238.0, + 269.0, + 574.0, + 719.0 + ] + }, + "3": { + "category_name": "horse", + "bbox": [ + 249.0, + 155.0, + 352.0, + 333.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f54c67b9bb" + }, + "f5966cadd2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 616.0, + 147.0, + 1023.0, + 597.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 660.0, + 381.0, + 912.0, + 590.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 565.0, + 86.0, + 1082.0, + 610.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 408.0, + 719.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 705.0, + 134.0, + 1117.0, + 597.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 619.0, + 116.0, + 921.0, + 607.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 323.0, + 60.0, + 654.0, + 616.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 741.0, + 133.0, + 1102.0, + 600.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 502.0, + 120.0, + 760.0, + 596.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 286.0, + 127.0, + 586.0, + 592.0 + ] + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 129.0, + 318.0, + 363.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00075", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f5966cadd2" + }, + "f5bddf5598": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 120.0, + 217.0, + 446.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 646.0, + 269.0, + 1130.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 146.0, + 161.0, + 491.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 700.0, + 218.0, + 1201.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 120.0, + 232.0, + 455.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 688.0, + 247.0, + 1194.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 372.0, + 200.0, + 709.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 886.0, + 122.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 247.0, + 373.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f5bddf5598" + }, + "f5d85cfd17": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 321.0, + 91.0, + 767.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 240.0, + 45.0, + 781.0, + 589.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 162.0, + 17.0, + 738.0, + 619.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 183.0, + 3.0, + 743.0, + 627.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f5d85cfd17" + }, + "f5e2e7d6a0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 49.0, + 18.0, + 1170.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 3.0, + 1152.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1168.0, + 660.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 32.0, + 1178.0, + 710.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f5e2e7d6a0" + }, + "f5f051e9b4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 145.0, + 932.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 140.0, + 839.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 123.0, + 789.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 144.0, + 800.0, + 707.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00085", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f5f051e9b4" + }, + "f5f8a93a76": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 485.0, + 310.0, + 669.0, + 673.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 510.0, + 665.0, + 599.0, + 698.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 819.0, + 247.0, + 1053.0, + 661.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 827.0, + 602.0, + 950.0, + 679.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 984.0, + 370.0, + 1227.0, + 717.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 701.0, + 671.0, + 883.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 786.0, + 576.0, + 1132.0, + 719.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 566.0, + 675.0, + 750.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f5f8a93a76" + }, + "f6283e8af5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 124.0, + 25.0, + 1137.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 4.0, + 2.0, + 1195.0, + 607.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1237.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 10.0, + 1279.0, + 655.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00090", + "00110", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6283e8af5" + }, + "f635e9568b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 558.0, + 154.0, + 881.0, + 610.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 404.0, + 257.0, + 627.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 531.0, + 52.0, + 911.0, + 582.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 388.0, + 293.0, + 582.0, + 561.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 457.0, + 112.0, + 853.0, + 558.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 373.0, + 303.0, + 591.0, + 563.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 389.0, + 39.0, + 805.0, + 557.0 + ] + }, + "2": { + "category_name": "ape", + "bbox": [ + 409.0, + 315.0, + 611.0, + 605.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f635e9568b" + }, + "f6474735be": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 546.0, + 359.0, + 722.0, + 536.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 491.0, + 429.0, + 562.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 599.0, + 399.0, + 732.0, + 595.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 687.0, + 605.0, + 734.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 531.0, + 422.0, + 733.0, + 586.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 436.0, + 565.0, + 687.0, + 634.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 639.0, + 332.0, + 774.0, + 551.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 705.0, + 540.0, + 861.0, + 585.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00055", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6474735be" + }, + "f659251be2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 746.0, + 74.0, + 977.0, + 419.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 776.0, + 69.0, + 1014.0, + 424.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 760.0, + 68.0, + 1002.0, + 412.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 703.0, + 63.0, + 919.0, + 397.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f659251be2" + }, + "f66981af4e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 723.0, + 257.0, + 875.0, + 392.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 389.0, + 24.0, + 1090.0, + 338.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 768.0, + 0.0, + 1094.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 382.0, + 286.0, + 840.0, + 411.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 407.0, + 55.0, + 1100.0, + 355.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 800.0, + 0.0, + 1048.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 243.0, + 281.0, + 690.0, + 401.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 403.0, + 67.0, + 1100.0, + 364.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 372.0, + 0.0, + 889.0, + 406.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 228.0, + 116.0, + 324.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 511.0, + 0.0, + 1072.0, + 359.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 208.0, + 303.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f66981af4e" + }, + "f6708fa398": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 366.0, + 211.0, + 712.0, + 453.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 725.0, + 296.0, + 782.0, + 341.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 816.0, + 290.0, + 891.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 381.0, + 235.0, + 684.0, + 473.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 692.0, + 304.0, + 747.0, + 350.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 783.0, + 300.0, + 859.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 442.0, + 322.0, + 665.0, + 507.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 663.0, + 375.0, + 713.0, + 417.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 757.0, + 373.0, + 832.0, + 527.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 549.0, + 249.0, + 674.0, + 356.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 665.0, + 276.0, + 698.0, + 311.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 766.0, + 276.0, + 843.0, + 418.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6708fa398" + }, + "f697fe8e8f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 542.0, + 137.0, + 693.0, + 324.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 92.0, + 124.0, + 1235.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 895.0, + 0.0, + 1055.0, + 310.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 540.0, + 172.0, + 684.0, + 354.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 102.0, + 155.0, + 1195.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 903.0, + 0.0, + 1071.0, + 345.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 442.0, + 116.0, + 579.0, + 291.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 97.0, + 1035.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 899.0, + 0.0, + 1069.0, + 436.0 + ] + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 469.0, + 130.0, + 591.0, + 298.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 109.0, + 1013.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 953.0, + 0.0, + 1113.0, + 460.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f697fe8e8f" + }, + "f6adb12c42": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 446.0, + 25.0, + 697.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 412.0, + 46.0, + 668.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 469.0, + 0.0, + 692.0, + 442.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 629.0, + 149.0, + 855.0, + 517.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6adb12c42" + }, + "f6c7906ca4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 186.0, + 131.0, + 876.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 179.0, + 194.0, + 836.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 179.0, + 243.0, + 847.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 170.0, + 186.0, + 799.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6c7906ca4" + }, + "f6cd0a8016": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 342.0, + 143.0, + 897.0, + 513.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 338.0, + 151.0, + 907.0, + 507.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 334.0, + 145.0, + 903.0, + 514.0 + ] + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 342.0, + 147.0, + 857.0, + 513.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00040", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6cd0a8016" + }, + "f6d6f15ae7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 691.0, + 256.0, + 729.0, + 331.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 669.0, + 166.0, + 747.0, + 313.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 696.0, + 265.0, + 804.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 654.0, + 332.0, + 687.0, + 357.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6d6f15ae7" + }, + "f6e501892c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 284.0, + 83.0, + 623.0, + 711.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 505.0, + 150.0, + 710.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 279.0, + 153.0, + 641.0, + 715.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 520.0, + 208.0, + 726.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 311.0, + 102.0, + 678.0, + 716.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 530.0, + 146.0, + 818.0, + 716.0 + ] + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 279.0, + 101.0, + 645.0, + 684.0 + ] + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 482.0, + 100.0, + 775.0, + 699.0 + ] + } + } + ], + "frame_names": [ + "00060", + "00075", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6e501892c" + }, + "f6f59d986f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 480.0, + 0.0, + 1003.0, + 418.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 347.0, + 322.0, + 713.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 690.0, + 0.0, + 972.0, + 323.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 634.0, + 280.0, + 809.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 117.0, + 0.0, + 295.0, + 201.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 110.0, + 187.0, + 208.0, + 239.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 22.0, + 177.0, + 218.0, + 320.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 280.0, + 164.0, + 320.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00050", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6f59d986f" + }, + "f6fe8c90a5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 613.0, + 344.0, + 1109.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 668.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 733.0, + 350.0, + 1215.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 782.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 709.0, + 330.0, + 1173.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 734.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 634.0, + 349.0, + 1077.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 657.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6fe8c90a5" + }, + "f714160545": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 781.0, + 17.0, + 1220.0, + 437.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 294.0, + 263.0, + 672.0, + 603.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 876.0, + 33.0, + 1279.0, + 417.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 274.0, + 265.0, + 622.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 745.0, + 17.0, + 1174.0, + 460.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 229.0, + 252.0, + 554.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 757.0, + 288.0, + 1167.0, + 526.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 124.0, + 306.0, + 344.0, + 647.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f714160545" + }, + "f74c3888d7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 679.0, + 248.0, + 782.0, + 372.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 722.0, + 366.0, + 780.0, + 378.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 550.0, + 166.0, + 664.0, + 338.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 466.0, + 287.0, + 662.0, + 345.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 470.0, + 216.0, + 627.0, + 394.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 531.0, + 373.0, + 655.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 972.0, + 273.0, + 1045.0, + 355.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00050", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f74c3888d7" + }, + "f7782c430e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 627.0, + 543.0, + 841.0, + 610.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 546.0, + 661.0, + 650.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 310.0, + 2.0, + 792.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 649.0, + 536.0, + 850.0, + 621.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 552.0, + 640.0, + 729.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 316.0, + 9.0, + 804.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 615.0, + 533.0, + 822.0, + 596.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 573.0, + 597.0, + 713.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 313.0, + 11.0, + 777.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 665.0, + 478.0, + 871.0, + 532.0 + ] + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 629.0, + 581.0, + 790.0, + 671.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 342.0, + 0.0, + 817.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7782c430e" + }, + "f7783ae5f2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 330.0, + 436.0, + 354.0, + 479.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 256.0, + 424.0, + 326.0, + 465.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 153.0, + 419.0, + 217.0, + 478.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 311.0, + 440.0, + 342.0, + 508.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 254.0, + 424.0, + 324.0, + 487.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 155.0, + 418.0, + 216.0, + 477.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 275.0, + 445.0, + 300.0, + 517.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 256.0, + 432.0, + 328.0, + 495.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 153.0, + 425.0, + 217.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 276.0, + 446.0, + 300.0, + 515.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 257.0, + 430.0, + 330.0, + 493.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 156.0, + 425.0, + 217.0, + 486.0 + ] + } + } + ], + "frame_names": [ + "00170", + "00180", + "00215", + "00230" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7783ae5f2" + }, + "f77ab47923": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 532.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 570.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 542.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 527.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f77ab47923" + }, + "f788a98327": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bike", + "bbox": [ + 0.0, + 0.0, + 645.0, + 510.0 + ] + } + }, + { + "1": { + "category_name": "bike", + "bbox": [ + 0.0, + 0.0, + 780.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "bike", + "bbox": [ + 0.0, + 0.0, + 867.0, + 674.0 + ] + } + }, + { + "1": { + "category_name": "bike", + "bbox": [ + 0.0, + 45.0, + 814.0, + 646.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f788a98327" + }, + "f7961ac1f0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 281.0, + 445.0, + 669.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 532.0, + 89.0, + 944.0, + 719.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 574.0, + 604.0, + 613.0, + 641.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 389.0, + 509.0, + 663.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 577.0, + 159.0, + 972.0, + 719.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 588.0, + 666.0, + 632.0, + 690.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 320.0, + 468.0, + 687.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 615.0, + 79.0, + 1050.0, + 719.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 646.0, + 613.0, + 704.0, + 652.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 146.0, + 570.0, + 378.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 524.0, + 54.0, + 1093.0, + 719.0 + ] + }, + "3": { + "category_name": "knife", + "bbox": [ + 550.0, + 694.0, + 614.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7961ac1f0" + }, + "f7a71e7574": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 218.0, + 278.0, + 378.0, + 463.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 304.0, + 444.0, + 486.0, + 481.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 529.0, + 227.0, + 573.0, + 266.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 278.0, + 291.0, + 436.0, + 516.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 364.0, + 511.0, + 528.0, + 533.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 859.0, + 288.0, + 977.0, + 397.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 979.0, + 369.0, + 1139.0, + 431.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 898.0, + 283.0, + 1047.0, + 533.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 912.0, + 508.0, + 1043.0, + 562.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7a71e7574" + }, + "f7a8521432": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 553.0, + 152.0, + 826.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 583.0, + 178.0, + 876.0, + 697.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 571.0, + 150.0, + 908.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 652.0, + 125.0, + 920.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00080", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7a8521432" + }, + "f7afbf4947": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 698.0, + 111.0, + 808.0, + 393.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 701.0, + 383.0, + 803.0, + 418.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 691.0, + 207.0, + 864.0, + 534.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 787.0, + 530.0, + 855.0, + 555.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 490.0, + 143.0, + 632.0, + 421.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 960.0, + 76.0, + 1138.0, + 467.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7afbf4947" + }, + "f7b7cd5f44": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 213.0, + 65.0, + 1087.0, + 606.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 150.0, + 56.0, + 1095.0, + 654.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 168.0, + 51.0, + 1135.0, + 661.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 239.0, + 47.0, + 1207.0, + 662.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7b7cd5f44" + }, + "f7cf4b4a39": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 822.0, + 111.0, + 1134.0, + 520.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 1094.0, + 143.0, + 1259.0, + 390.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 144.0, + 423.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 1041.0, + 165.0, + 1279.0, + 583.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 127.0, + 745.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 1047.0, + 135.0, + 1279.0, + 551.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 47.0, + 747.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 831.0, + 305.0, + 1143.0, + 715.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 1097.0, + 331.0, + 1279.0, + 593.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 253.0, + 607.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7cf4b4a39" + }, + "f7d49799ad": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 293.0, + 738.0, + 616.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 700.0, + 310.0, + 752.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 504.0, + 287.0, + 682.0, + 633.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 575.0, + 356.0, + 611.0, + 432.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 324.0, + 291.0, + 445.0, + 614.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 390.0, + 365.0, + 446.0, + 428.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 151.0, + 359.0, + 331.0, + 650.0 + ] + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 284.0, + 392.0, + 361.0, + 481.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00060", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7d49799ad" + }, + "f7e0c9bb83": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 195.0, + 49.0, + 948.0, + 511.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 1050.0, + 77.0, + 1279.0, + 190.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 219.0, + 88.0, + 930.0, + 465.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 1006.0, + 114.0, + 1266.0, + 220.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 210.0, + 205.0, + 929.0, + 511.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 1017.0, + 205.0, + 1279.0, + 404.0 + ] + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 194.0, + 681.0, + 639.0 + ] + }, + "2": { + "category_name": "whale", + "bbox": [ + 897.0, + 203.0, + 1155.0, + 384.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00055", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7e0c9bb83" + }, + "f7e5b84928": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 428.0, + 10.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 197.0, + 0.0, + 869.0, + 687.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 494.0, + 55.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 166.0, + 0.0, + 822.0, + 702.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 514.0, + 36.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 157.0, + 0.0, + 862.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 463.0, + 53.0, + 1230.0, + 719.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 129.0, + 0.0, + 844.0, + 612.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00075", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7e5b84928" + }, + "f7e6bd58be": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 595.0, + 224.0, + 790.0, + 433.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 598.0, + 236.0, + 798.0, + 421.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 292.0, + 220.0, + 469.0, + 328.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 2.0, + 112.0, + 192.0, + 287.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7e6bd58be" + }, + "f7f2a38ac6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 292.0, + 199.0, + 947.0, + 645.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 266.0, + 202.0, + 941.0, + 662.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 254.0, + 209.0, + 944.0, + 666.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 218.0, + 223.0, + 942.0, + 695.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7f2a38ac6" + }, + "f7f6cb2d6d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 413.0, + 395.0, + 669.0, + 547.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 555.0, + 381.0, + 747.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 549.0, + 270.0, + 772.0, + 492.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 505.0, + 335.0, + 701.0, + 515.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7f6cb2d6d" + }, + "f83f19e796": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 838.0, + 178.0, + 884.0, + 230.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 774.0, + 169.0, + 805.0, + 203.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 603.0, + 156.0, + 629.0, + 192.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 850.0, + 202.0, + 892.0, + 253.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 767.0, + 196.0, + 797.0, + 230.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 602.0, + 183.0, + 629.0, + 218.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 979.0, + 214.0, + 1029.0, + 274.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 839.0, + 200.0, + 879.0, + 240.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 657.0, + 188.0, + 690.0, + 227.0 + ] + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 1147.0, + 207.0, + 1221.0, + 285.0 + ] + }, + "2": { + "category_name": "hat", + "bbox": [ + 914.0, + 191.0, + 978.0, + 242.0 + ] + }, + "3": { + "category_name": "hat", + "bbox": [ + 722.0, + 177.0, + 800.0, + 212.0 + ] + } + } + ], + "frame_names": [ + "00185", + "00195", + "00210", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f83f19e796" + }, + "f85796a921": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 562.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 279.0, + 70.0, + 509.0, + 347.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 335.0, + 384.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 602.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 269.0, + 43.0, + 499.0, + 329.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 323.0, + 406.0, + 588.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 665.0, + 0.0, + 1279.0, + 718.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 281.0, + 47.0, + 510.0, + 340.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 355.0, + 429.0, + 616.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 628.0, + 0.0, + 1279.0, + 719.0 + ] + }, + "2": { + "category_name": "sign", + "bbox": [ + 262.0, + 18.0, + 488.0, + 311.0 + ] + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 323.0, + 410.0, + 616.0 + ] + } + } + ], + "frame_names": [ + "00160", + "00180", + "00190", + "00225" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f85796a921" + }, + "f8603c26b2": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 60.0, + 833.0, + 564.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 71.0, + 861.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 105.0, + 875.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 124.0, + 873.0, + 617.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00070", + "00115", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8603c26b2" + }, + "f8819b42ec": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 771.0, + 397.0, + 948.0, + 634.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 977.0, + 158.0, + 1020.0, + 246.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 827.0, + 283.0, + 939.0, + 531.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 951.0, + 132.0, + 1016.0, + 231.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 831.0, + 345.0, + 955.0, + 594.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 958.0, + 106.0, + 1129.0, + 223.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 834.0, + 352.0, + 961.0, + 591.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 951.0, + 94.0, + 1134.0, + 211.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8819b42ec" + }, + "f891f8eaa1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 411.0, + 432.0, + 616.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 436.0, + 280.0, + 487.0, + 395.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 427.0, + 219.0, + 490.0, + 315.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 360.0, + 398.0, + 606.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 459.0, + 242.0, + 500.0, + 338.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 457.0, + 183.0, + 507.0, + 272.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 375.0, + 416.0, + 586.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 515.0, + 257.0, + 556.0, + 367.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 510.0, + 211.0, + 557.0, + 288.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 368.0, + 374.0, + 616.0, + 719.0 + ] + }, + "2": { + "category_name": "horse", + "bbox": [ + 490.0, + 251.0, + 525.0, + 331.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 485.0, + 199.0, + 531.0, + 280.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f891f8eaa1" + }, + "f89288d10c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 142.0, + 32.0, + 618.0, + 663.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 355.0, + 73.0, + 991.0, + 654.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 997.0, + 255.0, + 1132.0, + 627.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 161.0, + 48.0, + 481.0, + 671.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 432.0, + 80.0, + 973.0, + 662.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 961.0, + 268.0, + 1095.0, + 637.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 83.0, + 54.0, + 414.0, + 659.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 395.0, + 61.0, + 882.0, + 650.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 877.0, + 258.0, + 984.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 142.0, + 33.0, + 529.0, + 631.0 + ] + }, + "2": { + "category_name": "elephant", + "bbox": [ + 523.0, + 31.0, + 993.0, + 624.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 846.0, + 288.0, + 964.0, + 577.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f89288d10c" + }, + "f895ae8cc1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 675.0, + 43.0, + 1173.0, + 496.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 342.0, + 0.0, + 705.0, + 317.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 346.0, + 304.0, + 705.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 318.0, + 303.0, + 610.0, + 648.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f895ae8cc1" + }, + "f8af30d4b6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 344.0, + 372.0, + 412.0, + 524.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 329.0, + 350.0, + 500.0, + 579.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 611.0, + 412.0, + 910.0, + 681.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 275.0, + 342.0, + 480.0, + 563.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 620.0, + 391.0, + 910.0, + 681.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 289.0, + 326.0, + 499.0, + 550.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 666.0, + 376.0, + 947.0, + 669.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8af30d4b6" + }, + "f8b4ac12f1": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 450.0, + 425.0, + 618.0, + 489.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 374.0, + 110.0, + 592.0, + 488.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 727.0, + 427.0, + 909.0, + 502.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 586.0, + 0.0, + 889.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 701.0, + 429.0, + 899.0, + 492.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 679.0, + 0.0, + 885.0, + 448.0 + ] + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 576.0, + 0.0, + 1007.0, + 429.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00080", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8b4ac12f1" + }, + "f8c3fb2b01": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 263.0, + 250.0, + 798.0, + 418.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 407.0, + 305.0, + 489.0, + 359.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 452.0, + 366.0, + 904.0, + 500.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 440.0, + 357.0, + 563.0, + 493.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 204.0, + 212.0, + 897.0, + 511.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 535.0, + 276.0, + 750.0, + 413.0 + ] + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 280.0, + 420.0, + 474.0 + ] + }, + "2": { + "category_name": "leopard", + "bbox": [ + 545.0, + 94.0, + 946.0, + 458.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00060", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8c3fb2b01" + }, + "f8c8de2764": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 356.0, + 127.0, + 797.0, + 539.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 372.0, + 44.0, + 825.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 348.0, + 106.0, + 797.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 280.0, + 78.0, + 745.0, + 558.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8c8de2764" + }, + "f8db369b40": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 677.0, + 246.0, + 769.0, + 334.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 596.0, + 143.0, + 703.0, + 331.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 487.0, + 148.0, + 1279.0, + 386.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 987.0, + 309.0, + 1076.0, + 395.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 910.0, + 207.0, + 1014.0, + 390.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 806.0, + 214.0, + 1279.0, + 438.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 1040.0, + 471.0, + 1097.0, + 530.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 968.0, + 362.0, + 1061.0, + 550.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 864.0, + 374.0, + 1279.0, + 599.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 1061.0, + 378.0, + 1141.0, + 463.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 989.0, + 275.0, + 1087.0, + 462.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 882.0, + 287.0, + 1277.0, + 529.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8db369b40" + }, + "f8fcb6a78c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 290.0, + 1.0, + 922.0, + 625.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 309.0, + 0.0, + 930.0, + 624.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 282.0, + 0.0, + 884.0, + 608.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 374.0, + 6.0, + 950.0, + 621.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8fcb6a78c" + }, + "f94aafdeef": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 472.0, + 12.0, + 1237.0, + 545.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 524.0, + 0.0, + 1267.0, + 520.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 510.0, + 0.0, + 1279.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 364.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f94aafdeef" + }, + "f95d217b70": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 346.0, + 102.0, + 1165.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 229.0, + 21.0, + 1258.0, + 692.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 337.0, + 0.0, + 1279.0, + 697.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 391.0, + 0.0, + 1279.0, + 667.0 + ] + } + } + ], + "frame_names": [ + "00165", + "00195", + "00215", + "00230" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f95d217b70" + }, + "f9681d5103": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 340.0, + 208.0, + 755.0, + 663.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 273.0, + 314.0, + 850.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 320.0, + 770.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 363.0, + 109.0, + 1068.0, + 670.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9681d5103" + }, + "f9750192a4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 783.0, + 267.0, + 931.0, + 387.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 796.0, + 321.0, + 827.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 737.0, + 283.0, + 885.0, + 384.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 737.0, + 336.0, + 754.0, + 368.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 750.0, + 269.0, + 944.0, + 393.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 757.0, + 260.0, + 966.0, + 394.0 + ] + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9750192a4" + }, + "f9823a32c2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 180.0, + 1279.0, + 635.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1048.0, + 625.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 492.0, + 205.0, + 669.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 190.0, + 1279.0, + 641.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1004.0, + 615.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 165.0, + 1279.0, + 619.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1008.0, + 596.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 164.0, + 1279.0, + 604.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1020.0, + 592.0, + 1279.0, + 719.0 + ] + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9823a32c2" + }, + "f991ddb4c2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 234.0, + 887.0, + 584.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 188.0, + 127.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 237.0, + 889.0, + 585.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 186.0, + 127.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 102.0, + 867.0, + 705.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 190.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 2.0, + 101.0, + 877.0, + 704.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 194.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f991ddb4c2" + }, + "f99d535567": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 312.0, + 297.0, + 357.0, + 336.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1060.0, + 436.0, + 1162.0, + 708.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 637.0, + 347.0, + 820.0, + 719.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 183.0, + 951.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 337.0, + 299.0, + 388.0, + 340.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1052.0, + 447.0, + 1155.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 515.0, + 350.0, + 708.0, + 719.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 184.0, + 1000.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 345.0, + 273.0, + 402.0, + 316.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 1009.0, + 561.0, + 1032.0, + 582.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 392.0, + 133.0, + 719.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 144.0, + 1076.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 365.0, + 157.0, + 423.0, + 206.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 30.0, + 1127.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f99d535567" + }, + "f9ae3d98b7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 539.0, + 424.0, + 611.0, + 578.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 187.0, + 243.0, + 626.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 585.0, + 456.0, + 676.0, + 628.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 184.0, + 113.0, + 452.0, + 600.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 479.0, + 491.0, + 613.0, + 671.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 456.0, + 28.0, + 674.0, + 605.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 185.0, + 447.0, + 305.0, + 632.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 519.0, + 40.0, + 783.0, + 620.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9ae3d98b7" + }, + "f9b6217959": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 370.0, + 272.0, + 465.0, + 448.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 357.0, + 185.0, + 477.0, + 390.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 374.0, + 232.0, + 514.0, + 421.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 378.0, + 256.0, + 610.0, + 591.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9b6217959" + }, + "f9bd1fabf5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 491.0, + 263.0, + 696.0, + 492.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 65.0, + 639.0, + 719.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 70.0, + 310.0, + 129.0, + 453.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 527.0, + 274.0, + 778.0, + 550.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 497.0, + 571.0, + 719.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 5.0, + 330.0, + 108.0, + 495.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 562.0, + 276.0, + 844.0, + 573.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 505.0, + 557.0, + 719.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 1.0, + 339.0, + 105.0, + 509.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 419.0, + 245.0, + 846.0, + 627.0 + ] + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 533.0, + 31.0, + 707.0 + ] + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9bd1fabf5" + }, + "f9c68eaa64": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 438.0, + 102.0, + 757.0, + 694.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 428.0, + 98.0, + 753.0, + 695.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 470.0, + 110.0, + 789.0, + 700.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 472.0, + 110.0, + 779.0, + 694.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9c68eaa64" + }, + "f9d3e04c4f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 491.0, + 258.0, + 688.0, + 346.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 295.0, + 332.0, + 378.0, + 382.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 149.0, + 339.0, + 266.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 567.0, + 256.0, + 778.0, + 348.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 363.0, + 332.0, + 445.0, + 374.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 216.0, + 339.0, + 318.0, + 392.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 578.0, + 263.0, + 787.0, + 363.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 350.0, + 335.0, + 465.0, + 387.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 217.0, + 347.0, + 320.0, + 396.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 623.0, + 289.0, + 828.0, + 371.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 376.0, + 348.0, + 450.0, + 393.0 + ] + }, + "3": { + "category_name": "bear", + "bbox": [ + 233.0, + 366.0, + 336.0, + 410.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9d3e04c4f" + }, + "f9daf64494": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 634.0, + 105.0, + 964.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 616.0, + 85.0, + 885.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 555.0, + 101.0, + 845.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 493.0, + 97.0, + 791.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00070", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9daf64494" + }, + "f9e4cc5a0a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 490.0, + 134.0, + 1020.0, + 364.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 925.0, + 0.0, + 1170.0, + 316.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 256.0, + 361.0, + 783.0, + 575.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 576.0, + 207.0, + 928.0, + 543.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 492.0, + 342.0, + 979.0, + 631.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 480.0, + 301.0, + 937.0, + 546.0 + ] + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 702.0, + 317.0, + 1010.0, + 651.0 + ] + }, + "2": { + "category_name": "zebra", + "bbox": [ + 380.0, + 319.0, + 797.0, + 633.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9e4cc5a0a" + }, + "f9ea6b7f31": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 82.0, + 415.0, + 345.0, + 594.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 248.0, + 349.0, + 372.0, + 430.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 83.0, + 403.0, + 329.0, + 581.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 176.0, + 346.0, + 269.0, + 426.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 81.0, + 413.0, + 331.0, + 590.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 101.0, + 395.0, + 186.0, + 434.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 264.0, + 352.0, + 352.0, + 425.0 + ] + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 46.0, + 412.0, + 328.0, + 592.0 + ] + }, + "2": { + "category_name": "cow", + "bbox": [ + 109.0, + 402.0, + 170.0, + 561.0 + ] + }, + "3": { + "category_name": "cow", + "bbox": [ + 207.0, + 359.0, + 296.0, + 426.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00085", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9ea6b7f31" + }, + "f9f3852526": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 673.0, + 279.0, + 702.0, + 334.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 618.0, + 145.0, + 794.0, + 215.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 702.0, + 302.0, + 909.0, + 361.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 603.0, + 330.0, + 635.0, + 398.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 519.0, + 157.0, + 757.0, + 248.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 626.0, + 365.0, + 859.0, + 484.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 660.0, + 367.0, + 694.0, + 448.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 553.0, + 163.0, + 832.0, + 265.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 685.0, + 402.0, + 791.0, + 549.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 703.0, + 373.0, + 744.0, + 481.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 563.0, + 119.0, + 877.0, + 243.0 + ] + }, + "3": { + "category_name": "others", + "bbox": [ + 323.0, + 376.0, + 706.0, + 455.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9f3852526" + }, + "fa04c615cf": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 23 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 832.0, + 437.0, + 895.0, + 560.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 824.0, + 552.0, + 899.0, + 567.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 816.0, + 429.0, + 866.0, + 571.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 801.0, + 564.0, + 857.0, + 580.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 859.0, + 428.0, + 928.0, + 576.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 900.0, + 569.0, + 941.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 671.0, + 416.0, + 738.0, + 590.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 655.0, + 583.0, + 763.0, + 604.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00075", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa04c615cf" + }, + "fa08e00a56": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 137.0, + 0.0, + 1020.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 446.0, + 383.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 1.0, + 1003.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 385.0, + 469.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 489.0, + 719.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 645.0, + 570.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 145.0, + 0.0, + 744.0, + 635.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1045.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa08e00a56" + }, + "fa4370d74d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 129.0, + 174.0, + 503.0, + 668.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 670.0, + 0.0, + 1279.0, + 699.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 201.0, + 178.0, + 521.0, + 653.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 687.0, + 0.0, + 1279.0, + 703.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 185.0, + 212.0, + 455.0, + 636.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 682.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 137.0, + 269.0, + 650.0, + 648.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 640.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa4370d74d" + }, + "fa67744af3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 290.0, + 439.0, + 500.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 486.0, + 391.0, + 771.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 228.0, + 641.0, + 290.0, + 719.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 528.0, + 545.0, + 847.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 508.0, + 470.0, + 885.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 480.0, + 456.0, + 1039.0, + 717.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa67744af3" + }, + "fa88d48a92": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 678.0, + 408.0, + 873.0, + 450.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 753.0, + 281.0, + 946.0, + 375.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 759.0, + 282.0, + 951.0, + 387.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 811.0, + 342.0, + 1012.0, + 404.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa88d48a92" + }, + "fa8b904cc9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 326.0, + 206.0, + 882.0, + 380.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 538.0, + 167.0, + 719.0, + 192.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 864.0, + 186.0, + 1279.0, + 328.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 392.0, + 207.0, + 931.0, + 382.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 589.0, + 168.0, + 765.0, + 191.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 918.0, + 197.0, + 1279.0, + 329.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 396.0, + 204.0, + 968.0, + 376.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 630.0, + 164.0, + 803.0, + 190.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 944.0, + 192.0, + 1279.0, + 328.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 483.0, + 219.0, + 1057.0, + 385.0 + ] + }, + "2": { + "category_name": "parachute", + "bbox": [ + 720.0, + 181.0, + 896.0, + 208.0 + ] + }, + "3": { + "category_name": "boat", + "bbox": [ + 1042.0, + 210.0, + 1279.0, + 337.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa8b904cc9" + }, + "fa9526bdf1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 413.0, + 291.0, + 912.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 418.0, + 286.0, + 905.0, + 452.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 421.0, + 287.0, + 907.0, + 449.0 + ] + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 411.0, + 290.0, + 903.0, + 456.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa9526bdf1" + }, + "fa9b9d2426": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 553.0, + 290.0, + 921.0, + 458.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 415.0, + 387.0, + 614.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 664.0, + 279.0, + 870.0, + 484.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 407.0, + 365.0, + 607.0, + 458.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 706.0, + 269.0, + 1146.0, + 451.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 466.0, + 289.0, + 703.0, + 461.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 527.0, + 347.0, + 700.0, + 481.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 341.0, + 319.0, + 585.0, + 510.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa9b9d2426" + }, + "fad633fbe1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 622.0, + 368.0, + 651.0, + 402.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 678.0, + 445.0, + 706.0, + 469.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 624.0, + 309.0, + 746.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 673.0, + 289.0, + 833.0, + 602.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00050", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fad633fbe1" + }, + "faf5222dc3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 211.0, + 299.0, + 1279.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 192.0, + 282.0, + 1279.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 199.0, + 283.0, + 1279.0, + 618.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 196.0, + 280.0, + 1279.0, + 622.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/faf5222dc3" + }, + "faff0e15f1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 547.0, + 152.0, + 1060.0, + 703.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 223.0, + 98.0, + 533.0, + 595.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 445.0, + 0.0, + 874.0, + 661.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 35.0, + 202.0, + 449.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 441.0, + 171.0, + 852.0, + 719.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 225.0, + 409.0, + 498.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 281.0, + 133.0, + 708.0, + 704.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 832.0, + 0.0, + 1279.0, + 688.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/faff0e15f1" + }, + "fb08c64e8c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 148.0, + 4.0, + 1145.0, + 715.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 142.0, + 0.0, + 1148.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 144.0, + 0.0, + 1147.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 143.0, + 0.0, + 1143.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00070", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb08c64e8c" + }, + "fb23455a7f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00040", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb23455a7f" + }, + "fb2e19fa6e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 159.0, + 135.0, + 489.0, + 581.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 475.0, + 386.0, + 900.0, + 706.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 29.0, + 188.0, + 471.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 453.0, + 373.0, + 899.0, + 705.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 262.0, + 501.0, + 719.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 241.0, + 386.0, + 858.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 228.0, + 335.0, + 717.0 + ] + }, + "2": { + "category_name": "tiger", + "bbox": [ + 259.0, + 369.0, + 807.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb2e19fa6e" + }, + "fb34dfbb77": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 50.0, + 155.0, + 312.0, + 527.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 228.0, + 159.0, + 612.0, + 658.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 497.0, + 130.0, + 855.0, + 576.0 + ] + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 786.0, + 184.0, + 1189.0, + 594.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 151.0, + 298.0, + 507.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 244.0, + 136.0, + 616.0, + 635.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 513.0, + 104.0, + 857.0, + 545.0 + ] + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 780.0, + 191.0, + 1192.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 192.0, + 302.0, + 527.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 246.0, + 167.0, + 608.0, + 672.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 512.0, + 120.0, + 857.0, + 580.0 + ] + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 765.0, + 219.0, + 1190.0, + 592.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 232.0, + 306.0, + 563.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 252.0, + 195.0, + 624.0, + 682.0 + ] + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 513.0, + 145.0, + 875.0, + 584.0 + ] + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 777.0, + 223.0, + 1196.0, + 598.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00060", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb34dfbb77" + }, + "fb47fcea1e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 576.0, + 398.0, + 624.0, + 457.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 658.0, + 381.0, + 706.0, + 452.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 832.0, + 283.0, + 892.0, + 341.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 516.0, + 440.0, + 561.0, + 514.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 646.0, + 432.0, + 701.0, + 511.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 776.0, + 318.0, + 845.0, + 381.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 503.0, + 409.0, + 554.0, + 489.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 644.0, + 402.0, + 698.0, + 488.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 780.0, + 287.0, + 848.0, + 351.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 481.0, + 397.0, + 534.0, + 475.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 618.0, + 389.0, + 667.0, + 469.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 775.0, + 271.0, + 843.0, + 342.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb47fcea1e" + }, + "fb49738155": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 179.0, + 80.0, + 822.0, + 394.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 181.0, + 80.0, + 815.0, + 397.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 174.0, + 74.0, + 814.0, + 401.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 200.0, + 81.0, + 819.0, + 393.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00085", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb49738155" + }, + "fb4cbc514b": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 559.0, + 272.0, + 795.0, + 391.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 599.0, + 340.0, + 850.0, + 455.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 924.0, + 300.0, + 1120.0, + 494.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 707.0, + 328.0, + 987.0, + 447.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 631.0, + 231.0, + 831.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "airplane", + "bbox": [ + 464.0, + 182.0, + 705.0, + 394.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00025", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb4cbc514b" + }, + "fb4e6062f7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 610.0, + 134.0, + 773.0, + 424.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 669.0, + 414.0, + 773.0, + 442.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 498.0, + 78.0, + 831.0, + 496.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 591.0, + 472.0, + 835.0, + 536.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 432.0, + 178.0, + 580.0, + 515.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 500.0, + 509.0, + 561.0, + 534.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 448.0, + 241.0, + 551.0, + 481.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 453.0, + 470.0, + 512.0, + 490.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00080", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb4e6062f7" + }, + "fb5ba7ad6e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 160.0, + 90.0, + 885.0, + 577.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 380.0, + 86.0, + 1109.0, + 565.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 332.0, + 109.0, + 1091.0, + 553.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 408.0, + 116.0, + 1051.0, + 560.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb5ba7ad6e" + }, + "fb63cd1236": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 621.0, + 210.0, + 1279.0, + 708.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 666.0, + 248.0, + 1279.0, + 705.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 655.0, + 266.0, + 1279.0, + 705.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 626.0, + 248.0, + 1279.0, + 696.0 + ] + } + } + ], + "frame_names": [ + "00070", + "00090", + "00100", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb63cd1236" + }, + "fb81157a07": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 361.0, + 223.0, + 493.0, + 530.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 302.0, + 179.0, + 439.0, + 380.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 493.0, + 149.0, + 593.0, + 390.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 493.0, + 149.0, + 595.0, + 388.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb81157a07" + }, + "fb92abdaeb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 500.0, + 226.0, + 805.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 538.0, + 192.0, + 815.0, + 672.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 574.0, + 186.0, + 921.0, + 683.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 498.0, + 156.0, + 853.0, + 654.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb92abdaeb" + }, + "fba22a6848": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 448.0, + 353.0, + 521.0, + 446.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 653.0, + 363.0, + 722.0, + 439.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 505.0, + 365.0, + 600.0, + 447.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 355.0, + 520.0, + 439.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 648.0, + 358.0, + 714.0, + 434.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 510.0, + 364.0, + 601.0, + 453.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 383.0, + 324.0, + 525.0, + 408.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 607.0, + 331.0, + 690.0, + 408.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 524.0, + 339.0, + 610.0, + 422.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 375.0, + 340.0, + 480.0, + 415.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 587.0, + 326.0, + 699.0, + 403.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 491.0, + 346.0, + 586.0, + 428.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fba22a6848" + }, + "fbaca0c9df": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 866.0, + 353.0, + 1081.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 335.0, + 451.0, + 962.0, + 719.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 384.0, + 547.0, + 885.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 490.0, + 478.0, + 806.0, + 719.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 296.0, + 302.0, + 960.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 413.0, + 215.0, + 846.0, + 719.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 298.0, + 374.0, + 936.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 470.0, + 203.0, + 844.0, + 719.0 + ] + }, + "3": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fbaca0c9df" + }, + "fbc645f602": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 42.0, + 2.0, + 470.0, + 529.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 539.0, + 2.0, + 1111.0, + 715.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 165.0, + 1.0, + 587.0, + 616.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 650.0, + 0.0, + 1259.0, + 719.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 197.0, + 0.0, + 669.0, + 715.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 722.0, + 0.0, + 1277.0, + 719.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 303.0, + 4.0, + 673.0, + 406.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 854.0, + 4.0, + 1275.0, + 711.0 + ] + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fbc645f602" + }, + "fbd77444cd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 203.0, + 152.0, + 273.0, + 214.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 193.0, + 133.0, + 282.0, + 211.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 208.0, + 134.0, + 309.0, + 222.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 222.0, + 153.0, + 326.0, + 248.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fbd77444cd" + }, + "fbe53dc8e8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 205.0, + 0.0, + 725.0, + 492.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 413.0, + 365.0, + 787.0, + 519.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 496.0, + 0.0, + 736.0, + 471.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 512.0, + 361.0, + 795.0, + 497.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 310.0, + 0.0, + 784.0, + 471.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 543.0, + 389.0, + 843.0, + 501.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 432.0, + 0.0, + 840.0, + 509.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 491.0, + 377.0, + 861.0, + 545.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fbe53dc8e8" + }, + "fbe541dd73": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 189.0, + 24.0, + 954.0, + 659.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 149.0, + 0.0, + 978.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 239.0, + 28.0, + 1024.0, + 636.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 171.0, + 76.0, + 1038.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fbe541dd73" + }, + "fbe8488798": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 463.0, + 391.0, + 567.0, + 575.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 654.0, + 368.0, + 727.0, + 535.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 782.0, + 367.0, + 927.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 469.0, + 337.0, + 578.0, + 519.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 667.0, + 329.0, + 746.0, + 491.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 794.0, + 335.0, + 991.0, + 653.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 499.0, + 366.0, + 614.0, + 585.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 726.0, + 366.0, + 817.0, + 560.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 865.0, + 362.0, + 1163.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 436.0, + 382.0, + 573.0, + 660.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 685.0, + 372.0, + 811.0, + 557.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 1189.0, + 397.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fbe8488798" + }, + "fbfd25174f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 687.0, + 32.0, + 1119.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 686.0, + 36.0, + 1111.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 649.0, + 39.0, + 1123.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 713.0, + 34.0, + 1115.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00030", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fbfd25174f" + }, + "fc28cb305e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 874.0, + 488.0, + 925.0, + 659.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 545.0, + 477.0, + 586.0, + 611.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 904.0, + 494.0, + 973.0, + 682.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 530.0, + 477.0, + 572.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 987.0, + 494.0, + 1047.0, + 715.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 515.0, + 473.0, + 562.0, + 640.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1143.0, + 510.0, + 1230.0, + 716.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 491.0, + 482.0, + 544.0, + 686.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fc28cb305e" + }, + "fc33b1ffd6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 413.0, + 781.0, + 628.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 413.0, + 774.0, + 633.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 18.0, + 413.0, + 780.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 408.0, + 778.0, + 627.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00040", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fc33b1ffd6" + }, + "fc6186f0bb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 248.0, + 158.0, + 609.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 1031.0, + 73.0, + 1166.0, + 185.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 436.0, + 88.0, + 1087.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 429.0, + 258.0, + 530.0, + 336.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 434.0, + 154.0, + 857.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 469.0, + 690.0, + 540.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 180.0, + 332.0, + 763.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00055", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fc6186f0bb" + }, + "fc918e3a40": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 760.0, + 407.0, + 1120.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 762.0, + 421.0, + 1144.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 774.0, + 412.0, + 1152.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 770.0, + 420.0, + 1162.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fc918e3a40" + }, + "fc96cda9d8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 476.0, + 15.0, + 679.0, + 598.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 560.0, + 538.0, + 652.0, + 633.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 33.0, + 789.0, + 560.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 654.0, + 488.0, + 760.0, + 592.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 672.0, + 0.0, + 1252.0, + 719.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 980.0, + 642.0, + 1211.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 80.0, + 160.0, + 199.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 530.0, + 21.0, + 906.0, + 538.0 + ] + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 630.0, + 347.0, + 741.0, + 553.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 416.0, + 264.0, + 533.0, + 519.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00045", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fc96cda9d8" + }, + "fc9832eea4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 0.0, + 0.0, + 833.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 0.0, + 0.0, + 693.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 0.0, + 0.0, + 695.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 0.0, + 0.0, + 835.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fc9832eea4" + }, + "fcb10d0f81": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 295.0, + 0.0, + 1260.0, + 529.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 686.0, + 217.0, + 1062.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 283.0, + 0.0, + 1176.0, + 458.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 451.0, + 131.0, + 1166.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 235.0, + 53.0, + 1074.0, + 656.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 353.0, + 243.0, + 1050.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 253.0, + 70.0, + 712.0, + 591.0 + ] + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 263.0, + 555.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00080", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fcb10d0f81" + }, + "fcd20a2509": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 591.0, + 52.0, + 990.0, + 454.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 339.0, + 90.0, + 868.0, + 489.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 311.0, + 134.0, + 874.0, + 585.0 + ] + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 245.0, + 202.0, + 842.0, + 669.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fcd20a2509" + }, + "fcf637e3ab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 392.0, + 348.0, + 616.0, + 540.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 478.0, + 412.0, + 768.0, + 620.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 390.0, + 348.0, + 618.0, + 530.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 480.0, + 411.0, + 771.0, + 621.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 375.0, + 291.0, + 612.0, + 511.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 537.0, + 361.0, + 767.0, + 578.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 443.0, + 346.0, + 669.0, + 544.0 + ] + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 604.0, + 391.0, + 839.0, + 575.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fcf637e3ab" + }, + "fcfd81727f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 509.0, + 276.0, + 784.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 448.0, + 288.0, + 773.0, + 576.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 447.0, + 271.0, + 772.0, + 566.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 475.0, + 211.0, + 796.0, + 555.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fcfd81727f" + }, + "fd31890379": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 376.0, + 0.0, + 1091.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 685.0, + 0.0, + 1233.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 470.0, + 0.0, + 1089.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 558.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00080", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fd31890379" + }, + "fd33551c28": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 154.0, + 646.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 0.0, + 754.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 9.0, + 39.0, + 745.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 112.0, + 83.0, + 729.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00060", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fd33551c28" + }, + "fd542da05e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 561.0, + 0.0, + 944.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 552.0, + 684.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 612.0, + 0.0, + 942.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 280.0, + 872.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 586.0, + 8.0, + 951.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 251.0, + 819.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 554.0, + 369.0, + 1017.0, + 719.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 94.0, + 427.0, + 603.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00065", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fd542da05e" + }, + "fd6789b3fe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 327.0, + 140.0, + 833.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 938.0, + 348.0, + 1225.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 322.0, + 246.0, + 675.0, + 555.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 790.0, + 258.0, + 1083.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 317.0, + 176.0, + 750.0, + 618.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 865.0, + 0.0, + 1103.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 286.0, + 28.0, + 626.0, + 703.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 684.0, + 70.0, + 1131.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fd6789b3fe" + }, + "fd77828200": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 333.0, + 191.0, + 874.0, + 650.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 328.0, + 210.0, + 862.0, + 664.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 330.0, + 217.0, + 853.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 332.0, + 216.0, + 876.0, + 666.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00070", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fd77828200" + }, + "fd7af75f4d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 34.0, + 1152.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 163.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 148.0, + 970.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 64.0, + 1140.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fd7af75f4d" + }, + "fdb28d0fbb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 597.0, + 193.0, + 712.0, + 482.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 614.0, + 451.0, + 715.0, + 516.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 561.0, + 204.0, + 670.0, + 505.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 572.0, + 471.0, + 679.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 572.0, + 235.0, + 666.0, + 509.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 572.0, + 473.0, + 683.0, + 544.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 591.0, + 257.0, + 687.0, + 510.0 + ] + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 587.0, + 475.0, + 695.0, + 546.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00055", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fdb28d0fbb" + }, + "fdb3d1fb1e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 549.0, + 0.0, + 1279.0, + 545.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 1233.0, + 177.0, + 1279.0, + 265.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 147.0, + 1.0, + 207.0, + 94.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 425.0, + 26.0, + 1084.0, + 540.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 707.0, + 0.0, + 1279.0, + 620.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 120.0, + 252.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 380.0, + 160.0, + 997.0, + 424.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 597.0, + 84.0, + 1279.0, + 700.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 256.0, + 268.0 + ] + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 504.0, + 121.0, + 949.0, + 520.0 + ] + }, + "2": { + "category_name": "dog", + "bbox": [ + 343.0, + 157.0, + 896.0, + 587.0 + ] + }, + "3": { + "category_name": "dog", + "bbox": [ + 0.0, + 36.0, + 258.0, + 325.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fdb3d1fb1e" + }, + "fdb8b04124": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 572.0, + 162.0, + 1235.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 338.0, + 0.0, + 1197.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 434.0, + 0.0, + 1221.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 182.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fdb8b04124" + }, + "fdc6e3d581": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 608.0, + 244.0, + 931.0, + 542.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 572.0, + 234.0, + 941.0, + 572.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 518.0, + 229.0, + 1029.0, + 670.0 + ] + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 543.0, + 212.0, + 1151.0, + 699.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fdc6e3d581" + }, + "fdfce7e6fc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 176.0, + 143.0, + 618.0, + 626.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 62.0, + 110.0, + 484.0, + 604.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 128.0, + 208.0, + 592.0, + 593.0 + ] + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 144.0, + 138.0, + 514.0, + 635.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fdfce7e6fc" + }, + "fe0f76d41b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 460.0, + 166.0, + 866.0, + 496.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 537.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 420.0, + 187.0, + 810.0, + 497.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 494.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 396.0, + 219.0, + 826.0, + 600.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 429.0, + 0.0, + 1279.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 404.0, + 230.0, + 833.0, + 597.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 444.0, + 0.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00060", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe0f76d41b" + }, + "fe24b0677d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 795.0, + 170.0, + 1105.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 350.0, + 216.0, + 725.0, + 719.0 + ] + }, + "3": { + "category_name": "snowboard", + "bbox": [ + 1002.0, + 690.0, + 1069.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1023.0, + 127.0, + 1257.0, + 700.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 412.0, + 149.0, + 807.0, + 719.0 + ] + }, + "3": { + "category_name": "snowboard", + "bbox": [ + 1147.0, + 532.0, + 1261.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 783.0, + 197.0, + 934.0, + 590.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 218.0, + 143.0, + 719.0, + 719.0 + ] + }, + "3": { + "category_name": "snowboard", + "bbox": [ + 817.0, + 500.0, + 985.0, + 651.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 587.0, + 264.0, + 735.0, + 593.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 20.0, + 70.0, + 717.0, + 719.0 + ] + }, + "3": { + "category_name": "snowboard", + "bbox": [ + 701.0, + 583.0, + 773.0, + 646.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe24b0677d" + }, + "fe3c02699d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 317.0, + 130.0, + 663.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 272.0, + 138.0, + 943.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 331.0, + 124.0, + 677.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 172.0, + 47.0, + 787.0, + 457.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 356.0, + 84.0, + 650.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 388.0, + 325.0 + ] + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 328.0, + 110.0, + 660.0 + ] + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00030", + "00055", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe3c02699d" + }, + "fe58b48235": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 465.0, + 126.0, + 607.0, + 416.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 477.0, + 133.0, + 621.0, + 409.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 448.0, + 62.0, + 736.0, + 315.0 + ] + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 431.0, + 0.0, + 831.0, + 239.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe58b48235" + }, + "fe6a5596b8": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 116.0, + 0.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 206.0, + 0.0, + 959.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 119.0, + 0.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 172.0, + 0.0, + 959.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 52.0, + 0.0, + 853.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 2.0, + 0.0, + 959.0, + 719.0 + ] + }, + "2": { + "category_name": "cat", + "bbox": [ + 52.0, + 0.0, + 808.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00065", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe6a5596b8" + }, + "fe6c244f63": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 696.0, + 220.0, + 1083.0, + 743.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 943.0, + 192.0, + 1152.0, + 353.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 689.0, + 218.0, + 1107.0, + 767.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 906.0, + 173.0, + 1131.0, + 339.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 694.0, + 228.0, + 1141.0, + 808.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 882.0, + 177.0, + 1115.0, + 344.0 + ] + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 689.0, + 208.0, + 1167.0, + 827.0 + ] + }, + "2": { + "category_name": "boat", + "bbox": [ + 843.0, + 141.0, + 1083.0, + 333.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe6c244f63" + }, + "fe7afec086": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 225.0, + 23.0, + 1056.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 221.0, + 1.0, + 1060.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 227.0, + 49.0, + 1076.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 231.0, + 55.0, + 1076.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00040", + "00070", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe7afec086" + }, + "fe985d510a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 320.0, + 77.0, + 787.0, + 441.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 90.0, + 182.0, + 999.0, + 654.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 334.0, + 83.0, + 823.0, + 442.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 92.0, + 192.0, + 1021.0, + 655.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 444.0, + 78.0, + 875.0, + 375.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 94.0, + 193.0, + 1001.0, + 665.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 408.0, + 77.0, + 865.0, + 409.0 + ] + }, + "2": { + "category_name": "others", + "bbox": [ + 96.0, + 184.0, + 1009.0, + 657.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe985d510a" + }, + "fe9db35d15": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 919.0, + 159.0, + 983.0, + 358.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 841.0, + 205.0, + 1010.0, + 339.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 761.0, + 265.0, + 835.0, + 462.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 676.0, + 313.0, + 847.0, + 446.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 781.0, + 527.0, + 802.0, + 595.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 674.0, + 580.0, + 789.0, + 713.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 572.0, + 423.0, + 643.0, + 625.0 + ] + }, + "2": { + "category_name": "plant", + "bbox": [ + 485.0, + 472.0, + 673.0, + 611.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe9db35d15" + }, + "fea8ffcd36": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 155.0, + 143.0, + 838.0, + 706.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 249.0, + 78.0, + 964.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 285.0, + 45.0, + 1140.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 383.0, + 92.0, + 1266.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00055", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fea8ffcd36" + }, + "feb1080388": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 665.0, + 268.0, + 993.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 759.0, + 160.0, + 1057.0, + 552.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 643.0, + 0.0, + 955.0, + 473.0 + ] + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 542.0, + 51.0, + 957.0, + 686.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/feb1080388" + }, + "fed208bfca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 608.0, + 147.0, + 748.0, + 264.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 661.0, + 244.0, + 744.0, + 268.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 538.0, + 131.0, + 669.0, + 253.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 545.0, + 161.0, + 655.0, + 246.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 450.0, + 236.0, + 666.0, + 423.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 533.0, + 361.0, + 679.0, + 415.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 501.0, + 162.0, + 838.0, + 346.0 + ] + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 632.0, + 308.0, + 830.0, + 341.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00050", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fed208bfca" + }, + "feda5ad1c2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 416.0, + 0.0, + 835.0, + 444.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 427.0, + 0.0, + 829.0, + 445.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 421.0, + 0.0, + 834.0, + 440.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 424.0, + 0.0, + 837.0, + 435.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/feda5ad1c2" + }, + "feec95b386": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 349.0, + 12.0, + 980.0, + 489.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 367.0, + 24.0, + 996.0, + 500.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 337.0, + 2.0, + 992.0, + 487.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 323.0, + 3.0, + 996.0, + 492.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/feec95b386" + }, + "ff15a5eff6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 881.0, + 243.0, + 973.0, + 361.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 881.0, + 287.0, + 961.0, + 361.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 686.0, + 129.0, + 799.0, + 321.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 683.0, + 200.0, + 803.0, + 370.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 873.0, + 120.0, + 987.0, + 315.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 896.0, + 186.0, + 981.0, + 357.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 469.0, + 166.0, + 624.0, + 445.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 458.0, + 277.0, + 635.0, + 512.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 652.0, + 153.0, + 812.0, + 489.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 633.0, + 269.0, + 780.0, + 502.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 62.0, + 74.0, + 523.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 439.0, + 82.0, + 648.0 + ] + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 713.0, + 0.0, + 1009.0, + 494.0 + ] + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 284.0, + 0.0, + 1263.0, + 719.0 + ] + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff15a5eff6" + }, + "ff204daf4b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 550.0, + 92.0, + 827.0, + 531.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 406.0, + 161.0, + 598.0, + 517.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 569.0, + 145.0, + 908.0, + 564.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 398.0, + 284.0, + 603.0, + 558.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 385.0, + 104.0, + 818.0, + 573.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 286.0, + 177.0, + 584.0, + 575.0 + ] + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 424.0, + 87.0, + 844.0, + 572.0 + ] + }, + "2": { + "category_name": "bear", + "bbox": [ + 276.0, + 202.0, + 593.0, + 585.0 + ] + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff204daf4b" + }, + "ff25f55852": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 304.0, + 278.0, + 867.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 883.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 429.0, + 377.0, + 924.0, + 719.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 842.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 512.0, + 366.0, + 802.0, + 650.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 785.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 737.0, + 285.0, + 958.0, + 496.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 264.0, + 4.0, + 901.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00075", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff25f55852" + }, + "ff2ada194f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 158.0, + 162.0, + 512.0, + 591.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 730.0, + 413.0, + 894.0, + 624.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 840.0, + 253.0, + 1056.0, + 431.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 60.0, + 83.0, + 399.0, + 506.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 653.0, + 322.0, + 829.0, + 538.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 768.0, + 156.0, + 1047.0, + 337.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 104.0, + 127.0, + 441.0, + 553.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 695.0, + 373.0, + 913.0, + 581.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 910.0, + 213.0, + 1172.0, + 391.0 + ] + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 179.0, + 298.0, + 600.0 + ] + }, + "2": { + "category_name": "duck", + "bbox": [ + 548.0, + 444.0, + 819.0, + 636.0 + ] + }, + "3": { + "category_name": "duck", + "bbox": [ + 817.0, + 248.0, + 1086.0, + 438.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00075", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff2ada194f" + }, + "ff2ce142e8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 140.0, + 400.0, + 559.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 176.0, + 402.0, + 584.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 184.0, + 401.0, + 590.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 137.0, + 402.0, + 536.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff2ce142e8" + }, + "ff49d36d20": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 938.0, + 235.0, + 1144.0, + 571.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 812.0, + 301.0, + 982.0, + 644.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 910.0, + 302.0, + 1100.0, + 610.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 816.0, + 315.0, + 928.0, + 647.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 908.0, + 328.0, + 1098.0, + 632.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 786.0, + 338.0, + 938.0, + 667.0 + ] + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 964.0, + 307.0, + 1128.0, + 614.0 + ] + }, + "2": { + "category_name": "penguin", + "bbox": [ + 828.0, + 328.0, + 979.0, + 648.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00055", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff49d36d20" + }, + "ff5a1ec4f3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 464.0, + 17.0, + 638.0, + 134.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 609.0, + 1.0, + 755.0, + 146.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 330.0, + 539.0, + 520.0, + 717.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 736.0, + 498.0, + 890.0, + 715.0 + ] + }, + "5": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 412.0, + 99.0, + 598.0, + 225.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 592.0, + 45.0, + 693.0, + 181.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 369.0, + 501.0, + 526.0, + 694.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 733.0, + 399.0, + 828.0, + 568.0 + ] + }, + "5": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 345.0, + 46.0, + 519.0, + 198.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 835.0, + 89.0, + 942.0, + 227.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 390.0, + 490.0, + 591.0, + 651.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 750.0, + 357.0, + 845.0, + 524.0 + ] + }, + "5": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 431.0, + 29.0, + 543.0, + 168.0 + ] + }, + "2": { + "category_name": "monkey", + "bbox": [ + 797.0, + 186.0, + 920.0, + 347.0 + ] + }, + "3": { + "category_name": "monkey", + "bbox": [ + 404.0, + 441.0, + 569.0, + 640.0 + ] + }, + "4": { + "category_name": "monkey", + "bbox": [ + 731.0, + 351.0, + 841.0, + 514.0 + ] + }, + "5": { + "category_name": "monkey", + "bbox": [ + 34.0, + 383.0, + 187.0, + 495.0 + ] + } + } + ], + "frame_names": [ + "00025", + "00065", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff5a1ec4f3" + }, + "ff66152b25": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 34.0, + 326.0, + 286.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 64.0, + 328.0, + 312.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 492.0, + 320.0, + 751.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "snake", + "bbox": [ + 492.0, + 378.0, + 763.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00050", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff66152b25" + }, + "ff692fdc56": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 317.0, + 241.0, + 819.0, + 556.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 94.0, + 794.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 322.0, + 169.0, + 789.0, + 520.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 203.0, + 853.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 352.0, + 151.0, + 794.0, + 494.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 254.0, + 778.0, + 719.0 + ] + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 476.0, + 63.0, + 758.0, + 439.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 775.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00080", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff692fdc56" + }, + "ff773b1a1e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 252.0, + 182.0, + 1021.0, + 525.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 53.0, + 255.0, + 671.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 177.0, + 165.0, + 1068.0, + 544.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 51.0, + 256.0, + 668.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 329.0, + 115.0, + 1079.0, + 637.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 324.0, + 104.0, + 1079.0, + 625.0 + ] + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff773b1a1e" + }, + "ff97129478": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 323.0, + 27.0, + 794.0, + 614.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 365.0, + 88.0, + 810.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 435.0, + 86.0, + 846.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 385.0, + 71.0, + 836.0, + 556.0 + ] + } + } + ], + "frame_names": [ + "00015", + "00065", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff97129478" + }, + "ffb904207d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 307.0, + 144.0, + 728.0, + 631.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 438.0, + 165.0, + 806.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 283.0, + 173.0, + 701.0, + 629.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 420.0, + 193.0, + 785.0, + 631.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 301.0, + 165.0, + 716.0, + 629.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 420.0, + 194.0, + 804.0, + 629.0 + ] + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 268.0, + 138.0, + 696.0, + 630.0 + ] + }, + "2": { + "category_name": "hand", + "bbox": [ + 406.0, + 163.0, + 728.0, + 632.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ffb904207d" + }, + "ffc43fc345": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 1035.0, + 148.0, + 1279.0, + 546.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 666.0, + 200.0, + 1189.0, + 571.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 170.0, + 190.0, + 354.0, + 460.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1084.0, + 185.0, + 1279.0, + 617.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 321.0, + 182.0, + 585.0, + 509.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 549.0, + 175.0, + 931.0, + 597.0 + ] + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + }, + "3": { + "category_name": "sheep", + "bbox": [ + 1127.0, + 212.0, + 1279.0, + 719.0 + ] + } + } + ], + "frame_names": [ + "00035", + "00065", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ffc43fc345" + }, + "fffe5f8df6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 309.0, + 116.0, + 1242.0, + 637.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 311.0, + 40.0, + 1206.0, + 616.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 179.0, + 115.0, + 1118.0, + 654.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 267.0, + 6.0, + 1096.0, + 644.0 + ] + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ] + } + } + ], + "frame_names": [ + "00045", + "00070", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fffe5f8df6" + } +} \ No newline at end of file diff --git a/mbench/sampled_frame3.json b/mbench/sampled_frame3.json new file mode 100644 index 0000000000000000000000000000000000000000..22c930869be2ba4a2852b07295db910958573532 --- /dev/null +++ b/mbench/sampled_frame3.json @@ -0,0 +1,379396 @@ +{ + "003234408d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 171.0, + 406.0, + 348.0, + 581.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 507.0, + 385.0, + 729.0, + 580.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 113.0, + 256.0, + 399.0, + 373.0 + ], + "valid": 1 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 749.0, + 155.0, + 904.0, + 251.0 + ], + "valid": 1 + }, + "5": { + "category_name": "penguin", + "bbox": [ + 292.0, + 78.0, + 490.0, + 146.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 101.0, + 323.0, + 335.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 437.0, + 297.0, + 656.0, + 488.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 38.0, + 169.0, + 321.0, + 289.0 + ], + "valid": 1 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 679.0, + 63.0, + 831.0, + 158.0 + ], + "valid": 1 + }, + "5": { + "category_name": "penguin", + "bbox": [ + 222.0, + 0.0, + 428.0, + 58.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 78.0, + 352.0, + 241.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 402.0, + 340.0, + 634.0, + 530.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 9.0, + 213.0, + 299.0, + 336.0 + ], + "valid": 1 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 652.0, + 102.0, + 819.0, + 198.0 + ], + "valid": 1 + }, + "5": { + "category_name": "penguin", + "bbox": [ + 196.0, + 37.0, + 395.0, + 102.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 177.0, + 343.0, + 402.0, + 521.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 496.0, + 316.0, + 710.0, + 510.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 109.0, + 196.0, + 394.0, + 317.0 + ], + "valid": 1 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 741.0, + 82.0, + 900.0, + 175.0 + ], + "valid": 1 + }, + "5": { + "category_name": "penguin", + "bbox": [ + 293.0, + 19.0, + 497.0, + 84.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/003234408d" + }, + "0043f083b5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 1203.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1161.0, + 305.0, + 1223.0, + 392.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 1211.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1193.0, + 335.0, + 1279.0, + 405.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 1123.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1146.0, + 330.0, + 1214.0, + 387.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1088.0, + 347.0, + 1142.0, + 409.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 1107.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1139.0, + 334.0, + 1196.0, + 384.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1074.0, + 349.0, + 1138.0, + 403.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0043f083b5" + }, + "0044fa5fba": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 461.0, + 143.0, + 915.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 462.0, + 137.0, + 920.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 469.0, + 114.0, + 925.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 469.0, + 77.0, + 940.0, + 711.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0044fa5fba" + }, + "005a527edd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 550.0, + 234.0, + 819.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 574.0, + 0.0, + 1067.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 455.0, + 80.0, + 721.0, + 441.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 497.0, + 3.0, + 1035.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 432.0, + 115.0, + 698.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 478.0, + 5.0, + 1037.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 429.0, + 207.0, + 628.0, + 523.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 499.0, + 5.0, + 1030.0, + 568.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/005a527edd" + }, + "0065b171f9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 558.0, + 145.0, + 883.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 500.0, + 109.0, + 975.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 444.0, + 24.0, + 1035.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 408.0, + 63.0, + 1031.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0065b171f9" + }, + "00917dcfc4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 678.0, + 120.0, + 1163.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 391.0, + 158.0, + 798.0, + 708.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 168.0, + 25.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 627.0, + 180.0, + 1197.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 499.0, + 175.0, + 833.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 20.0, + 104.0, + 365.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 579.0, + 140.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 547.0, + 247.0, + 828.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 9.0, + 211.0, + 338.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 686.0, + 78.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 579.0, + 175.0, + 796.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 10.0, + 278.0, + 378.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/00917dcfc4" + }, + "00a23ccf53": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 562.0, + 220.0, + 861.0, + 338.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 509.0, + 90.0, + 931.0, + 278.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 421.0, + 1.0, + 908.0, + 168.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 379.0, + 0.0, + 954.0, + 192.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/00a23ccf53" + }, + "00ad5016a4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 19.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 314.0, + 86.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 246.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 230.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/00ad5016a4" + }, + "01082ae388": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 605.0, + 180.0, + 719.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 593.0, + 182.0, + 775.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 585.0, + 196.0, + 776.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 584.0, + 196.0, + 778.0, + 464.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01082ae388" + }, + "011ac0a06f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 251.0, + 280.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 218.0, + 181.0, + 556.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 98.0, + 167.0, + 594.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 152.0, + 164.0, + 596.0, + 652.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/011ac0a06f" + }, + "013099c098": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 74.0, + 121.0, + 620.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 306.0, + 284.0, + 739.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 138.0, + 231.0, + 691.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 311.0, + 375.0, + 737.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 214.0, + 207.0, + 687.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 351.0, + 250.0, + 813.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 214.0, + 228.0, + 733.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 333.0, + 220.0, + 827.0, + 563.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/013099c098" + }, + "0155498c85": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 464.0, + 168.0, + 567.0, + 336.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 481.0, + 236.0, + 556.0, + 366.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 505.0, + 247.0, + 636.0, + 448.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 516.0, + 321.0, + 621.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 484.0, + 295.0, + 650.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 501.0, + 379.0, + 681.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 75.0, + 210.0, + 448.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 320.0, + 322.0, + 584.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0155498c85" + }, + "01694ad9c8": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 639.0, + 65.0, + 901.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 622.0, + 107.0, + 870.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 608.0, + 112.0, + 848.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 601.0, + 10.0, + 824.0, + 582.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01694ad9c8" + }, + "017ac35701": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 483.0, + 97.0, + 1042.0, + 619.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 491.0, + 100.0, + 1046.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 464.0, + 123.0, + 1039.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 473.0, + 128.0, + 1056.0, + 666.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/017ac35701" + }, + "01b80e8e1a": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 718.0, + 322.0, + 1030.0, + 544.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 266.0, + 400.0, + 446.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 721.0, + 327.0, + 1027.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 294.0, + 415.0, + 449.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 709.0, + 320.0, + 1006.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 287.0, + 414.0, + 455.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 696.0, + 312.0, + 980.0, + 523.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 283.0, + 407.0, + 450.0, + 554.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01b80e8e1a" + }, + "01baa5a4e1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 686.0, + 160.0, + 810.0, + 227.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 574.0, + 418.0, + 703.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 749.0, + 0.0, + 897.0, + 67.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 746.0, + 134.0, + 771.0, + 220.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01baa5a4e1" + }, + "01c3111683": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 216.0, + 299.0, + 502.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 423.0, + 265.0, + 666.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 378.0, + 84.0, + 565.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 280.0, + 74.0, + 571.0, + 533.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01c3111683" + }, + "01c4cb5ffe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 603.0, + 91.0, + 780.0, + 560.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 541.0, + 514.0, + 719.0, + 599.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 175.0, + 731.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 631.0, + 475.0, + 727.0, + 524.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 759.0, + 98.0, + 785.0, + 158.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 517.0, + 151.0, + 781.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 409.0, + 628.0, + 727.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 584.0, + 150.0, + 599.0, + 204.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 305.0, + 104.0, + 737.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01c4cb5ffe" + }, + "01c76f0a82": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 370.0, + 308.0, + 895.0, + 513.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 749.0, + 159.0, + 972.0, + 350.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 542.0, + 180.0, + 693.0, + 312.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 403.0, + 281.0, + 965.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 958.0, + 131.0, + 1212.0, + 350.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 744.0, + 158.0, + 899.0, + 295.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 316.0, + 238.0, + 854.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 947.0, + 88.0, + 1193.0, + 316.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 731.0, + 121.0, + 889.0, + 292.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 1226.0, + 321.0, + 1279.0, + 421.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 292.0, + 233.0, + 826.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 922.0, + 93.0, + 1162.0, + 308.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 709.0, + 125.0, + 864.0, + 294.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 1160.0, + 317.0, + 1279.0, + 438.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01c76f0a82" + }, + "01c783268c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 474.0, + 39.0, + 924.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 583.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 537.0, + 0.0, + 842.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 602.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 607.0, + 134.0, + 905.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 209.0, + 0.0, + 903.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 530.0, + 19.0, + 978.0, + 435.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 608.0, + 593.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01c783268c" + }, + "01e64dd36a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 444.0, + 315.0, + 1107.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 254.0, + 49.0, + 586.0, + 394.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 752.0, + 65.0, + 1082.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 554.0, + 320.0, + 1095.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 183.0, + 294.0, + 594.0, + 368.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 690.0, + 216.0, + 976.0, + 400.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 631.0, + 279.0, + 1193.0, + 617.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 461.0, + 450.0, + 590.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 471.0, + 102.0, + 720.0, + 311.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 708.0, + 454.0, + 1202.0, + 585.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 203.0, + 215.0, + 485.0, + 404.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01e64dd36a" + }, + "01ed275c6e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 48.0, + 41.0, + 476.0, + 648.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 778.0, + 360.0, + 976.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 85.0, + 67.0, + 473.0, + 678.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 781.0, + 389.0, + 979.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 165.0, + 91.0, + 514.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 866.0, + 423.0, + 1030.0, + 626.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 262.0, + 83.0, + 559.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 896.0, + 417.0, + 1064.0, + 626.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01ed275c6e" + }, + "01ff60d1fa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 336.0, + 289.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 326.0, + 296.0, + 1277.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 328.0, + 292.0, + 1275.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 332.0, + 292.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/01ff60d1fa" + }, + "020cd28cd2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 397.0, + 262.0, + 460.0, + 328.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 218.0, + 524.0, + 358.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 495.0, + 266.0, + 566.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 532.0, + 207.0, + 614.0, + 336.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/020cd28cd2" + }, + "02264db755": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 77.0, + 663.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 80.0, + 731.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 80.0, + 697.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 83.0, + 802.0, + 637.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/02264db755" + }, + "0248626d9a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0248626d9a" + }, + "02668dbffa": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 470.0, + 210.0, + 759.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 476.0, + 217.0, + 763.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 474.0, + 216.0, + 759.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 472.0, + 217.0, + 769.0, + 525.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/02668dbffa" + }, + "0274193026": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 541.0, + 534.0, + 855.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 520.0, + 89.0, + 814.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 550.0, + 507.0, + 740.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 581.0, + 162.0, + 734.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 410.0, + 428.0, + 656.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 410.0, + 72.0, + 639.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 409.0, + 70.0, + 847.0, + 558.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0274193026" + }, + "02d28375aa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 156.0, + 189.0, + 737.0, + 701.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 315.0, + 144.0, + 784.0, + 681.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 472.0, + 116.0, + 811.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 601.0, + 115.0, + 968.0, + 625.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/02d28375aa" + }, + "031ccc99b1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 233.0, + 137.0, + 734.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 540.0, + 144.0, + 976.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 872.0, + 174.0, + 1136.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 223.0, + 128.0, + 619.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 498.0, + 142.0, + 970.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 748.0, + 162.0, + 1076.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 519.0, + 116.0, + 765.0, + 693.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 682.0, + 112.0, + 938.0, + 631.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 727.0, + 189.0, + 925.0, + 554.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/031ccc99b1" + }, + "0321b18c10": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 790.0, + 171.0, + 908.0, + 358.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 632.0, + 158.0, + 796.0, + 377.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 526.0, + 308.0, + 1029.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 767.0, + 190.0, + 882.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 608.0, + 179.0, + 774.0, + 385.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 499.0, + 323.0, + 1038.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 758.0, + 201.0, + 877.0, + 527.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 601.0, + 193.0, + 765.0, + 399.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 477.0, + 336.0, + 1030.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 628.0, + 193.0, + 742.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 443.0, + 174.0, + 627.0, + 396.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 254.0, + 350.0, + 898.0, + 716.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0321b18c10" + }, + "0348a45bca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 768.0, + 178.0, + 921.0, + 359.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 907.0, + 359.0, + 1044.0, + 537.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 651.0, + 301.0, + 832.0, + 508.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 531.0, + 378.0, + 699.0, + 616.0 + ], + "valid": 1 + }, + "5": { + "category_name": "fish", + "bbox": [ + 342.0, + 336.0, + 394.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 752.0, + 175.0, + 867.0, + 347.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 882.0, + 360.0, + 1053.0, + 531.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 643.0, + 281.0, + 821.0, + 472.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 579.0, + 366.0, + 758.0, + 574.0 + ], + "valid": 1 + }, + "5": { + "category_name": "fish", + "bbox": [ + 337.0, + 324.0, + 409.0, + 490.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 660.0, + 160.0, + 784.0, + 376.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 959.0, + 369.0, + 1090.0, + 563.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 625.0, + 262.0, + 681.0, + 473.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 834.0, + 346.0, + 970.0, + 562.0 + ], + "valid": 1 + }, + "5": { + "category_name": "fish", + "bbox": [ + 271.0, + 281.0, + 491.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 539.0, + 152.0, + 719.0, + 369.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 925.0, + 354.0, + 1085.0, + 554.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 556.0, + 299.0, + 608.0, + 455.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 828.0, + 355.0, + 932.0, + 546.0 + ], + "valid": 1 + }, + "5": { + "category_name": "fish", + "bbox": [ + 192.0, + 254.0, + 453.0, + 498.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00055", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0348a45bca" + }, + "0355e92655": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 245.0, + 143.0, + 798.0, + 463.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 421.0, + 181.0, + 788.0, + 443.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 335.0, + 1279.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 556.0, + 0.0, + 847.0, + 338.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 541.0, + 156.0, + 864.0, + 378.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 179.0, + 1279.0, + 345.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 688.0, + 107.0, + 751.0, + 392.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 524.0, + 175.0, + 804.0, + 357.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 184.0, + 1279.0, + 353.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 591.0, + 78.0, + 845.0, + 431.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 572.0, + 182.0, + 830.0, + 385.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 178.0, + 1279.0, + 354.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0355e92655" + }, + "0358b938c1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 28.0, + 167.0, + 635.0, + 393.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1197.0, + 271.0, + 1279.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 262.0, + 182.0, + 895.0, + 442.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 11.0, + 188.0, + 263.0, + 386.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 480.0, + 200.0, + 1204.0, + 513.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 189.0, + 198.0, + 379.0, + 399.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 748.0, + 235.0, + 1279.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 385.0, + 210.0, + 577.0, + 426.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 0.0, + 274.0, + 80.0, + 388.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00155", + "00170", + "00185", + "00200" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0358b938c1" + }, + "0368107cf1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 758.0, + 577.0, + 885.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1122.0, + 231.0, + 1279.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 832.0, + 568.0, + 974.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1224.0, + 269.0, + 1279.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 806.0, + 569.0, + 946.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1184.0, + 248.0, + 1279.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 726.0, + 563.0, + 872.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1110.0, + 230.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00155", + "00190", + "00200", + "00215" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0368107cf1" + }, + "0379ddf557": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 425.0, + 76.0, + 743.0, + 513.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 625.0, + 409.0, + 695.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 519.0, + 281.0, + 620.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 580.0, + 557.0, + 610.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 522.0, + 293.0, + 628.0, + 553.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 576.0, + 551.0, + 610.0, + 567.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 481.0, + 174.0, + 620.0, + 333.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 579.0, + 330.0, + 610.0, + 361.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0379ddf557" + }, + "038b2cc71d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 271.0, + 644.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 390.0, + 0.0, + 1253.0, + 344.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 202.0, + 0.0, + 1279.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 388.0, + 0.0, + 1279.0, + 347.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/038b2cc71d" + }, + "038c15a5dd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 370.0, + 410.0, + 746.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 450.0, + 425.0, + 1035.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 455.0, + 443.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 433.0, + 353.0, + 868.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00085", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/038c15a5dd" + }, + "03a06cc98a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 376.0, + 617.0, + 479.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 472.0, + 467.0, + 593.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 831.0, + 383.0, + 1103.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 340.0, + 588.0, + 471.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 444.0, + 449.0, + 581.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 833.0, + 359.0, + 1127.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 248.0, + 581.0, + 388.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 360.0, + 417.0, + 505.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 746.0, + 336.0, + 1095.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 202.0, + 622.0, + 305.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 310.0, + 449.0, + 419.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 657.0, + 357.0, + 1013.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/03a06cc98a" + }, + "03a63e187f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 98.0, + 360.0, + 286.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 197.0, + 748.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 10.0, + 181.0, + 960.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 27.0, + 184.0, + 947.0, + 567.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/03a63e187f" + }, + "03c95b4dae": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 1111.0, + 348.0, + 1275.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 603.0, + 255.0, + 755.0, + 373.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 504.0, + 307.0, + 600.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 1116.0, + 338.0, + 1277.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 598.0, + 252.0, + 736.0, + 361.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 499.0, + 306.0, + 613.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 1110.0, + 328.0, + 1276.0, + 447.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 579.0, + 247.0, + 720.0, + 355.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 498.0, + 305.0, + 609.0, + 395.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 1108.0, + 320.0, + 1277.0, + 438.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 569.0, + 246.0, + 710.0, + 351.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 505.0, + 304.0, + 619.0, + 399.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/03c95b4dae" + }, + "03e2b57b0e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 470.0, + 47.0, + 765.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 452.0, + 118.0, + 709.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 440.0, + 138.0, + 711.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 424.0, + 205.0, + 693.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/03e2b57b0e" + }, + "04194e1248": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 24.0, + 220.0, + 1148.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 16.0, + 74.0, + 1237.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 68.0, + 1241.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 36.0, + 1193.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04194e1248" + }, + "04259896e2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 693.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 30.0, + 0.0, + 753.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 102.0, + 0.0, + 775.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 184.0, + 30.0, + 705.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04259896e2" + }, + "0444918a5f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 140.0, + 441.0, + 558.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 512.0, + 277.0, + 673.0, + 443.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 656.0, + 263.0, + 875.0, + 444.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 306.0, + 27.0, + 421.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 126.0, + 423.0, + 558.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 472.0, + 278.0, + 631.0, + 444.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 613.0, + 267.0, + 830.0, + 445.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 126.0, + 419.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 459.0, + 284.0, + 616.0, + 447.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 600.0, + 271.0, + 817.0, + 449.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 9.0, + 107.0, + 533.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 522.0, + 315.0, + 656.0, + 437.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 642.0, + 260.0, + 861.0, + 441.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 322.0, + 12.0, + 470.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00180", + "00200", + "00205", + "00225" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0444918a5f" + }, + "04460a7a52": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 152.0, + 84.0, + 786.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 135.0, + 86.0, + 740.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 137.0, + 86.0, + 959.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 7.0, + 270.0, + 881.0, + 632.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04460a7a52" + }, + "04474174a4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 620.0, + 105.0, + 888.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 543.0, + 108.0, + 743.0, + 393.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 373.0, + 225.0, + 739.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 524.0, + 167.0, + 866.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 453.0, + 191.0, + 759.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 565.0, + 186.0, + 845.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 481.0, + 194.0, + 689.0, + 508.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 664.0, + 154.0, + 858.0, + 451.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04474174a4" + }, + "0450095513": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 365.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 417.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 396.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 398.0, + 0.0, + 1269.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0450095513" + }, + "045f00aed2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 425.0, + 357.0, + 1190.0, + 676.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 556.0, + 358.0, + 793.0, + 453.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 783.0, + 41.0, + 1044.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 472.0, + 468.0, + 799.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 790.0, + 328.0, + 1089.0, + 713.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 940.0, + 38.0, + 1185.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 530.0, + 409.0, + 779.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 764.0, + 156.0, + 1142.0, + 560.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 939.0, + 0.0, + 1242.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 341.0, + 353.0, + 566.0, + 691.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 640.0, + 177.0, + 1014.0, + 533.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 802.0, + 0.0, + 1116.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/045f00aed2" + }, + "04667fabaa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 131.0, + 210.0, + 275.0, + 461.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 177.0, + 232.0, + 341.0, + 472.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 166.0, + 244.0, + 356.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 132.0, + 281.0, + 375.0, + 458.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04667fabaa" + }, + "04735c5030": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 553.0, + 328.0, + 983.0, + 702.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 293.0, + 78.0, + 613.0, + 296.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 427.0, + 131.0, + 904.0, + 612.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 226.0, + 0.0, + 510.0, + 55.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 371.0, + 169.0, + 896.0, + 693.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 131.0, + 0.0, + 501.0, + 133.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 258.0, + 0.0, + 1015.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 304.0, + 233.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04735c5030" + }, + "04990d1915": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 519.0, + 318.0, + 677.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 730.0, + 123.0, + 1141.0, + 469.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 658.0, + 306.0, + 708.0, + 368.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 515.0, + 289.0, + 668.0, + 431.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 724.0, + 83.0, + 1161.0, + 451.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 645.0, + 276.0, + 704.0, + 341.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 575.0, + 340.0, + 725.0, + 482.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 791.0, + 121.0, + 1256.0, + 509.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 708.0, + 328.0, + 769.0, + 394.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 536.0, + 347.0, + 691.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 767.0, + 121.0, + 1267.0, + 529.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 673.0, + 333.0, + 739.0, + 399.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04990d1915" + }, + "04d62d9d98": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 731.0, + 73.0, + 895.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 814.0, + 512.0, + 912.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 780.0, + 126.0, + 1041.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 956.0, + 535.0, + 1048.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 0.0, + 784.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 491.0, + 444.0, + 805.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 185.0, + 0.0, + 530.0, + 380.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 137.0, + 337.0, + 551.0, + 424.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00080", + "00095", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04d62d9d98" + }, + "04f21da964": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 85.0, + 57.0, + 1279.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 84.0, + 34.0, + 1279.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 66.0, + 24.0, + 1279.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 64.0, + 37.0, + 1279.0, + 586.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04f21da964" + }, + "04fbad476e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 578.0, + 188.0, + 867.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 508.0, + 313.0, + 1055.0, + 641.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 430.0, + 225.0, + 873.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 578.0, + 193.0, + 919.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04fbad476e" + }, + "04fe256562": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 78.0, + 316.0, + 1233.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 82.0, + 316.0, + 1235.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 78.0, + 318.0, + 1231.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 76.0, + 318.0, + 1241.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 486.0, + 316.0, + 568.0, + 342.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/04fe256562" + }, + "0503bf89c9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 571.0, + 16.0, + 1087.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 502.0, + 35.0, + 1015.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 565.0, + 6.0, + 1078.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 544.0, + 0.0, + 1087.0, + 508.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0503bf89c9" + }, + "0536c9eed0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 258.0, + 101.0, + 987.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 308.0, + 223.0, + 997.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 480.0, + 225.0, + 1165.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 97.0, + 446.0, + 720.0, + 715.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0536c9eed0" + }, + "054acb238f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 488.0, + 173.0, + 1071.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 456.0, + 164.0, + 1042.0, + 659.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 447.0, + 160.0, + 1023.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 496.0, + 177.0, + 1076.0, + 675.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/054acb238f" + }, + "05579ca250": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 362.0, + 365.0, + 592.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 351.0, + 592.0, + 436.0, + 627.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 97.0, + 411.0, + 255.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 691.0, + 368.0, + 824.0, + 601.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 685.0, + 583.0, + 757.0, + 622.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 800.0, + 372.0, + 955.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 824.0, + 559.0, + 935.0, + 578.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 489.0, + 375.0, + 599.0, + 594.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 489.0, + 582.0, + 530.0, + 609.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05579ca250" + }, + "056c200404": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 542.0, + 151.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 80.0, + 93.0, + 895.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 0.0, + 829.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 24.0, + 851.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00050", + "00075", + "00100", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/056c200404" + }, + "05774f3a2c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 302.0, + 105.0, + 615.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 351.0, + 376.0, + 712.0, + 514.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 472.0, + 239.0, + 569.0, + 348.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 284.0, + 108.0, + 593.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 348.0, + 381.0, + 700.0, + 514.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 460.0, + 239.0, + 578.0, + 357.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 279.0, + 106.0, + 573.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 324.0, + 365.0, + 696.0, + 516.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 457.0, + 246.0, + 558.0, + 351.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 268.0, + 105.0, + 506.0, + 436.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 330.0, + 278.0, + 649.0, + 517.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 450.0, + 246.0, + 545.0, + 324.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05774f3a2c" + }, + "058a7592c8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 130.0, + 256.0, + 560.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 107.0, + 260.0, + 555.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 32.0, + 274.0, + 490.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 283.0, + 423.0, + 596.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/058a7592c8" + }, + "05a0a513df": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 623.0, + 506.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 935.0, + 690.0, + 991.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 637.0, + 622.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 815.0, + 692.0, + 862.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 588.0, + 642.0, + 661.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 705.0, + 665.0, + 779.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 656.0, + 679.0, + 696.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 570.0, + 639.0, + 697.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05a0a513df" + }, + "05a569d8aa": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 639.0, + 359.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 201.0, + 62.0, + 338.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 639.0, + 359.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 233.0, + 92.0, + 344.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 27.0, + 28.0, + 639.0, + 359.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 4.0, + 216.0, + 152.0, + 357.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 3.0, + 0.0, + 639.0, + 359.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 190.0, + 74.0, + 346.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05a569d8aa" + }, + "05aa652648": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 515.0, + 186.0, + 841.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 510.0, + 191.0, + 848.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 502.0, + 213.0, + 832.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 254.0, + 225.0, + 808.0, + 565.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05aa652648" + }, + "05d7715782": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 909.0, + 441.0, + 952.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 834.0, + 437.0, + 868.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 816.0, + 433.0, + 848.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 794.0, + 430.0, + 822.0, + 496.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05d7715782" + }, + "05e0b0f28f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 572.0, + 342.0, + 1139.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 202.0, + 90.0, + 855.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 632.0, + 305.0, + 997.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 236.0, + 64.0, + 876.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 588.0, + 340.0, + 1061.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 245.0, + 87.0, + 883.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 667.0, + 372.0, + 1091.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 289.0, + 88.0, + 925.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05e0b0f28f" + }, + "05fdbbdd7a": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 504.0, + 42.0, + 992.0, + 345.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 276.0, + 321.0, + 830.0, + 514.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 764.0, + 369.0, + 1055.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 538.0, + 104.0, + 1036.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 399.0, + 350.0, + 959.0, + 555.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 880.0, + 378.0, + 1231.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 512.0, + 113.0, + 1006.0, + 353.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 398.0, + 347.0, + 958.0, + 557.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 865.0, + 392.0, + 1275.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 477.0, + 104.0, + 978.0, + 337.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 500.0, + 306.0, + 1061.0, + 540.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 960.0, + 362.0, + 1279.0, + 529.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00080", + "00095", + "00100", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05fdbbdd7a" + }, + "05ffcfed85": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 615.0, + 29.0, + 974.0, + 478.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 578.0, + 2.0, + 692.0, + 132.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 782.0, + 180.0, + 1107.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 553.0, + 123.0, + 710.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 893.0, + 202.0, + 1210.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 557.0, + 0.0, + 806.0, + 379.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 1060.0, + 178.0, + 1247.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 550.0, + 0.0, + 848.0, + 511.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/05ffcfed85" + }, + "0630391881": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 166.0, + 278.0, + 316.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 143.0, + 459.0, + 173.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 257.0, + 346.0, + 359.0, + 594.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 327.0, + 386.0, + 357.0, + 426.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 157.0, + 349.0, + 286.0, + 636.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 202.0, + 315.0, + 252.0, + 387.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 126.0, + 342.0, + 266.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0630391881" + }, + "06840b2bbe": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 114.0, + 330.0, + 791.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 52.0, + 296.0, + 711.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 106.0, + 258.0, + 761.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 206.0, + 340.0, + 897.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/06840b2bbe" + }, + "068f7dce6f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 522.0, + 215.0, + 1275.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 552.0, + 227.0, + 1279.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 610.0, + 220.0, + 1277.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 468.0, + 248.0, + 1277.0, + 551.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/068f7dce6f" + }, + "0693719753": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 280.0, + 138.0, + 836.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 523.0, + 331.0, + 988.0, + 684.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 261.0, + 148.0, + 784.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 509.0, + 311.0, + 1013.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 242.0, + 139.0, + 756.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 571.0, + 290.0, + 1067.0, + 664.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 248.0, + 155.0, + 774.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 601.0, + 268.0, + 1215.0, + 649.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0693719753" + }, + "06ce2b51fb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 252.0, + 861.0, + 425.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 378.0, + 267.0, + 632.0, + 447.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 285.0, + 430.0, + 507.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 609.0, + 254.0, + 824.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 365.0, + 272.0, + 579.0, + 450.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 265.0, + 434.0, + 469.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 232.0, + 707.0, + 410.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 285.0, + 247.0, + 521.0, + 428.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 185.0, + 413.0, + 397.0, + 441.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 555.0, + 221.0, + 732.0, + 400.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 307.0, + 234.0, + 540.0, + 422.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 201.0, + 404.0, + 421.0, + 429.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/06ce2b51fb" + }, + "06e224798e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 527.0, + 282.0, + 742.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 537.0, + 293.0, + 746.0, + 428.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 529.0, + 293.0, + 832.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 499.0, + 286.0, + 964.0, + 584.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/06e224798e" + }, + "06ee361788": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 803.0, + 463.0, + 871.0, + 498.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 555.0, + 441.0, + 603.0, + 471.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 693.0, + 339.0, + 735.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 758.0, + 372.0, + 826.0, + 412.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 501.0, + 350.0, + 553.0, + 385.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 672.0, + 264.0, + 719.0, + 302.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 782.0, + 342.0, + 852.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 523.0, + 330.0, + 572.0, + 359.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 711.0, + 246.0, + 765.0, + 283.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 816.0, + 332.0, + 877.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 546.0, + 326.0, + 592.0, + 355.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 799.0, + 252.0, + 866.0, + 293.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/06ee361788" + }, + "06fbb3fa2c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 573.0, + 0.0, + 962.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 571.0, + 0.0, + 954.0, + 400.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 571.0, + 0.0, + 926.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 588.0, + 0.0, + 937.0, + 396.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/06fbb3fa2c" + }, + "0700264286": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 536.0, + 17.0, + 758.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 532.0, + 0.0, + 1279.0, + 387.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 520.0, + 17.0, + 767.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 512.0, + 0.0, + 1279.0, + 380.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 458.0, + 18.0, + 750.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 473.0, + 0.0, + 1279.0, + 384.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 409.0, + 46.0, + 777.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 424.0, + 2.0, + 1273.0, + 407.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0700264286" + }, + "070c918ca7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 364.0, + 145.0, + 642.0, + 712.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 344.0, + 262.0, + 712.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 252.0, + 275.0, + 640.0, + 686.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 446.0, + 172.0, + 691.0, + 643.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/070c918ca7" + }, + "07129e14a4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 257.0, + 248.0, + 475.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 202.0, + 355.0, + 376.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 252.0, + 302.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 213.0, + 170.0, + 466.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 205.0, + 346.0, + 385.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 229.0, + 305.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 196.0, + 147.0, + 467.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 212.0, + 333.0, + 393.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 226.0, + 304.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 237.0, + 277.0, + 417.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 234.0, + 329.0, + 400.0, + 716.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 359.0, + 329.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07129e14a4" + }, + "07177017e9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 222.0, + 518.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 757.0, + 110.0, + 844.0, + 195.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 215.0, + 538.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 780.0, + 121.0, + 885.0, + 243.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 285.0, + 564.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 863.0, + 124.0, + 971.0, + 277.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 300.0, + 560.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 888.0, + 131.0, + 1038.0, + 369.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07177017e9" + }, + "07238ffc58": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 567.0, + 427.0, + 778.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 435.0, + 127.0, + 770.0, + 590.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 347.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 554.0, + 420.0, + 769.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 400.0, + 119.0, + 663.0, + 574.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 277.0, + 0.0, + 738.0, + 445.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 477.0, + 407.0, + 700.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 384.0, + 137.0, + 781.0, + 569.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 503.0, + 0.0, + 1115.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 416.0, + 361.0, + 636.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 320.0, + 103.0, + 708.0, + 570.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 1088.0, + 0.0, + 1279.0, + 427.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07238ffc58" + }, + "07353b2a89": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 470.0, + 322.0, + 636.0, + 639.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 158.0, + 354.0, + 370.0, + 591.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 40.0, + 421.0, + 227.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 436.0, + 127.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 542.0, + 371.0, + 717.0, + 707.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 112.0, + 413.0, + 228.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 467.0, + 122.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 590.0, + 428.0, + 913.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 488.0, + 348.0, + 823.0, + 603.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 404.0, + 357.0, + 709.0, + 555.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 190.0, + 338.0, + 480.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 620.0, + 393.0, + 1069.0, + 680.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 630.0, + 326.0, + 1047.0, + 436.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 594.0, + 325.0, + 970.0, + 526.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 534.0, + 309.0, + 887.0, + 504.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07353b2a89" + }, + "0738493cbf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 209.0, + 159.0, + 1132.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 212.0, + 167.0, + 1142.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 205.0, + 174.0, + 1139.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 187.0, + 133.0, + 1273.0, + 514.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0738493cbf" + }, + "075926c651": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 483.0, + 166.0, + 643.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 254.0, + 193.0, + 660.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 504.0, + 152.0, + 680.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 446.0, + 98.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 497.0, + 177.0, + 696.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 488.0, + 186.0, + 715.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/075926c651" + }, + "075c701292": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 957.0, + 370.0, + 1018.0, + 454.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 901.0, + 372.0, + 948.0, + 450.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 844.0, + 366.0, + 890.0, + 446.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 791.0, + 367.0, + 845.0, + 446.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 916.0, + 378.0, + 970.0, + 467.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 876.0, + 379.0, + 918.0, + 459.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 816.0, + 377.0, + 865.0, + 454.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 763.0, + 373.0, + 825.0, + 458.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 902.0, + 375.0, + 962.0, + 463.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 862.0, + 376.0, + 901.0, + 462.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 808.0, + 381.0, + 853.0, + 455.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 759.0, + 371.0, + 812.0, + 460.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 892.0, + 412.0, + 931.0, + 503.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 836.0, + 411.0, + 881.0, + 498.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 772.0, + 426.0, + 834.0, + 494.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 736.0, + 405.0, + 794.0, + 496.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/075c701292" + }, + "0762ea9a30": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1082.0, + 405.0, + 1254.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1088.0, + 405.0, + 1254.0, + 665.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1088.0, + 406.0, + 1253.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1091.0, + 399.0, + 1255.0, + 663.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0762ea9a30" + }, + "07652ee4af": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 553.0, + 232.0, + 829.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 650.0, + 502.0, + 782.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 553.0, + 246.0, + 747.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 600.0, + 517.0, + 700.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 511.0, + 210.0, + 661.0, + 448.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 543.0, + 446.0, + 615.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 614.0, + 222.0, + 652.0, + 349.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07652ee4af" + }, + "076f206928": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 1171.0, + 572.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 933.0, + 53.0, + 1279.0, + 704.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 907.0, + 564.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 815.0, + 280.0, + 1279.0, + 692.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 957.0, + 534.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 825.0, + 265.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 316.0, + 674.0, + 681.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 218.0, + 429.0, + 689.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 415.0, + 0.0, + 831.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/076f206928" + }, + "077d32af19": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1197.0, + 407.0, + 1232.0, + 548.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1122.0, + 391.0, + 1178.0, + 546.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 226.0, + 338.0, + 258.0, + 408.0 + ], + "valid": 1 + }, + "4": { + "category_name": "train", + "bbox": [ + 259.0, + 195.0, + 555.0, + 428.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1181.0, + 407.0, + 1218.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1097.0, + 389.0, + 1171.0, + 544.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 217.0, + 342.0, + 248.0, + 416.0 + ], + "valid": 1 + }, + "4": { + "category_name": "train", + "bbox": [ + 298.0, + 188.0, + 617.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1164.0, + 409.0, + 1203.0, + 552.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1084.0, + 392.0, + 1163.0, + 548.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 202.0, + 353.0, + 232.0, + 422.0 + ], + "valid": 1 + }, + "4": { + "category_name": "train", + "bbox": [ + 298.0, + 189.0, + 629.0, + 439.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1147.0, + 411.0, + 1184.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1077.0, + 397.0, + 1151.0, + 550.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 188.0, + 350.0, + 218.0, + 416.0 + ], + "valid": 1 + }, + "4": { + "category_name": "train", + "bbox": [ + 303.0, + 187.0, + 645.0, + 436.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00135", + "00170", + "00180", + "00195" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/077d32af19" + }, + "079049275c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 302.0, + 17.0, + 1009.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 330.0, + 28.0, + 1031.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 144.0, + 65.0, + 1145.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 262.0, + 0.0, + 1113.0, + 697.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/079049275c" + }, + "07913cdda7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 791.0, + 207.0, + 956.0, + 307.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 179.0, + 215.0, + 235.0, + 352.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 573.0, + 229.0, + 595.0, + 301.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 715.0, + 306.0, + 881.0, + 416.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 107.0, + 309.0, + 164.0, + 444.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 501.0, + 330.0, + 522.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 798.0, + 296.0, + 977.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 208.0, + 314.0, + 260.0, + 447.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 603.0, + 329.0, + 619.0, + 394.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 883.0, + 269.0, + 1064.0, + 371.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 312.0, + 272.0, + 361.0, + 403.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 696.0, + 290.0, + 719.0, + 359.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07913cdda7" + }, + "07a11a35e8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 453.0, + 171.0, + 762.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 975.0, + 302.0, + 1145.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 468.0, + 185.0, + 777.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 988.0, + 315.0, + 1155.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 386.0, + 162.0, + 764.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 850.0, + 317.0, + 1083.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 353.0, + 183.0, + 658.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 724.0, + 361.0, + 950.0, + 619.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07a11a35e8" + }, + "07ac33b6df": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 778.0, + 165.0, + 1244.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 774.0, + 162.0, + 1248.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 786.0, + 163.0, + 1268.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 800.0, + 167.0, + 1260.0, + 609.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07ac33b6df" + }, + "07c62c3d11": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 841.0, + 301.0, + 924.0, + 448.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 1013.0, + 328.0, + 1124.0, + 447.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 873.0, + 295.0, + 975.0, + 473.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 1017.0, + 246.0, + 1107.0, + 412.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 190.0, + 219.0, + 262.0, + 324.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 883.0, + 300.0, + 981.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 192.0, + 224.0, + 266.0, + 325.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 896.0, + 308.0, + 978.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 189.0, + 263.0, + 283.0, + 332.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07c62c3d11" + }, + "07cc1c7d74": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 57.0, + 880.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 145.0, + 93.0, + 846.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 81.0, + 43.0, + 758.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 165.0, + 102.0, + 912.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/07cc1c7d74" + }, + "080196ef01": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 518.0, + 205.0, + 1183.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 100.0, + 0.0, + 1181.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 570.0, + 205.0, + 1183.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 99.0, + 0.0, + 1179.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 637.0, + 214.0, + 1184.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 97.0, + 0.0, + 1180.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 622.0, + 244.0, + 1184.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 97.0, + 0.0, + 1179.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/080196ef01" + }, + "081207976e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 448.0, + 163.0, + 514.0, + 194.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 961.0, + 220.0, + 1016.0, + 247.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 396.0, + 149.0, + 453.0, + 176.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 897.0, + 179.0, + 954.0, + 200.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 256.0, + 183.0, + 303.0, + 206.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 680.0, + 194.0, + 723.0, + 212.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 256.0, + 191.0, + 304.0, + 217.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 663.0, + 207.0, + 710.0, + 224.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00095", + "00110", + "00135", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/081207976e" + }, + "081ae4fa44": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 807.0, + 352.0, + 1204.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 315.0, + 287.0, + 605.0, + 416.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 851.0, + 406.0, + 1096.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 308.0, + 379.0, + 650.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 920.0, + 370.0, + 1097.0, + 527.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 537.0, + 420.0, + 948.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 856.0, + 360.0, + 1093.0, + 536.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 442.0, + 456.0, + 978.0, + 613.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/081ae4fa44" + }, + "081d8250cb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 404.0, + 0.0, + 537.0, + 238.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 431.0, + 235.0, + 515.0, + 266.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 184.0, + 0.0, + 820.0, + 221.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 381.0, + 0.0, + 560.0, + 281.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 445.0, + 273.0, + 539.0, + 310.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 108.0, + 0.0, + 742.0, + 243.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 330.0, + 0.0, + 532.0, + 283.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 437.0, + 274.0, + 503.0, + 310.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 630.0, + 240.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 454.0, + 0.0, + 648.0, + 299.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 550.0, + 287.0, + 630.0, + 326.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 21.0, + 0.0, + 658.0, + 248.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/081d8250cb" + }, + "082900c5d4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 976.0, + 356.0, + 1166.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 556.0, + 178.0, + 692.0, + 245.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 596.0, + 16.0, + 684.0, + 91.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1007.0, + 424.0, + 1121.0, + 527.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 593.0, + 276.0, + 734.0, + 338.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 666.0, + 135.0, + 744.0, + 180.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 946.0, + 454.0, + 1073.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 589.0, + 276.0, + 736.0, + 340.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 675.0, + 139.0, + 763.0, + 191.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1091.0, + 334.0, + 1163.0, + 416.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 688.0, + 143.0, + 835.0, + 217.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 781.0, + 28.0, + 884.0, + 74.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00070", + "00090", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/082900c5d4" + }, + "0860df21e2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 978.0, + 117.0, + 1012.0, + 130.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 404.0, + 367.0, + 557.0, + 496.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0860df21e2" + }, + "0866d4c5e3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 146.0, + 213.0, + 670.0, + 387.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 904.0, + 124.0, + 1279.0, + 317.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 934.0, + 366.0, + 1171.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 27.0, + 85.0, + 532.0, + 272.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 1046.0, + 0.0, + 1264.0, + 71.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 790.0, + 219.0, + 1002.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 0.0, + 419.0, + 358.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 812.0, + 263.0, + 1279.0, + 491.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 534.0, + 626.0, + 855.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 115.0, + 232.0, + 542.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 908.0, + 102.0, + 1279.0, + 258.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 580.0, + 421.0, + 1040.0, + 650.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0866d4c5e3" + }, + "0891ac2eb6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 677.0, + 311.0, + 763.0, + 472.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 825.0, + 390.0, + 946.0, + 512.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 995.0, + 400.0, + 1071.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 515.0, + 303.0, + 574.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 678.0, + 374.0, + 791.0, + 491.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 842.0, + 380.0, + 915.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 385.0, + 314.0, + 471.0, + 425.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 588.0, + 375.0, + 700.0, + 491.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 746.0, + 381.0, + 818.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 16.0, + 310.0, + 141.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 282.0, + 368.0, + 390.0, + 485.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 447.0, + 382.0, + 516.0, + 503.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0891ac2eb6" + }, + "08931bc458": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 573.0, + 227.0, + 966.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 531.0, + 439.0, + 853.0, + 627.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 665.0, + 0.0, + 749.0, + 226.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 566.0, + 228.0, + 957.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 528.0, + 435.0, + 848.0, + 621.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 669.0, + 0.0, + 745.0, + 234.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 566.0, + 226.0, + 959.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 530.0, + 434.0, + 847.0, + 617.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 663.0, + 0.0, + 741.0, + 237.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 572.0, + 223.0, + 960.0, + 560.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 534.0, + 436.0, + 852.0, + 615.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 667.0, + 0.0, + 741.0, + 223.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08931bc458" + }, + "08aa2705d5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 108.0, + 236.0, + 405.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 105.0, + 236.0, + 405.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 47.0, + 227.0, + 405.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 237.0, + 402.0, + 662.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08aa2705d5" + }, + "08c8450db7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 464.0, + 404.0, + 877.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 270.0, + 47.0, + 847.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 108.0, + 0.0, + 895.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 108.0, + 0.0, + 1013.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00090", + "00110", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08c8450db7" + }, + "08d50b926c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 644.0, + 97.0, + 883.0, + 364.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 670.0, + 25.0, + 941.0, + 421.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 620.0, + 233.0, + 892.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 620.0, + 166.0, + 899.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 754.0, + 0.0, + 843.0, + 96.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08d50b926c" + }, + "08e1e4de15": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 370.0, + 283.0, + 452.0, + 384.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 534.0, + 147.0, + 592.0, + 239.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 773.0, + 264.0, + 827.0, + 330.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 180.0, + 66.0, + 290.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 250.0, + 222.0, + 320.0, + 329.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 424.0, + 96.0, + 482.0, + 187.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 645.0, + 219.0, + 705.0, + 286.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 175.0, + 72.0, + 252.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 150.0, + 62.0, + 245.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 290.0, + 69.0, + 358.0, + 166.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 510.0, + 206.0, + 570.0, + 277.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 132.0, + 72.0, + 218.0, + 154.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 522.0, + 114.0, + 574.0, + 200.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 727.0, + 234.0, + 787.0, + 306.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 36.0, + 141.0, + 116.0, + 239.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08e1e4de15" + }, + "08e48c1a48": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 207.0, + 213.0, + 1121.0, + 686.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 292.0, + 298.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 154.0, + 333.0, + 965.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 438.0, + 205.0, + 1189.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08e48c1a48" + }, + "08f561c65e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 358.0, + 474.0, + 693.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 579.0, + 42.0, + 821.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 360.0, + 500.0, + 691.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 609.0, + 65.0, + 811.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 300.0, + 537.0, + 693.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 578.0, + 72.0, + 797.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 334.0, + 521.0, + 715.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 609.0, + 57.0, + 811.0, + 693.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08f561c65e" + }, + "08feb87790": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 902.0, + 432.0, + 1180.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 934.0, + 441.0, + 1215.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 962.0, + 442.0, + 1237.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 987.0, + 447.0, + 1256.0, + 614.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00255", + "00275", + "00295", + "00315" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/08feb87790" + }, + "09049f6fe3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 479.0, + 268.0, + 748.0, + 521.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 365.0, + 298.0, + 542.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 475.0, + 272.0, + 744.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 377.0, + 296.0, + 535.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 467.0, + 274.0, + 743.0, + 511.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 379.0, + 294.0, + 539.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 504.0, + 268.0, + 746.0, + 536.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 377.0, + 291.0, + 519.0, + 541.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/09049f6fe3" + }, + "092e4ff450": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 409.0, + 0.0, + 1032.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 462.0, + 134.0, + 987.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 156.0, + 0.0, + 1002.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/092e4ff450" + }, + "09338adea8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 32.0, + 110.0, + 574.0, + 362.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 118.0, + 376.0, + 935.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 92.0, + 590.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 0.0, + 453.0, + 987.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 181.0, + 618.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 0.0, + 416.0, + 1105.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 245.0, + 596.0, + 564.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 0.0, + 362.0, + 1015.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/09338adea8" + }, + "093c335ccc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 250.0, + 0.0, + 981.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 571.0, + 593.0, + 719.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 546.0, + 367.0, + 924.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 751.0, + 381.0, + 916.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 536.0, + 141.0, + 904.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 207.0, + 383.0, + 367.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 270.0, + 182.0, + 759.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/093c335ccc" + }, + "0970d28339": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 288.0, + 611.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 129.0, + 0.0, + 974.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 312.0, + 51.0, + 636.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 529.0, + 13.0, + 1231.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 418.0, + 178.0, + 622.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 565.0, + 158.0, + 1000.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 414.0, + 226.0, + 563.0, + 466.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 515.0, + 215.0, + 830.0, + 478.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0970d28339" + }, + "0974a213dc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 380.0, + 211.0, + 611.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 584.0, + 144.0, + 931.0, + 698.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1084.0, + 226.0, + 1277.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 372.0, + 190.0, + 686.0, + 585.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 578.0, + 132.0, + 946.0, + 685.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1076.0, + 216.0, + 1279.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 373.0, + 161.0, + 726.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 578.0, + 133.0, + 921.0, + 680.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1076.0, + 208.0, + 1279.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 363.0, + 193.0, + 617.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 484.0, + 102.0, + 920.0, + 674.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1074.0, + 205.0, + 1279.0, + 505.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0974a213dc" + }, + "097b471ed8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 136.0, + 51.0, + 1175.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 289.0, + 285.0, + 503.0, + 413.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 48.0, + 0.0, + 1243.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 201.0, + 263.0, + 429.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 18.0, + 1063.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 396.0, + 95.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 1099.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 415.0, + 61.0, + 472.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/097b471ed8" + }, + "0990941758": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 470.0, + 273.0, + 653.0, + 626.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 487.0, + 261.0, + 612.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 439.0, + 229.0, + 661.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 516.0, + 260.0, + 694.0, + 591.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0990941758" + }, + "09a348f4fa": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 568.0, + 286.0, + 1124.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 561.0, + 290.0, + 1134.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 583.0, + 287.0, + 1115.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 543.0, + 292.0, + 1042.0, + 580.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/09a348f4fa" + }, + "09a6841288": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 322.0, + 77.0, + 408.0, + 129.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 287.0, + 123.0, + 391.0, + 173.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 317.0, + 56.0, + 383.0, + 120.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 277.0, + 112.0, + 383.0, + 152.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 304.0, + 55.0, + 375.0, + 116.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 281.0, + 108.0, + 390.0, + 149.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 298.0, + 67.0, + 349.0, + 121.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 290.0, + 102.0, + 394.0, + 147.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/09a6841288" + }, + "09c5bad17b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 129.0, + 1279.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 285.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 123.0, + 1279.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 203.0, + 1279.0, + 589.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/09c5bad17b" + }, + "09c9ce80c7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 352.0, + 58.0, + 1007.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 344.0, + 67.0, + 1001.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 240.0, + 71.0, + 989.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 232.0, + 76.0, + 991.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/09c9ce80c7" + }, + "09ff54fef4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 306.0, + 361.0, + 478.0, + 508.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 504.0, + 288.0, + 719.0, + 379.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 372.0, + 262.0, + 534.0, + 441.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 509.0, + 240.0, + 765.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 229.0, + 189.0, + 576.0, + 369.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 498.0, + 120.0, + 713.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 451.0, + 235.0, + 905.0, + 504.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 538.0, + 0.0, + 707.0, + 275.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/09ff54fef4" + }, + "0a23765d15": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 645.0, + 107.0, + 935.0, + 339.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 497.0, + 264.0, + 618.0, + 410.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 547.0, + 409.0, + 583.0, + 425.0 + ], + "valid": 1 + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 313.0, + 836.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 702.0, + 88.0, + 1006.0, + 455.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 427.0, + 176.0, + 704.0, + 402.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 530.0, + 389.0, + 585.0, + 463.0 + ], + "valid": 1 + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 768.0, + 381.0, + 863.0, + 458.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 776.0, + 77.0, + 1249.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 474.0, + 118.0, + 665.0, + 463.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 459.0, + 357.0, + 618.0, + 472.0 + ], + "valid": 1 + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 797.0, + 610.0, + 984.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 410.0, + 0.0, + 753.0, + 597.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 440.0, + 557.0, + 615.0, + 631.0 + ], + "valid": 1 + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00065", + "00080", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0a23765d15" + }, + "0a275e7f12": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 366.0, + 153.0, + 854.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 612.0, + 128.0, + 919.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 635.0, + 148.0, + 931.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 621.0, + 193.0, + 895.0, + 653.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0a275e7f12" + }, + "0a2f2bd294": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 262.0, + 1226.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 252.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 269.0, + 1108.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 123.0, + 330.0, + 1206.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0a2f2bd294" + }, + "0a7a2514aa": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 966.0, + 73.0, + 1189.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 356.0, + 584.0, + 607.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 1033.0, + 40.0, + 1268.0, + 552.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 430.0, + 527.0, + 661.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 964.0, + 17.0, + 1209.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 372.0, + 503.0, + 610.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 876.0, + 39.0, + 1146.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 311.0, + 506.0, + 558.0, + 573.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0a7a2514aa" + }, + "0a7b27fde9": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 558.0, + 261.0, + 749.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 705.0, + 295.0, + 891.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 476.0, + 241.0, + 661.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 406.0, + 253.0, + 789.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 392.0, + 236.0, + 560.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 555.0, + 256.0, + 699.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 436.0, + 186.0, + 677.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 615.0, + 217.0, + 775.0, + 453.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0a7b27fde9" + }, + "0a8c467cc3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 442.0, + 0.0, + 1128.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 100.0, + 61.0, + 472.0, + 470.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 279.0, + 227.0, + 300.0, + 245.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 242.0, + 0.0, + 864.0, + 355.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 235.0, + 205.0, + 623.0, + 506.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 255.0, + 361.0, + 332.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 682.0, + 51.0, + 1065.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 334.0, + 346.0, + 639.0, + 677.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 545.0, + 520.0, + 643.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 717.0, + 53.0, + 1053.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 310.0, + 358.0, + 612.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 559.0, + 539.0, + 640.0, + 711.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0a8c467cc3" + }, + "0ac8c560ae": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 549.0, + 343.0, + 637.0, + 448.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 602.0, + 351.0, + 642.0, + 457.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 597.0, + 357.0, + 639.0, + 464.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 530.0, + 347.0, + 611.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 509.0, + 348.0, + 599.0, + 463.0 + ], + "valid": 1 + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00045", + "00075", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0ac8c560ae" + }, + "0b1627e896": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 54.0, + 0.0, + 382.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 24.0, + 0.0, + 390.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 474.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 693.0, + 518.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b1627e896" + }, + "0b285c47f6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 518.0, + 76.0, + 923.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1255.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 558.0, + 97.0, + 929.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 552.0, + 132.0, + 909.0, + 582.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 586.0, + 59.0, + 933.0, + 504.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b285c47f6" + }, + "0b34ec1d55": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 159.0, + 93.0, + 859.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 166.0, + 67.0, + 865.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 173.0, + 69.0, + 859.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 460.0, + 23.0, + 943.0, + 646.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b34ec1d55" + }, + "0b5b5e8e5a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 609.0, + 241.0, + 665.0, + 376.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 448.0, + 697.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 241.0, + 667.0, + 381.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 453.0, + 681.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 639.0, + 251.0, + 688.0, + 389.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 446.0, + 675.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 630.0, + 292.0, + 678.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 503.0, + 646.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00075", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b5b5e8e5a" + }, + "0b68535614": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 200.0, + 130.0, + 1089.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 412.0, + 0.0, + 1279.0, + 709.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 985.0, + 602.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 172.0, + 209.0, + 915.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 207.0, + 380.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b68535614" + }, + "0b6f9105fc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 261.0, + 206.0, + 864.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 250.0, + 0.0, + 891.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 288.0, + 0.0, + 933.0, + 704.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 276.0, + 0.0, + 983.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b6f9105fc" + }, + "0b7dbfa3cb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 356.0, + 147.0, + 1073.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 344.0, + 159.0, + 1052.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 352.0, + 158.0, + 1075.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 348.0, + 165.0, + 1053.0, + 513.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b7dbfa3cb" + }, + "0b9cea51ca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 338.0, + 290.0, + 1073.0, + 532.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 348.0, + 303.0, + 999.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 438.0, + 274.0, + 971.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 458.0, + 310.0, + 947.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b9cea51ca" + }, + "0b9d012be8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 715.0, + 368.0, + 1277.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 710.0, + 364.0, + 1266.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 967.0, + 383.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 941.0, + 323.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0b9d012be8" + }, + "0bcfc4177d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 303.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 358.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 373.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 385.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00120", + "00140", + "00155", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0bcfc4177d" + }, + "0bd37b23c1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 105.0, + 0.0, + 1232.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1208.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 17.0, + 0.0, + 1274.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00180", + "00210", + "00230", + "00255" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0bd37b23c1" + }, + "0bd864064c": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 282.0, + 213.0, + 819.0, + 489.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 287.0, + 211.0, + 877.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 274.0, + 209.0, + 817.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 304.0, + 207.0, + 852.0, + 488.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0bd864064c" + }, + "0c11c6bf7b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 41.0, + 410.0, + 523.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 71.0, + 408.0, + 543.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 95.0, + 406.0, + 864.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 223.0, + 176.0, + 906.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0c11c6bf7b" + }, + "0c26bc77ac": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 650.0, + 90.0, + 842.0, + 369.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 689.0, + 92.0, + 847.0, + 327.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 697.0, + 52.0, + 856.0, + 238.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 748.0, + 56.0, + 902.0, + 213.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0c26bc77ac" + }, + "0c3a04798c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 765.0, + 340.0, + 990.0, + 476.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 446.0, + 416.0, + 750.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 719.0, + 356.0, + 960.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 344.0, + 447.0, + 664.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 756.0, + 240.0, + 964.0, + 357.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 407.0, + 351.0, + 700.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 770.0, + 259.0, + 1036.0, + 384.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 408.0, + 328.0, + 581.0, + 465.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0c3a04798c" + }, + "0c44a9d545": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 615.0, + 434.0, + 860.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 652.0, + 328.0, + 962.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 664.0, + 311.0, + 950.0, + 691.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0c44a9d545" + }, + "0c817cc390": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 695.0, + 379.0, + 864.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 342.0, + 208.0, + 672.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 697.0, + 386.0, + 871.0, + 508.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 341.0, + 210.0, + 678.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 690.0, + 398.0, + 863.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 294.0, + 258.0, + 587.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 691.0, + 395.0, + 842.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 292.0, + 280.0, + 585.0, + 516.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0c817cc390" + }, + "0ca839ee9a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 66.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 285.0, + 321.0, + 1082.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 74.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 299.0, + 348.0, + 1039.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 66.0, + 0.0, + 1261.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 224.0, + 345.0, + 976.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 64.0, + 0.0, + 1269.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 303.0, + 294.0, + 1008.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0ca839ee9a" + }, + "0cd7ac0ac0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 471.0, + 70.0, + 628.0, + 184.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 467.0, + 86.0, + 679.0, + 214.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 596.0, + 175.0, + 819.0, + 304.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 694.0, + 56.0, + 936.0, + 247.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0cd7ac0ac0" + }, + "0ce06e0121": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 328.0, + 141.0, + 662.0, + 392.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 561.0, + 306.0, + 733.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 333.0, + 77.0, + 748.0, + 396.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 582.0, + 227.0, + 845.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 163.0, + 48.0, + 553.0, + 322.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 323.0, + 0.0, + 1186.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 285.0, + 0.0, + 716.0, + 290.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0ce06e0121" + }, + "0cfe974a89": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 282.0, + 0.0, + 825.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 715.0, + 112.0, + 1171.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 202.0, + 35.0, + 765.0, + 544.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 705.0, + 159.0, + 1113.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 194.0, + 22.0, + 763.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 693.0, + 145.0, + 1129.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 180.0, + 0.0, + 713.0, + 499.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 658.0, + 123.0, + 1145.0, + 581.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0cfe974a89" + }, + "0d2fcc0dcd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 1104.0, + 257.0, + 1279.0, + 519.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 992.0, + 233.0, + 1279.0, + 498.0 + ], + "valid": 1 + }, + "4": { + "category_name": "zebra", + "bbox": [ + 354.0, + 167.0, + 797.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 1089.0, + 276.0, + 1279.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 921.0, + 240.0, + 1279.0, + 522.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 847.0, + 156.0, + 1270.0, + 502.0 + ], + "valid": 1 + }, + "4": { + "category_name": "zebra", + "bbox": [ + 317.0, + 75.0, + 672.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 1141.0, + 276.0, + 1279.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 921.0, + 217.0, + 1279.0, + 521.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 835.0, + 160.0, + 1263.0, + 504.0 + ], + "valid": 1 + }, + "4": { + "category_name": "zebra", + "bbox": [ + 439.0, + 88.0, + 675.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 1154.0, + 284.0, + 1279.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 940.0, + 202.0, + 1279.0, + 528.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 836.0, + 171.0, + 1275.0, + 510.0 + ], + "valid": 1 + }, + "4": { + "category_name": "zebra", + "bbox": [ + 581.0, + 185.0, + 902.0, + 444.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0d2fcc0dcd" + }, + "0d3aad05d2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 442.0, + 41.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 368.0, + 345.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 442.0, + 71.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 475.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 339.0, + 33.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 382.0, + 410.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 426.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 426.0, + 351.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0d3aad05d2" + }, + "0d40b015f4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 655.0, + 197.0, + 831.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 663.0, + 553.0, + 855.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 534.0, + 253.0, + 626.0, + 536.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 524.0, + 483.0, + 596.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 558.0, + 249.0, + 665.0, + 494.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 606.0, + 484.0, + 671.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 632.0, + 139.0, + 847.0, + 442.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 604.0, + 399.0, + 883.0, + 471.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0d40b015f4" + }, + "0d97fba242": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 135.0, + 360.0, + 1000.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 503.0, + 0.0, + 1034.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 231.0, + 255.0, + 1154.0, + 685.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 391.0, + 0.0, + 854.0, + 374.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 135.0, + 204.0, + 1182.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 547.0, + 203.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 321.0, + 163.0, + 894.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00140", + "00155", + "00170", + "00210" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0d97fba242" + }, + "0d9cc80d7e": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 78.0, + 199.0, + 518.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 343.0, + 174.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 72.0, + 206.0, + 520.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 358.0, + 174.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 223.0, + 68.0, + 356.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 103.0, + 226.0, + 536.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 381.0, + 200.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 30.0, + 264.0, + 200.0, + 676.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 885.0, + 218.0, + 1231.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 679.0, + 349.0, + 835.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1065.0, + 231.0, + 1149.0, + 323.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0d9cc80d7e" + }, + "0dab85b6d3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 276.0, + 65.0, + 588.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 711.0, + 311.0, + 895.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 280.0, + 0.0, + 569.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 683.0, + 318.0, + 889.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 242.0, + 41.0, + 701.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 655.0, + 334.0, + 871.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 68.0, + 198.0, + 729.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 737.0, + 323.0, + 873.0, + 428.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0dab85b6d3" + }, + "0db5c427a5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1167.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1169.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1173.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1151.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0db5c427a5" + }, + "0dbaf284f1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 58.0, + 193.0, + 1016.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 423.0, + 71.0, + 888.0, + 290.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 83.0, + 995.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 418.0, + 27.0, + 816.0, + 295.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 191.0, + 819.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 409.0, + 18.0, + 803.0, + 313.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 198.0, + 899.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 404.0, + 18.0, + 800.0, + 241.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0dbaf284f1" + }, + "0de4923598": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 290.0, + 162.0, + 937.0, + 458.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 272.0, + 191.0, + 931.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 245.0, + 206.0, + 963.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 219.0, + 229.0, + 986.0, + 606.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0de4923598" + }, + "0df28a9101": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 525.0, + 328.0, + 779.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 757.0, + 265.0, + 1048.0, + 491.0 + ], + "valid": 1 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 724.0, + 138.0, + 901.0, + 321.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 458.0, + 326.0, + 758.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 711.0, + 283.0, + 1051.0, + 518.0 + ], + "valid": 1 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 686.0, + 115.0, + 875.0, + 309.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 404.0, + 249.0, + 710.0, + 461.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 666.0, + 197.0, + 1006.0, + 433.0 + ], + "valid": 1 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 621.0, + 25.0, + 803.0, + 222.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 425.0, + 212.0, + 719.0, + 447.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 696.0, + 178.0, + 997.0, + 422.0 + ], + "valid": 1 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 618.0, + 19.0, + 789.0, + 213.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0df28a9101" + }, + "0e04f636c4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 492.0, + 217.0, + 919.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 428.0, + 128.0, + 1223.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 398.0, + 136.0, + 1255.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 196.0, + 0.0, + 1279.0, + 706.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e04f636c4" + }, + "0e05f0e232": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 38.0, + 277.0, + 875.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 130.0, + 411.0, + 829.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 849.0, + 258.0, + 1279.0, + 547.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 42.0, + 346.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 1097.0, + 292.0, + 1279.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 29.0, + 626.0, + 405.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e05f0e232" + }, + "0e0930474b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 205.0, + 75.0, + 1043.0, + 602.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 59.0, + 246.0, + 137.0, + 451.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 173.0, + 236.0, + 258.0, + 458.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 212.0, + 71.0, + 1029.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 38.0, + 245.0, + 116.0, + 450.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 176.0, + 235.0, + 259.0, + 454.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 207.0, + 73.0, + 1019.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 27.0, + 243.0, + 110.0, + 454.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 175.0, + 236.0, + 259.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 219.0, + 68.0, + 1002.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 5.0, + 238.0, + 89.0, + 463.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 177.0, + 232.0, + 262.0, + 450.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e0930474b" + }, + "0e27472bea": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 1039.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 1027.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 257.0, + 861.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 268.0, + 941.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e27472bea" + }, + "0e30020549": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 155.0, + 114.0, + 846.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 137.0, + 110.0, + 850.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 209.0, + 102.0, + 672.0, + 686.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 187.0, + 161.0, + 796.0, + 682.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e30020549" + }, + "0e621feb6c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 230.0, + 315.0, + 869.0, + 646.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 716.0, + 176.0, + 966.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 286.0, + 317.0, + 915.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 749.0, + 173.0, + 1063.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 259.0, + 315.0, + 886.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 725.0, + 173.0, + 1042.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 478.0, + 490.0, + 1113.0, + 712.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 996.0, + 339.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e621feb6c" + }, + "0e803c7d73": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 185.0, + 215.0, + 808.0, + 347.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 126.0, + 5.0, + 1279.0, + 471.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 363.0, + 447.0, + 1066.0, + 712.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 253.0, + 316.0, + 868.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 170.0, + 0.0, + 1279.0, + 572.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 364.0, + 460.0, + 1070.0, + 712.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 269.0, + 321.0, + 891.0, + 442.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 185.0, + 4.0, + 1279.0, + 586.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 365.0, + 464.0, + 1073.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 283.0, + 334.0, + 900.0, + 547.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 185.0, + 9.0, + 1279.0, + 614.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 369.0, + 479.0, + 1065.0, + 706.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e803c7d73" + }, + "0e9ebe4e3c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 571.0, + 252.0, + 895.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 528.0, + 246.0, + 880.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 494.0, + 236.0, + 866.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 400.0, + 223.0, + 836.0, + 540.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e9ebe4e3c" + }, + "0e9f2785ec": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 130.0, + 0.0, + 1279.0, + 589.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 224.0, + 120.0, + 1037.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 213.0, + 0.0, + 1279.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 248.0, + 132.0, + 1087.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 209.0, + 0.0, + 1279.0, + 601.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 248.0, + 135.0, + 1075.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 155.0, + 0.0, + 1279.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 235.0, + 123.0, + 1062.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0e9f2785ec" + }, + "0ea68d418b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 305.0, + 820.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 1053.0, + 333.0, + 1095.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 321.0, + 838.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 997.0, + 350.0, + 1034.0, + 367.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 332.0, + 862.0, + 589.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 907.0, + 353.0, + 940.0, + 366.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 338.0, + 868.0, + 601.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00195", + "00205", + "00220", + "00240" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0ea68d418b" + }, + "0eb403a222": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 346.0, + 520.0, + 1123.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 650.0, + 25.0, + 1279.0, + 695.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 439.0, + 580.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 758.0, + 312.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 286.0, + 433.0, + 1173.0, + 646.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 634.0, + 187.0, + 1279.0, + 705.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 300.0, + 402.0, + 1189.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 651.0, + 160.0, + 1279.0, + 670.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00100", + "00120", + "00135", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0eb403a222" + }, + "0ee92053d6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 745.0, + 317.0, + 806.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 790.0, + 316.0, + 844.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 952.0, + 314.0, + 1019.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1003.0, + 315.0, + 1060.0, + 486.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0ee92053d6" + }, + "0eefca067f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 587.0, + 78.0, + 1112.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 155.0, + 325.0, + 759.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 575.0, + 101.0, + 1124.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 159.0, + 302.0, + 696.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 595.0, + 66.0, + 1114.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 153.0, + 291.0, + 676.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 597.0, + 66.0, + 1122.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 155.0, + 285.0, + 667.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0eefca067f" + }, + "0f17fa6fcb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 360.0, + 350.0, + 580.0, + 439.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 804.0, + 234.0, + 910.0, + 377.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 637.0, + 216.0, + 828.0, + 288.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 233.0, + 377.0, + 504.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 750.0, + 277.0, + 875.0, + 391.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 684.0, + 214.0, + 781.0, + 285.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 154.0, + 355.0, + 406.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 776.0, + 272.0, + 884.0, + 383.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 699.0, + 185.0, + 788.0, + 260.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 166.0, + 327.0, + 270.0, + 445.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 787.0, + 259.0, + 898.0, + 406.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 750.0, + 196.0, + 819.0, + 273.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f17fa6fcb" + }, + "0f1ac8e9a3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 645.0, + 187.0, + 930.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 669.0, + 257.0, + 940.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 636.0, + 186.0, + 912.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 639.0, + 179.0, + 911.0, + 402.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f1ac8e9a3" + }, + "0f202e9852": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 1.0, + 99.0, + 417.0, + 298.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 2.0, + 119.0, + 506.0, + 311.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 2.0, + 147.0, + 459.0, + 319.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 131.0, + 467.0, + 271.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f202e9852" + }, + "0f2ab8b1ff": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 273.0, + 177.0, + 658.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 720.0, + 248.0, + 994.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 858.0, + 166.0, + 1124.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 190.0, + 223.0, + 482.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 486.0, + 244.0, + 689.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 677.0, + 123.0, + 989.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 226.0, + 224.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 474.0, + 270.0, + 632.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 681.0, + 192.0, + 989.0, + 654.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 334.0, + 412.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 476.0, + 206.0, + 777.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 749.0, + 287.0, + 1067.0, + 685.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f2ab8b1ff" + }, + "0f51a78756": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 508.0, + 381.0, + 630.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 449.0, + 371.0, + 512.0, + 439.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 425.0, + 366.0, + 504.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 357.0, + 358.0, + 419.0, + 444.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f51a78756" + }, + "0f5fbe16b0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 488.0, + 161.0, + 1179.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 80.0, + 178.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 566.0, + 123.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 168.0, + 468.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 460.0, + 75.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 82.0, + 660.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 388.0, + 52.0, + 1101.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 136.0, + 700.0, + 648.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f5fbe16b0" + }, + "0f6072077b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 254.0, + 163.0, + 594.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 374.0, + 99.0, + 844.0, + 716.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 993.0, + 192.0, + 1135.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 269.0, + 165.0, + 605.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 388.0, + 97.0, + 851.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1005.0, + 187.0, + 1147.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 290.0, + 159.0, + 631.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 411.0, + 87.0, + 881.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1026.0, + 179.0, + 1164.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 295.0, + 149.0, + 633.0, + 564.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 413.0, + 82.0, + 884.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1005.0, + 169.0, + 1166.0, + 563.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f6072077b" + }, + "0f6b69b2f4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 375.0, + 249.0, + 772.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 453.0, + 9.0, + 828.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 393.0, + 0.0, + 912.0, + 692.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 489.0, + 230.0, + 848.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f6b69b2f4" + }, + "0f6c2163de": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 459.0, + 121.0, + 1279.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 593.0, + 141.0, + 1279.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 577.0, + 129.0, + 1279.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 549.0, + 120.0, + 1279.0, + 481.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f6c2163de" + }, + "0f74ec5599": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 176.0, + 0.0, + 1087.0, + 697.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 84.0, + 0.0, + 1029.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 78.0, + 0.0, + 1059.0, + 681.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 184.0, + 0.0, + 1037.0, + 674.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f74ec5599" + }, + "0f9683715b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 330.0, + 44.0, + 855.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 386.0, + 38.0, + 774.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 355.0, + 38.0, + 827.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 377.0, + 10.0, + 742.0, + 617.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00200", + "00230", + "00240", + "00270" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0f9683715b" + }, + "0fa7b59356": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 426.0, + 326.0, + 539.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 647.0, + 316.0, + 767.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 655.0, + 318.0, + 771.0, + 479.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 698.0, + 344.0, + 773.0, + 477.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0fa7b59356" + }, + "0fb173695b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 961.0, + 281.0, + 1117.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 896.0, + 488.0, + 1016.0, + 590.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 742.0, + 276.0, + 1100.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 1082.0, + 573.0, + 1138.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 912.0, + 634.0, + 1022.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 745.0, + 550.0, + 1149.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 1082.0, + 556.0, + 1138.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 742.0, + 531.0, + 1151.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 1133.0, + 684.0, + 1165.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 764.0, + 618.0, + 1162.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0fb173695b" + }, + "0fc958cde2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 343.0, + 211.0, + 908.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 362.0, + 184.0, + 954.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 311.0, + 257.0, + 969.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 432.0, + 304.0, + 891.0, + 425.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0fc958cde2" + }, + "0fe7b1a621": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 28.0, + 0.0, + 1165.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 124.0, + 12.0, + 1258.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 25.0, + 5.0, + 1015.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 1090.0, + 523.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0fe7b1a621" + }, + "0ffcdb491c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 260.0, + 143.0, + 484.0, + 525.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 248.0, + 200.0, + 545.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 592.0, + 174.0, + 689.0, + 443.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 284.0, + 142.0, + 496.0, + 520.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 58.0, + 242.0, + 238.0, + 509.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 620.0, + 170.0, + 723.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 332.0, + 136.0, + 552.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 182.0, + 274.0, + 338.0, + 526.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 675.0, + 134.0, + 773.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 336.0, + 99.0, + 612.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 218.0, + 253.0, + 383.0, + 504.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 693.0, + 125.0, + 797.0, + 417.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/0ffcdb491c" + }, + "101caff7d4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 54.0, + 214.0, + 763.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 553.0, + 455.0, + 887.0, + 702.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 192.0, + 52.0, + 895.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 685.0, + 288.0, + 1029.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 61.0, + 74.0, + 757.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 572.0, + 332.0, + 931.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 37.0, + 115.0, + 732.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 534.0, + 376.0, + 888.0, + 606.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/101caff7d4" + }, + "1022fe8417": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 836.0, + 178.0, + 972.0, + 402.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 979.0, + 164.0, + 1105.0, + 360.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1197.0, + 233.0, + 1273.0, + 364.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 837.0, + 173.0, + 974.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 974.0, + 156.0, + 1088.0, + 351.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1111.0, + 214.0, + 1275.0, + 350.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 786.0, + 172.0, + 941.0, + 448.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 932.0, + 163.0, + 1061.0, + 405.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1065.0, + 221.0, + 1207.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 790.0, + 169.0, + 948.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 948.0, + 161.0, + 1083.0, + 412.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1080.0, + 223.0, + 1270.0, + 417.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1022fe8417" + }, + "1032e80b37": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 474.0, + 139.0, + 993.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 440.0, + 143.0, + 948.0, + 684.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 317.0, + 50.0, + 812.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 386.0, + 50.0, + 779.0, + 623.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00170", + "00185", + "00200", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1032e80b37" + }, + "103f501680": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 96.0, + 327.0, + 332.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 143.0, + 302.0, + 340.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 184.0, + 316.0, + 412.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 19.0, + 186.0, + 340.0, + 459.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/103f501680" + }, + "104e64565f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 150.0, + 32.0, + 923.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 46.0, + 79.0, + 935.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 268.0, + 20.0, + 987.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 104.0, + 11.0, + 878.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00075", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/104e64565f" + }, + "104f1ab997": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 530.0, + 210.0, + 815.0, + 467.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 526.0, + 337.0, + 950.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 201.0, + 3.0, + 391.0, + 695.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 580.0, + 183.0, + 847.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 576.0, + 363.0, + 939.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 233.0, + 46.0, + 433.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 589.0, + 161.0, + 764.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 537.0, + 367.0, + 973.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 180.0, + 105.0, + 435.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 670.0, + 137.0, + 846.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 621.0, + 415.0, + 1101.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 297.0, + 104.0, + 480.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/104f1ab997" + }, + "106242403f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 688.0, + 0.0, + 1056.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 175.0, + 57.0, + 571.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 635.0, + 22.0, + 966.0, + 710.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 187.0, + 78.0, + 568.0, + 701.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 642.0, + 39.0, + 923.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 263.0, + 87.0, + 567.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 757.0, + 79.0, + 1016.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 351.0, + 126.0, + 668.0, + 710.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/106242403f" + }, + "10b31f5431": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 480.0, + 195.0, + 518.0, + 286.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 418.0, + 183.0, + 761.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 455.0, + 259.0, + 503.0, + 320.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 280.0, + 555.0, + 323.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 474.0, + 296.0, + 513.0, + 342.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 414.0, + 248.0, + 674.0, + 719.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 403.0, + 266.0, + 659.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00045", + "00075", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/10b31f5431" + }, + "10eced835e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 596.0, + 168.0, + 1199.0, + 678.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 94.0, + 0.0, + 967.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 544.0, + 197.0, + 1089.0, + 682.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 599.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 669.0, + 172.0, + 1239.0, + 709.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 566.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 478.0, + 300.0, + 981.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/10eced835e" + }, + "110d26fa3a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 42.0, + 222.0, + 843.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 143.0, + 1074.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 112.0, + 1021.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 225.0, + 1107.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/110d26fa3a" + }, + "1122c1d16a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 356.0, + 551.0, + 592.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 679.0, + 0.0, + 993.0, + 360.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 436.0, + 113.0, + 789.0, + 508.0 + ], + "valid": 1 + }, + "4": { + "category_name": "parrot", + "bbox": [ + 580.0, + 26.0, + 727.0, + 318.0 + ], + "valid": 1 + }, + "5": { + "category_name": "parrot", + "bbox": [ + 406.0, + 422.0, + 522.0, + 567.0 + ], + "valid": 1 + }, + "6": { + "category_name": "person", + "bbox": [ + 456.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 366.0, + 661.0, + 677.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 626.0, + 122.0, + 1073.0, + 422.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 466.0, + 193.0, + 923.0, + 616.0 + ], + "valid": 1 + }, + "4": { + "category_name": "parrot", + "bbox": [ + 528.0, + 152.0, + 661.0, + 339.0 + ], + "valid": 1 + }, + "5": { + "category_name": "parrot", + "bbox": [ + 362.0, + 499.0, + 536.0, + 681.0 + ], + "valid": 1 + }, + "6": { + "category_name": "person", + "bbox": [ + 484.0, + 129.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 378.0, + 673.0, + 679.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 612.0, + 119.0, + 1105.0, + 388.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 496.0, + 167.0, + 949.0, + 581.0 + ], + "valid": 1 + }, + "4": { + "category_name": "parrot", + "bbox": [ + 528.0, + 149.0, + 661.0, + 314.0 + ], + "valid": 1 + }, + "5": { + "category_name": "parrot", + "bbox": [ + 396.0, + 514.0, + 578.0, + 697.0 + ], + "valid": 1 + }, + "6": { + "category_name": "person", + "bbox": [ + 502.0, + 112.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 390.0, + 662.0, + 735.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 624.0, + 64.0, + 897.0, + 507.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 504.0, + 184.0, + 847.0, + 555.0 + ], + "valid": 1 + }, + "4": { + "category_name": "parrot", + "bbox": [ + 512.0, + 162.0, + 614.0, + 282.0 + ], + "valid": 1 + }, + "5": { + "category_name": "parrot", + "bbox": [ + 392.0, + 493.0, + 586.0, + 676.0 + ], + "valid": 1 + }, + "6": { + "category_name": "person", + "bbox": [ + 438.0, + 91.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1122c1d16a" + }, + "1145b49a5f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 244.0, + 0.0, + 817.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 96.0, + 115.0, + 695.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 110.0, + 193.0, + 763.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 138.0, + 256.0, + 789.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1145b49a5f" + }, + "11485838c2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 528.0, + 173.0, + 1019.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 714.0, + 251.0, + 1078.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 207.0, + 368.0, + 604.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 588.0, + 116.0, + 1065.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 769.0, + 169.0, + 1149.0, + 688.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 278.0, + 322.0, + 658.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 651.0, + 29.0, + 1113.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 845.0, + 46.0, + 1279.0, + 643.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 369.0, + 290.0, + 647.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 650.0, + 61.0, + 1114.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 850.0, + 76.0, + 1279.0, + 669.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 374.0, + 367.0, + 669.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11485838c2" + }, + "114e7676ec": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 694.0, + 295.0, + 765.0, + 391.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 713.0, + 377.0, + 796.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 604.0, + 282.0, + 660.0, + 376.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 627.0, + 371.0, + 680.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 651.0, + 350.0, + 730.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 685.0, + 483.0, + 750.0, + 544.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/114e7676ec" + }, + "1157472b95": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 185.0, + 112.0, + 571.0, + 602.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 690.0, + 141.0, + 1022.0, + 686.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 248.0, + 0.0, + 559.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 731.0, + 0.0, + 1079.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 214.0, + 288.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 278.0, + 168.0, + 597.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 372.0, + 259.0, + 704.0, + 599.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 743.0, + 381.0, + 968.0, + 637.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1157472b95" + }, + "115ee1072c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 665.0, + 343.0, + 835.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 603.0, + 302.0, + 838.0, + 706.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 598.0, + 291.0, + 849.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 507.0, + 248.0, + 999.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/115ee1072c" + }, + "1171141012": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 306.0, + 438.0, + 658.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 763.0, + 348.0, + 914.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 351.0, + 432.0, + 720.0, + 718.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 694.0, + 481.0, + 979.0, + 580.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 171.0, + 486.0, + 582.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 350.0, + 514.0, + 600.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 161.0, + 501.0, + 571.0, + 641.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 229.0, + 467.0, + 562.0, + 521.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1171141012" + }, + "117757b4b8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/117757b4b8" + }, + "1178932d2f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 438.0, + 241.0, + 502.0, + 380.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 476.0, + 249.0, + 507.0, + 379.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 445.0, + 336.0, + 494.0, + 405.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 590.0, + 194.0, + 640.0, + 288.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 623.0, + 196.0, + 648.0, + 242.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 594.0, + 259.0, + 634.0, + 309.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 490.0, + 235.0, + 524.0, + 307.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 503.0, + 235.0, + 523.0, + 271.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 497.0, + 283.0, + 524.0, + 318.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 610.0, + 255.0, + 640.0, + 315.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 629.0, + 254.0, + 642.0, + 287.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 613.0, + 295.0, + 636.0, + 327.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1178932d2f" + }, + "117cc76bda": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 734.0, + 515.0, + 1004.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 390.0, + 515.0, + 1124.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 219.0, + 509.0, + 954.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 32.0, + 524.0, + 717.0, + 582.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/117cc76bda" + }, + "1180cbf814": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 729.0, + 189.0, + 881.0, + 279.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 246.0, + 342.0, + 359.0, + 383.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 746.0, + 189.0, + 865.0, + 290.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 267.0, + 329.0, + 393.0, + 377.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 769.0, + 187.0, + 828.0, + 288.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 514.0, + 336.0, + 632.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 315.0, + 149.0, + 410.0, + 276.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 162.0, + 324.0, + 280.0, + 365.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1180cbf814" + }, + "1187bbd0e3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 609.0, + 247.0, + 871.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 569.0, + 276.0, + 834.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 562.0, + 279.0, + 834.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 493.0, + 94.0, + 950.0, + 573.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1187bbd0e3" + }, + "1197e44b26": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 392.0, + 375.0, + 1003.0, + 712.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 431.0, + 341.0, + 950.0, + 704.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 442.0, + 345.0, + 947.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 441.0, + 332.0, + 728.0, + 710.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1197e44b26" + }, + "119cf20728": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 438.0, + 184.0, + 783.0, + 389.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 75.0, + 623.0, + 400.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 423.0, + 222.0, + 714.0, + 396.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 147.0, + 665.0, + 388.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 470.0, + 130.0, + 764.0, + 321.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 148.0, + 589.0, + 515.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 530.0, + 116.0, + 869.0, + 323.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 359.0, + 584.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/119cf20728" + }, + "119dd54871": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 304.0, + 329.0, + 902.0, + 696.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 641.0, + 299.0, + 1026.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 310.0, + 333.0, + 910.0, + 696.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 672.0, + 294.0, + 1014.0, + 458.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 309.0, + 333.0, + 917.0, + 693.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 638.0, + 295.0, + 1025.0, + 458.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 328.0, + 337.0, + 926.0, + 680.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 674.0, + 287.0, + 1033.0, + 459.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/119dd54871" + }, + "11a0c3b724": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 448.0, + 141.0, + 1053.0, + 594.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 252.0, + 3.0, + 767.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 442.0, + 155.0, + 1053.0, + 594.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 224.0, + 17.0, + 771.0, + 454.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 410.0, + 140.0, + 1089.0, + 602.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 248.0, + 29.0, + 757.0, + 461.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 426.0, + 144.0, + 1041.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 240.0, + 8.0, + 761.0, + 464.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11a0c3b724" + }, + "11a6ba8c94": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 356.0, + 206.0, + 514.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 488.0, + 0.0, + 817.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 216.0, + 605.0, + 537.0, + 702.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 410.0, + 184.0, + 580.0, + 461.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 514.0, + 0.0, + 807.0, + 711.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 324.0, + 493.0, + 626.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 410.0, + 148.0, + 574.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 522.0, + 0.0, + 755.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 508.0, + 499.0, + 727.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 408.0, + 157.0, + 582.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 500.0, + 0.0, + 795.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 506.0, + 508.0, + 723.0, + 659.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11a6ba8c94" + }, + "11c722a456": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 508.0, + 268.0, + 785.0, + 392.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 352.0, + 280.0, + 652.0, + 452.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 709.0, + 314.0, + 1035.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 320.0, + 283.0, + 579.0, + 438.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 871.0, + 320.0, + 1210.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 256.0, + 278.0, + 560.0, + 424.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 1076.0, + 278.0, + 1279.0, + 398.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11c722a456" + }, + "11cbcb0b4d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 306.0, + 207.0, + 774.0, + 465.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 236.0, + 860.0, + 469.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 65.0, + 0.0, + 635.0, + 183.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 151.0, + 40.0, + 738.0, + 538.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11cbcb0b4d" + }, + "11ccf5e99d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 322.0, + 279.0, + 353.0, + 320.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 166.0, + 100.0, + 415.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 607.0, + 374.0, + 643.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 394.0, + 273.0, + 423.0, + 304.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 258.0, + 95.0, + 511.0, + 714.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 691.0, + 362.0, + 722.0, + 392.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 218.0, + 325.0, + 479.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 651.0, + 395.0, + 684.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 207.0, + 216.0, + 460.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 637.0, + 369.0, + 668.0, + 399.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11ccf5e99d" + }, + "11ce6f452e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 605.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 772.0, + 0.0, + 930.0, + 313.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 990.0, + 0.0, + 1132.0, + 248.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 51.0, + 0.0, + 678.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 684.0, + 0.0, + 842.0, + 326.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 862.0, + 17.0, + 1018.0, + 299.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 219.0, + 45.0, + 682.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 583.0, + 89.0, + 728.0, + 332.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 746.0, + 108.0, + 860.0, + 330.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 343.0, + 55.0, + 724.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 584.0, + 79.0, + 686.0, + 239.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 712.0, + 101.0, + 810.0, + 290.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11ce6f452e" + }, + "11feabe596": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 710.0, + 0.0, + 1128.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 656.0, + 5.0, + 1088.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 543.0, + 87.0, + 1024.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 575.0, + 19.0, + 1050.0, + 563.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/11feabe596" + }, + "120cb9514d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 263.0, + 144.0, + 1029.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 167.0, + 907.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 669.0, + 539.0, + 1221.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 334.0, + 188.0, + 957.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 568.0, + 486.0, + 897.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 690.0, + 223.0, + 955.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 234.0, + 60.0, + 867.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1121.0, + 570.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 679.0, + 206.0, + 1181.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/120cb9514d" + }, + "12156b25b3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 339.0, + 139.0, + 550.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 364.0, + 394.0, + 421.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 408.0, + 146.0, + 573.0, + 446.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 526.0, + 361.0, + 590.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 431.0, + 183.0, + 610.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 533.0, + 388.0, + 634.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 415.0, + 141.0, + 576.0, + 503.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 438.0, + 328.0, + 617.0, + 564.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/12156b25b3" + }, + "122896672d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 457.0, + 149.0, + 644.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 466.0, + 178.0, + 523.0, + 317.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 860.0, + 66.0, + 1064.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 849.0, + 123.0, + 931.0, + 349.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1060.0, + 19.0, + 1279.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 1051.0, + 92.0, + 1160.0, + 360.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 784.0, + 200.0, + 952.0, + 430.0 + ], + "valid": 1 + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 825.0, + 401.0, + 888.0, + 454.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/122896672d" + }, + "1233ac8596": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 235.0, + 274.0, + 861.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 111.0, + 202.0, + 1159.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 83.0, + 244.0, + 1247.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 203.0, + 249.0, + 1277.0, + 504.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1233ac8596" + }, + "1239c87234": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 409.0, + 0.0, + 1279.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 507.0, + 0.0, + 1279.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 463.0, + 0.0, + 1279.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 297.0, + 0.0, + 1279.0, + 536.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1239c87234" + }, + "1250423f7c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 880.0, + 92.0, + 965.0, + 187.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 830.0, + 140.0, + 998.0, + 538.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 85.0, + 234.0, + 652.0, + 650.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1050.0, + 104.0, + 1229.0, + 258.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 922.0, + 47.0, + 1014.0, + 155.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 876.0, + 91.0, + 1050.0, + 545.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 0.0, + 164.0, + 561.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1170.0, + 70.0, + 1279.0, + 229.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 952.0, + 49.0, + 1049.0, + 164.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 904.0, + 98.0, + 1064.0, + 592.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 0.0, + 147.0, + 730.0, + 716.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 905.0, + 20.0, + 1007.0, + 142.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 856.0, + 75.0, + 1023.0, + 597.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 0.0, + 166.0, + 710.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1250423f7c" + }, + "1257a1bc67": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 378.0, + 143.0, + 857.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 385.0, + 138.0, + 848.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 375.0, + 145.0, + 848.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 364.0, + 143.0, + 855.0, + 584.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00110", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1257a1bc67" + }, + "125d1b19dd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 444.0, + 199.0, + 693.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 675.0, + 316.0, + 979.0, + 532.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 418.0, + 184.0, + 685.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 675.0, + 325.0, + 1005.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 426.0, + 194.0, + 685.0, + 423.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 673.0, + 338.0, + 995.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 458.0, + 157.0, + 671.0, + 396.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 673.0, + 342.0, + 997.0, + 540.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/125d1b19dd" + }, + "126d203967": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 665.0, + 584.0, + 824.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 558.0, + 56.0, + 763.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 695.0, + 581.0, + 836.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 574.0, + 4.0, + 791.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 717.0, + 528.0, + 829.0, + 679.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 486.0, + 0.0, + 817.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 565.0, + 499.0, + 979.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 476.0, + 0.0, + 873.0, + 518.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/126d203967" + }, + "1295e19071": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 161.0, + 786.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 33.0, + 194.0, + 799.0, + 490.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 191.0, + 700.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 145.0, + 668.0, + 480.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1295e19071" + }, + "12ad198c54": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 630.0, + 163.0, + 871.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 708.0, + 562.0, + 811.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 672.0, + 0.0, + 1064.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 678.0, + 552.0, + 1050.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 711.0, + 19.0, + 1019.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 828.0, + 531.0, + 1106.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 526.0, + 0.0, + 1112.0, + 550.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 575.0, + 468.0, + 1091.0, + 618.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/12ad198c54" + }, + "12bddb2bcb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 647.0, + 296.0, + 702.0, + 329.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1228.0, + 316.0, + 1279.0, + 367.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 313.0, + 272.0, + 391.0, + 329.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 838.0, + 224.0, + 968.0, + 255.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 479.0, + 50.0, + 553.0, + 72.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/12bddb2bcb" + }, + "12ec9b93ee": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 29.0, + 828.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 63.0, + 105.0, + 1000.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 313.0, + 20.0, + 898.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 607.0, + 58.0, + 904.0, + 632.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/12ec9b93ee" + }, + "12eebedc35": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 443.0, + 78.0, + 862.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 506.0, + 157.0, + 936.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 508.0, + 84.0, + 958.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 457.0, + 133.0, + 957.0, + 509.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/12eebedc35" + }, + "132852e094": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 22 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 202.0, + 167.0, + 655.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 501.0, + 122.0, + 1088.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 777.0, + 56.0, + 1224.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 759.0, + 17.0, + 1279.0, + 316.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/132852e094" + }, + "1329409f2a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 1045.0, + 20.0, + 1279.0, + 192.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 957.0, + 82.0, + 1275.0, + 284.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 721.0, + 118.0, + 971.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 689.0, + 105.0, + 931.0, + 302.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1329409f2a" + }, + "13325cfa14": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 0.0, + 0.0, + 958.0, + 685.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 315.0, + 133.0, + 856.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 0.0, + 0.0, + 931.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 302.0, + 185.0, + 849.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 4.0, + 0.0, + 993.0, + 710.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 352.0, + 151.0, + 905.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 0.0, + 0.0, + 963.0, + 704.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 264.0, + 61.0, + 907.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/13325cfa14" + }, + "1336440745": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 4.0, + 422.0, + 352.0, + 673.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 406.0, + 388.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 82.0, + 208.0, + 268.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 188.0, + 438.0, + 410.0, + 643.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 40.0, + 539.0, + 883.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 182.0, + 461.0, + 406.0, + 641.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1336440745" + }, + "134d06dbf9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 583.0, + 148.0, + 1273.0, + 445.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 529.0, + 186.0, + 1229.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 570.0, + 194.0, + 1232.0, + 457.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 549.0, + 113.0, + 1201.0, + 533.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/134d06dbf9" + }, + "135625b53d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 456.0, + 0.0, + 849.0, + 704.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 668.0, + 312.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 444.0, + 0.0, + 899.0, + 683.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 692.0, + 289.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 444.0, + 0.0, + 919.0, + 670.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 706.0, + 296.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 436.0, + 0.0, + 885.0, + 665.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 710.0, + 314.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/135625b53d" + }, + "13870016f9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 363.0, + 30.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 175.0, + 234.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 891.0, + 151.0, + 959.0, + 358.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 334.0, + 29.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 176.0, + 230.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 623.0, + 139.0, + 959.0, + 365.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 239.0, + 17.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 176.0, + 272.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 583.0, + 146.0, + 959.0, + 360.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 406.0, + 21.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 174.0, + 322.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 518.0, + 141.0, + 959.0, + 380.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/13870016f9" + }, + "13960b3c84": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 127.0, + 1.0, + 1043.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 504.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 279.0, + 380.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 762.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 93.0, + 270.0, + 419.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 58.0, + 289.0, + 1243.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 753.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 96.0, + 276.0, + 426.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 66.0, + 316.0, + 1257.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 18.0, + 0.0, + 835.0, + 712.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 406.0, + 617.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 172.0, + 261.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/13960b3c84" + }, + "13adaad9d9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 489.0, + 195.0, + 804.0, + 654.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 498.0, + 186.0, + 809.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 510.0, + 176.0, + 818.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 545.0, + 256.0, + 742.0, + 531.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/13adaad9d9" + }, + "13ae097e20": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 116.0, + 101.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 112.0, + 11.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 64.0, + 0.0, + 1053.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 236.0, + 0.0, + 1253.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/13ae097e20" + }, + "13e3070469": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 178.0, + 318.0, + 440.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 873.0, + 335.0, + 1006.0, + 446.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 776.0, + 302.0, + 1014.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 213.0, + 312.0, + 497.0, + 461.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 858.0, + 334.0, + 1018.0, + 441.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 751.0, + 292.0, + 984.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 147.0, + 310.0, + 406.0, + 463.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 723.0, + 331.0, + 870.0, + 451.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 613.0, + 294.0, + 835.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 100.0, + 297.0, + 373.0, + 449.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 670.0, + 321.0, + 805.0, + 439.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 534.0, + 280.0, + 779.0, + 417.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00115", + "00135", + "00165", + "00180" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/13e3070469" + }, + "13f6a8c20d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 770.0, + 266.0, + 1028.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 822.0, + 383.0, + 1124.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 798.0, + 341.0, + 1120.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 847.0, + 292.0, + 1172.0, + 412.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/13f6a8c20d" + }, + "1416925cf2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 505.0, + 196.0, + 1023.0, + 509.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 81.0, + 19.0, + 610.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 508.0, + 206.0, + 1003.0, + 509.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 94.0, + 35.0, + 612.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 482.0, + 206.0, + 962.0, + 503.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 77.0, + 36.0, + 579.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 478.0, + 217.0, + 923.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 100.0, + 62.0, + 575.0, + 476.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1416925cf2" + }, + "142d2621f5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 5.0, + 0.0, + 437.0, + 323.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 568.0, + 0.0, + 930.0, + 248.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 385.0, + 9.0, + 999.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 393.0, + 322.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 530.0, + 0.0, + 864.0, + 234.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 341.0, + 6.0, + 960.0, + 685.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 17.0, + 0.0, + 440.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 497.0, + 0.0, + 798.0, + 187.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 315.0, + 0.0, + 894.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 39.0, + 52.0, + 454.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 474.0, + 0.0, + 783.0, + 289.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 319.0, + 96.0, + 881.0, + 676.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/142d2621f5" + }, + "145d5d7c03": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 314.0, + 268.0, + 763.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 313.0, + 241.0, + 703.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 306.0, + 197.0, + 677.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 336.0, + 199.0, + 694.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/145d5d7c03" + }, + "145fdc3ac5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 166.0, + 842.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 167.0, + 833.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 156.0, + 844.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 150.0, + 872.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/145fdc3ac5" + }, + "1471274fa7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 378.0, + 156.0, + 572.0, + 692.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 392.0, + 191.0, + 600.0, + 607.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 362.0, + 196.0, + 579.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 312.0, + 143.0, + 612.0, + 610.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1471274fa7" + }, + "14a6b5a139": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 47.0, + 165.0, + 260.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 6.0, + 169.0, + 238.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 36.0, + 187.0, + 315.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 5.0, + 203.0, + 358.0, + 517.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/14a6b5a139" + }, + "14c21cea0d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 368.0, + 211.0, + 734.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 746.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 166.0, + 47.0, + 757.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 746.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 104.0, + 0.0, + 739.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 762.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 302.0, + 313.0, + 953.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 834.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/14c21cea0d" + }, + "14dae0dc93": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 541.0, + 12.0, + 1034.0, + 436.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 588.0, + 155.0, + 858.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 515.0, + 5.0, + 1005.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 553.0, + 147.0, + 827.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 413.0, + 124.0, + 879.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 415.0, + 259.0, + 689.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 465.0, + 69.0, + 944.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 468.0, + 199.0, + 746.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/14dae0dc93" + }, + "14f9bd22b5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 487.0, + 353.0, + 1022.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 397.0, + 520.0, + 992.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 301.0, + 60.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/14f9bd22b5" + }, + "14fd28ae99": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 371.0, + 325.0, + 606.0, + 505.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 8.0, + 463.0, + 542.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 243.0, + 333.0, + 608.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 388.0, + 426.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 315.0, + 356.0, + 627.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 439.0, + 464.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 400.0, + 374.0, + 660.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 102.0, + 413.0, + 545.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/14fd28ae99" + }, + "15097d5d4e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 367.0, + 56.0, + 966.0, + 673.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 100.0, + 1158.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 365.0, + 237.0, + 694.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1072.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 311.0, + 204.0, + 903.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1075.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 89.0, + 68.0, + 764.0, + 439.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1006.0, + 682.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/15097d5d4e" + }, + "150ea711f2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 20.0, + 117.0, + 1279.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 34.0, + 1279.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 25.0, + 1279.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 4.0, + 1279.0, + 536.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/150ea711f2" + }, + "1514e3563f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 589.0, + 6.0, + 944.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 593.0, + 237.0, + 986.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 489.0, + 33.0, + 1150.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 451.0, + 179.0, + 1034.0, + 430.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 481.0, + 44.0, + 1279.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 233.0, + 110.0, + 1279.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1514e3563f" + }, + "152aaa3a9e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 39.0, + 19.0, + 984.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 41.0, + 108.0, + 1000.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 21.0, + 113.0, + 962.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 3.0, + 42.0, + 952.0, + 694.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/152aaa3a9e" + }, + "152b7d3bd7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 341.0, + 64.0, + 1080.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 322.0, + 45.0, + 1087.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 344.0, + 62.0, + 1091.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 350.0, + 74.0, + 1089.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/152b7d3bd7" + }, + "15617297cc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 819.0, + 233.0, + 911.0, + 347.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 713.0, + 454.0, + 821.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 286.0, + 461.0, + 403.0, + 711.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 211.0, + 704.0, + 430.0, + 716.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/15617297cc" + }, + "15abbe0c52": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 679.0, + 435.0, + 741.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 709.0, + 563.0, + 742.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 640.0, + 424.0, + 715.0, + 558.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 677.0, + 556.0, + 713.0, + 567.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 558.0, + 443.0, + 631.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 599.0, + 560.0, + 625.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 909.0, + 436.0, + 1035.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 920.0, + 585.0, + 967.0, + 618.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/15abbe0c52" + }, + "15d1fb3de5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 865.0, + 146.0, + 1172.0, + 619.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 162.0, + 520.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 861.0, + 152.0, + 1168.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 201.0, + 572.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 857.0, + 157.0, + 1173.0, + 635.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 218.0, + 571.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 879.0, + 154.0, + 1207.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 215.0, + 595.0, + 567.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/15d1fb3de5" + }, + "15f67b0fab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 762.0, + 211.0, + 923.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 784.0, + 466.0, + 881.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 561.0, + 14.0, + 862.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 545.0, + 490.0, + 804.0, + 549.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 323.0, + 0.0, + 615.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 343.0, + 546.0, + 648.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 379.0, + 87.0, + 591.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 477.0, + 418.0, + 589.0, + 465.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/15f67b0fab" + }, + "161eb59aad": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 614.0, + 242.0, + 772.0, + 523.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 713.0, + 423.0, + 735.0, + 447.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 883.0, + 439.0, + 959.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 570.0, + 275.0, + 739.0, + 547.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 717.0, + 433.0, + 787.0, + 490.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 917.0, + 460.0, + 993.0, + 500.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 515.0, + 260.0, + 614.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 779.0, + 386.0, + 853.0, + 443.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 985.0, + 413.0, + 1061.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 483.0, + 195.0, + 580.0, + 557.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 773.0, + 312.0, + 851.0, + 367.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 978.0, + 339.0, + 1056.0, + 379.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/161eb59aad" + }, + "16288ea47f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 317.0, + 305.0, + 979.0, + 666.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 417.0, + 128.0, + 867.0, + 312.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 254.0, + 332.0, + 901.0, + 685.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 382.0, + 140.0, + 775.0, + 339.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 204.0, + 344.0, + 876.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 370.0, + 154.0, + 733.0, + 348.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 170.0, + 360.0, + 850.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 331.0, + 157.0, + 712.0, + 343.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16288ea47f" + }, + "164410ce62": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 174.0, + 57.0, + 454.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 284.0, + 60.0, + 546.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 423.0, + 59.0, + 730.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 457.0, + 64.0, + 794.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/164410ce62" + }, + "165c3c8cd4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 176.0, + 312.0, + 322.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 282.0, + 288.0, + 385.0, + 635.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 268.0, + 76.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 315.0, + 224.0, + 457.0, + 684.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 358.0, + 200.0, + 457.0, + 541.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 53.0, + 172.0, + 164.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 623.0, + 142.0, + 778.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 571.0, + 131.0, + 669.0, + 482.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 222.0, + 58.0, + 362.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 629.0, + 166.0, + 782.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 522.0, + 153.0, + 638.0, + 518.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 66.0, + 39.0, + 267.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/165c3c8cd4" + }, + "165c42b41b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 379.0, + 290.0, + 531.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 454.0, + 338.0, + 618.0, + 466.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 23.0, + 314.0, + 200.0, + 443.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 293.0, + 80.0, + 443.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 689.0, + 337.0, + 908.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 754.0, + 395.0, + 945.0, + 542.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 655.0, + 361.0, + 748.0, + 497.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 598.0, + 320.0, + 696.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 577.0, + 369.0, + 825.0, + 601.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 624.0, + 435.0, + 881.0, + 608.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 741.0, + 392.0, + 946.0, + 544.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 705.0, + 348.0, + 912.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 446.0, + 352.0, + 787.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 446.0, + 430.0, + 842.0, + 667.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 1101.0, + 397.0, + 1279.0, + 577.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 1098.0, + 339.0, + 1279.0, + 427.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/165c42b41b" + }, + "165ec9e22b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 150.0, + 631.0, + 408.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 403.0, + 329.0, + 452.0, + 457.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 662.0, + 270.0, + 750.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 138.0, + 364.0, + 150.0, + 405.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 533.0, + 198.0, + 631.0, + 435.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/165ec9e22b" + }, + "1669502269": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 489.0, + 258.0, + 694.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 475.0, + 94.0, + 697.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 512.0, + 62.0, + 742.0, + 227.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 612.0, + 113.0, + 825.0, + 306.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1669502269" + }, + "16763cccbb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 403.0, + 127.0, + 785.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 380.0, + 87.0, + 764.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 367.0, + 95.0, + 753.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 344.0, + 86.0, + 726.0, + 568.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16763cccbb" + }, + "16adde065e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 449.0, + 192.0, + 744.0, + 360.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 419.0, + 196.0, + 733.0, + 587.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 88.0, + 0.0, + 939.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 412.0, + 233.0, + 729.0, + 407.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 388.0, + 186.0, + 734.0, + 604.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 106.0, + 0.0, + 1270.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 863.0, + 225.0, + 1102.0, + 441.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 414.0, + 16.0, + 1016.0, + 571.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 485.0, + 0.0, + 1097.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 763.0, + 225.0, + 923.0, + 405.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 171.0, + 53.0, + 868.0, + 603.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 233.0, + 0.0, + 978.0, + 552.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16adde065e" + }, + "16af445362": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 210.0, + 245.0, + 1235.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 180.0, + 233.0, + 1201.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 184.0, + 208.0, + 1167.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 204.0, + 229.0, + 1173.0, + 529.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00050", + "00065", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16af445362" + }, + "16afd538ad": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 317.0, + 50.0, + 559.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 540.0, + 135.0, + 1279.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 321.0, + 66.0, + 567.0, + 508.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 558.0, + 154.0, + 1279.0, + 695.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 309.0, + 74.0, + 565.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 554.0, + 156.0, + 1279.0, + 693.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 256.0, + 130.0, + 504.0, + 536.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 433.0, + 209.0, + 1279.0, + 718.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16afd538ad" + }, + "16c3fa4d5d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 312.0, + 240.0, + 902.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 211.0, + 195.0, + 1034.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 155.0, + 137.0, + 1126.0, + 701.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 164.0, + 99.0, + 1129.0, + 652.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16c3fa4d5d" + }, + "16d1d65c27": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 787.0, + 228.0, + 929.0, + 447.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 633.0, + 160.0, + 830.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 590.0, + 140.0, + 759.0, + 394.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 458.0, + 96.0, + 628.0, + 279.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16d1d65c27" + }, + "16e8599e94": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 564.0, + 211.0, + 950.0, + 684.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 450.0, + 203.0, + 991.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 342.0, + 254.0, + 869.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 365.0, + 291.0, + 825.0, + 656.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16e8599e94" + }, + "16fe9fb444": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 78.0, + 712.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 99.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 151.0, + 695.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 23.0, + 92.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 134.0, + 713.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 151.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 87.0, + 649.0, + 636.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 361.0, + 381.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/16fe9fb444" + }, + "1705796b02": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 250.0, + 683.0, + 660.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 227.0, + 685.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 221.0, + 691.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 223.0, + 695.0, + 655.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1705796b02" + }, + "1724db7671": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 232.0, + 158.0, + 797.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 242.0, + 159.0, + 843.0, + 713.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 248.0, + 187.0, + 827.0, + 713.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 220.0, + 135.0, + 843.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1724db7671" + }, + "17418e81ea": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 720.0, + 188.0, + 1279.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 621.0, + 252.0, + 1279.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 597.0, + 88.0, + 1274.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 710.0, + 55.0, + 994.0, + 359.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17418e81ea" + }, + "175169edbb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 780.0, + 0.0, + 1279.0, + 498.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 363.0, + 272.0, + 851.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 852.0, + 0.0, + 1279.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 458.0, + 200.0, + 909.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 830.0, + 0.0, + 1279.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 459.0, + 224.0, + 1003.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 798.0, + 0.0, + 1279.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 440.0, + 185.0, + 888.0, + 606.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/175169edbb" + }, + "17622326fd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 420.0, + 43.0, + 801.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 422.0, + 0.0, + 809.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 570.0, + 0.0, + 935.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 554.0, + 0.0, + 921.0, + 529.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17622326fd" + }, + "17656bae77": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 750.0, + 38.0, + 1125.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 671.0, + 52.0, + 1015.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 636.0, + 43.0, + 1013.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 622.0, + 48.0, + 1001.0, + 579.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17656bae77" + }, + "17b0d94172": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 69.0, + 59.0, + 642.0, + 380.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 24.0, + 624.0, + 383.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 50.0, + 18.0, + 592.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 20.0, + 10.0, + 532.0, + 402.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00020", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17b0d94172" + }, + "17c220e4f6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 403.0, + 253.0, + 722.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 407.0, + 196.0, + 765.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 401.0, + 190.0, + 781.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 300.0, + 149.0, + 782.0, + 562.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17c220e4f6" + }, + "17c7bcd146": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 353.0, + 358.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 349.0, + 392.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 348.0, + 474.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 316.0, + 586.0, + 498.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17c7bcd146" + }, + "17cb4afe89": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 704.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 4.0, + 49.0, + 1279.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 34.0, + 8.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 103.0, + 0.0, + 1024.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17cb4afe89" + }, + "17cd79a434": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 233.0, + 0.0, + 1126.0, + 660.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 205.0, + 0.0, + 1082.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 199.0, + 0.0, + 1086.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 165.0, + 0.0, + 1076.0, + 634.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17cd79a434" + }, + "17d18604c3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 312.0, + 311.0, + 728.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 576.0, + 108.0, + 676.0, + 331.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 1181.0, + 518.0, + 1233.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 372.0, + 378.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 344.0, + 118.0, + 451.0, + 583.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 968.0, + 558.0, + 1023.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 387.0, + 214.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 265.0, + 115.0, + 376.0, + 594.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 887.0, + 573.0, + 947.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 88.0, + 79.0, + 217.0, + 607.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 755.0, + 593.0, + 825.0, + 656.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17d18604c3" + }, + "17d8ca1a37": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 424.0, + 291.0, + 684.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 64.0, + 680.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 481.0, + 352.0, + 723.0, + 627.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 100.0, + 725.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 534.0, + 306.0, + 747.0, + 635.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 99.0, + 756.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 546.0, + 296.0, + 744.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 101.0, + 762.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17d8ca1a37" + }, + "17e33f4330": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 621.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 562.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 687.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 516.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17e33f4330" + }, + "17f7a6d805": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 129.0, + 0.0, + 1220.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 181.0, + 0.0, + 1236.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 223.0, + 0.0, + 1227.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 300.0, + 0.0, + 1279.0, + 657.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/17f7a6d805" + }, + "180abc8378": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 471.0, + 201.0, + 654.0, + 484.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 114.0, + 0.0, + 815.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 613.0, + 221.0, + 855.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 194.0, + 0.0, + 771.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 588.0, + 214.0, + 852.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 146.0, + 0.0, + 750.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 511.0, + 248.0, + 731.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 143.0, + 7.0, + 722.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/180abc8378" + }, + "183ba3d652": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 560.0, + 118.0, + 637.0, + 177.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 464.0, + 168.0, + 691.0, + 453.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 458.0, + 167.0, + 812.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 643.0, + 31.0, + 734.0, + 102.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 513.0, + 89.0, + 776.0, + 437.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 512.0, + 84.0, + 929.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 701.0, + 225.0, + 792.0, + 297.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 586.0, + 280.0, + 846.0, + 627.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 589.0, + 273.0, + 999.0, + 647.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 814.0, + 257.0, + 905.0, + 329.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 697.0, + 313.0, + 953.0, + 656.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 696.0, + 295.0, + 1103.0, + 671.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00165", + "00190", + "00205", + "00225" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/183ba3d652" + }, + "185bf64702": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 148.0, + 181.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 636.0, + 177.0, + 1143.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 91.0, + 661.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 724.0, + 0.0, + 1236.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 443.0, + 0.0, + 1279.0, + 699.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 101.0, + 627.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00085", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/185bf64702" + }, + "18913cc690": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 632.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 642.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 624.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 592.0, + 556.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/18913cc690" + }, + "1892651815": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 278.0, + 102.0, + 624.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 273.0, + 97.0, + 707.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 1.0, + 186.0, + 669.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 5.0, + 184.0, + 665.0, + 703.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1892651815" + }, + "189ac8208a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 432.0, + 112.0, + 650.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 952.0, + 187.0, + 1279.0, + 665.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 440.0, + 112.0, + 653.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 914.0, + 191.0, + 1279.0, + 670.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 464.0, + 125.0, + 653.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 770.0, + 214.0, + 1279.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 460.0, + 106.0, + 663.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 643.0, + 167.0, + 1069.0, + 650.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/189ac8208a" + }, + "189b44e92c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 216.0, + 277.0, + 904.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 230.0, + 270.0, + 894.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 375.0, + 214.0, + 1041.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 387.0, + 221.0, + 950.0, + 580.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/189b44e92c" + }, + "18ac264b76": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 432.0, + 317.0, + 697.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 490.0, + 35.0, + 689.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 474.0, + 318.0, + 534.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 145.0, + 415.0, + 204.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 244.0, + 0.0, + 647.0, + 597.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/18ac264b76" + }, + "18b245ab49": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 582.0, + 297.0, + 877.0, + 665.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 333.0, + 362.0, + 643.0, + 519.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 0.0, + 262.0, + 329.0, + 444.0 + ], + "valid": 1 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 616.0, + 128.0, + 809.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 614.0, + 378.0, + 989.0, + 672.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 463.0, + 358.0, + 673.0, + 511.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 89.0, + 284.0, + 454.0, + 445.0 + ], + "valid": 1 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 693.0, + 159.0, + 941.0, + 394.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 567.0, + 314.0, + 936.0, + 667.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 478.0, + 359.0, + 696.0, + 511.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 106.0, + 285.0, + 459.0, + 445.0 + ], + "valid": 1 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 716.0, + 158.0, + 948.0, + 395.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 258.0, + 484.0, + 646.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 419.0, + 325.0, + 776.0, + 518.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 134.0, + 228.0, + 447.0, + 444.0 + ], + "valid": 1 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 772.0, + 108.0, + 937.0, + 396.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/18b245ab49" + }, + "18b5cebc34": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 272.0, + 0.0, + 829.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 246.0, + 0.0, + 805.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 336.0, + 0.0, + 885.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 318.0, + 0.0, + 877.0, + 585.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/18b5cebc34" + }, + "18bad52083": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 213.0, + 237.0, + 601.0, + 687.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 558.0, + 0.0, + 1129.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 187.0, + 239.0, + 581.0, + 696.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 565.0, + 0.0, + 1106.0, + 567.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 227.0, + 261.0, + 578.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 554.0, + 54.0, + 1008.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 249.0, + 315.0, + 586.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 590.0, + 110.0, + 1009.0, + 643.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/18bad52083" + }, + "18bb5144d5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 186.0, + 0.0, + 531.0, + 344.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 167.0, + 20.0, + 513.0, + 357.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 232.0, + 14.0, + 557.0, + 355.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 340.0, + 64.0, + 713.0, + 355.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/18bb5144d5" + }, + "18c6f205c5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 361.0, + 164.0, + 395.0, + 226.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 735.0, + 159.0, + 754.0, + 213.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1112.0, + 159.0, + 1136.0, + 217.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 365.0, + 167.0, + 390.0, + 229.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 737.0, + 158.0, + 757.0, + 215.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1110.0, + 159.0, + 1140.0, + 219.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 354.0, + 169.0, + 383.0, + 234.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 729.0, + 162.0, + 740.0, + 180.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1121.0, + 163.0, + 1151.0, + 222.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 410.0, + 173.0, + 448.0, + 238.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 782.0, + 162.0, + 814.0, + 207.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1215.0, + 165.0, + 1248.0, + 224.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/18c6f205c5" + }, + "1903f9ea15": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 503.0, + 416.0, + 774.0, + 685.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 796.0, + 472.0, + 1018.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 428.0, + 411.0, + 516.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 371.0, + 192.0, + 597.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 514.0, + 221.0, + 864.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 174.0, + 223.0, + 642.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 412.0, + 383.0, + 685.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 723.0, + 423.0, + 952.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 323.0, + 382.0, + 462.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "bird", + "bbox": [ + 85.0, + 640.0, + 215.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1903f9ea15" + }, + "1917b209f2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 516.0, + 69.0, + 880.0, + 547.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 476.0, + 277.0, + 829.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 571.0, + 428.0, + 1049.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "cow", + "bbox": [ + 137.0, + 337.0, + 535.0, + 705.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 76.0, + 824.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 499.0, + 293.0, + 795.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "cow", + "bbox": [ + 208.0, + 348.0, + 564.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 513.0, + 40.0, + 855.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 513.0, + 256.0, + 871.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 789.0, + 413.0, + 1047.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "cow", + "bbox": [ + 211.0, + 322.0, + 571.0, + 689.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 532.0, + 36.0, + 884.0, + 492.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 502.0, + 241.0, + 860.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 789.0, + 405.0, + 1046.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "cow", + "bbox": [ + 198.0, + 312.0, + 602.0, + 684.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1917b209f2" + }, + "191e74c01d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 186.0, + 0.0, + 1231.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 56.0, + 32.0, + 1025.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 83.0, + 985.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 348.0, + 0.0, + 1279.0, + 524.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/191e74c01d" + }, + "19367bb94e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 292.0, + 227.0, + 1279.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 301.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 84.0, + 777.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 853.0, + 144.0, + 1279.0, + 506.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 633.0, + 0.0, + 1279.0, + 126.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 307.0, + 308.0, + 504.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 538.0, + 203.0, + 1279.0, + 635.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 316.0, + 112.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 432.0, + 137.0, + 1279.0, + 626.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19367bb94e" + }, + "193ffaa217": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 503.0, + 185.0, + 574.0, + 408.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 569.0, + 132.0, + 685.0, + 467.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 437.0, + 163.0, + 505.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 509.0, + 140.0, + 632.0, + 436.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 146.0, + 302.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 521.0, + 204.0, + 593.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 590.0, + 166.0, + 695.0, + 438.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 237.0, + 318.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 484.0, + 195.0, + 540.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 533.0, + 167.0, + 625.0, + 392.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 320.0, + 672.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/193ffaa217" + }, + "19696b67d3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 567.0, + 391.0, + 835.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 564.0, + 401.0, + 855.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 567.0, + 409.0, + 854.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 567.0, + 375.0, + 794.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19696b67d3" + }, + "197f3ab6f3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 512.0, + 217.0, + 889.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 524.0, + 71.0, + 777.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 568.0, + 36.0, + 857.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 534.0, + 75.0, + 835.0, + 657.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/197f3ab6f3" + }, + "1981e763cc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 245.0, + 268.0, + 462.0, + 705.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 850.0, + 206.0, + 996.0, + 391.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 164.0, + 278.0, + 416.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 873.0, + 215.0, + 1009.0, + 390.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 303.0, + 179.0, + 598.0, + 652.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 851.0, + 179.0, + 994.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 318.0, + 192.0, + 754.0, + 653.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 805.0, + 203.0, + 962.0, + 376.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1981e763cc" + }, + "198afe39ae": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 886.0, + 443.0, + 947.0, + 490.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 447.0, + 432.0, + 677.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 544.0, + 289.0, + 750.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 544.0, + 495.0, + 623.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 684.0, + 318.0, + 841.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 671.0, + 505.0, + 842.0, + 572.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/198afe39ae" + }, + "19a6e62b9b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 68.0, + 849.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 61.0, + 835.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 132.0, + 839.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 278.0, + 167.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 294.0, + 426.0, + 1251.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19a6e62b9b" + }, + "19b60d5335": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 34.0, + 32.0, + 758.0, + 637.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 410.0, + 0.0, + 824.0, + 269.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 41.0, + 42.0, + 798.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 488.0, + 0.0, + 727.0, + 240.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 30.0, + 54.0, + 782.0, + 645.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 406.0, + 0.0, + 792.0, + 213.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 60.0, + 26.0, + 811.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 465.0, + 0.0, + 595.0, + 59.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19b60d5335" + }, + "19c00c11f9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 551.0, + 421.0, + 667.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 527.0, + 561.0, + 617.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 660.0, + 437.0, + 765.0, + 558.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 649.0, + 551.0, + 720.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 562.0, + 444.0, + 657.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 607.0, + 379.0, + 707.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 561.0, + 572.0, + 671.0, + 590.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19c00c11f9" + }, + "19e061eb88": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 77.0, + 381.0, + 1273.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 385.0, + 275.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 208.0, + 370.0, + 1279.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 366.0, + 307.0, + 444.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 306.0, + 381.0, + 1279.0, + 505.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 26.0, + 360.0, + 332.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 289.0, + 364.0, + 1279.0, + 498.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 363.0, + 201.0, + 437.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19e061eb88" + }, + "19e8bc6178": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 202.0, + 30.0, + 849.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 418.0, + 61.0, + 971.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 348.0, + 148.0, + 949.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 408.0, + 93.0, + 959.0, + 548.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19e8bc6178" + }, + "19ee80dac6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 577.0, + 388.0, + 630.0, + 435.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 293.0, + 473.0, + 766.0, + 655.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 691.0, + 404.0, + 997.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 507.0, + 331.0, + 586.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 622.0, + 146.0, + 688.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 437.0, + 495.0, + 762.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 369.0, + 146.0, + 506.0, + 364.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 415.0, + 366.0, + 549.0, + 409.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 243.0, + 618.0, + 446.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 503.0, + 421.0, + 748.0, + 459.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/19ee80dac6" + }, + "1a25a9170a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 342.0, + 74.0, + 921.0, + 605.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 454.0, + 10.0, + 689.0, + 438.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 606.0, + 270.0, + 955.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 200.0, + 114.0, + 773.0, + 494.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 350.0, + 0.0, + 592.0, + 383.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 354.0, + 237.0, + 588.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 390.0, + 211.0, + 644.0, + 574.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 512.0, + 60.0, + 683.0, + 436.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 590.0, + 268.0, + 797.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 396.0, + 220.0, + 701.0, + 574.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 524.0, + 69.0, + 667.0, + 455.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 661.0, + 262.0, + 867.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a25a9170a" + }, + "1a359a6c1a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 174.0, + 448.0, + 1173.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 242.0, + 315.0, + 474.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 260.0, + 312.0, + 393.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 263.0, + 296.0, + 388.0, + 467.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a359a6c1a" + }, + "1a3e87c566": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 522.0, + 149.0, + 961.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 520.0, + 147.0, + 965.0, + 428.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 624.0, + 114.0, + 1001.0, + 384.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 614.0, + 152.0, + 987.0, + 386.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a3e87c566" + }, + "1a5fe06b00": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 490.0, + 301.0, + 827.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 476.0, + 170.0, + 919.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 498.0, + 147.0, + 952.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 412.0, + 156.0, + 885.0, + 612.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00060", + "00080", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a5fe06b00" + }, + "1a6c0fbd1e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 446.0, + 188.0, + 693.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 462.0, + 564.0, + 731.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 721.0, + 187.0, + 965.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 765.0, + 538.0, + 1003.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 749.0, + 162.0, + 955.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 727.0, + 513.0, + 979.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 741.0, + 163.0, + 955.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 757.0, + 506.0, + 985.0, + 563.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a6c0fbd1e" + }, + "1a6f3b5a4b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 243.0, + 306.0, + 908.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 671.0, + 152.0, + 741.0, + 185.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 310.0, + 256.0, + 981.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 663.0, + 118.0, + 751.0, + 150.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 301.0, + 308.0, + 961.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 643.0, + 149.0, + 752.0, + 185.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 162.0, + 295.0, + 827.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 507.0, + 142.0, + 621.0, + 186.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00130", + "00140", + "00175", + "00190" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a6f3b5a4b" + }, + "1a8afbad92": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 159.0, + 436.0, + 366.0, + 557.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 473.0, + 28.0, + 510.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 454.0, + 427.0, + 649.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 94.0, + 455.0, + 315.0, + 606.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 968.0, + 348.0, + 1172.0, + 494.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 627.0, + 374.0, + 848.0, + 528.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 561.0, + 420.0, + 872.0, + 567.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a8afbad92" + }, + "1a8bdc5842": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 534.0, + 177.0, + 1071.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 442.0, + 0.0, + 835.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 657.0, + 121.0, + 1017.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 172.0, + 127.0, + 947.0, + 635.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 755.0, + 148.0, + 1151.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 272.0, + 199.0, + 514.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 639.0, + 43.0, + 1227.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 272.0, + 110.0, + 518.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a8bdc5842" + }, + "1a95752aca": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 320.0, + 801.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 562.0, + 446.0, + 820.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 16.0, + 407.0, + 773.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 517.0, + 512.0, + 645.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 481.0, + 689.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 723.0, + 350.0, + 932.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 309.0, + 820.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 576.0, + 437.0, + 754.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a95752aca" + }, + "1a9c131cb7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 384.0, + 364.0, + 779.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 1152.0, + 503.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 953.0, + 95.0, + 1195.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 334.0, + 363.0, + 673.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 1160.0, + 504.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 953.0, + 59.0, + 1166.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 349.0, + 349.0, + 654.0, + 594.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 1156.0, + 504.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 958.0, + 50.0, + 1174.0, + 383.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 321.0, + 345.0, + 591.0, + 611.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 1076.0, + 559.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 929.0, + 63.0, + 1151.0, + 395.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1a9c131cb7" + }, + "1aa3da3ee3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 1002.0, + 382.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 454.0, + 308.0, + 906.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 205.0, + 315.0, + 513.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 330.0, + 171.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1160.0, + 331.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 694.0, + 312.0, + 1072.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 627.0, + 343.0, + 759.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 269.0, + 319.0, + 607.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1178.0, + 354.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 870.0, + 342.0, + 1189.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 842.0, + 348.0, + 949.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 1000.0, + 328.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 938.0, + 340.0, + 1104.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1aa3da3ee3" + }, + "1ab27ec7ea": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 188.0, + 122.0, + 372.0, + 316.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 279.0, + 78.0, + 375.0, + 299.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 243.0, + 101.0, + 426.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 244.0, + 98.0, + 377.0, + 316.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ab27ec7ea" + }, + "1abf16d21d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 671.0, + 209.0, + 894.0, + 329.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 623.0, + 175.0, + 909.0, + 300.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 626.0, + 274.0, + 820.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 653.0, + 299.0, + 826.0, + 536.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1abf16d21d" + }, + "1acd0f993b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 394.0, + 393.0, + 686.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 372.0, + 455.0, + 436.0, + 490.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 22.0, + 68.0, + 224.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 314.0, + 418.0, + 660.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 337.0, + 445.0, + 403.0, + 492.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 16.0, + 73.0, + 250.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 415.0, + 430.0, + 699.0, + 637.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 408.0, + 465.0, + 480.0, + 519.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 44.0, + 123.0, + 448.0, + 639.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 258.0, + 367.0, + 562.0, + 635.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 224.0, + 416.0, + 292.0, + 457.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 36.0, + 81.0, + 246.0, + 642.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1acd0f993b" + }, + "1ad202e499": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 254.0, + 0.0, + 1087.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 302.0, + 69.0, + 1052.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 528.0, + 44.0, + 1051.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 516.0, + 0.0, + 863.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 508.0, + 48.0, + 1011.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 500.0, + 0.0, + 849.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 456.0, + 50.0, + 949.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 436.0, + 0.0, + 790.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ad202e499" + }, + "1af8d2395d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 821.0, + 291.0, + 1279.0, + 435.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 815.0, + 174.0, + 1279.0, + 367.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 865.0, + 619.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 505.0, + 254.0, + 836.0, + 582.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 370.0, + 3.0, + 1131.0, + 561.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 45.0, + 108.0, + 213.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 356.0, + 206.0, + 789.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 208.0, + 44.0, + 1025.0, + 566.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 616.0, + 0.0, + 715.0, + 30.0 + ], + "valid": 1 + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 450.0, + 200.0, + 839.0, + 688.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 430.0, + 79.0, + 899.0, + 615.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1af8d2395d" + }, + "1afd39a1fa": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 597.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 29.0, + 545.0, + 1165.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 1195.0, + 672.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 630.0, + 1198.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 577.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 31.0, + 511.0, + 1264.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 504.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 413.0, + 1239.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1afd39a1fa" + }, + "1b2d31306f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 111.0, + 967.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 58.0, + 973.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 57.0, + 969.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 63.0, + 973.0, + 602.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b2d31306f" + }, + "1b3fa67f0e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 230.0, + 256.0, + 1088.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 264.0, + 276.0, + 1149.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 249.0, + 262.0, + 1157.0, + 472.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 267.0, + 242.0, + 1215.0, + 467.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b3fa67f0e" + }, + "1b43fa74b4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 549.0, + 151.0, + 876.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 510.0, + 298.0, + 751.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 557.0, + 149.0, + 877.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 508.0, + 300.0, + 746.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 553.0, + 150.0, + 872.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 519.0, + 303.0, + 754.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 562.0, + 152.0, + 863.0, + 480.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 524.0, + 296.0, + 751.0, + 628.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b43fa74b4" + }, + "1b73ea9fc2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 409.0, + 310.0, + 985.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 575.0, + 186.0, + 854.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 528.0, + 202.0, + 827.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 476.0, + 198.0, + 829.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b73ea9fc2" + }, + "1b7e8bb255": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 731.0, + 187.0, + 782.0, + 239.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 695.0, + 224.0, + 841.0, + 518.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 673.0, + 500.0, + 765.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 751.0, + 188.0, + 803.0, + 250.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 763.0, + 221.0, + 871.0, + 535.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 753.0, + 514.0, + 837.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 544.0, + 113.0, + 585.0, + 146.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 467.0, + 142.0, + 626.0, + 459.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 484.0, + 451.0, + 583.0, + 490.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 576.0, + 126.0, + 625.0, + 171.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 524.0, + 153.0, + 692.0, + 498.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 503.0, + 479.0, + 643.0, + 525.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b7e8bb255" + }, + "1b8680f8cd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 662.0, + 423.0, + 770.0, + 608.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 502.0, + 336.0, + 533.0, + 392.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 965.0, + 423.0, + 992.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 891.0, + 425.0, + 976.0, + 676.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 431.0, + 332.0, + 459.0, + 393.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 896.0, + 523.0, + 948.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 935.0, + 458.0, + 1034.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 445.0, + 341.0, + 478.0, + 402.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 1009.0, + 511.0, + 1161.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 421.0, + 345.0, + 460.0, + 404.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b8680f8cd" + }, + "1b883843c0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 348.0, + 0.0, + 1269.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 358.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 280.0, + 145.0, + 1027.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 220.0, + 152.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 272.0, + 83.0, + 1079.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 290.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 460.0, + 270.0, + 923.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 203.0, + 270.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b883843c0" + }, + "1b8898785b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 654.0, + 97.0, + 751.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 784.0, + 7.0, + 903.0, + 145.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 621.0, + 106.0, + 798.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 801.0, + 17.0, + 919.0, + 157.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 669.0, + 127.0, + 865.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 775.0, + 30.0, + 895.0, + 172.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 573.0, + 310.0, + 854.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 757.0, + 0.0, + 875.0, + 116.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b8898785b" + }, + "1b88ba1aa4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 599.0, + 284.0, + 1024.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 599.0, + 290.0, + 1026.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 597.0, + 293.0, + 1026.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 601.0, + 296.0, + 1024.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b88ba1aa4" + }, + "1b96a498e5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 240.0, + 0.0, + 1102.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 330.0, + 0.0, + 1205.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 24.0, + 0.0, + 971.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 174.0, + 0.0, + 1211.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1b96a498e5" + }, + "1bbc4c274f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "fish", + "bbox": [ + 517.0, + 363.0, + 551.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "fish", + "bbox": [ + 352.0, + 459.0, + 412.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": {} + }, + { + "1": {}, + "2": {} + } + ], + "frame_names": [ + "00045", + "00050", + "00075", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1bbc4c274f" + }, + "1bd87fe9ab": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00095", + "00105", + "00120", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1bd87fe9ab" + }, + "1c4090c75b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 159.0, + 162.0, + 578.0, + 309.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 142.0, + 69.0, + 630.0, + 170.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 236.0, + 78.0, + 673.0, + 215.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 228.0, + 79.0, + 715.0, + 260.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1c4090c75b" + }, + "1c41934f84": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 514.0, + 97.0, + 1031.0, + 583.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 440.0, + 152.0, + 704.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 509.0, + 79.0, + 929.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 401.0, + 100.0, + 689.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 517.0, + 65.0, + 890.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 392.0, + 103.0, + 799.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 547.0, + 77.0, + 932.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 433.0, + 115.0, + 716.0, + 484.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1c41934f84" + }, + "1c72b04b56": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 37.0, + 34.0, + 1279.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 17.0, + 33.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 191.0, + 68.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 99.0, + 102.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1c72b04b56" + }, + "1c87955a3a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 12.0, + 168.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 465.0, + 35.0, + 1046.0, + 298.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 33.0, + 167.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 493.0, + 40.0, + 1046.0, + 306.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 44.0, + 172.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 507.0, + 40.0, + 1050.0, + 302.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 58.0, + 178.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 530.0, + 48.0, + 1071.0, + 314.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1c87955a3a" + }, + "1c9f9eb792": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 630.0, + 501.0, + 684.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 616.0, + 377.0, + 720.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 665.0, + 574.0, + 797.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 622.0, + 352.0, + 839.0, + 654.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 689.0, + 344.0, + 1161.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 865.0, + 396.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1c9f9eb792" + }, + "1ca240fede": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 757.0, + 4.0, + 1245.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 753.0, + 13.0, + 1241.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 725.0, + 26.0, + 1241.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 711.0, + 31.0, + 1243.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ca240fede" + }, + "1ca5673803": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1179.0, + 337.0, + 1242.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 1141.0, + 376.0, + 1184.0, + 411.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 408.0, + 306.0, + 431.0, + 371.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1039.0, + 350.0, + 1123.0, + 521.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 1052.0, + 362.0, + 1062.0, + 423.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 422.0, + 305.0, + 443.0, + 367.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1006.0, + 351.0, + 1058.0, + 520.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 1051.0, + 369.0, + 1067.0, + 391.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 436.0, + 313.0, + 465.0, + 366.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1080.0, + 375.0, + 1250.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 1226.0, + 355.0, + 1279.0, + 429.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 440.0, + 299.0, + 476.0, + 352.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ca5673803" + }, + "1cada35274": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 389.0, + 498.0, + 738.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 567.0, + 283.0, + 1275.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 224.0, + 160.0, + 1056.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 56.0, + 211.0, + 1060.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1cada35274" + }, + "1cb44b920d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 522.0, + 213.0, + 1269.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 411.0, + 441.0, + 606.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 535.0, + 212.0, + 1269.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 431.0, + 348.0, + 638.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 533.0, + 211.0, + 1269.0, + 526.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 448.0, + 321.0, + 630.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 364.0, + 202.0, + 1269.0, + 508.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 389.0, + 439.0, + 645.0, + 565.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1cb44b920d" + }, + "1cd10e62be": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 52.0, + 861.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 13.0, + 733.0, + 690.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 30.0, + 697.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 22.0, + 704.0, + 671.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1cd10e62be" + }, + "1d3087d5e5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 467.0, + 369.0, + 491.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 710.0, + 305.0, + 749.0, + 368.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 748.0, + 198.0, + 769.0, + 270.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 946.0, + 286.0, + 1003.0, + 359.0 + ], + "valid": 1 + }, + "5": { + "category_name": "fish", + "bbox": [ + 937.0, + 394.0, + 969.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 453.0, + 383.0, + 485.0, + 437.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 714.0, + 334.0, + 746.0, + 396.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 736.0, + 212.0, + 764.0, + 287.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 920.0, + 282.0, + 972.0, + 355.0 + ], + "valid": 1 + }, + "5": { + "category_name": "fish", + "bbox": [ + 884.0, + 396.0, + 921.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 490.0, + 380.0, + 527.0, + 435.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 728.0, + 329.0, + 756.0, + 392.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 761.0, + 229.0, + 780.0, + 304.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 913.0, + 287.0, + 971.0, + 365.0 + ], + "valid": 1 + }, + "5": { + "category_name": "fish", + "bbox": [ + 868.0, + 404.0, + 907.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 524.0, + 368.0, + 569.0, + 423.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 733.0, + 274.0, + 760.0, + 337.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 769.0, + 208.0, + 803.0, + 288.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 880.0, + 286.0, + 952.0, + 369.0 + ], + "valid": 1 + }, + "5": { + "category_name": "fish", + "bbox": [ + 864.0, + 429.0, + 897.0, + 470.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1d3087d5e5" + }, + "1d3685150a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 317.0, + 333.0, + 416.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 523.0, + 82.0, + 646.0, + 443.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 646.0, + 332.0, + 775.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 328.0, + 290.0, + 435.0, + 582.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 499.0, + 22.0, + 635.0, + 394.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 734.0, + 279.0, + 831.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 325.0, + 276.0, + 437.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 485.0, + 7.0, + 625.0, + 383.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 722.0, + 269.0, + 848.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 389.0, + 294.0, + 491.0, + 599.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 494.0, + 14.0, + 634.0, + 402.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 827.0, + 297.0, + 961.0, + 715.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1d3685150a" + }, + "1d6ff083aa": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 392.0, + 66.0, + 847.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 366.0, + 0.0, + 491.0, + 89.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 394.0, + 148.0, + 1167.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 388.0, + 0.0, + 540.0, + 158.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 368.0, + 369.0, + 1181.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 370.0, + 0.0, + 544.0, + 293.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 266.0, + 0.0, + 793.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 239.0, + 0.0, + 414.0, + 306.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00060", + "00090", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1d6ff083aa" + }, + "1d746352a6": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 14.0, + 236.0, + 490.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 571.0, + 356.0, + 898.0, + 623.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 807.0, + 375.0, + 957.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 13.0, + 245.0, + 502.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 459.0, + 323.0, + 759.0, + 613.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 621.0, + 370.0, + 957.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 13.0, + 242.0, + 564.0, + 622.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 409.0, + 285.0, + 741.0, + 574.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 624.0, + 324.0, + 856.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 19.0, + 225.0, + 699.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 324.0, + 385.0, + 567.0, + 549.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 564.0, + 331.0, + 675.0, + 541.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1d746352a6" + }, + "1da256d146": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 282.0, + 99.0, + 835.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 268.0, + 105.0, + 865.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 274.0, + 79.0, + 861.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 294.0, + 89.0, + 875.0, + 540.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1da256d146" + }, + "1da4e956b1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 748.0, + 284.0, + 831.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 786.0, + 430.0, + 839.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 627.0, + 38.0, + 945.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 576.0, + 517.0, + 911.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 486.0, + 115.0, + 855.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 626.0, + 492.0, + 909.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 547.0, + 204.0, + 713.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 649.0, + 421.0, + 715.0, + 442.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1da4e956b1" + }, + "1daf812218": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 110.0, + 806.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 9.0, + 732.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 72.0, + 694.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 36.0, + 764.0, + 715.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1daf812218" + }, + "1dba687bce": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 248.0, + 78.0, + 1061.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 424.0, + 25.0, + 1257.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 272.0, + 81.0, + 1079.0, + 701.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 312.0, + 71.0, + 1119.0, + 687.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1dba687bce" + }, + "1dce57d05d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 569.0, + 110.0, + 1079.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 596.0, + 131.0, + 1107.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 604.0, + 127.0, + 1120.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 533.0, + 63.0, + 1046.0, + 493.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1dce57d05d" + }, + "1de4a9e537": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 369.0, + 148.0, + 803.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 365.0, + 198.0, + 684.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 952.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 402.0, + 170.0, + 722.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 904.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 421.0, + 134.0, + 748.0, + 368.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 922.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1de4a9e537" + }, + "1dec5446c8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 705.0, + 208.0, + 790.0, + 286.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 705.0, + 275.0, + 792.0, + 310.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 692.0, + 144.0, + 780.0, + 256.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 619.0, + 223.0, + 726.0, + 260.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 948.0, + 277.0, + 1044.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 908.0, + 372.0, + 1060.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 908.0, + 170.0, + 1029.0, + 285.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 807.0, + 230.0, + 1022.0, + 309.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1dec5446c8" + }, + "1dfbe6f586": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 652.0, + 192.0, + 1030.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 613.0, + 175.0, + 978.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 461.0, + 186.0, + 834.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 163.0, + 263.0, + 637.0, + 695.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1dfbe6f586" + }, + "1e1a18c45a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 174.0, + 160.0, + 476.0, + 544.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 380.0, + 316.0, + 843.0, + 597.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 198.0, + 108.0, + 518.0, + 371.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 506.0, + 318.0, + 837.0, + 587.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 346.0, + 0.0, + 634.0, + 298.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 647.0, + 445.0, + 961.0, + 666.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 314.0, + 203.0 + ], + "valid": 1 + }, + "4": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 466.0, + 115.0, + 777.0, + 447.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 913.0, + 596.0, + 1131.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 148.0, + 90.0, + 490.0, + 356.0 + ], + "valid": 1 + }, + "4": { + "category_name": "earless_seal", + "bbox": [ + 973.0, + 41.0, + 1279.0, + 138.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1e1a18c45a" + }, + "1e1e42529d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 425.0, + 282.0, + 759.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 719.0, + 275.0, + 1050.0, + 543.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 1035.0, + 291.0, + 1279.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 334.0, + 285.0, + 667.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 608.0, + 276.0, + 949.0, + 546.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 959.0, + 290.0, + 1279.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 155.0, + 292.0, + 587.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 527.0, + 285.0, + 863.0, + 568.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 896.0, + 309.0, + 1199.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 9.0, + 251.0, + 370.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 303.0, + 251.0, + 712.0, + 517.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 719.0, + 270.0, + 1053.0, + 534.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1e1e42529d" + }, + "1e4be70796": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 797.0, + 66.0, + 1152.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 214.0, + 78.0, + 905.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 30.0, + 362.0, + 239.0, + 483.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1194.0, + 325.0, + 1277.0, + 489.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 799.0, + 72.0, + 1145.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 208.0, + 76.0, + 903.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 38.0, + 363.0, + 243.0, + 482.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1203.0, + 325.0, + 1277.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 791.0, + 87.0, + 1111.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 200.0, + 96.0, + 856.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 31.0, + 372.0, + 238.0, + 494.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1204.0, + 336.0, + 1277.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 754.0, + 105.0, + 1072.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 199.0, + 98.0, + 841.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 21.0, + 380.0, + 228.0, + 503.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1190.0, + 337.0, + 1277.0, + 496.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1e4be70796" + }, + "1eb60959c8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 19 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 117.0, + 225.0, + 569.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 522.0, + 0.0, + 916.0, + 351.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 302.0, + 309.0, + 694.0, + 588.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 529.0, + 0.0, + 909.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 648.0, + 205.0, + 1086.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 514.0, + 0.0, + 882.0, + 320.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 322.0, + 746.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 525.0, + 0.0, + 890.0, + 318.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1eb60959c8" + }, + "1ec8b2566b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 533.0, + 263.0, + 720.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 529.0, + 271.0, + 718.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 525.0, + 263.0, + 702.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 513.0, + 280.0, + 738.0, + 501.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ec8b2566b" + }, + "1ecdc2941c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 58.0, + 119.0, + 821.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 231.0, + 99.0, + 959.0, + 643.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 3.0, + 75.0, + 724.0, + 528.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 82.0, + 691.0, + 508.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ecdc2941c" + }, + "1ee0ac70ff": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 372.0, + 135.0, + 1202.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 363.0, + 121.0, + 1210.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 362.0, + 73.0, + 1260.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 348.0, + 32.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ee0ac70ff" + }, + "1ef8e17def": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 242.0, + 335.0, + 923.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 508.0, + 4.0, + 527.0, + 27.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 815.0, + 0.0, + 899.0, + 18.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 249.0, + 325.0, + 956.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 490.0, + 1.0, + 509.0, + 32.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 956.0, + 4.0, + 1049.0, + 30.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 260.0, + 326.0, + 961.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 485.0, + 1.0, + 506.0, + 37.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 1106.0, + 32.0, + 1126.0, + 52.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 260.0, + 330.0, + 947.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 440.0, + 16.0, + 469.0, + 57.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 1103.0, + 46.0, + 1155.0, + 64.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1ef8e17def" + }, + "1f1a2a9fc0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 382.0, + 296.0, + 436.0, + 331.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 366.0, + 322.0, + 464.0, + 567.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 879.0, + 187.0, + 977.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 388.0, + 303.0, + 454.0, + 341.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 372.0, + 337.0, + 464.0, + 558.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 825.0, + 203.0, + 928.0, + 460.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 417.0, + 314.0, + 470.0, + 347.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 397.0, + 337.0, + 491.0, + 543.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 831.0, + 212.0, + 932.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 530.0, + 286.0, + 587.0, + 320.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 487.0, + 304.0, + 628.0, + 435.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 749.0, + 190.0, + 929.0, + 431.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00075", + "00095", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f1a2a9fc0" + }, + "1f1beb8daa": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 295.0, + 179.0, + 1279.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 227.0, + 181.0, + 1279.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 101.0, + 1279.0, + 674.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 653.0, + 704.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 1044.0, + 173.0, + 1279.0, + 426.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f1beb8daa" + }, + "1f2609ee13": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 572.0, + 168.0, + 1039.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 401.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 340.0, + 255.0, + 1079.0, + 709.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 398.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 246.0, + 161.0, + 1227.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 501.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 753.0, + 0.0, + 1117.0, + 667.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 365.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f2609ee13" + }, + "1f3876f8d0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 357.0, + 182.0, + 648.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 402.0, + 232.0, + 731.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 388.0, + 238.0, + 748.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 212.0, + 204.0, + 606.0, + 476.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f3876f8d0" + }, + "1f4ec0563d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1000.0, + 311.0, + 1130.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1015.0, + 287.0, + 1125.0, + 472.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 950.0, + 281.0, + 1066.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 812.0, + 66.0, + 1081.0, + 557.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f4ec0563d" + }, + "1f64955634": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 422.0, + 308.0, + 1254.0, + 696.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 410.0, + 334.0, + 741.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 685.0, + 272.0, + 981.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 775.0, + 211.0, + 1089.0, + 454.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f64955634" + }, + "1f7d31b5b2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 408.0, + 41.0, + 811.0, + 383.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 558.0, + 315.0, + 780.0, + 683.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 396.0, + 0.0, + 909.0, + 186.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 454.0, + 11.0, + 889.0, + 379.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 640.0, + 302.0, + 850.0, + 689.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 581.0, + 0.0, + 1110.0, + 180.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 296.0, + 19.0, + 841.0, + 438.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 520.0, + 405.0, + 769.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1125.0, + 0.0, + 1279.0, + 280.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 340.0, + 23.0, + 915.0, + 472.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 542.0, + 446.0, + 791.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f7d31b5b2" + }, + "1f8014b7fd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 646.0, + 413.0, + 724.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 453.0, + 355.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 200.0, + 98.0, + 294.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 789.0, + 541.0, + 861.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 80.0, + 0.0, + 610.0, + 481.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 26.0, + 109.0, + 461.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 93.0, + 341.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 856.0, + 604.0, + 930.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 151.0, + 20.0, + 679.0, + 561.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 33.0, + 70.0, + 276.0, + 536.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 130.0, + 357.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 910.0, + 655.0, + 979.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 212.0, + 67.0, + 729.0, + 625.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 84.0, + 127.0, + 346.0, + 572.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 317.0, + 303.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f8014b7fd" + }, + "1f9c7d10f1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 556.0, + 93.0, + 1279.0, + 509.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 651.0, + 108.0, + 1279.0, + 497.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 921.0, + 104.0, + 1279.0, + 318.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 775.0, + 261.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1f9c7d10f1" + }, + "1fa350df76": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 623.0, + 266.0, + 1024.0, + 658.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 324.0, + 369.0, + 559.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 330.0, + 271.0, + 474.0, + 345.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 623.0, + 266.0, + 1024.0, + 658.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 324.0, + 369.0, + 559.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 274.0, + 266.0, + 449.0, + 343.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 623.0, + 266.0, + 1024.0, + 658.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 324.0, + 369.0, + 559.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 137.0, + 253.0, + 337.0, + 332.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 623.0, + 266.0, + 1024.0, + 658.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 324.0, + 369.0, + 559.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 45.0, + 242.0, + 226.0, + 318.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1fa350df76" + }, + "1fc9538993": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 518.0, + 56.0, + 822.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 648.0, + 335.0, + 811.0, + 549.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 480.0, + 69.0, + 1267.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 477.0, + 499.0, + 699.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 31.0, + 767.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 638.0, + 541.0, + 774.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 145.0, + 787.0, + 457.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 490.0, + 357.0, + 742.0, + 486.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00085", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1fc9538993" + }, + "1fe2f0ec59": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 191.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 173.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 107.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 29.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/1fe2f0ec59" + }, + "2000c02f9d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 691.0, + 335.0, + 831.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 635.0, + 60.0, + 858.0, + 421.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 600.0, + 344.0, + 762.0, + 415.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 583.0, + 34.0, + 822.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 566.0, + 372.0, + 747.0, + 442.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 591.0, + 20.0, + 837.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 572.0, + 344.0, + 732.0, + 467.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 572.0, + 1.0, + 767.0, + 464.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2000c02f9d" + }, + "20142b2f05": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 41.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 4.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 258.0, + 937.0, + 519.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/20142b2f05" + }, + "201a8d75e5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 461.0, + 205.0, + 1104.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 409.0, + 159.0, + 1279.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 339.0, + 62.0, + 1279.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 323.0, + 0.0, + 1279.0, + 591.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/201a8d75e5" + }, + "2023b3ee4f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 546.0, + 228.0, + 880.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 549.0, + 255.0, + 886.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 554.0, + 257.0, + 884.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 577.0, + 253.0, + 879.0, + 484.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2023b3ee4f" + }, + "202b767bbc": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 307.0, + 66.0, + 990.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 990.0, + 319.0, + 1023.0, + 409.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 302.0, + 59.0, + 954.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 938.0, + 326.0, + 985.0, + 416.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 276.0, + 50.0, + 873.0, + 599.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 868.0, + 346.0, + 899.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 271.0, + 38.0, + 852.0, + 617.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 846.0, + 346.0, + 880.0, + 573.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/202b767bbc" + }, + "203594a418": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 400.0, + 60.0, + 1192.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 625.0, + 37.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 361.0, + 18.0, + 1040.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 349.0, + 14.0, + 990.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/203594a418" + }, + "2038987336": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 293.0, + 22.0, + 724.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 319.0, + 0.0, + 534.0, + 74.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 234.0, + 0.0, + 695.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 330.0, + 39.0, + 723.0, + 707.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 343.0, + 0.0, + 439.0, + 40.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 314.0, + 330.0, + 580.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 264.0, + 0.0, + 442.0, + 178.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2038987336" + }, + "2039c3aecb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 293.0, + 311.0, + 369.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 390.0, + 294.0, + 449.0, + 478.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 874.0, + 322.0, + 1102.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 258.0, + 273.0, + 341.0, + 514.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 378.0, + 260.0, + 447.0, + 459.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1008.0, + 273.0, + 1244.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 240.0, + 286.0, + 325.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 348.0, + 277.0, + 427.0, + 483.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 166.0, + 311.0, + 256.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 304.0, + 290.0, + 382.0, + 510.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1036.0, + 304.0, + 1226.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2039c3aecb" + }, + "204a90d81f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 411.0, + 0.0, + 1279.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 398.0, + 0.0, + 1279.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 419.0, + 0.0, + 1279.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 424.0, + 0.0, + 1279.0, + 515.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/204a90d81f" + }, + "207bc6cf01": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 308.0, + 51.0, + 863.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 300.0, + 65.0, + 859.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 286.0, + 104.0, + 839.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 292.0, + 110.0, + 841.0, + 598.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/207bc6cf01" + }, + "208833d1d1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 312.0, + 207.0, + 1012.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 300.0, + 208.0, + 1006.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 283.0, + 126.0, + 786.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 291.0, + 130.0, + 785.0, + 521.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/208833d1d1" + }, + "20e3e52e0a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 358.0, + 362.0, + 512.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 425.0, + 618.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 488.0, + 445.0, + 649.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 715.0, + 521.0, + 871.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/20e3e52e0a" + }, + "2117fa0c14": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 122.0, + 788.0, + 709.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 707.0, + 270.0, + 1123.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 124.0, + 694.0, + 708.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 706.0, + 257.0, + 1055.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 271.0, + 633.0, + 707.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 568.0, + 369.0, + 1006.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 135.0, + 804.0, + 708.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 610.0, + 313.0, + 990.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2117fa0c14" + }, + "211bc5d102": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 351.0, + 63.0, + 1218.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 122.0, + 119.0, + 1007.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 365.0, + 46.0, + 1211.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 512.0, + 133.0, + 1279.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 356.0, + 49.0, + 1218.0, + 639.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 606.0, + 258.0, + 1279.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 250.0, + 0.0, + 999.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 655.0, + 313.0, + 1279.0, + 528.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/211bc5d102" + }, + "2120d9c3c3": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 558.0, + 244.0, + 751.0, + 560.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 336.0, + 278.0, + 507.0, + 529.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 129.0, + 165.0, + 285.0, + 439.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 695.0, + 270.0, + 918.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 465.0, + 301.0, + 661.0, + 589.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 221.0, + 183.0, + 417.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 888.0, + 298.0, + 1152.0, + 664.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 627.0, + 345.0, + 853.0, + 644.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 368.0, + 211.0, + 604.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1025.0, + 285.0, + 1279.0, + 686.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 737.0, + 312.0, + 975.0, + 669.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 448.0, + 252.0, + 761.0, + 551.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2120d9c3c3" + }, + "2125235a49": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 8.0, + 745.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 170.0, + 268.0, + 831.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 47.0, + 701.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 58.0, + 175.0, + 763.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2125235a49" + }, + "21386f5978": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1074.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/21386f5978" + }, + "2142af8795": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 108.0, + 21.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 125.0, + 121.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 264.0, + 107.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 279.0, + 153.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2142af8795" + }, + "215dfc0f73": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 453.0, + 500.0, + 714.0, + 665.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 157.0, + 556.0, + 478.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 467.0, + 501.0, + 733.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 170.0, + 555.0, + 494.0, + 685.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 475.0, + 501.0, + 739.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 180.0, + 552.0, + 497.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 483.0, + 502.0, + 747.0, + 664.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 183.0, + 551.0, + 505.0, + 709.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00130", + "00145", + "00165", + "00175" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/215dfc0f73" + }, + "217bae91e5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 784.0, + 81.0, + 890.0, + 207.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 751.0, + 64.0, + 809.0, + 230.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 824.0, + 206.0, + 978.0, + 416.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 910.0, + 353.0, + 975.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 631.0, + 336.0, + 833.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 552.0, + 453.0, + 772.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 618.0, + 200.0, + 779.0, + 299.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 558.0, + 74.0, + 621.0, + 322.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/217bae91e5" + }, + "217c0d44e4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 354.0, + 138.0, + 976.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 433.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 595.0, + 20.0, + 960.0, + 703.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 762.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 586.0, + 0.0, + 948.0, + 670.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 720.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 564.0, + 196.0, + 1042.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 3.0, + 672.0, + 700.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/217c0d44e4" + }, + "219057c87b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 537.0, + 190.0, + 725.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 478.0, + 191.0, + 700.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 545.0, + 182.0, + 861.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 558.0, + 206.0, + 861.0, + 623.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/219057c87b" + }, + "21d0edbf81": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 1132.0, + 246.0, + 1206.0, + 428.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 957.0, + 243.0, + 1015.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 930.0, + 234.0, + 990.0, + 347.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 807.0, + 200.0, + 851.0, + 308.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/21d0edbf81" + }, + "21df87ad76": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 249.0, + 328.0, + 355.0, + 627.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 274.0, + 300.0, + 343.0, + 392.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 216.0, + 325.0, + 328.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 266.0, + 298.0, + 345.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 224.0, + 330.0, + 359.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 285.0, + 296.0, + 345.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 82.0, + 324.0, + 220.0, + 687.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 192.0, + 294.0, + 275.0, + 573.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/21df87ad76" + }, + "21f1d089f5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 903.0, + 452.0, + 1097.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 842.0, + 446.0, + 1015.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 858.0, + 468.0, + 1013.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 835.0, + 455.0, + 982.0, + 573.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/21f1d089f5" + }, + "21f4019116": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 973.0, + 382.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 762.0, + 356.0, + 990.0, + 705.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 368.0, + 313.0, + 626.0, + 601.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 298.0, + 309.0, + 387.0, + 543.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 143.0, + 295.0, + 278.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1113.0, + 474.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 828.0, + 357.0, + 1109.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 510.0, + 306.0, + 762.0, + 624.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 323.0, + 318.0, + 422.0, + 569.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 142.0, + 299.0, + 285.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1041.0, + 467.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 788.0, + 312.0, + 1059.0, + 686.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 380.0, + 325.0, + 584.0, + 617.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 170.0, + 293.0, + 302.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 1097.0, + 356.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 691.0, + 334.0, + 927.0, + 682.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 261.0, + 315.0, + 407.0, + 583.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/21f4019116" + }, + "222597030f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 377.0, + 406.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 900.0, + 286.0, + 1279.0, + 615.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bike", + "bbox": [ + 389.0, + 436.0, + 939.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 402.0, + 419.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 921.0, + 324.0, + 1277.0, + 628.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bike", + "bbox": [ + 408.0, + 456.0, + 961.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 324.0, + 415.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 920.0, + 299.0, + 1277.0, + 612.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bike", + "bbox": [ + 403.0, + 434.0, + 956.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 349.0, + 404.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 907.0, + 294.0, + 1279.0, + 601.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bike", + "bbox": [ + 396.0, + 431.0, + 957.0, + 528.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/222597030f" + }, + "222904eb5b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 593.0, + 193.0, + 791.0, + 390.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 723.0, + 125.0, + 806.0, + 514.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 155.0, + 332.0, + 1265.0, + 454.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 525.0, + 238.0, + 751.0, + 421.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 435.0, + 275.0, + 869.0, + 517.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 49.0, + 394.0, + 1267.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 501.0, + 240.0, + 691.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 652.0, + 250.0, + 682.0, + 378.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 1.0, + 395.0, + 1206.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 512.0, + 245.0, + 710.0, + 431.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 702.0, + 230.0, + 749.0, + 334.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 5.0, + 400.0, + 1208.0, + 505.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/222904eb5b" + }, + "223a0e0657": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 941.0, + 231.0, + 981.0, + 258.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 960.0, + 253.0, + 998.0, + 273.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 222.0, + 628.0, + 283.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 577.0, + 266.0, + 641.0, + 310.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 644.0, + 294.0, + 681.0, + 360.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 638.0, + 343.0, + 710.0, + 384.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 635.0, + 352.0, + 671.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 630.0, + 415.0, + 691.0, + 445.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/223a0e0657" + }, + "223bd973ab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 500.0, + 227.0, + 1279.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 258.0, + 1181.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 364.0, + 482.0, + 1237.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 253.0, + 1279.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 190.0, + 267.0, + 1279.0, + 585.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 770.0, + 0.0, + 1279.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 156.0, + 245.0, + 1279.0, + 553.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/223bd973ab" + }, + "224e7c833e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 302.0, + 54.0, + 843.0, + 675.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 78.0, + 182.0, + 287.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 320.0, + 62.0, + 643.0, + 705.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 308.0, + 64.0, + 703.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 450.0, + 189.0, + 827.0, + 714.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/224e7c833e" + }, + "225aba51d9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 178.0, + 33.0, + 446.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 385.0, + 217.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 146.0, + 34.0, + 412.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 363.0, + 218.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 142.0, + 27.0, + 408.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 359.0, + 216.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 142.0, + 20.0, + 428.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 363.0, + 223.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/225aba51d9" + }, + "2261d421ea": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 275.0, + 174.0, + 800.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 259.0, + 160.0, + 784.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 209.0, + 156.0, + 734.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 159.0, + 150.0, + 678.0, + 550.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2261d421ea" + }, + "2263a8782b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 278.0, + 650.0, + 425.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 550.0, + 400.0, + 631.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 547.0, + 261.0, + 678.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 556.0, + 467.0, + 608.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 538.0, + 251.0, + 641.0, + 411.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 505.0, + 382.0, + 610.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 514.0, + 281.0, + 592.0, + 435.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 515.0, + 420.0, + 619.0, + 450.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2263a8782b" + }, + "2268cb1ffd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 368.0, + 113.0, + 600.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 364.0, + 100.0, + 598.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 361.0, + 116.0, + 593.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 410.0, + 144.0, + 593.0, + 585.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2268cb1ffd" + }, + "2268e93b0a": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 192.0, + 121.0, + 1205.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 128.0, + 145.0, + 1221.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 46.0, + 152.0, + 1193.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 78.0, + 186.0, + 1103.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00020", + "00030", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2268e93b0a" + }, + "2293c99f3f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 233.0, + 211.0, + 672.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 1002.0, + 249.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 690.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 327.0, + 512.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 482.0, + 94.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 554.0, + 129.0, + 714.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 243.0, + 113.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1135.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 283.0, + 231.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1153.0, + 707.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2293c99f3f" + }, + "22a1141970": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 564.0, + 187.0, + 795.0, + 457.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 988.0, + 187.0, + 1092.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 454.0, + 168.0, + 720.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 923.0, + 175.0, + 1076.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 403.0, + 143.0, + 696.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 902.0, + 145.0, + 1093.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 135.0, + 86.0, + 502.0, + 499.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 757.0, + 73.0, + 1053.0, + 549.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00220", + "00235", + "00245", + "00270" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/22a1141970" + }, + "22b13084b2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 578.0, + 267.0, + 656.0, + 427.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 633.0, + 206.0, + 742.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 662.0, + 191.0, + 787.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 620.0, + 47.0, + 820.0, + 462.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/22b13084b2" + }, + "22d9f5ab0c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 340.0, + 101.0, + 865.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 344.0, + 101.0, + 857.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 316.0, + 109.0, + 841.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 232.0, + 164.0, + 678.0, + 642.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/22d9f5ab0c" + }, + "22f02efe3a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 356.0, + 97.0, + 893.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 356.0, + 98.0, + 885.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 352.0, + 103.0, + 877.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 348.0, + 91.0, + 953.0, + 491.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/22f02efe3a" + }, + "232c09b75b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 494.0, + 236.0, + 864.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 481.0, + 271.0, + 844.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 486.0, + 314.0, + 814.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 495.0, + 301.0, + 790.0, + 573.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/232c09b75b" + }, + "2350d71b4b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 668.0, + 388.0, + 1210.0, + 648.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 489.0, + 0.0, + 756.0, + 465.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 521.0, + 286.0, + 1279.0, + 550.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 481.0, + 0.0, + 731.0, + 421.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 75.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 29.0, + 80.0, + 1279.0, + 666.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2350d71b4b" + }, + "2376440551": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 526.0, + 347.0, + 602.0, + 417.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 675.0, + 547.0, + 746.0, + 639.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 705.0, + 600.0, + 751.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 775.0, + 625.0, + 821.0, + 701.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 628.0, + 156.0, + 691.0, + 226.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 810.0, + 625.0, + 896.0, + 678.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 1068.0, + 451.0, + 1129.0, + 520.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2376440551" + }, + "2383d8aafd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 424.0, + 346.0, + 941.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 879.0, + 311.0, + 1110.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 431.0, + 307.0, + 932.0, + 648.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 893.0, + 316.0, + 1107.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 431.0, + 301.0, + 941.0, + 655.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 898.0, + 306.0, + 1100.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 417.0, + 307.0, + 941.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 884.0, + 297.0, + 1105.0, + 555.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2383d8aafd" + }, + "238b84e67f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 350.0, + 160.0, + 727.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 478.0, + 128.0, + 730.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 1186.0, + 603.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 605.0, + 155.0, + 704.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 1064.0, + 551.0, + 1186.0, + 706.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 609.0, + 153.0, + 696.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 998.0, + 540.0, + 1129.0, + 669.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/238b84e67f" + }, + "238d4b86f6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 620.0, + 411.0, + 1031.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sign", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 322.0, + 325.0, + 711.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 643.0, + 647.0, + 815.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 1231.0, + 0.0, + 1279.0, + 81.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 70.0, + 429.0, + 444.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sign", + "bbox": [ + 1159.0, + 0.0, + 1279.0, + 203.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sign", + "bbox": [ + 1078.0, + 310.0, + 1251.0, + 603.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/238d4b86f6" + }, + "23993ce90d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 575.0, + 118.0, + 880.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 591.0, + 117.0, + 875.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 612.0, + 83.0, + 915.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 602.0, + 97.0, + 938.0, + 610.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/23993ce90d" + }, + "23b0c8a9ab": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 888.0, + 221.0, + 1012.0, + 351.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 964.0, + 326.0, + 1020.0, + 385.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 773.0, + 192.0, + 912.0, + 374.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 735.0, + 342.0, + 903.0, + 390.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 534.0, + 201.0, + 670.0, + 457.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 432.0, + 429.0, + 570.0, + 468.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/23b0c8a9ab" + }, + "23b3beafcc": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 540.0, + 27.0, + 845.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 571.0, + 144.0, + 912.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 571.0, + 212.0, + 1038.0, + 660.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 583.0, + 40.0, + 918.0, + 708.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/23b3beafcc" + }, + "23d80299fe": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 508.0, + 112.0, + 984.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 523.0, + 112.0, + 995.0, + 674.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 514.0, + 115.0, + 993.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 516.0, + 119.0, + 998.0, + 685.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/23d80299fe" + }, + "23f404a9fc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 69.0, + 0.0, + 1104.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 31.0, + 71.0, + 574.0, + 435.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 338.0, + 189.0, + 584.0, + 354.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 226.0, + 36.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 105.0, + 0.0, + 1112.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 131.0, + 89.0, + 594.0, + 400.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 437.0, + 206.0, + 594.0, + 348.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 190.0, + 138.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 125.0, + 10.0, + 1106.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 181.0, + 96.0, + 582.0, + 396.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 482.0, + 208.0, + 589.0, + 357.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 196.0, + 182.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 39.0, + 0.0, + 1034.0, + 628.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 181.0, + 61.0, + 486.0, + 389.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 170.0, + 192.0, + 386.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00135", + "00155", + "00165", + "00195" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/23f404a9fc" + }, + "240118e58a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 344.0, + 337.0, + 591.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 345.0, + 363.0, + 589.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 368.0, + 341.0, + 650.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 379.0, + 338.0, + 664.0, + 588.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00055", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/240118e58a" + }, + "2431dec2fd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 374.0, + 238.0, + 634.0, + 639.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 851.0, + 147.0, + 1273.0, + 604.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 946.0, + 90.0, + 1273.0, + 498.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 240.0, + 273.0, + 589.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 420.0, + 133.0, + 834.0, + 560.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 811.0, + 87.0, + 1153.0, + 496.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 302.0, + 272.0, + 578.0, + 655.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 466.0, + 185.0, + 824.0, + 580.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 845.0, + 93.0, + 1105.0, + 497.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 990.0, + 96.0, + 1150.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 221.0, + 306.0, + 579.0, + 655.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 512.0, + 228.0, + 773.0, + 571.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 839.0, + 90.0, + 1110.0, + 496.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 1013.0, + 100.0, + 1147.0, + 475.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2431dec2fd" + }, + "24440e0ac7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 534.0, + 162.0, + 801.0, + 365.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 733.0, + 158.0, + 878.0, + 308.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 752.0, + 42.0, + 824.0, + 172.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 493.0, + 147.0, + 781.0, + 377.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 710.0, + 150.0, + 878.0, + 345.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 755.0, + 44.0, + 957.0, + 153.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 480.0, + 142.0, + 716.0, + 341.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 678.0, + 155.0, + 851.0, + 338.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 881.0, + 28.0, + 995.0, + 106.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 443.0, + 129.0, + 679.0, + 340.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 657.0, + 155.0, + 839.0, + 323.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 814.0, + 0.0, + 1012.0, + 90.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/24440e0ac7" + }, + "2457274dbc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 536.0, + 595.0, + 1045.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 134.0, + 207.0, + 1141.0, + 684.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 258.0, + 3.0, + 835.0, + 368.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 542.0, + 600.0, + 1039.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 138.0, + 206.0, + 1141.0, + 680.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 272.0, + 0.0, + 843.0, + 378.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 540.0, + 580.0, + 1055.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 156.0, + 186.0, + 1157.0, + 675.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 334.0, + 0.0, + 857.0, + 365.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 542.0, + 589.0, + 1039.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 160.0, + 192.0, + 1181.0, + 668.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 329.0, + 0.0, + 847.0, + 367.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2457274dbc" + }, + "2465bf515d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 427.0, + 0.0, + 697.0, + 622.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 432.0, + 313.0, + 835.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 470.0, + 12.0, + 867.0, + 670.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 496.0, + 325.0, + 1019.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 552.0, + 72.0, + 861.0, + 652.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 480.0, + 363.0, + 817.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 688.0, + 79.0, + 948.0, + 641.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 285.0, + 331.0, + 740.0, + 682.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00140", + "00165", + "00175", + "00200" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2465bf515d" + }, + "246b142c4d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 243.0, + 413.0, + 436.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 405.0, + 430.0, + 659.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 414.0, + 391.0, + 606.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1072.0, + 478.0, + 1208.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/246b142c4d" + }, + "247d729e36": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 452.0, + 279.0, + 656.0, + 371.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 584.0, + 298.0, + 739.0, + 375.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 679.0, + 324.0, + 771.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 618.0, + 331.0, + 726.0, + 414.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/247d729e36" + }, + "2481ceafeb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 380.0, + 212.0, + 518.0, + 475.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 512.0, + 271.0, + 1052.0, + 702.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 380.0, + 210.0, + 512.0, + 472.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 500.0, + 269.0, + 1043.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 380.0, + 200.0, + 528.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 519.0, + 271.0, + 1056.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 409.0, + 212.0, + 544.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 512.0, + 261.0, + 1082.0, + 691.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2481ceafeb" + }, + "24866b4e6a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 576.0, + 371.0, + 1119.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 494.0, + 398.0, + 745.0, + 546.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 933.0, + 311.0, + 1279.0, + 446.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 614.0, + 302.0, + 1165.0, + 510.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 532.0, + 456.0, + 939.0, + 574.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 993.0, + 250.0, + 1279.0, + 460.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 659.0, + 228.0, + 1177.0, + 437.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 625.0, + 498.0, + 1020.0, + 656.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 1007.0, + 211.0, + 1279.0, + 427.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 586.0, + 220.0, + 1031.0, + 421.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 879.0, + 644.0, + 1059.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 979.0, + 304.0, + 1279.0, + 542.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/24866b4e6a" + }, + "2489d78320": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 164.0, + 337.0, + 579.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 329.0, + 428.0, + 640.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 562.0, + 270.0, + 730.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 655.0, + 237.0, + 831.0, + 711.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2489d78320" + }, + "24ab0b83e8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 354.0, + 0.0, + 866.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 305.0, + 445.0, + 832.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 496.0, + 0.0, + 993.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 545.0, + 492.0, + 1005.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 712.0, + 503.0, + 1061.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 491.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 502.0, + 180.0, + 1038.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/24ab0b83e8" + }, + "24b0868d92": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 679.0, + 390.0, + 1145.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 452.0, + 502.0, + 818.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 199.0, + 392.0, + 691.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 320.0, + 390.0, + 685.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/24b0868d92" + }, + "24b5207cd9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 831.0, + 232.0, + 1001.0, + 668.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 771.0, + 92.0, + 896.0, + 691.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 499.0, + 0.0, + 834.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 737.0, + 274.0, + 981.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 711.0, + 114.0, + 857.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 488.0, + 0.0, + 745.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 833.0, + 150.0, + 1071.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 751.0, + 0.0, + 897.0, + 561.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 455.0, + 0.0, + 792.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 795.0, + 119.0, + 1031.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 719.0, + 0.0, + 863.0, + 442.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 427.0, + 0.0, + 763.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00110", + "00145", + "00165", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/24b5207cd9" + }, + "24ddf05c03": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 547.0, + 5.0, + 778.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 455.0, + 165.0, + 692.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 470.0, + 2.0, + 741.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 362.0, + 224.0, + 640.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 429.0, + 17.0, + 704.0, + 494.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 321.0, + 137.0, + 583.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 402.0, + 10.0, + 676.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 287.0, + 235.0, + 573.0, + 520.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/24ddf05c03" + }, + "250116161c": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 475.0, + 426.0, + 523.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 384.0, + 431.0, + 435.0, + 587.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1181.0, + 456.0, + 1229.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 430.0, + 429.0, + 481.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 343.0, + 435.0, + 394.0, + 590.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1140.0, + 462.0, + 1187.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 380.0, + 452.0, + 428.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 293.0, + 458.0, + 346.0, + 613.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1092.0, + 475.0, + 1138.0, + 641.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 256.0, + 496.0, + 305.0, + 663.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 169.0, + 500.0, + 223.0, + 654.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 971.0, + 536.0, + 1015.0, + 710.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/250116161c" + }, + "256ad2e3fc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 433.0, + 193.0, + 467.0, + 290.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 432.0, + 194.0, + 467.0, + 293.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 432.0, + 193.0, + 467.0, + 297.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 429.0, + 193.0, + 465.0, + 298.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/256ad2e3fc" + }, + "256bd83d5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 398.0, + 0.0, + 949.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 68.0, + 258.0, + 823.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 244.0, + 127.0, + 823.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 258.0, + 96.0, + 857.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/256bd83d5e" + }, + "256dcc8ab8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 374.0, + 173.0, + 797.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 486.0, + 44.0, + 637.0, + 414.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 263.0, + 257.0, + 834.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 251.0, + 142.0, + 503.0, + 483.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 11.0, + 200.0, + 604.0, + 636.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 399.0, + 345.0, + 725.0, + 592.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 61.0, + 440.0, + 581.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 408.0, + 329.0, + 746.0, + 636.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 721.0, + 68.0, + 959.0, + 546.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/256dcc8ab8" + }, + "2589956baa": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 714.0, + 129.0, + 1178.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 702.0, + 133.0, + 1156.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 638.0, + 126.0, + 1122.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 670.0, + 127.0, + 1114.0, + 455.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2589956baa" + }, + "258b3b33c6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 261.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 270.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 298.0, + 1277.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 310.0, + 1269.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/258b3b33c6" + }, + "25ad437e29": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 202.0, + 406.0, + 342.0, + 589.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 345.0, + 431.0, + 459.0, + 591.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 559.0, + 899.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 249.0, + 223.0, + 388.0, + 416.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 404.0, + 247.0, + 513.0, + 418.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 390.0, + 946.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 489.0, + 270.0, + 601.0, + 455.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 634.0, + 292.0, + 732.0, + 464.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 131.0, + 414.0, + 1224.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 216.0, + 219.0, + 368.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 365.0, + 239.0, + 471.0, + 408.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 361.0, + 966.0, + 543.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00135", + "00160", + "00170", + "00195" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/25ad437e29" + }, + "25ae395636": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 22.0, + 289.0, + 206.0, + 438.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 540.0, + 222.0, + 729.0, + 386.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 871.0, + 209.0, + 947.0, + 374.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 1246.0, + 143.0, + 1279.0, + 186.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 48.0, + 286.0, + 178.0, + 438.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 526.0, + 221.0, + 723.0, + 389.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 865.0, + 214.0, + 947.0, + 369.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 1102.0, + 121.0, + 1220.0, + 289.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 42.0, + 292.0, + 172.0, + 445.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 529.0, + 222.0, + 709.0, + 396.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 411.0, + 223.0, + 598.0, + 377.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 973.0, + 176.0, + 1135.0, + 337.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 297.0, + 142.0, + 441.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 447.0, + 226.0, + 672.0, + 391.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 242.0, + 234.0, + 465.0, + 378.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 933.0, + 183.0, + 1105.0, + 347.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/25ae395636" + }, + "25c750c6db": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 514.0, + 437.0, + 823.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 496.0, + 324.0, + 847.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 453.0, + 316.0, + 968.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 584.0, + 427.0, + 886.0, + 585.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/25c750c6db" + }, + "25d2c3fe5d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 406.0, + 245.0, + 743.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 448.0, + 138.0, + 731.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 464.0, + 143.0, + 759.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 488.0, + 180.0, + 773.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/25d2c3fe5d" + }, + "25dc80db7c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 194.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 359.0, + 280.0, + 1105.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 240.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 246.0, + 195.0, + 1124.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 228.0, + 737.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 408.0, + 0.0, + 1090.0, + 619.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 275.0, + 506.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 189.0, + 225.0, + 740.0, + 570.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/25dc80db7c" + }, + "25f97e926f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 294.0, + 0.0, + 1243.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 402.0, + 0.0, + 1279.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 526.0, + 0.0, + 1279.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 418.0, + 0.0, + 1279.0, + 578.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/25f97e926f" + }, + "26011bc28b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 195.0, + 131.0, + 559.0, + 687.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 448.0, + 56.0, + 876.0, + 677.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 840.0, + 454.0, + 1279.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 185.0, + 134.0, + 563.0, + 682.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 451.0, + 94.0, + 872.0, + 677.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 839.0, + 460.0, + 1279.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 181.0, + 136.0, + 543.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 442.0, + 126.0, + 868.0, + 682.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 845.0, + 455.0, + 1279.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 187.0, + 133.0, + 539.0, + 692.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 444.0, + 134.0, + 868.0, + 682.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 841.0, + 459.0, + 1279.0, + 673.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/26011bc28b" + }, + "260846ffbe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 298.0, + 186.0, + 666.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 278.0, + 181.0, + 676.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 278.0, + 182.0, + 727.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 280.0, + 169.0, + 721.0, + 490.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/260846ffbe" + }, + "260dd9ad33": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 943.0, + 291.0, + 1113.0, + 368.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 162.0, + 703.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1046.0, + 298.0, + 1202.0, + 371.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 161.0, + 703.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1106.0, + 302.0, + 1251.0, + 371.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 155.0, + 703.0, + 395.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1182.0, + 298.0, + 1279.0, + 363.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 161.0, + 702.0, + 403.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/260dd9ad33" + }, + "267964ee57": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 299.0, + 709.0, + 676.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1084.0, + 464.0, + 1166.0, + 656.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 291.0, + 683.0, + 682.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1081.0, + 458.0, + 1150.0, + 647.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 315.0, + 396.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 773.0, + 464.0, + 836.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 324.0, + 120.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 523.0, + 441.0, + 573.0, + 629.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/267964ee57" + }, + "2680861931": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 194.0, + 793.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 131.0, + 939.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 154.0, + 855.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 19.0, + 99.0, + 1049.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 401.0, + 606.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 5.0, + 247.0, + 1007.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 454.0, + 714.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 777.0, + 507.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2680861931" + }, + "268ac7d3fc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 459.0, + 207.0, + 853.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 427.0, + 294.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 405.0, + 191.0, + 836.0, + 619.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 449.0, + 294.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 402.0, + 270.0, + 807.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 411.0, + 303.0, + 862.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 360.0, + 283.0, + 803.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 397.0, + 349.0, + 780.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/268ac7d3fc" + }, + "26b895d91e": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 358.0, + 252.0, + 495.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 392.0, + 87.0, + 547.0, + 427.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 405.0, + 54.0, + 579.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 518.0, + 109.0, + 840.0, + 489.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00035", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/26b895d91e" + }, + "26bc786d4f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 869.0, + 231.0, + 1698.0, + 803.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 865.0, + 270.0, + 1733.0, + 857.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 845.0, + 235.0, + 1731.0, + 850.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 841.0, + 261.0, + 1717.0, + 846.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/26bc786d4f" + }, + "26ddd2ef12": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 87.0, + 750.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 752.0, + 172.0, + 1081.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 111.0, + 752.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 691.0, + 210.0, + 1063.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 159.0, + 537.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 647.0, + 136.0, + 1128.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 165.0, + 514.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 640.0, + 143.0, + 1128.0, + 623.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/26ddd2ef12" + }, + "26de3d18ca": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 644.0, + 362.0, + 707.0, + 485.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 624.0, + 485.0, + 687.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 399.0, + 630.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 519.0, + 487.0, + 617.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 667.0, + 273.0, + 769.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 651.0, + 329.0, + 733.0, + 385.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 514.0, + 427.0, + 635.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 557.0, + 505.0, + 616.0, + 528.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/26de3d18ca" + }, + "26f7784762": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 665.0, + 136.0, + 831.0, + 300.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 843.0, + 305.0, + 967.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 604.0, + 47.0, + 761.0, + 203.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 775.0, + 226.0, + 917.0, + 389.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 564.0, + 45.0, + 731.0, + 214.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 741.0, + 209.0, + 887.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 296.0, + 0.0, + 412.0, + 130.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 581.0, + 164.0, + 653.0, + 334.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/26f7784762" + }, + "2703e52a6a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 203.0, + 30.0, + 1074.0, + 457.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 230.0, + 0.0, + 1082.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 261.0, + 154.0, + 1094.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 239.0, + 30.0, + 1006.0, + 514.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2703e52a6a" + }, + "270ed80c12": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "duck", + "bbox": [ + 650.0, + 156.0, + 956.0, + 612.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "duck", + "bbox": [ + 514.0, + 30.0, + 735.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "duck", + "bbox": [ + 528.0, + 103.0, + 767.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "duck", + "bbox": [ + 512.0, + 151.0, + 775.0, + 522.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/270ed80c12" + }, + "2719b742ab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 139.0, + 207.0, + 319.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 132.0, + 229.0, + 321.0, + 454.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 165.0, + 206.0, + 334.0, + 439.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 181.0, + 221.0, + 335.0, + 466.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2719b742ab" + }, + "272f4163d0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 920.0, + 470.0, + 1004.0, + 521.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 784.0, + 129.0, + 1022.0, + 489.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 527.0, + 540.0, + 643.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 509.0, + 170.0, + 652.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 369.0, + 575.0, + 555.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 311.0, + 167.0, + 577.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 730.0, + 550.0, + 1024.0, + 658.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 625.0, + 160.0, + 990.0, + 610.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/272f4163d0" + }, + "27303333e1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 69.0, + 308.0, + 103.0, + 348.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 59.0, + 340.0, + 111.0, + 480.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 269.0, + 153.0, + 386.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 126.0, + 313.0, + 161.0, + 353.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 114.0, + 343.0, + 175.0, + 484.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 37.0, + 274.0, + 201.0, + 378.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 322.0, + 318.0, + 355.0, + 358.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 308.0, + 351.0, + 365.0, + 491.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 215.0, + 283.0, + 377.0, + 386.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 620.0, + 320.0, + 653.0, + 361.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 608.0, + 355.0, + 661.0, + 496.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 513.0, + 282.0, + 674.0, + 390.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00120", + "00140", + "00160", + "00175" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/27303333e1" + }, + "27659fa7d6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 419.0, + 161.0, + 569.0, + 585.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 514.0, + 325.0, + 538.0, + 371.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1115.0, + 219.0, + 1163.0, + 354.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 434.0, + 169.0, + 578.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 543.0, + 345.0, + 562.0, + 356.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1027.0, + 222.0, + 1080.0, + 354.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 446.0, + 161.0, + 613.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 572.0, + 390.0, + 600.0, + 458.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 794.0, + 225.0, + 864.0, + 353.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 335.0, + 171.0, + 530.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 786.0, + 79.0, + 824.0, + 89.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 726.0, + 228.0, + 778.0, + 367.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/27659fa7d6" + }, + "279214115d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 12.0, + 0.0, + 1053.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 36.0, + 0.0, + 1061.0, + 402.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 62.0, + 0.0, + 1051.0, + 337.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 54.0, + 0.0, + 1027.0, + 285.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/279214115d" + }, + "27a5f92a9c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 266.0, + 61.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 256.0, + 77.0, + 1175.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 282.0, + 81.0, + 1079.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 270.0, + 101.0, + 1013.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/27a5f92a9c" + }, + "27cf2af1f3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 41.0, + 0.0, + 836.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 121.0, + 0.0, + 918.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 854.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 461.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/27cf2af1f3" + }, + "27f0d5f8a2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1038.0, + 268.0, + 1135.0, + 425.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 921.0, + 257.0, + 1025.0, + 405.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 827.0, + 240.0, + 934.0, + 421.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1027.0, + 250.0, + 1138.0, + 424.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 919.0, + 234.0, + 1027.0, + 403.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 831.0, + 222.0, + 923.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 996.0, + 250.0, + 1093.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 910.0, + 234.0, + 1006.0, + 397.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 766.0, + 239.0, + 903.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 957.0, + 246.0, + 1065.0, + 415.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 907.0, + 228.0, + 1020.0, + 396.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 770.0, + 218.0, + 872.0, + 411.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/27f0d5f8a2" + }, + "28075f33c1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 46.0, + 325.0, + 1202.0, + 490.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 42.0, + 319.0, + 1246.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 152.0, + 315.0, + 1244.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 451.0, + 343.0, + 1279.0, + 493.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28075f33c1" + }, + "281629cb41": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 451.0, + 117.0, + 1148.0, + 611.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 81.0, + 202.0, + 652.0, + 696.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 441.0, + 126.0, + 1084.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 165.0, + 227.0, + 758.0, + 718.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 331.0, + 121.0, + 966.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 191.0, + 246.0, + 763.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 1071.0, + 598.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 139.0, + 0.0, + 581.0, + 385.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 147.0, + 83.0, + 766.0, + 572.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 565.0, + 446.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/281629cb41" + }, + "282b0d51f5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 188.0, + 265.0, + 710.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 694.0, + 240.0, + 1241.0, + 620.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 882.0, + 30.0, + 1030.0, + 260.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 77.0, + 255.0, + 859.0, + 700.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 565.0, + 215.0, + 1055.0, + 562.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 754.0, + 0.0, + 890.0, + 223.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 433.0, + 302.0, + 1089.0, + 699.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 514.0, + 253.0, + 987.0, + 586.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 694.0, + 5.0, + 832.0, + 240.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 639.0, + 274.0, + 1105.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 251.0, + 235.0, + 754.0, + 550.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 437.0, + 0.0, + 567.0, + 218.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/282b0d51f5" + }, + "282fcab00b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 394.0, + 112.0, + 667.0, + 366.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 433.0, + 142.0, + 708.0, + 394.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 590.0, + 108.0, + 866.0, + 363.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 671.0, + 97.0, + 945.0, + 348.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/282fcab00b" + }, + "28449fa0dc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 598.0, + 194.0, + 841.0, + 504.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 324.0, + 240.0, + 618.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 594.0, + 195.0, + 855.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 290.0, + 265.0, + 596.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 601.0, + 215.0, + 894.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 307.0, + 256.0, + 599.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 597.0, + 298.0, + 1060.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 329.0, + 175.0, + 617.0, + 553.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28449fa0dc" + }, + "28475208ca": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 497.0, + 86.0, + 654.0, + 220.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 898.0, + 163.0, + 1113.0, + 265.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 10.0, + 380.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 558.0, + 82.0, + 686.0, + 193.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 930.0, + 176.0, + 1146.0, + 279.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 374.0, + 701.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 558.0, + 85.0, + 691.0, + 214.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 937.0, + 173.0, + 1145.0, + 300.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 413.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 529.0, + 80.0, + 675.0, + 215.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 882.0, + 275.0, + 1087.0, + 505.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 28.0, + 460.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28475208ca" + }, + "285580b7c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 612.0, + 0.0, + 1079.0, + 231.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 955.0, + 66.0, + 1279.0, + 355.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 689.0, + 351.0, + 1109.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 638.0, + 358.0, + 1038.0, + 605.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/285580b7c4" + }, + "285b69e223": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 463.0, + 22.0, + 1279.0, + 644.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 10.0, + 103.0, + 577.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 437.0, + 23.0, + 1279.0, + 680.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 22.0, + 123.0, + 507.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 487.0, + 27.0, + 1274.0, + 714.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 65.0, + 108.0, + 543.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 481.0, + 0.0, + 1248.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 82.0, + 112.0, + 556.0, + 486.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/285b69e223" + }, + "288c117201": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 509.0, + 284.0, + 691.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 463.0, + 467.0, + 662.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 641.0, + 115.0, + 842.0, + 423.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 627.0, + 373.0, + 770.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 477.0, + 75.0, + 736.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 675.0, + 444.0, + 901.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 433.0, + 143.0, + 622.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 352.0, + 502.0, + 888.0, + 588.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/288c117201" + }, + "28a8eb9623": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 406.0, + 53.0, + 565.0, + 307.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 545.0, + 77.0, + 658.0, + 229.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 734.0, + 298.0, + 858.0, + 444.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 474.0, + 90.0, + 595.0, + 248.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 570.0, + 155.0, + 661.0, + 303.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 716.0, + 303.0, + 847.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 467.0, + 77.0, + 623.0, + 173.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 550.0, + 150.0, + 677.0, + 304.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 723.0, + 283.0, + 824.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 466.0, + 100.0, + 594.0, + 260.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 596.0, + 616.0, + 759.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28a8eb9623" + }, + "28bf9c3cf3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 181.0, + 879.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 86.0, + 142.0, + 1029.0, + 702.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 185.0, + 843.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 173.0, + 843.0, + 623.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28bf9c3cf3" + }, + "28c6b8f86a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 469.0, + 142.0, + 866.0, + 686.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 465.0, + 129.0, + 854.0, + 676.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 477.0, + 88.0, + 886.0, + 683.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 861.0, + 0.0, + 1052.0, + 91.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 491.0, + 122.0, + 992.0, + 677.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 876.0, + 0.0, + 1060.0, + 120.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28c6b8f86a" + }, + "28c972dacd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 467.0, + 85.0, + 528.0, + 201.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 655.0, + 149.0, + 720.0, + 262.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 837.0, + 244.0, + 916.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 785.0, + 237.0, + 874.0, + 374.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28c972dacd" + }, + "28d9fa6016": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 217.0, + 652.0, + 338.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 579.0, + 145.0, + 785.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 529.0, + 269.0, + 565.0, + 330.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 522.0, + 159.0, + 774.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 431.0, + 127.0, + 618.0, + 274.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 445.0, + 121.0, + 781.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 360.0, + 115.0, + 671.0, + 310.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 462.0, + 168.0, + 770.0, + 546.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28d9fa6016" + }, + "28e392de91": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 466.0, + 164.0, + 946.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 428.0, + 160.0, + 1081.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 373.0, + 243.0, + 631.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 196.0, + 293.0, + 829.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 265.0, + 248.0, + 766.0, + 691.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 200.0, + 320.0, + 837.0, + 692.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 216.0, + 244.0, + 692.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 228.0, + 285.0, + 887.0, + 695.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28e392de91" + }, + "28f4a45190": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 542.0, + 98.0, + 770.0, + 381.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 909.0, + 278.0, + 1125.0, + 338.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 443.0, + 124.0, + 859.0, + 284.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 877.0, + 248.0, + 1068.0, + 291.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 399.0, + 148.0, + 888.0, + 314.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 886.0, + 260.0, + 1044.0, + 309.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 751.0, + 132.0, + 968.0, + 351.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 956.0, + 241.0, + 999.0, + 262.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/28f4a45190" + }, + "298c844fc9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 394.0, + 168.0, + 535.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 273.0, + 252.0, + 536.0, + 556.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 840.0, + 150.0, + 931.0, + 304.0 + ], + "valid": 1 + }, + "4": { + "category_name": "horse", + "bbox": [ + 769.0, + 185.0, + 947.0, + 383.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 406.0, + 216.0, + 571.0, + 467.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 274.0, + 295.0, + 548.0, + 589.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 789.0, + 204.0, + 876.0, + 337.0 + ], + "valid": 1 + }, + "4": { + "category_name": "horse", + "bbox": [ + 761.0, + 288.0, + 867.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 439.0, + 181.0, + 544.0, + 447.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 336.0, + 263.0, + 573.0, + 537.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 791.0, + 171.0, + 880.0, + 320.0 + ], + "valid": 1 + }, + "4": { + "category_name": "horse", + "bbox": [ + 776.0, + 259.0, + 872.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 507.0, + 178.0, + 656.0, + 412.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 404.0, + 250.0, + 651.0, + 515.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 784.0, + 168.0, + 860.0, + 311.0 + ], + "valid": 1 + }, + "4": { + "category_name": "horse", + "bbox": [ + 737.0, + 204.0, + 878.0, + 387.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/298c844fc9" + }, + "29a0356a2b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 276.0, + 187.0, + 683.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 234.0, + 152.0, + 645.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 368.0, + 128.0, + 751.0, + 364.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 516.0, + 95.0, + 803.0, + 533.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/29a0356a2b" + }, + "29d779f9e3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 366.0, + 91.0, + 713.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 617.0, + 49.0, + 1263.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 262.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 809.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 136.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 771.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 67.0, + 0.0, + 402.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 216.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00230", + "00250", + "00260", + "00285" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/29d779f9e3" + }, + "29dde5f12b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1101.0, + 493.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 166.0, + 215.0, + 1191.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 216.0, + 0.0, + 1051.0, + 415.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 586.0, + 235.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 244.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1063.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 574.0, + 0.0, + 1109.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 156.0, + 0.0, + 1087.0, + 517.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 901.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00145", + "00155", + "00175", + "00180" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/29dde5f12b" + }, + "29de7b6579": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 783.0, + 583.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 491.0, + 915.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 821.0, + 685.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 328.0, + 455.0, + 1073.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 126.0, + 1031.0, + 708.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 120.0, + 308.0, + 981.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 1219.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 128.0, + 299.0, + 1005.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/29de7b6579" + }, + "29e630bdd0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 444.0, + 107.0, + 1119.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 346.0, + 126.0, + 1024.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 374.0, + 101.0, + 1075.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 428.0, + 104.0, + 1068.0, + 687.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/29e630bdd0" + }, + "29f2332d30": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 450.0, + 64.0, + 718.0, + 475.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 496.0, + 446.0, + 549.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 435.0, + 103.0, + 596.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 441.0, + 487.0, + 593.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 474.0, + 126.0, + 730.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 514.0, + 544.0, + 596.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 517.0, + 186.0, + 733.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 511.0, + 526.0, + 669.0, + 572.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/29f2332d30" + }, + "2a18873352": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 652.0, + 214.0, + 889.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 842.0, + 346.0, + 1095.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 611.0, + 207.0, + 832.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 784.0, + 349.0, + 1132.0, + 446.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 539.0, + 209.0, + 847.0, + 402.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 805.0, + 333.0, + 1096.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 576.0, + 178.0, + 734.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 754.0, + 242.0, + 970.0, + 414.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a18873352" + }, + "2a3824ff31": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parachute", + "bbox": [ + 849.0, + 372.0, + 991.0, + 467.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 376.0, + 149.0, + 848.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 895.0, + 416.0, + 1003.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 384.0, + 175.0, + 847.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 967.0, + 463.0, + 1081.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 402.0, + 184.0, + 887.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 1061.0, + 520.0, + 1121.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 456.0, + 196.0, + 943.0, + 552.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a3824ff31" + }, + "2a559dd27f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 634.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 534.0, + 0.0, + 1025.0, + 581.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 291.0, + 0.0, + 583.0, + 458.0 + ], + "valid": 1 + }, + "4": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 647.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 540.0, + 0.0, + 1043.0, + 577.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 303.0, + 0.0, + 589.0, + 453.0 + ], + "valid": 1 + }, + "4": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 647.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 526.0, + 0.0, + 1045.0, + 577.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 237.0, + 0.0, + 590.0, + 456.0 + ], + "valid": 1 + }, + "4": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 642.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 546.0, + 0.0, + 1037.0, + 584.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 215.0, + 0.0, + 596.0, + 468.0 + ], + "valid": 1 + }, + "4": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a559dd27f" + }, + "2a5c09acbd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 101.0, + 208.0, + 355.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 254.0, + 397.0, + 709.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 226.0, + 66.0, + 567.0, + 674.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 44.0, + 235.0, + 314.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1.0, + 281.0, + 363.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 161.0, + 77.0, + 510.0, + 694.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 179.0, + 258.0, + 437.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 310.0, + 472.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 342.0, + 116.0, + 657.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 137.0, + 240.0, + 392.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 299.0, + 421.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 306.0, + 99.0, + 613.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a5c09acbd" + }, + "2a63eb1524": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 816.0, + 261.0, + 864.0, + 311.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 1128.0, + 344.0, + 1176.0, + 378.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1136.0, + 377.0, + 1188.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 839.0, + 249.0, + 892.0, + 305.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 1051.0, + 338.0, + 1097.0, + 371.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1056.0, + 371.0, + 1107.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 936.0, + 248.0, + 980.0, + 293.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 1045.0, + 335.0, + 1091.0, + 368.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1048.0, + 366.0, + 1097.0, + 407.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 988.0, + 258.0, + 1041.0, + 303.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 1069.0, + 339.0, + 1114.0, + 373.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1067.0, + 371.0, + 1110.0, + 410.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00160", + "00185", + "00210", + "00230" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a63eb1524" + }, + "2a6a30a4ea": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 165.0, + 124.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 183.0, + 188.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 111.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 228.0, + 150.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 196.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 196.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 391.0, + 370.0, + 517.0, + 469.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a6a30a4ea" + }, + "2a6d9099d1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 489.0, + 217.0, + 649.0, + 617.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 474.0, + 582.0, + 637.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 632.0, + 204.0, + 744.0, + 383.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 157.0, + 657.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 562.0, + 475.0, + 650.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 154.0, + 737.0, + 336.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 584.0, + 330.0, + 631.0, + 348.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a6d9099d1" + }, + "2a821394e3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 257.0, + 346.0, + 313.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 47.0, + 339.0, + 110.0, + 516.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 167.0, + 376.0, + 192.0, + 454.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 260.0, + 348.0, + 313.0, + 538.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 49.0, + 342.0, + 113.0, + 519.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 169.0, + 377.0, + 194.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 270.0, + 349.0, + 321.0, + 538.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 46.0, + 343.0, + 120.0, + 518.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 177.0, + 376.0, + 203.0, + 457.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 285.0, + 339.0, + 339.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 70.0, + 336.0, + 135.0, + 510.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 186.0, + 367.0, + 214.0, + 449.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a821394e3" + }, + "2a8c5b1342": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 310.0, + 176.0, + 344.0, + 210.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 326.0, + 0.0, + 517.0, + 199.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 313.0, + 177.0, + 348.0, + 209.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 317.0, + 0.0, + 461.0, + 201.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 348.0, + 160.0, + 378.0, + 199.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 322.0, + 94.0, + 624.0, + 189.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 343.0, + 149.0, + 366.0, + 189.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 343.0, + 91.0, + 612.0, + 183.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2a8c5b1342" + }, + "2abc8d66d2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 408.0, + 106.0, + 1093.0, + 711.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 32.0, + 0.0, + 638.0, + 339.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 466.0, + 125.0, + 1151.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 82.0, + 0.0, + 673.0, + 390.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 456.0, + 173.0, + 1161.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 262.0, + 0.0, + 705.0, + 395.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 472.0, + 188.0, + 1119.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 298.0, + 31.0, + 739.0, + 403.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2abc8d66d2" + }, + "2b08f37364": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 407.0, + 347.0, + 678.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 405.0, + 351.0, + 693.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 388.0, + 354.0, + 683.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 411.0, + 358.0, + 679.0, + 541.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2b08f37364" + }, + "2b351bfd7d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 456.0, + 0.0, + 860.0, + 445.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 432.0, + 0.0, + 845.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 436.0, + 0.0, + 844.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 436.0, + 0.0, + 841.0, + 432.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2b351bfd7d" + }, + "2b659a49d7": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 159.0, + 260.0, + 269.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 505.0, + 249.0, + 594.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 198.0, + 258.0, + 306.0, + 612.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 552.0, + 249.0, + 684.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 291.0, + 238.0, + 397.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 711.0, + 223.0, + 836.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 287.0, + 230.0, + 405.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 755.0, + 221.0, + 888.0, + 506.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00050", + "00060", + "00075", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2b659a49d7" + }, + "2b69ee5c26": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 54.0, + 127.0, + 176.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 124.0, + 249.0, + 327.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 357.0, + 140.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 93.0, + 447.0, + 305.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 404.0, + 186.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 162.0, + 496.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 338.0, + 190.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 137.0, + 438.0, + 349.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00130", + "00155", + "00175", + "00195" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2b69ee5c26" + }, + "2b6c30bbbd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 913.0, + 404.0, + 1177.0, + 605.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 494.0, + 121.0, + 692.0, + 272.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 553.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 921.0, + 366.0, + 1153.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 378.0, + 239.0, + 548.0, + 462.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 482.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 919.0, + 336.0, + 1150.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 350.0, + 391.0, + 548.0, + 550.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 466.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 317.0, + 241.0, + 719.0, + 525.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 248.0, + 0.0, + 334.0, + 60.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 514.0, + 442.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2b6c30bbbd" + }, + "2b88561cf2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 462.0, + 313.0, + 1019.0, + 696.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 432.0, + 313.0, + 1017.0, + 697.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 428.0, + 313.0, + 1017.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 429.0, + 315.0, + 1017.0, + 696.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2b88561cf2" + }, + "2b8b14954e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 186.0, + 13.0, + 943.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 186.0, + 9.0, + 963.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 200.0, + 39.0, + 963.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 158.0, + 31.0, + 965.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2b8b14954e" + }, + "2ba621c750": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 172.0, + 393.0, + 625.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 245.0, + 185.0, + 876.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 545.0, + 61.0, + 1218.0, + 427.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 601.0, + 0.0, + 1004.0, + 370.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2ba621c750" + }, + "2bab50f9a7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 841.0, + 0.0, + 1279.0, + 297.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 210.0, + 0.0, + 604.0, + 342.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 556.0, + 220.0, + 1107.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 234.0, + 476.0, + 634.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 993.0, + 60.0, + 1279.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 380.0, + 117.0, + 825.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 889.0, + 85.0, + 1279.0, + 367.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 54.0, + 11.0, + 546.0, + 468.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2bab50f9a7" + }, + "2bb00c2434": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 527.0, + 0.0, + 906.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 541.0, + 0.0, + 926.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 521.0, + 0.0, + 902.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 439.0, + 66.0, + 818.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2bb00c2434" + }, + "2bbde474ef": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1.0, + 240.0, + 99.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 126.0, + 229.0, + 217.0, + 496.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 219.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1.0, + 280.0, + 55.0, + 485.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 81.0, + 240.0, + 192.0, + 511.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 196.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 249.0, + 57.0, + 454.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 84.0, + 213.0, + 178.0, + 484.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 209.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 61.0, + 209.0, + 141.0, + 479.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 216.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2bbde474ef" + }, + "2bdd82fb86": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 596.0, + 233.0, + 678.0, + 315.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 588.0, + 301.0, + 660.0, + 323.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 309.0, + 687.0, + 418.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 636.0, + 411.0, + 677.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 695.0, + 235.0, + 764.0, + 335.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 683.0, + 312.0, + 732.0, + 368.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 709.0, + 269.0, + 785.0, + 383.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 730.0, + 363.0, + 773.0, + 405.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2bdd82fb86" + }, + "2be06fb855": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 415.0, + 274.0, + 432.0, + 297.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 396.0, + 288.0, + 444.0, + 362.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 390.0, + 309.0, + 434.0, + 375.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 362.0, + 270.0, + 388.0, + 291.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 330.0, + 288.0, + 408.0, + 398.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 332.0, + 316.0, + 396.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 423.0, + 263.0, + 458.0, + 291.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 377.0, + 283.0, + 486.0, + 421.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 381.0, + 306.0, + 490.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 721.0, + 248.0, + 787.0, + 298.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 648.0, + 281.0, + 817.0, + 503.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 598.0, + 317.0, + 882.0, + 587.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2be06fb855" + }, + "2bf545c2f5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 385.0, + 106.0, + 582.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 541.0, + 413.0, + 631.0, + 468.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 678.0, + 411.0, + 743.0, + 469.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 720.0, + 401.0, + 789.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 392.0, + 98.0, + 581.0, + 476.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 559.0, + 401.0, + 640.0, + 455.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 667.0, + 406.0, + 736.0, + 462.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 706.0, + 395.0, + 787.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 387.0, + 99.0, + 575.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 566.0, + 397.0, + 659.0, + 458.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 667.0, + 411.0, + 730.0, + 466.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 712.0, + 398.0, + 785.0, + 454.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 389.0, + 115.0, + 584.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 573.0, + 419.0, + 657.0, + 477.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 661.0, + 423.0, + 723.0, + 482.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 713.0, + 418.0, + 790.0, + 469.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2bf545c2f5" + }, + "2bffe4cf9a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 429.0, + 315.0, + 731.0, + 516.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 292.0, + 314.0, + 674.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 43.0, + 257.0, + 576.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 102.0, + 220.0, + 802.0, + 662.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2bffe4cf9a" + }, + "2c04b887b7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 309.0, + 90.0, + 746.0, + 454.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 196.0, + 297.0, + 793.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 327.0, + 71.0, + 808.0, + 411.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 244.0, + 286.0, + 913.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 343.0, + 111.0, + 854.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 250.0, + 306.0, + 900.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 377.0, + 88.0, + 846.0, + 472.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 293.0, + 285.0, + 930.0, + 617.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c04b887b7" + }, + "2c05209105": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 363.0, + 166.0, + 855.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 399.0, + 128.0, + 789.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 426.0, + 106.0, + 807.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 434.0, + 127.0, + 839.0, + 592.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c05209105" + }, + "2c0ad8cf39": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 145.0, + 0.0, + 875.0, + 718.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 226.0, + 614.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 94.0, + 0.0, + 879.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 666.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 97.0, + 0.0, + 837.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 230.0, + 525.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 102.0, + 0.0, + 857.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 120.0, + 614.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c0ad8cf39" + }, + "2c11fedca8": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 309.0, + 237.0, + 1045.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 239.0, + 224.0, + 1070.0, + 412.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 198.0, + 216.0, + 1076.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 118.0, + 201.0, + 1079.0, + 425.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c11fedca8" + }, + "2c1a94ebfb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 692.0, + 303.0, + 772.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 837.0, + 285.0, + 914.0, + 420.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 978.0, + 269.0, + 1042.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 660.0, + 299.0, + 755.0, + 523.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 827.0, + 280.0, + 906.0, + 453.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 970.0, + 255.0, + 1047.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 586.0, + 304.0, + 702.0, + 553.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 801.0, + 289.0, + 890.0, + 479.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 957.0, + 269.0, + 1037.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 421.0, + 321.0, + 573.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 704.0, + 298.0, + 817.0, + 489.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 884.0, + 282.0, + 965.0, + 472.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c1a94ebfb" + }, + "2c1e8c8e2f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 339.0, + 33.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 343.0, + 39.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 169.0, + 65.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 227.0, + 90.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c1e8c8e2f" + }, + "2c29fabcf1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 167.0, + 198.0, + 400.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 211.0, + 263.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 177.0, + 277.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 165.0, + 283.0, + 562.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c29fabcf1" + }, + "2c2c076c01": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 422.0, + 121.0, + 1143.0, + 469.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 426.0, + 90.0, + 1167.0, + 446.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 426.0, + 110.0, + 1181.0, + 465.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 458.0, + 123.0, + 1189.0, + 486.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c2c076c01" + }, + "2c3ea7ee7d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 48.0, + 1279.0, + 667.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 165.0, + 598.0, + 227.0, + 647.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 142.0, + 600.0, + 272.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "airplane", + "bbox": [ + 561.0, + 466.0, + 772.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 29.0, + 1279.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 200.0, + 594.0, + 265.0, + 646.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 150.0, + 597.0, + 301.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "airplane", + "bbox": [ + 502.0, + 466.0, + 767.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 15.0, + 1279.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 278.0, + 596.0, + 345.0, + 647.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 222.0, + 599.0, + 378.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "airplane", + "bbox": [ + 524.0, + 440.0, + 829.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 2.0, + 1279.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 318.0, + 593.0, + 380.0, + 647.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 262.0, + 596.0, + 416.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "airplane", + "bbox": [ + 544.0, + 434.0, + 877.0, + 535.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c3ea7ee7d" + }, + "2c41fa0648": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 335.0, + 309.0, + 471.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 650.0, + 282.0, + 744.0, + 576.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 668.0, + 212.0, + 781.0, + 363.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 740.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 146.0, + 324.0, + 331.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 593.0, + 276.0, + 695.0, + 584.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 551.0, + 223.0, + 706.0, + 401.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 794.0, + 0.0, + 1279.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 111.0, + 304.0, + 299.0, + 378.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 588.0, + 269.0, + 694.0, + 579.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 508.0, + 221.0, + 677.0, + 385.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 820.0, + 0.0, + 1279.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 214.0, + 344.0, + 399.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 762.0, + 319.0, + 867.0, + 643.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 532.0, + 261.0, + 738.0, + 412.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 1055.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c41fa0648" + }, + "2c44bb6d1c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 672.0, + 283.0, + 735.0, + 368.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 733.0, + 325.0, + 800.0, + 380.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 721.0, + 331.0, + 792.0, + 383.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 644.0, + 366.0, + 714.0, + 418.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00170", + "00185", + "00205", + "00235" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c44bb6d1c" + }, + "2c54cfbb78": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 766.0, + 253.0, + 946.0, + 423.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 668.0, + 137.0, + 828.0, + 428.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 780.0, + 172.0, + 965.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 598.0, + 168.0, + 856.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 600.0, + 45.0, + 1129.0, + 384.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 412.0, + 0.0, + 725.0, + 410.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 684.0, + 415.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 482.0, + 114.0, + 723.0, + 689.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c54cfbb78" + }, + "2c5537eddf": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 793.0, + 239.0, + 929.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 688.0, + 317.0, + 1030.0, + 471.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 591.0, + 457.0, + 662.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 510.0, + 432.0, + 542.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 381.0, + 548.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c5537eddf" + }, + "2c6e63b7de": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 24 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 594.0, + 154.0, + 750.0, + 342.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 465.0, + 209.0, + 699.0, + 335.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 783.0, + 207.0, + 911.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 762.0, + 438.0, + 908.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 780.0, + 303.0, + 991.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 674.0, + 486.0, + 1021.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 669.0, + 0.0, + 859.0, + 267.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 640.0, + 193.0, + 819.0, + 371.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2c6e63b7de" + }, + "2cb10c6a7e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 640.0, + 65.0, + 669.0, + 118.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 246.0, + 431.0, + 324.0, + 637.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 661.0, + 74.0, + 686.0, + 124.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 201.0, + 404.0, + 299.0, + 561.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 280.0, + 471.0, + 295.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 651.0, + 62.0, + 671.0, + 114.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 373.0, + 474.0, + 470.0, + 636.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 349.0, + 540.0, + 384.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 642.0, + 70.0, + 664.0, + 116.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 127.0, + 521.0, + 249.0, + 713.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 236.0, + 578.0, + 251.0, + 605.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2cb10c6a7e" + }, + "2cbcd5ccd1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 434.0, + 327.0, + 1057.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 416.0, + 335.0, + 1058.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 430.0, + 338.0, + 1118.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 383.0, + 335.0, + 1077.0, + 592.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2cbcd5ccd1" + }, + "2cc5d9c5f6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 253.0, + 68.0, + 1076.0, + 392.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 440.0, + 48.0, + 496.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 332.0, + 53.0, + 1279.0, + 449.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 122.0, + 421.0, + 389.0, + 503.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 406.0, + 157.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 280.0, + 16.0, + 1279.0, + 415.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 382.0, + 387.0, + 628.0, + 462.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 348.0, + 320.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 176.0, + 44.0, + 1279.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "shark", + "bbox": [ + 180.0, + 463.0, + 463.0, + 643.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2cc5d9c5f6" + }, + "2cd01cf915": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 415.0, + 60.0, + 633.0, + 261.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 419.0, + 111.0, + 832.0, + 529.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 403.0, + 26.0, + 623.0, + 231.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 407.0, + 88.0, + 819.0, + 500.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 615.0, + 91.0, + 839.0, + 298.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 624.0, + 155.0, + 1038.0, + 572.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 26.0, + 90.0, + 239.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 615.0, + 104.0, + 827.0, + 306.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 623.0, + 160.0, + 1038.0, + 582.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 45.0, + 92.0, + 243.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2cd01cf915" + }, + "2cdbf5f0a7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 239.0, + 308.0, + 828.0, + 661.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 749.0, + 133.0, + 1245.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 242.0, + 272.0, + 810.0, + 637.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 740.0, + 101.0, + 1173.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 261.0, + 256.0, + 782.0, + 639.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 664.0, + 112.0, + 1118.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 366.0, + 272.0, + 810.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 621.0, + 109.0, + 1034.0, + 493.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2cdbf5f0a7" + }, + "2ce660f123": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 679.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 582.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 721.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 707.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2ce660f123" + }, + "2cf114677e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 614.0, + 0.0, + 1279.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 582.0, + 0.0, + 1279.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 584.0, + 0.0, + 1279.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 619.0, + 0.0, + 1279.0, + 578.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2cf114677e" + }, + "2d01eef98e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 163.0, + 343.0, + 287.0, + 503.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 221.0, + 325.0, + 346.0, + 468.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 410.0, + 304.0, + 526.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 107.0, + 441.0, + 215.0, + 589.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 163.0, + 407.0, + 261.0, + 554.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 377.0, + 385.0, + 514.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 99.0, + 451.0, + 229.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 92.0, + 432.0, + 201.0, + 586.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 394.0, + 396.0, + 533.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 135.0, + 439.0, + 297.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 156.0, + 422.0, + 296.0, + 533.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 422.0, + 403.0, + 560.0, + 525.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d01eef98e" + }, + "2d03593bdc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 741.0, + 214.0, + 1007.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 170.0, + 178.0, + 492.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 356.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 737.0, + 208.0, + 1009.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 174.0, + 190.0, + 508.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 359.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 777.0, + 250.0, + 1029.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 208.0, + 201.0, + 516.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 365.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 626.0, + 229.0, + 1057.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 196.0, + 151.0, + 492.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 375.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d03593bdc" + }, + "2d183ac8c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 495.0, + 218.0, + 1063.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 362.0, + 461.0, + 654.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 604.0, + 182.0, + 1117.0, + 386.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 281.0, + 387.0, + 620.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 665.0, + 231.0, + 1176.0, + 410.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 274.0, + 394.0, + 599.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 741.0, + 283.0, + 1242.0, + 435.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 265.0, + 407.0, + 535.0, + 493.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d183ac8c4" + }, + "2d33ad3935": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 392.0, + 192.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 490.0, + 197.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 500.0, + 196.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 514.0, + 86.0, + 1147.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d33ad3935" + }, + "2d3991d83e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 482.0, + 181.0, + 1015.0, + 580.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 486.0, + 172.0, + 1011.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 506.0, + 172.0, + 1077.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 568.0, + 169.0, + 1091.0, + 571.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d3991d83e" + }, + "2d4333577b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 249.0, + 0.0, + 700.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 647.0, + 525.0, + 768.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 139.0, + 0.0, + 822.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 676.0, + 487.0, + 848.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 135.0, + 0.0, + 836.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 631.0, + 477.0, + 820.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 179.0, + 0.0, + 940.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 850.0, + 502.0, + 976.0, + 673.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d4333577b" + }, + "2d4d015c64": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 549.0, + 336.0, + 676.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 577.0, + 90.0, + 1279.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 537.0, + 243.0, + 715.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 523.0, + 90.0, + 1279.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 524.0, + 237.0, + 739.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 495.0, + 0.0, + 1279.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 517.0, + 238.0, + 747.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 495.0, + 19.0, + 1279.0, + 529.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00070", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d4d015c64" + }, + "2d8f5e5025": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 545.0, + 346.0, + 584.0, + 376.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 739.0, + 416.0, + 846.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 462.0, + 176.0, + 596.0, + 223.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d8f5e5025" + }, + "2d900bdb8e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 496.0, + 158.0, + 820.0, + 655.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 239.0, + 230.0, + 659.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 532.0, + 214.0, + 860.0, + 696.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 237.0, + 270.0, + 621.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 511.0, + 215.0, + 754.0, + 644.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 249.0, + 252.0, + 535.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 538.0, + 318.0, + 647.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 450.0, + 338.0, + 558.0, + 495.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2d900bdb8e" + }, + "2db0576a5c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 261.0, + 382.0, + 437.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 632.0, + 280.0, + 746.0, + 489.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 323.0, + 403.0, + 543.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 718.0, + 279.0, + 834.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 355.0, + 458.0, + 559.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 716.0, + 354.0, + 850.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 403.0, + 385.0, + 613.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 762.0, + 280.0, + 894.0, + 490.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2db0576a5c" + }, + "2dc0838721": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 326.0, + 166.0, + 670.0, + 500.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 385.0, + 183.0, + 1081.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 207.0, + 118.0, + 1189.0, + 645.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 216.0, + 87.0, + 1016.0, + 497.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2dc0838721" + }, + "2dcc417f82": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 598.0, + 184.0, + 737.0, + 407.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 489.0, + 394.0, + 705.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 534.0, + 214.0, + 672.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 463.0, + 423.0, + 695.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 395.0, + 211.0, + 525.0, + 446.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 275.0, + 400.0, + 418.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 625.0, + 136.0, + 792.0, + 397.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 624.0, + 377.0, + 766.0, + 411.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2dcc417f82" + }, + "2df005b843": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 693.0, + 276.0, + 795.0, + 445.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 675.0, + 423.0, + 797.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 683.0, + 171.0, + 847.0, + 375.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 779.0, + 359.0, + 913.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 504.0, + 269.0, + 723.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 450.0, + 481.0, + 560.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 466.0, + 306.0, + 659.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 476.0, + 463.0, + 554.0, + 498.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2df005b843" + }, + "2df356de14": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 561.0, + 167.0, + 849.0, + 313.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 932.0, + 60.0, + 1071.0, + 130.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 556.0, + 157.0, + 817.0, + 308.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 469.0, + 158.0, + 798.0, + 308.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 464.0, + 158.0, + 786.0, + 307.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2df356de14" + }, + "2e00393d96": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 997.0, + 0.0, + 1279.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 723.0, + 0.0, + 945.0, + 319.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 74.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 439.0, + 94.0, + 649.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00050", + "00055", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2e00393d96" + }, + "2e03b8127a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 217.0, + 386.0, + 449.0, + 668.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 412.0, + 367.0, + 665.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 93.0, + 146.0, + 500.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 586.0, + 303.0, + 869.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 236.0, + 153.0, + 401.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 763.0, + 262.0, + 988.0, + 699.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 414.0, + 123.0, + 589.0, + 477.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2e03b8127a" + }, + "2e0f886168": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 348.0, + 661.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 602.0, + 311.0, + 738.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 594.0, + 367.0, + 723.0, + 525.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2e0f886168" + }, + "2e2bf37e6d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 276.0, + 89.0, + 959.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 301.0, + 116.0, + 846.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 335.0, + 193.0, + 877.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 104.0, + 170.0, + 392.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 338.0, + 212.0, + 856.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 115.0, + 230.0, + 439.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2e2bf37e6d" + }, + "2e42410932": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 175.0, + 202.0, + 1279.0, + 454.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 151.0, + 182.0, + 1279.0, + 443.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 133.0, + 195.0, + 1279.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 168.0, + 185.0, + 1279.0, + 443.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2e42410932" + }, + "2ea78f46e4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 606.0, + 327.0, + 837.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 839.0, + 655.0, + 1081.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 1115.0, + 555.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 404.0, + 8.0, + 845.0, + 599.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2ea78f46e4" + }, + "2ebb017a26": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 66.0, + 96.0, + 859.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 58.0, + 84.0, + 895.0, + 635.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 60.0, + 86.0, + 873.0, + 645.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 40.0, + 108.0, + 849.0, + 652.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2ebb017a26" + }, + "2ee2edba2a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 58.0, + 0.0, + 981.0, + 494.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 446.0, + 240.0, + 1001.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 112.0, + 0.0, + 628.0, + 473.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 439.0, + 216.0, + 986.0, + 489.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 311.0, + 0.0, + 623.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 441.0, + 219.0, + 971.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 297.0, + 0.0, + 621.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 449.0, + 230.0, + 976.0, + 500.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00070", + "00090", + "00110", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2ee2edba2a" + }, + "2efb07554a": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 627.0, + 284.0, + 923.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 601.0, + 148.0, + 911.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 573.0, + 143.0, + 906.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 488.0, + 117.0, + 884.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 481.0, + 10.0, + 868.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 424.0, + 17.0, + 852.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 473.0, + 7.0, + 808.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 341.0, + 61.0, + 818.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2efb07554a" + }, + "2f17e4fc1e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 296.0, + 70.0, + 1049.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 242.0, + 24.0, + 1157.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 262.0, + 0.0, + 1279.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 180.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f17e4fc1e" + }, + "2f2c65c2f3": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 25 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 149.0, + 88.0, + 812.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 121.0, + 175.0, + 800.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 247.0, + 75.0, + 896.0, + 669.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 305.0, + 131.0, + 862.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f2c65c2f3" + }, + "2f2d9b33be": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 404.0, + 193.0, + 1279.0, + 641.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 397.0, + 210.0, + 1272.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 484.0, + 200.0, + 1279.0, + 640.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 503.0, + 168.0, + 1279.0, + 641.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f2d9b33be" + }, + "2f309c206b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 334.0, + 247.0, + 549.0, + 472.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 333.0, + 262.0, + 535.0, + 479.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 349.0, + 254.0, + 544.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 348.0, + 251.0, + 537.0, + 507.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f309c206b" + }, + "2f53822e88": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 325.0, + 322.0, + 394.0, + 386.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 283.0, + 385.0, + 348.0, + 408.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 471.0, + 291.0, + 533.0, + 369.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 468.0, + 351.0, + 551.0, + 389.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 508.0, + 357.0, + 545.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 517.0, + 333.0, + 540.0, + 339.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f53822e88" + }, + "2f53998171": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 240.0, + 168.0, + 1167.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 266.0, + 201.0, + 1193.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 254.0, + 207.0, + 1187.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 174.0, + 224.0, + 1169.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00050", + "00080", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f53998171" + }, + "2f5b0c89b1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 450.0, + 0.0, + 723.0, + 406.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 488.0, + 388.0, + 700.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 547.0, + 124.0, + 740.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 593.0, + 538.0, + 788.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 646.0, + 119.0, + 883.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 623.0, + 517.0, + 684.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 643.0, + 8.0, + 883.0, + 462.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 703.0, + 446.0, + 857.0, + 489.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f5b0c89b1" + }, + "2f680909e6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 589.0, + 247.0, + 772.0, + 407.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 301.0, + 221.0, + 518.0, + 636.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 290.0, + 518.0, + 549.0, + 676.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 571.0, + 204.0, + 741.0, + 337.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 361.0, + 69.0, + 722.0, + 681.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 506.0, + 488.0, + 610.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 459.0, + 245.0, + 624.0, + 368.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 460.0, + 78.0, + 844.0, + 680.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 628.0, + 524.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 493.0, + 333.0, + 712.0, + 473.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 760.0, + 115.0, + 1065.0, + 587.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 789.0, + 507.0, + 1168.0, + 595.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f680909e6" + }, + "2f710f66bd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 272.0, + 58.0, + 1191.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 144.0, + 0.0, + 1147.0, + 567.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 156.0, + 0.0, + 1055.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 98.0, + 43.0, + 1231.0, + 615.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f710f66bd" + }, + "2f724132b9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 49.0, + 705.0, + 430.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 40.0, + 675.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 82.0, + 743.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 34.0, + 709.0, + 622.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f724132b9" + }, + "2f7e3517ae": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 938.0, + 395.0, + 994.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 979.0, + 398.0, + 1062.0, + 624.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 987.0, + 390.0, + 1013.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 927.0, + 394.0, + 984.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1021.0, + 391.0, + 1087.0, + 633.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 968.0, + 388.0, + 1029.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 903.0, + 392.0, + 970.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1046.0, + 389.0, + 1107.0, + 651.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 959.0, + 390.0, + 1012.0, + 606.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 979.0, + 394.0, + 1083.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1097.0, + 550.0, + 1163.0, + 642.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 951.0, + 385.0, + 1012.0, + 634.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f7e3517ae" + }, + "2f96f5fc6f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 20 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 352.0, + 0.0, + 1279.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1031.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1061.0, + 271.0, + 1279.0, + 430.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 1006.0, + 427.0, + 1279.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 929.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 785.0, + 273.0, + 1279.0, + 426.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 622.0, + 381.0, + 1279.0, + 568.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 461.0, + 1279.0, + 600.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f96f5fc6f" + }, + "2f97d9fecb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 187.0, + 1179.0, + 626.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 608.0, + 486.0, + 632.0, + 525.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 644.0, + 480.0, + 679.0, + 526.0 + ], + "valid": 1 + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 379.0, + 95.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 188.0, + 1187.0, + 627.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 608.0, + 486.0, + 638.0, + 526.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 646.0, + 484.0, + 680.0, + 526.0 + ], + "valid": 1 + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 382.0, + 87.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 204.0, + 143.0, + 1279.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1075.0, + 396.0, + 1120.0, + 479.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1114.0, + 397.0, + 1157.0, + 484.0 + ], + "valid": 1 + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 305.0, + 203.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 177.0, + 142.0, + 1275.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1137.0, + 383.0, + 1183.0, + 478.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1183.0, + 403.0, + 1235.0, + 482.0 + ], + "valid": 1 + }, + "4": { + "category_name": "airplane", + "bbox": [ + 0.0, + 304.0, + 255.0, + 453.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2f97d9fecb" + }, + "2fbfa431ec": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 272.0, + 210.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 114.0, + 212.0, + 425.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 186.0, + 240.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 466.0, + 98.0, + 635.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2fbfa431ec" + }, + "2fc9520b53": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 739.0, + 155.0, + 836.0, + 316.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 602.0, + 222.0, + 759.0, + 437.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 470.0, + 245.0, + 618.0, + 457.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 417.0, + 263.0, + 516.0, + 458.0 + ], + "valid": 1 + }, + "5": { + "category_name": "monkey", + "bbox": [ + 427.0, + 357.0, + 486.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 545.0, + 278.0, + 639.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 420.0, + 348.0, + 571.0, + 565.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 307.0, + 375.0, + 437.0, + 579.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 225.0, + 378.0, + 343.0, + 581.0 + ], + "valid": 1 + }, + "5": { + "category_name": "monkey", + "bbox": [ + 232.0, + 475.0, + 294.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 587.0, + 349.0, + 684.0, + 509.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 464.0, + 421.0, + 617.0, + 637.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 354.0, + 454.0, + 495.0, + 654.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 272.0, + 454.0, + 377.0, + 654.0 + ], + "valid": 1 + }, + "5": { + "category_name": "monkey", + "bbox": [ + 282.0, + 546.0, + 346.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 939.0, + 159.0, + 1092.0, + 365.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 722.0, + 200.0, + 969.0, + 535.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 573.0, + 275.0, + 764.0, + 564.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 486.0, + 287.0, + 619.0, + 562.0 + ], + "valid": 1 + }, + "5": { + "category_name": "monkey", + "bbox": [ + 484.0, + 419.0, + 580.0, + 693.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2fc9520b53" + }, + "2fcd9f4c62": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 166.0, + 294.0, + 999.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 144.0, + 313.0, + 1037.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 212.0, + 281.0, + 1099.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 218.0, + 289.0, + 1083.0, + 576.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2fcd9f4c62" + }, + "2feb30f208": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 213.0, + 388.0, + 494.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 215.0, + 394.0, + 513.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 202.0, + 367.0, + 472.0, + 532.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 233.0, + 384.0, + 519.0, + 549.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2feb30f208" + }, + "2ff7f5744f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 509.0, + 217.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 375.0, + 11.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 268.0, + 0.0, + 1257.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 202.0, + 0.0, + 1187.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/2ff7f5744f" + }, + "30085a2cc6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 268.0, + 268.0, + 667.0, + 560.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 58.0, + 445.0, + 237.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 318.0, + 264.0, + 694.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 98.0, + 445.0, + 261.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 316.0, + 263.0, + 740.0, + 539.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 156.0, + 450.0, + 336.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 343.0, + 271.0, + 754.0, + 535.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 231.0, + 452.0, + 392.0, + 539.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/30085a2cc6" + }, + "30176e3615": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 543.0, + 147.0, + 738.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 543.0, + 149.0, + 735.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 538.0, + 150.0, + 730.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 549.0, + 145.0, + 734.0, + 670.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00020", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/30176e3615" + }, + "301f72ee11": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 669.0, + 0.0, + 1019.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 829.0, + 0.0, + 1167.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 867.0, + 0.0, + 1197.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 773.0, + 13.0, + 1197.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/301f72ee11" + }, + "3026bb2f61": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 760.0, + 166.0, + 1279.0, + 712.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 684.0, + 155.0, + 1208.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 585.0, + 307.0, + 1274.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 371.0, + 210.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3026bb2f61" + }, + "30318465dc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 508.0, + 147.0, + 785.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 522.0, + 182.0, + 790.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 540.0, + 254.0, + 823.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 584.0, + 233.0, + 895.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/30318465dc" + }, + "3054ca937d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 564.0, + 0.0, + 1279.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 570.0, + 0.0, + 1279.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 665.0, + 55.0, + 1279.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 661.0, + 60.0, + 1279.0, + 592.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3054ca937d" + }, + "306121e726": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 521.0, + 125.0, + 990.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 349.0, + 85.0, + 854.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 449.0, + 49.0, + 888.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 312.0, + 14.0, + 972.0, + 670.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/306121e726" + }, + "3064ad91e8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 420.0, + 0.0, + 777.0, + 694.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 712.0, + 79.0, + 837.0, + 487.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 369.0, + 30.0, + 732.0, + 697.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 645.0, + 147.0, + 764.0, + 478.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 351.0, + 22.0, + 722.0, + 703.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 667.0, + 117.0, + 778.0, + 512.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 327.0, + 165.0, + 1000.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 554.0, + 153.0, + 729.0, + 296.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 271.0, + 314.0, + 448.0, + 586.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3064ad91e8" + }, + "307444a47f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 355.0, + 221.0, + 884.0, + 549.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 383.0, + 232.0, + 934.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 413.0, + 194.0, + 940.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 369.0, + 185.0, + 916.0, + 485.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/307444a47f" + }, + "307bbb7409": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 724.0, + 253.0, + 876.0, + 354.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 732.0, + 281.0, + 922.0, + 409.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 746.0, + 264.0, + 980.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 558.0, + 193.0, + 1071.0, + 501.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/307bbb7409" + }, + "30a20194ab": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 316.0, + 268.0, + 700.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 292.0, + 234.0, + 622.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 60.0, + 313.0, + 590.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 118.0, + 299.0, + 628.0, + 456.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/30a20194ab" + }, + "30c35c64a4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 334.0, + 258.0, + 655.0, + 648.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 296.0, + 288.0, + 639.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 404.0, + 212.0, + 698.0, + 383.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 467.0, + 137.0, + 735.0, + 359.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 595.0, + 287.0, + 739.0, + 400.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/30c35c64a4" + }, + "30dbdb2cd6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 810.0, + 269.0, + 875.0, + 297.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 58.0, + 223.0, + 285.0, + 413.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 792.0, + 298.0, + 858.0, + 320.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 100.0, + 242.0, + 339.0, + 390.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 749.0, + 313.0, + 813.0, + 337.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 114.0, + 237.0, + 337.0, + 354.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 720.0, + 290.0, + 789.0, + 310.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 107.0, + 227.0, + 321.0, + 337.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00065", + "00085", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/30dbdb2cd6" + }, + "30fc77d72f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 452.0, + 215.0, + 612.0, + 473.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 627.0, + 178.0, + 871.0, + 394.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 349.0, + 221.0, + 509.0, + 499.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 595.0, + 212.0, + 779.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 288.0, + 277.0, + 463.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 484.0, + 244.0, + 737.0, + 458.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 297.0, + 224.0, + 467.0, + 525.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 538.0, + 235.0, + 725.0, + 454.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/30fc77d72f" + }, + "310021b58b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 396.0, + 129.0, + 636.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 657.0, + 146.0, + 810.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 343.0, + 109.0, + 495.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 609.0, + 104.0, + 881.0, + 706.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 183.0, + 173.0, + 448.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 540.0, + 162.0, + 982.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 154.0, + 185.0, + 409.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 513.0, + 129.0, + 946.0, + 665.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/310021b58b" + }, + "3113140ee8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 660.0, + 176.0, + 929.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 630.0, + 178.0, + 890.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 591.0, + 201.0, + 863.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 523.0, + 199.0, + 782.0, + 514.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3113140ee8" + }, + "3150b2ee57": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 159.0, + 152.0, + 1034.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 697.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 169.0, + 53.0, + 992.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 377.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 225.0, + 116.0, + 1002.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 354.0, + 596.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 375.0, + 84.0, + 1000.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 283.0, + 687.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3150b2ee57" + }, + "31539918c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 338.0, + 0.0, + 847.0, + 322.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 490.0, + 123.0, + 764.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 691.0, + 96.0, + 903.0, + 312.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 683.0, + 68.0, + 862.0, + 255.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 502.0, + 631.0, + 659.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/31539918c4" + }, + "318dfe2ce2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 480.0, + 0.0, + 1030.0, + 700.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 485.0, + 4.0, + 1037.0, + 697.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 473.0, + 7.0, + 1023.0, + 697.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 489.0, + 12.0, + 1026.0, + 698.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/318dfe2ce2" + }, + "3193da4835": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 417.0, + 664.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 458.0, + 716.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 26.0, + 182.0, + 951.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 110.0, + 158.0, + 1021.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3193da4835" + }, + "319f725ad9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 320.0, + 163.0, + 634.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 364.0, + 219.0, + 620.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 328.0, + 304.0, + 630.0, + 674.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 366.0, + 176.0, + 667.0, + 670.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/319f725ad9" + }, + "31bbd0d793": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 773.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 819.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 841.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 885.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/31bbd0d793" + }, + "322505c47f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 690.0, + 332.0, + 813.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 93.0, + 367.0, + 288.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 693.0, + 330.0, + 814.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 87.0, + 354.0, + 300.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 695.0, + 330.0, + 815.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 115.0, + 240.0, + 284.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 688.0, + 291.0, + 837.0, + 466.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 116.0, + 243.0, + 288.0, + 514.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/322505c47f" + }, + "322b237865": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 201.0, + 90.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 271.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 259.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 103.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/322b237865" + }, + "322da43910": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 366.0, + 156.0, + 1234.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 469.0, + 147.0, + 1279.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 507.0, + 161.0, + 1168.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 550.0, + 188.0, + 1121.0, + 414.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/322da43910" + }, + "3245e049fb": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 357.0, + 17.0, + 613.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 358.0, + 202.0, + 568.0, + 686.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 298.0, + 25.0, + 652.0, + 622.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 385.0, + 228.0, + 656.0, + 685.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 373.0, + 135.0, + 892.0, + 605.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 261.0, + 342.0, + 737.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 400.0, + 251.0, + 890.0, + 709.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 277.0, + 471.0, + 818.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00020", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3245e049fb" + }, + "324c4c38f6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 674.0, + 434.0, + 1162.0, + 712.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 450.0, + 279.0, + 579.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 730.0, + 400.0, + 1126.0, + 710.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 443.0, + 272.0, + 581.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 666.0, + 428.0, + 1104.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 451.0, + 261.0, + 578.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 766.0, + 415.0, + 1050.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 448.0, + 272.0, + 581.0, + 481.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/324c4c38f6" + }, + "324e35111a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 161.0, + 266.0, + 1044.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 728.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 125.0, + 194.0, + 1012.0, + 661.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 758.0, + 0.0, + 1279.0, + 692.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 197.0, + 228.0, + 1076.0, + 714.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 826.0, + 35.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 197.0, + 96.0, + 1016.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 769.0, + 184.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/324e35111a" + }, + "3252398f09": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 321.0, + 265.0, + 629.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 299.0, + 240.0, + 477.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 303.0, + 222.0, + 583.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 307.0, + 286.0, + 575.0, + 622.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3252398f09" + }, + "327dc4cabf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 340.0, + 51.0, + 1127.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 329.0, + 64.0, + 1118.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 460.0, + 188.0, + 1094.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 402.0, + 160.0, + 1033.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/327dc4cabf" + }, + "328d918c7d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 291.0, + 24.0, + 621.0, + 203.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 761.0, + 132.0, + 1279.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 251.0, + 133.0, + 553.0, + 381.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 719.0, + 313.0, + 1279.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 305.0, + 175.0, + 715.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 376.0, + 157.0, + 820.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/328d918c7d" + }, + "3290c0de97": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 508.0, + 324.0, + 812.0, + 669.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 7.0, + 416.0, + 570.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 1037.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 581.0, + 138.0, + 911.0, + 505.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 499.0, + 376.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 1161.0, + 254.0, + 1279.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 363.0, + 252.0, + 814.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 242.0, + 681.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 1165.0, + 320.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 376.0, + 265.0, + 833.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 80.0, + 186.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 1123.0, + 88.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3290c0de97" + }, + "3299ae3116": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 168.0, + 0.0, + 821.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 202.0, + 0.0, + 853.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 556.0, + 0.0, + 1225.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 346.0, + 0.0, + 1035.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3299ae3116" + }, + "32a7cd687b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 690.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 573.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 714.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 718.0, + 622.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/32a7cd687b" + }, + "33098cedb4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 38.0, + 273.0, + 770.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 702.0, + 262.0, + 941.0, + 715.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 827.0, + 336.0, + 1195.0, + 645.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 117.0, + 264.0, + 850.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 809.0, + 267.0, + 1018.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 910.0, + 336.0, + 1275.0, + 654.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 245.0, + 292.0, + 952.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 946.0, + 280.0, + 1151.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 1042.0, + 343.0, + 1277.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 323.0, + 290.0, + 1025.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1012.0, + 289.0, + 1227.0, + 716.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 1116.0, + 359.0, + 1277.0, + 625.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/33098cedb4" + }, + "3332334ac4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 169.0, + 0.0, + 1148.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 484.0, + 114.0, + 940.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 171.0, + 0.0, + 1232.0, + 699.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 366.0, + 317.0, + 837.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 1190.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 321.0, + 179.0, + 767.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 1204.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 300.0, + 143.0, + 809.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3332334ac4" + }, + "334cb835ac": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 400.0, + 409.0, + 508.0, + 535.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 443.0, + 506.0, + 525.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 416.0, + 312.0, + 471.0, + 416.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 425.0, + 396.0, + 481.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 448.0, + 329.0, + 487.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 424.0, + 418.0, + 487.0, + 458.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 373.0, + 355.0, + 444.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 388.0, + 448.0, + 435.0, + 475.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/334cb835ac" + }, + "3355e056eb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 222.0, + 841.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 298.0, + 1189.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 64.0, + 335.0, + 1245.0, + 700.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 265.0, + 951.0, + 657.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3355e056eb" + }, + "33639a2847": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 189.0, + 53.0, + 405.0, + 377.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 154.0, + 129.0, + 405.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 36.0, + 114.0, + 405.0, + 373.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 177.0, + 60.0, + 403.0, + 403.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/33639a2847" + }, + "3373891cdc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 473.0, + 130.0, + 651.0, + 471.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 401.0, + 92.0, + 570.0, + 439.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 407.0, + 65.0, + 611.0, + 405.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 241.0, + 3.0, + 491.0, + 342.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3373891cdc" + }, + "337975816b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 394.0, + 264.0, + 648.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 572.0, + 385.0, + 904.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 409.0, + 256.0, + 691.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 603.0, + 372.0, + 952.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 435.0, + 263.0, + 685.0, + 547.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 594.0, + 371.0, + 925.0, + 643.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 432.0, + 194.0, + 686.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 604.0, + 301.0, + 927.0, + 565.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/337975816b" + }, + "33e29d7e91": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 628.0, + 205.0, + 795.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 871.0, + 185.0, + 1126.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 584.0, + 193.0, + 746.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 980.0, + 151.0, + 1099.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 555.0, + 214.0, + 679.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 989.0, + 139.0, + 1084.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 501.0, + 209.0, + 655.0, + 644.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 968.0, + 140.0, + 1091.0, + 545.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/33e29d7e91" + }, + "34046fe4f2": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 293.0, + 52.0, + 953.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 288.0, + 30.0, + 946.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 299.0, + 65.0, + 930.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 321.0, + 19.0, + 967.0, + 713.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34046fe4f2" + }, + "3424f58959": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 53.0, + 305.0, + 556.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 866.0, + 154.0, + 1088.0, + 264.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 228.0, + 579.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 850.0, + 217.0, + 1048.0, + 375.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 256.0, + 712.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 925.0, + 238.0, + 1121.0, + 377.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 116.0, + 640.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 778.0, + 268.0, + 946.0, + 380.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3424f58959" + }, + "34370a710f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 281.0, + 8.0, + 1279.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 307.0, + 16.0, + 1279.0, + 458.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 325.0, + 18.0, + 1279.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 393.0, + 53.0, + 1279.0, + 461.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34370a710f" + }, + "343bc6a65a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 283.0, + 987.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 349.0, + 905.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 310.0, + 625.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 306.0, + 574.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/343bc6a65a" + }, + "3450382ef7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 842.0, + 372.0, + 1065.0, + 659.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 734.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 861.0, + 383.0, + 1083.0, + 675.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 9.0, + 751.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 857.0, + 384.0, + 1079.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 760.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 853.0, + 399.0, + 1076.0, + 686.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 747.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3450382ef7" + }, + "3454303a08": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 644.0, + 364.0, + 1074.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 991.0, + 321.0, + 1233.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 642.0, + 360.0, + 1018.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 956.0, + 294.0, + 1158.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 700.0, + 354.0, + 994.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 949.0, + 280.0, + 1138.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 706.0, + 331.0, + 1012.0, + 601.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 955.0, + 275.0, + 1145.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3454303a08" + }, + "346aacf439": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 133.0, + 134.0, + 638.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 508.0, + 312.0, + 959.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 445.0, + 172.0, + 620.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 482.0, + 734.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/346aacf439" + }, + "346e92ff37": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 675.0, + 354.0, + 885.0, + 661.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 736.0, + 405.0, + 911.0, + 705.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 589.0, + 121.0, + 830.0, + 484.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 957.0, + 0.0, + 1023.0, + 119.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 618.0, + 165.0, + 788.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 924.0, + 27.0, + 989.0, + 158.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/346e92ff37" + }, + "34a5ece7dd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 756.0, + 308.0, + 1230.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 824.0, + 283.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 844.0, + 226.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 864.0, + 199.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34a5ece7dd" + }, + "34b109755a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 324.0, + 138.0, + 693.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 328.0, + 138.0, + 705.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 324.0, + 138.0, + 701.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 330.0, + 139.0, + 709.0, + 492.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34b109755a" + }, + "34d1b37101": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 484.0, + 0.0, + 669.0, + 521.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 497.0, + 384.0, + 659.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 509.0, + 0.0, + 708.0, + 521.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 508.0, + 431.0, + 627.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 179.0, + 0.0, + 713.0, + 637.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 276.0, + 523.0, + 730.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 408.0, + 0.0, + 733.0, + 664.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 400.0, + 519.0, + 691.0, + 679.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34d1b37101" + }, + "34dd2c70a7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 438.0, + 222.0, + 1269.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 457.0, + 469.0, + 781.0, + 643.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 542.0, + 213.0, + 1269.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 451.0, + 452.0, + 816.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 436.0, + 227.0, + 1269.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 447.0, + 480.0, + 810.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 539.0, + 222.0, + 1269.0, + 511.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 437.0, + 444.0, + 783.0, + 641.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34dd2c70a7" + }, + "34efa703df": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 427.0, + 193.0, + 844.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 447.0, + 117.0, + 954.0, + 682.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 439.0, + 237.0, + 944.0, + 682.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 429.0, + 331.0, + 876.0, + 680.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34efa703df" + }, + "34fbee00a6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 530.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 1114.0, + 252.0, + 1279.0, + 697.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 315.0, + 79.0, + 963.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 1135.0, + 223.0, + 1279.0, + 675.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 334.0, + 61.0, + 1001.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 390.0, + 119.0, + 1071.0, + 586.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/34fbee00a6" + }, + "3504df2fda": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 809.0, + 24.0, + 1139.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 752.0, + 248.0, + 1051.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 728.0, + 380.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 513.0, + 368.0, + 1192.0, + 700.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3504df2fda" + }, + "35195a56a1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 442.0, + 123.0, + 825.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 386.0, + 84.0, + 799.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 346.0, + 78.0, + 691.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 292.0, + 269.0, + 661.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/35195a56a1" + }, + "351c822748": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 419.0, + 234.0, + 768.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 349.0, + 249.0, + 838.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 429.0, + 267.0, + 834.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 503.0, + 273.0, + 886.0, + 515.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/351c822748" + }, + "351cfd6bc5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 901.0, + 429.0, + 971.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 980.0, + 388.0, + 1079.0, + 440.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 867.0, + 333.0, + 997.0, + 372.0 + ], + "valid": 1 + }, + "4": { + "category_name": "parrot", + "bbox": [ + 1037.0, + 350.0, + 1144.0, + 386.0 + ], + "valid": 1 + }, + "5": { + "category_name": "parrot", + "bbox": [ + 956.0, + 266.0, + 1069.0, + 320.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 915.0, + 411.0, + 962.0, + 552.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 961.0, + 378.0, + 1103.0, + 415.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 882.0, + 310.0, + 998.0, + 366.0 + ], + "valid": 1 + }, + "4": { + "category_name": "parrot", + "bbox": [ + 949.0, + 320.0, + 1054.0, + 379.0 + ], + "valid": 1 + }, + "5": { + "category_name": "parrot", + "bbox": [ + 964.0, + 252.0, + 1088.0, + 308.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 912.0, + 401.0, + 984.0, + 520.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 821.0, + 369.0, + 922.0, + 405.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 876.0, + 315.0, + 1013.0, + 354.0 + ], + "valid": 1 + }, + "4": { + "category_name": "parrot", + "bbox": [ + 958.0, + 365.0, + 1104.0, + 401.0 + ], + "valid": 1 + }, + "5": { + "category_name": "parrot", + "bbox": [ + 837.0, + 221.0, + 959.0, + 294.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 915.0, + 398.0, + 984.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 820.0, + 364.0, + 918.0, + 406.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 870.0, + 326.0, + 1013.0, + 367.0 + ], + "valid": 1 + }, + "4": { + "category_name": "parrot", + "bbox": [ + 977.0, + 356.0, + 1100.0, + 422.0 + ], + "valid": 1 + }, + "5": { + "category_name": "parrot", + "bbox": [ + 842.0, + 204.0, + 923.0, + 317.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/351cfd6bc5" + }, + "3543d8334c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 376.0, + 413.0, + 588.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 508.0, + 377.0, + 667.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 859.0, + 357.0, + 1177.0, + 550.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3543d8334c" + }, + "35573455c7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 552.0, + 142.0, + 949.0, + 493.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 30.0, + 54.0, + 132.0, + 151.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 731.0, + 540.0, + 1124.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 294.0, + 398.0, + 372.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 746.0, + 600.0, + 1082.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 299.0, + 452.0, + 372.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 730.0, + 381.0, + 1121.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 290.0, + 207.0, + 364.0, + 300.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/35573455c7" + }, + "35637a827f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 834.0, + 2.0, + 912.0, + 122.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 751.0, + 8.0, + 828.0, + 135.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 782.0, + 61.0, + 851.0, + 173.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 724.0, + 38.0, + 799.0, + 165.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/35637a827f" + }, + "357a710863": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 50.0, + 263.0, + 1225.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1222.0, + 449.0, + 1269.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 3.0, + 243.0, + 1275.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 231.0, + 1279.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 228.0, + 1255.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/357a710863" + }, + "358bf16f9e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 682.0, + 334.0, + 796.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 868.0, + 282.0, + 979.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 693.0, + 301.0, + 785.0, + 475.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 871.0, + 224.0, + 997.0, + 363.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 733.0, + 280.0, + 848.0, + 482.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 924.0, + 224.0, + 1048.0, + 376.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 747.0, + 257.0, + 853.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 943.0, + 224.0, + 1069.0, + 339.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/358bf16f9e" + }, + "35ab34cc34": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 131.0, + 139.0, + 535.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 123.0, + 133.0, + 713.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 96.0, + 150.0, + 682.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 58.0, + 153.0, + 658.0, + 525.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00085", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/35ab34cc34" + }, + "35c6235b8d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 414.0, + 9.0, + 705.0, + 436.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 544.0, + 382.0, + 741.0, + 459.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 703.0, + 349.0, + 785.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 424.0, + 0.0, + 692.0, + 414.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 537.0, + 353.0, + 732.0, + 437.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 430.0, + 322.0, + 537.0, + 368.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 247.0, + 0.0, + 492.0, + 416.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 340.0, + 376.0, + 526.0, + 446.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 10.0, + 324.0, + 114.0, + 371.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 273.0, + 0.0, + 553.0, + 405.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 404.0, + 356.0, + 575.0, + 435.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00125", + "00135", + "00145", + "00170" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/35c6235b8d" + }, + "35d01a438a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 514.0, + 119.0, + 650.0, + 295.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 582.0, + 255.0, + 667.0, + 313.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 550.0, + 108.0, + 671.0, + 283.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 589.0, + 270.0, + 655.0, + 292.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 608.0, + 176.0, + 711.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 631.0, + 322.0, + 718.0, + 387.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 580.0, + 130.0, + 688.0, + 242.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/35d01a438a" + }, + "3605019d3b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 290.0, + 12.0, + 935.0, + 639.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 246.0, + 8.0, + 955.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 256.0, + 0.0, + 997.0, + 661.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 312.0, + 0.0, + 1035.0, + 634.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3605019d3b" + }, + "3609bc3f88": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 310.0, + 156.0, + 1279.0, + 567.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 322.0, + 171.0, + 1279.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 280.0, + 159.0, + 1279.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 80.0, + 83.0, + 1279.0, + 630.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3609bc3f88" + }, + "360e25da17": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 333.0, + 1279.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 485.0, + 156.0, + 790.0, + 412.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 647.0, + 0.0, + 791.0, + 405.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 71.0, + 337.0, + 1279.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 569.0, + 175.0, + 787.0, + 426.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 764.0, + 345.0, + 832.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 73.0, + 328.0, + 1279.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 571.0, + 169.0, + 786.0, + 425.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 725.0, + 347.0, + 799.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 79.0, + 343.0, + 1279.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 542.0, + 182.0, + 828.0, + 451.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 343.0, + 360.0, + 1016.0, + 503.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/360e25da17" + }, + "36299c687c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 558.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 546.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 532.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 538.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/36299c687c" + }, + "362c5bc56e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 604.0, + 367.0, + 699.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 681.0, + 433.0, + 714.0, + 464.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 506.0, + 108.0, + 538.0, + 170.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 615.0, + 355.0, + 717.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 512.0, + 106.0, + 544.0, + 171.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 184.0, + 430.0, + 314.0, + 691.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 617.0, + 107.0, + 658.0, + 163.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 246.0, + 414.0, + 412.0, + 682.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 396.0, + 461.0, + 406.0, + 473.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 667.0, + 109.0, + 696.0, + 164.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/362c5bc56e" + }, + "3649228783": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 550.0, + 284.0, + 627.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 556.0, + 379.0, + 692.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 712.0, + 289.0, + 803.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 726.0, + 378.0, + 860.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 689.0, + 471.0, + 808.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 711.0, + 542.0, + 764.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 746.0, + 466.0, + 809.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 735.0, + 511.0, + 905.0, + 551.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3649228783" + }, + "365b0501ea": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 645.0, + 162.0, + 1143.0, + 674.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 16.0, + 404.0, + 504.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 589.0, + 188.0, + 1103.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 66.0, + 353.0, + 556.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 352.0, + 332.0, + 818.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 17.0, + 448.0, + 431.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 51.0, + 455.0, + 415.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 664.0, + 415.0, + 1012.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/365b0501ea" + }, + "365f459863": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 268.0, + 274.0, + 477.0, + 414.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 940.0, + 252.0, + 1172.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 391.0, + 275.0, + 588.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 868.0, + 297.0, + 1054.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 431.0, + 299.0, + 624.0, + 457.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 816.0, + 312.0, + 1039.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 429.0, + 304.0, + 626.0, + 456.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 726.0, + 299.0, + 991.0, + 493.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/365f459863" + }, + "369893f3ad": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 353.0, + 90.0, + 688.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 82.0, + 959.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 437.0, + 79.0, + 747.0, + 558.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 86.0, + 959.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 485.0, + 112.0, + 787.0, + 538.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 43.0, + 87.0, + 959.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 507.0, + 94.0, + 807.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 28.0, + 86.0, + 959.0, + 629.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/369893f3ad" + }, + "369c9977e1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 323.0, + 0.0, + 1140.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 323.0, + 170.0, + 1074.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 327.0, + 172.0, + 1034.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 333.0, + 174.0, + 1040.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/369c9977e1" + }, + "369dde050a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 1009.0, + 611.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1198.0, + 240.0, + 1279.0, + 274.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 572.0, + 470.0, + 962.0, + 695.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 885.0, + 99.0, + 965.0, + 133.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 200.0, + 370.0, + 604.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 586.0, + 7.0, + 667.0, + 29.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 123.0, + 357.0, + 531.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 520.0, + 0.0, + 599.0, + 15.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00080", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/369dde050a" + }, + "36c7dac02f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 389.0, + 349.0, + 919.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 530.0, + 96.0, + 739.0, + 337.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 352.0, + 197.0, + 557.0, + 339.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 380.0, + 352.0, + 913.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 522.0, + 195.0, + 730.0, + 343.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 352.0, + 207.0, + 558.0, + 343.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 418.0, + 279.0, + 922.0, + 539.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 587.0, + 23.0, + 779.0, + 266.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 355.0, + 127.0, + 590.0, + 274.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 506.0, + 278.0, + 999.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 643.0, + 48.0, + 854.0, + 316.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 448.0, + 210.0, + 663.0, + 319.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/36c7dac02f" + }, + "36d5b1493b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 175.0, + 371.0, + 376.0, + 504.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 366.0, + 0.0, + 1279.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 168.0, + 319.0, + 393.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 395.0, + 0.0, + 1279.0, + 694.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 163.0, + 312.0, + 372.0, + 482.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 399.0, + 0.0, + 1279.0, + 689.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 161.0, + 312.0, + 371.0, + 480.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 399.0, + 0.0, + 1279.0, + 678.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/36d5b1493b" + }, + "36f5cc68fd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 709.0, + 295.0, + 1076.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 232.0, + 164.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 747.0, + 307.0, + 1124.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 239.0, + 256.0, + 408.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 796.0, + 290.0, + 1181.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 253.0, + 302.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 894.0, + 225.0, + 1137.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 202.0, + 377.0, + 403.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00075", + "00090", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/36f5cc68fd" + }, + "3735480d18": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 86.0, + 745.0, + 635.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 81.0, + 733.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 89.0, + 710.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 86.0, + 714.0, + 634.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3735480d18" + }, + "374b479880": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 470.0, + 208.0, + 819.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 442.0, + 213.0, + 807.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 448.0, + 195.0, + 863.0, + 535.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 432.0, + 195.0, + 863.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/374b479880" + }, + "375a49d38f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 513.0, + 0.0, + 1143.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 187.0, + 514.0, + 531.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 524.0, + 0.0, + 1138.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 293.0, + 269.0, + 487.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 478.0, + 0.0, + 1103.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 173.0, + 270.0, + 485.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 455.0, + 0.0, + 1081.0, + 678.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 92.0, + 358.0, + 385.0, + 540.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/375a49d38f" + }, + "375a5c0e09": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 45.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 180.0, + 292.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 235.0, + 73.0, + 285.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 440.0, + 290.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 325.0, + 269.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/375a5c0e09" + }, + "376bda9651": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 327.0, + 231.0, + 454.0, + 405.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 491.0, + 81.0, + 581.0, + 265.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 630.0, + 185.0, + 728.0, + 368.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 825.0, + 275.0, + 940.0, + 384.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/376bda9651" + }, + "377db65f60": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 430.0, + 113.0, + 787.0, + 557.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 121.0, + 236.0, + 502.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 431.0, + 91.0, + 784.0, + 547.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 105.0, + 211.0, + 491.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 447.0, + 83.0, + 794.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 120.0, + 192.0, + 501.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 50.0, + 54.0, + 701.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 232.0, + 216.0, + 935.0, + 603.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/377db65f60" + }, + "37d4ae24fc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 217.0, + 85.0, + 569.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 555.0, + 103.0, + 959.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 113.0, + 84.0, + 474.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 482.0, + 121.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 175.0, + 154.0, + 524.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 525.0, + 183.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 202.0, + 101.0, + 566.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 548.0, + 118.0, + 959.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/37d4ae24fc" + }, + "37ddce7f8b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 898.0, + 423.0, + 959.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 474.0, + 193.0, + 687.0, + 575.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 321.0, + 130.0, + 478.0, + 276.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/37ddce7f8b" + }, + "37e10d33af": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 722.0, + 293.0, + 920.0, + 456.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 264.0, + 33.0, + 715.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 738.0, + 280.0, + 966.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 262.0, + 31.0, + 713.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 726.0, + 263.0, + 998.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 244.0, + 13.0, + 698.0, + 385.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 724.0, + 278.0, + 958.0, + 452.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 221.0, + 23.0, + 673.0, + 388.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/37e10d33af" + }, + "37e45c6247": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 419.0, + 0.0, + 868.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 489.0, + 544.0, + 908.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 406.0, + 0.0, + 849.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 490.0, + 534.0, + 903.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 296.0, + 0.0, + 947.0, + 406.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 384.0, + 141.0, + 957.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 218.0, + 0.0, + 616.0, + 347.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 308.0, + 0.0, + 1105.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/37e45c6247" + }, + "37fa0001e8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 464.0, + 153.0, + 630.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 467.0, + 430.0, + 544.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 681.0, + 148.0, + 812.0, + 386.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 721.0, + 337.0, + 833.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 917.0, + 181.0, + 992.0, + 364.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 913.0, + 362.0, + 942.0, + 376.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1136.0, + 194.0, + 1197.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 1157.0, + 365.0, + 1196.0, + 384.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/37fa0001e8" + }, + "3802d458c0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 383.0, + 144.0, + 1150.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 419.0, + 157.0, + 1102.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 367.0, + 132.0, + 1169.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 443.0, + 126.0, + 1171.0, + 696.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3802d458c0" + }, + "382caa3cb4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 316.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 382.0, + 0.0, + 1279.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 388.0, + 0.0, + 1279.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 354.0, + 0.0, + 1279.0, + 568.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/382caa3cb4" + }, + "383bb93111": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 59.0, + 374.0, + 597.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 268.0, + 440.0, + 771.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 325.0, + 450.0, + 835.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 589.0, + 491.0, + 892.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/383bb93111" + }, + "388843df90": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 251.0, + 0.0, + 750.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 56.0, + 221.0, + 620.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 311.0, + 24.0, + 846.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 206.0, + 346.0, + 687.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 229.0, + 16.0, + 708.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 153.0, + 294.0, + 600.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 199.0, + 109.0, + 740.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 107.0, + 317.0, + 553.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/388843df90" + }, + "38924f4a7f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 0.0, + 269.0, + 978.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 38.0, + 207.0, + 1089.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 0.0, + 115.0, + 582.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 36.0, + 95.0, + 747.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/38924f4a7f" + }, + "38b00f93d7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 179.0, + 518.0, + 472.0, + 661.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 72.0, + 474.0, + 241.0, + 714.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 159.0, + 540.0, + 205.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 290.0, + 470.0, + 575.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 174.0, + 425.0, + 351.0, + 680.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 270.0, + 490.0, + 314.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 282.0, + 467.0, + 562.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 173.0, + 419.0, + 335.0, + 674.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 261.0, + 490.0, + 311.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 194.0, + 454.0, + 456.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 58.0, + 399.0, + 230.0, + 658.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 162.0, + 480.0, + 210.0, + 518.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/38b00f93d7" + }, + "38c197c10e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 797.0, + 268.0, + 1221.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 378.0, + 124.0, + 774.0, + 642.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 319.0, + 224.0, + 730.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 869.0, + 205.0, + 1279.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 379.0, + 49.0, + 852.0, + 680.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 283.0, + 179.0, + 782.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 1090.0, + 211.0, + 1279.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 618.0, + 0.0, + 1124.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 410.0, + 178.0, + 879.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 1059.0, + 244.0, + 1279.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 585.0, + 0.0, + 1114.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 350.0, + 179.0, + 895.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/38c197c10e" + }, + "38c9c3d801": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 551.0, + 161.0, + 1004.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 349.0, + 192.0, + 922.0, + 661.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 375.0, + 87.0, + 1026.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 293.0, + 115.0, + 956.0, + 590.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/38c9c3d801" + }, + "38eb2bf67f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": {}, + "2": {}, + "3": { + "category_name": "bird", + "bbox": [ + 49.0, + 370.0, + 115.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": {}, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/38eb2bf67f" + }, + "38fe9b3ed1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 786.0, + 0.0, + 1137.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 690.0, + 433.0, + 1152.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 782.0, + 102.0, + 1054.0, + 701.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 714.0, + 581.0, + 1126.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 868.0, + 262.0, + 1099.0, + 627.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 837.0, + 567.0, + 1059.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 702.0, + 0.0, + 1088.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 615.0, + 361.0, + 1054.0, + 640.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/38fe9b3ed1" + }, + "390352cced": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 880.0, + 137.0, + 1279.0, + 453.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 317.0, + 387.0, + 654.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 912.0, + 141.0, + 1279.0, + 421.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 492.0, + 146.0, + 748.0, + 606.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 763.0, + 149.0, + 1214.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 445.0, + 0.0, + 754.0, + 124.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 712.0, + 238.0, + 1065.0, + 522.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/390352cced" + }, + "390c51b987": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 993.0, + 242.0, + 1239.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 961.0, + 311.0, + 1003.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 887.0, + 0.0, + 1257.0, + 108.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 620.0, + 70.0, + 873.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 933.0, + 0.0, + 1257.0, + 23.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 598.0, + 39.0, + 917.0, + 695.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "cow", + "bbox": [ + 432.0, + 64.0, + 919.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/390c51b987" + }, + "390ca6f1d6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 781.0, + 116.0, + 1173.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 563.0, + 136.0, + 1178.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 523.0, + 156.0, + 1150.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 483.0, + 158.0, + 1146.0, + 557.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/390ca6f1d6" + }, + "392bc0f8a1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 534.0, + 94.0, + 678.0, + 350.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 573.0, + 340.0, + 668.0, + 378.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 40.0, + 0.0, + 456.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 592.0, + 71.0, + 750.0, + 348.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 640.0, + 337.0, + 741.0, + 379.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 409.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 577.0, + 57.0, + 735.0, + 345.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 613.0, + 337.0, + 715.0, + 377.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 386.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 354.0, + 0.0, + 750.0, + 361.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 549.0, + 343.0, + 723.0, + 409.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 314.0, + 710.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/392bc0f8a1" + }, + "392ecb43bd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 153.0, + 88.0, + 1222.0, + 690.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 843.0, + 160.0, + 1279.0, + 490.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 153.0, + 88.0, + 1222.0, + 690.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 843.0, + 159.0, + 1279.0, + 489.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 153.0, + 88.0, + 1222.0, + 690.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 842.0, + 160.0, + 1279.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 153.0, + 88.0, + 1222.0, + 690.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 843.0, + 160.0, + 1279.0, + 492.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/392ecb43bd" + }, + "3935291688": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 431.0, + 442.0, + 582.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 98.0, + 360.0, + 288.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 680.0, + 421.0, + 855.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 438.0, + 363.0, + 678.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 540.0, + 413.0, + 721.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 498.0, + 355.0, + 702.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 430.0, + 412.0, + 603.0, + 535.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 382.0, + 366.0, + 593.0, + 502.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3935291688" + }, + "3935e63b41": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 276.0, + 173.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 328.0, + 173.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 344.0, + 156.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 344.0, + 148.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3935e63b41" + }, + "394454fa9c": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 182.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 249.0, + 65.0, + 717.0, + 696.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 564.0, + 192.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 277.0, + 77.0, + 757.0, + 694.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 557.0, + 190.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 293.0, + 83.0, + 741.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 194.0, + 1264.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 322.0, + 100.0, + 761.0, + 683.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00085", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/394454fa9c" + }, + "394638fc8b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 602.0, + 143.0, + 965.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 226.0, + 160.0, + 649.0, + 595.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 873.0, + 182.0, + 956.0, + 290.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 150.0, + 178.0, + 220.0, + 259.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 706.0, + 130.0, + 1052.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 197.0, + 142.0, + 665.0, + 622.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 954.0, + 178.0, + 1018.0, + 291.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 734.0, + 122.0, + 1144.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 183.0, + 137.0, + 764.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 1014.0, + 164.0, + 1102.0, + 293.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 750.0, + 109.0, + 1166.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 113.0, + 134.0, + 754.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 1041.0, + 154.0, + 1134.0, + 297.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/394638fc8b" + }, + "39545e20b7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 281.0, + 82.0, + 959.0, + 635.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 257.0, + 112.0, + 959.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 248.0, + 207.0, + 959.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 86.0, + 252.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 395.0, + 169.0, + 959.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 89.0, + 277.0, + 434.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/39545e20b7" + }, + "397abeae8f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 78.0, + 888.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 355.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 90.0, + 922.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 403.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 824.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 351.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 836.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 384.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/397abeae8f" + }, + "3988074b88": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 58.0, + 56.0, + 217.0, + 425.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 442.0, + 80.0, + 531.0, + 248.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 214.0, + 157.0, + 1086.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 60.0, + 57.0, + 220.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 447.0, + 79.0, + 535.0, + 249.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 216.0, + 155.0, + 1093.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 54.0, + 68.0, + 211.0, + 437.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 442.0, + 99.0, + 537.0, + 259.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 209.0, + 169.0, + 1083.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 54.0, + 80.0, + 210.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 438.0, + 107.0, + 530.0, + 269.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 207.0, + 178.0, + 1080.0, + 604.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3988074b88" + }, + "398f5d5f19": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 22 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 409.0, + 148.0, + 1084.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 163.0, + 58.0, + 976.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 11.0, + 978.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1146.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00065", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/398f5d5f19" + }, + "39bc49a28c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 751.0, + 123.0, + 1279.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 492.0, + 20.0, + 1064.0, + 199.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 438.0, + 87.0, + 965.0, + 214.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 536.0, + 81.0, + 1050.0, + 214.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/39bc49a28c" + }, + "39befd99fb": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 25 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 47.0, + 1179.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 76.0, + 1190.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 524.0, + 0.0, + 1129.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 490.0, + 0.0, + 1127.0, + 687.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/39befd99fb" + }, + "39c3c7bf55": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 531.0, + 279.0, + 806.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 542.0, + 276.0, + 815.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 556.0, + 289.0, + 826.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 579.0, + 288.0, + 850.0, + 480.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/39c3c7bf55" + }, + "39d584b09f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 241.0, + 164.0, + 1087.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 93.0, + 229.0, + 1011.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 143.0, + 235.0, + 1060.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 287.0, + 207.0, + 1262.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/39d584b09f" + }, + "39f6f6ffb1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 288.0, + 172.0, + 864.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 370.0, + 61.0, + 817.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 386.0, + 84.0, + 945.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 598.0, + 287.0, + 1055.0, + 616.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/39f6f6ffb1" + }, + "3a079fb484": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 248.0, + 361.0, + 717.0, + 635.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 507.0, + 218.0, + 865.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 420.0, + 519.0, + 690.0, + 636.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 452.0, + 252.0, + 811.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 323.0, + 277.0, + 570.0, + 636.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 217.0, + 442.0, + 730.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 281.0, + 182.0, + 386.0, + 564.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 203.0, + 82.0, + 576.0, + 550.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a079fb484" + }, + "3a0d3a81b7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 709.0, + 129.0, + 973.0, + 385.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 551.0, + 302.0, + 885.0, + 392.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 768.0, + 150.0, + 970.0, + 406.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 849.0, + 395.0, + 1021.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 798.0, + 210.0, + 955.0, + 456.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 685.0, + 472.0, + 752.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 922.0, + 157.0, + 1065.0, + 480.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 950.0, + 442.0, + 1065.0, + 504.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a0d3a81b7" + }, + "3a1d55d22b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 366.0, + 181.0, + 531.0, + 244.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 403.0, + 174.0, + 574.0, + 238.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 308.0, + 204.0, + 489.0, + 272.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 256.0, + 154.0, + 451.0, + 226.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a1d55d22b" + }, + "3a20a7583e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 467.0, + 232.0, + 758.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 5.0, + 40.0, + 173.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 467.0, + 231.0, + 719.0, + 525.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 48.0, + 31.0, + 208.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 476.0, + 238.0, + 715.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 65.0, + 32.0, + 227.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 573.0, + 249.0, + 755.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 187.0, + 39.0, + 333.0, + 604.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a20a7583e" + }, + "3a2c1f66e5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 356.0, + 293.0, + 602.0, + 644.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 422.0, + 432.0, + 595.0, + 590.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 495.0, + 173.0, + 946.0, + 646.0 + ], + "valid": 1 + }, + "4": { + "category_name": "leopard", + "bbox": [ + 891.0, + 131.0, + 954.0, + 293.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 354.0, + 192.0, + 609.0, + 653.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 490.0, + 327.0, + 778.0, + 648.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 575.0, + 169.0, + 950.0, + 633.0 + ], + "valid": 1 + }, + "4": { + "category_name": "leopard", + "bbox": [ + 909.0, + 116.0, + 957.0, + 297.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 350.0, + 184.0, + 626.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 508.0, + 258.0, + 896.0, + 636.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 522.0, + 170.0, + 939.0, + 650.0 + ], + "valid": 1 + }, + "4": { + "category_name": "leopard", + "bbox": [ + 891.0, + 111.0, + 964.0, + 303.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 348.0, + 177.0, + 619.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 515.0, + 270.0, + 894.0, + 641.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 509.0, + 152.0, + 940.0, + 649.0 + ], + "valid": 1 + }, + "4": { + "category_name": "leopard", + "bbox": [ + 894.0, + 108.0, + 961.0, + 311.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a2c1f66e5" + }, + "3a33f4d225": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 195.0, + 273.0, + 604.0, + 594.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 394.0, + 204.0, + 662.0, + 426.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hedgehog", + "bbox": [ + 649.0, + 263.0, + 1115.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 198.0, + 283.0, + 581.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 408.0, + 221.0, + 694.0, + 404.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hedgehog", + "bbox": [ + 673.0, + 274.0, + 1124.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 185.0, + 281.0, + 495.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 395.0, + 214.0, + 679.0, + 435.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hedgehog", + "bbox": [ + 662.0, + 272.0, + 1138.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 179.0, + 291.0, + 497.0, + 619.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 398.0, + 218.0, + 618.0, + 449.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hedgehog", + "bbox": [ + 672.0, + 275.0, + 1132.0, + 557.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a33f4d225" + }, + "3a3bf84b13": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 392.0, + 303.0, + 835.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 275.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 558.0, + 278.0, + 936.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 476.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 515.0, + 323.0, + 963.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 447.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 412.0, + 247.0, + 716.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 457.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a3bf84b13" + }, + "3a4565e5ec": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 559.0, + 251.0, + 1279.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 151.0, + 266.0, + 425.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 505.0, + 262.0, + 591.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 555.0, + 245.0, + 1279.0, + 513.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 195.0, + 339.0, + 560.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 505.0, + 263.0, + 597.0, + 345.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 539.0, + 252.0, + 1279.0, + 514.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 269.0, + 365.0, + 562.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 485.0, + 269.0, + 585.0, + 356.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 567.0, + 254.0, + 1279.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 263.0, + 285.0, + 559.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 495.0, + 276.0, + 607.0, + 370.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a4565e5ec" + }, + "3a4e32ed5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 827.0, + 553.0, + 1155.0, + 646.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 416.0, + 239.0, + 709.0, + 525.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 463.0, + 135.0, + 702.0, + 319.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 839.0, + 570.0, + 1171.0, + 669.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 426.0, + 247.0, + 734.0, + 536.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 498.0, + 208.0, + 760.0, + 389.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 864.0, + 548.0, + 1190.0, + 640.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 386.0, + 294.0, + 636.0, + 525.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 538.0, + 235.0, + 755.0, + 400.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 885.0, + 516.0, + 1213.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 306.0, + 216.0, + 604.0, + 500.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 558.0, + 184.0, + 756.0, + 407.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a4e32ed5e" + }, + "3a7ad86ce0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 869.0, + 367.0, + 1266.0, + 549.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 891.0, + 377.0, + 1275.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 968.0, + 349.0, + 1273.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 965.0, + 330.0, + 1262.0, + 518.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a7ad86ce0" + }, + "3a7bdde9b8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 526.0, + 417.0, + 662.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 473.0, + 332.0, + 538.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 528.0, + 414.0, + 696.0, + 548.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 467.0, + 332.0, + 520.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 513.0, + 352.0, + 704.0, + 522.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 438.0, + 267.0, + 486.0, + 301.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 558.0, + 358.0, + 695.0, + 550.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 514.0, + 271.0, + 564.0, + 318.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a7bdde9b8" + }, + "3a98867cbe": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 368.0, + 238.0, + 501.0, + 548.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 527.0, + 219.0, + 611.0, + 538.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 636.0, + 207.0, + 738.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 313.0, + 249.0, + 459.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 443.0, + 225.0, + 566.0, + 534.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 576.0, + 180.0, + 679.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 327.0, + 279.0, + 467.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 394.0, + 367.0, + 569.0, + 526.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 567.0, + 197.0, + 667.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 245.0, + 343.0, + 383.0, + 490.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 349.0, + 358.0, + 463.0, + 509.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 371.0, + 365.0, + 616.0, + 515.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3a98867cbe" + }, + "3aa3f1c9e8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 696.0, + 550.0, + 829.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 298.0, + 259.0, + 1128.0, + 694.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 564.0, + 149.0, + 798.0, + 383.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 452.0, + 100.0, + 950.0, + 633.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 779.0, + 404.0, + 967.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 711.0, + 329.0, + 1032.0, + 535.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 813.0, + 447.0, + 935.0, + 522.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 722.0, + 341.0, + 914.0, + 485.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3aa3f1c9e8" + }, + "3aa7fce8b6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 719.0, + 526.0, + 816.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 683.0, + 510.0, + 831.0, + 684.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 545.0, + 526.0, + 731.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 548.0, + 516.0, + 755.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 676.0, + 511.0, + 883.0, + 653.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 542.0, + 578.0, + 696.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 512.0, + 527.0, + 695.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 741.0, + 510.0, + 889.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 607.0, + 526.0, + 684.0, + 659.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 227.0, + 505.0, + 455.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 690.0, + 505.0, + 785.0, + 636.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 672.0, + 516.0, + 768.0, + 691.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3aa7fce8b6" + }, + "3aa876887d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 113.0, + 135.0, + 415.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 528.0, + 239.0, + 704.0, + 624.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 677.0, + 424.0, + 737.0, + 510.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 703.0, + 222.0, + 992.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 1.0, + 117.0, + 298.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 332.0, + 172.0, + 594.0, + 610.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 559.0, + 403.0, + 624.0, + 472.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 629.0, + 213.0, + 867.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 110.0, + 380.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 301.0, + 168.0, + 515.0, + 598.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 471.0, + 405.0, + 537.0, + 469.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 673.0, + 207.0, + 880.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 36.0, + 128.0, + 392.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 373.0, + 221.0, + 582.0, + 596.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 550.0, + 426.0, + 602.0, + 488.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 731.0, + 236.0, + 975.0, + 556.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00050", + "00070", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3aa876887d" + }, + "3ab807ded6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 167.0, + 216.0, + 459.0, + 380.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 383.0, + 171.0, + 1092.0, + 544.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 900.0, + 83.0, + 1266.0, + 320.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 203.0, + 212.0, + 444.0, + 302.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 382.0, + 199.0, + 965.0, + 523.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 837.0, + 114.0, + 1204.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 217.0, + 262.0, + 469.0, + 335.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 430.0, + 251.0, + 987.0, + 614.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 827.0, + 151.0, + 1173.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 429.0, + 269.0, + 517.0, + 306.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 520.0, + 256.0, + 940.0, + 462.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 849.0, + 180.0, + 1206.0, + 337.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00085", + "00100", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ab807ded6" + }, + "3ab9b1a85a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 587.0, + 303.0, + 958.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 826.0, + 296.0, + 1192.0, + 588.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 940.0, + 334.0, + 1100.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 571.0, + 267.0, + 924.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 828.0, + 267.0, + 1216.0, + 584.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 936.0, + 345.0, + 1022.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 533.0, + 285.0, + 852.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 824.0, + 283.0, + 1210.0, + 598.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 810.0, + 397.0, + 861.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 547.0, + 271.0, + 876.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 766.0, + 288.0, + 1190.0, + 593.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ab9b1a85a" + }, + "3adac8d7da": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 424.0, + 311.0, + 543.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 410.0, + 363.0, + 623.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 391.0, + 381.0, + 595.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 398.0, + 352.0, + 600.0, + 519.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3adac8d7da" + }, + "3ae1a4016f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 984.0, + 302.0, + 1211.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 198.0, + 0.0, + 1005.0, + 712.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 974.0, + 273.0, + 1182.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 94.0, + 0.0, + 1001.0, + 702.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1026.0, + 280.0, + 1155.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 226.0, + 0.0, + 1028.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1013.0, + 276.0, + 1170.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 237.0, + 0.0, + 1034.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00140", + "00175", + "00190", + "00210" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ae1a4016f" + }, + "3ae2deaec2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 570.0, + 0.0, + 1063.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 574.0, + 0.0, + 1057.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 574.0, + 0.0, + 1189.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 574.0, + 0.0, + 1087.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ae2deaec2" + }, + "3ae81609d6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 535.0, + 177.0, + 669.0, + 384.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 481.0, + 220.0, + 664.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 551.0, + 59.0, + 753.0, + 442.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 526.0, + 191.0, + 754.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 601.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 164.0, + 607.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 719.0, + 257.0, + 811.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 699.0, + 341.0, + 835.0, + 440.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ae81609d6" + }, + "3af847e62f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 95.0, + 0.0, + 418.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 436.0, + 0.0, + 791.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 754.0, + 0.0, + 1233.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 128.0, + 0.0, + 590.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 500.0, + 0.0, + 967.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 705.0, + 0.0, + 1245.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 282.0, + 0.0, + 827.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 875.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 897.0, + 16.0, + 1139.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 472.0, + 0.0, + 915.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1007.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 854.0, + 129.0, + 1019.0, + 207.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3af847e62f" + }, + "3b23792b84": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 704.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 698.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 617.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 71.0, + 772.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b23792b84" + }, + "3b3b0af2ee": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 554.0, + 297.0, + 822.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 799.0, + 506.0, + 1069.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 1167.0, + 589.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 1205.0, + 479.0, + 1253.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 543.0, + 268.0, + 819.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 811.0, + 475.0, + 1075.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 1175.0, + 560.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 1207.0, + 450.0, + 1259.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 634.0, + 249.0, + 872.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 855.0, + 454.0, + 1165.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 1251.0, + 531.0, + 1279.0, + 579.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 1257.0, + 425.0, + 1279.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 670.0, + 226.0, + 912.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 904.0, + 435.0, + 1235.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b3b0af2ee" + }, + "3b512dad74": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 540.0, + 228.0, + 746.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 574.0, + 256.0, + 774.0, + 674.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 551.0, + 245.0, + 760.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 549.0, + 272.0, + 779.0, + 712.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b512dad74" + }, + "3b6c7988f6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 487.0, + 218.0, + 750.0, + 340.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 899.0, + 131.0, + 1005.0, + 287.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 743.0, + 191.0, + 908.0, + 386.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 543.0, + 224.0, + 777.0, + 343.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 875.0, + 132.0, + 955.0, + 289.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 636.0, + 194.0, + 787.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 555.0, + 231.0, + 917.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 854.0, + 105.0, + 936.0, + 265.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 29.0, + 208.0, + 164.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 595.0, + 256.0, + 1077.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1093.0, + 114.0, + 1160.0, + 289.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b6c7988f6" + }, + "3b6e983b5b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 531.0, + 356.0, + 698.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 560.0, + 332.0, + 764.0, + 492.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b6e983b5b" + }, + "3b74a0fc20": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 405.0, + 422.0, + 907.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 151.0, + 109.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 767.0, + 480.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 323.0, + 0.0, + 580.0, + 143.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 801.0, + 589.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 222.0, + 120.0, + 617.0, + 243.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 767.0, + 538.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 234.0, + 71.0, + 634.0, + 206.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b74a0fc20" + }, + "3b7a50b80d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 357.0, + 92.0, + 1118.0, + 426.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 406.0, + 91.0, + 1118.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 382.0, + 101.0, + 1125.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 442.0, + 87.0, + 1139.0, + 515.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b7a50b80d" + }, + "3b96d3492f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 165.0, + 582.0, + 511.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 188.0, + 56.0, + 1105.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 782.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 749.0, + 386.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 142.0, + 303.0, + 397.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 920.0, + 291.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b96d3492f" + }, + "3b9ad0c5a9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 547.0, + 279.0, + 704.0, + 427.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 561.0, + 282.0, + 742.0, + 439.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 522.0, + 276.0, + 726.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 324.0, + 167.0, + 941.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b9ad0c5a9" + }, + "3b9ba0894a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 635.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 656.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 632.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3b9ba0894a" + }, + "3bb4e10ed7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 85.0, + 371.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 86.0, + 67.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 39.0, + 536.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 197.0, + 93.0, + 1154.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 521.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 200.0, + 91.0, + 1168.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 678.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3bb4e10ed7" + }, + "3bd9a9b515": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 144.0, + 174.0, + 1075.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 196.0, + 195.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 232.0, + 218.0, + 1101.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 219.0, + 439.0, + 892.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3bd9a9b515" + }, + "3beef45388": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 188.0, + 894.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 182.0, + 904.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 163.0, + 1006.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 112.0, + 1119.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 422.0, + 474.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3beef45388" + }, + "3c019c0a24": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 1044.0, + 335.0, + 1103.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 994.0, + 328.0, + 1058.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": {} + }, + { + "1": {}, + "2": {} + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c019c0a24" + }, + "3c090704aa": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 510.0, + 0.0, + 726.0, + 151.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 464.0, + 140.0, + 681.0, + 188.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 420.0, + 0.0, + 705.0, + 271.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 329.0, + 204.0, + 736.0, + 318.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 498.0, + 0.0, + 756.0, + 304.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 340.0, + 256.0, + 800.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 336.0, + 0.0, + 763.0, + 318.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 275.0, + 245.0, + 751.0, + 353.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c090704aa" + }, + "3c2784fc0d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 422.0, + 28.0, + 1181.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 414.0, + 86.0, + 1189.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 240.0, + 37.0, + 1021.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 360.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c2784fc0d" + }, + "3c47ab95f8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 381.0, + 290.0, + 482.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 369.0, + 426.0, + 442.0, + 479.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 304.0, + 313.0, + 415.0, + 498.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 285.0, + 455.0, + 384.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 491.0, + 217.0, + 643.0, + 339.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 615.0, + 298.0, + 659.0, + 358.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 267.0, + 226.0, + 410.0, + 388.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 350.0, + 294.0, + 403.0, + 422.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c47ab95f8" + }, + "3c4db32d74": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 761.0, + 464.0, + 1058.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 239.0, + 615.0, + 453.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 278.0, + 302.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 837.0, + 435.0, + 1197.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 314.0, + 525.0, + 509.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 182.0, + 256.0, + 475.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 220.0, + 324.0, + 656.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 330.0, + 60.0, + 684.0, + 626.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 939.0, + 449.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 471.0, + 595.0, + 704.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 342.0, + 280.0, + 822.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00060", + "00075", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c4db32d74" + }, + "3c5ff93faf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 266.0, + 127.0, + 475.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 441.0, + 167.0, + 565.0, + 233.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 360.0, + 77.0, + 566.0, + 692.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 284.0, + 93.0, + 425.0, + 228.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 293.0, + 145.0, + 651.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 628.0, + 401.0, + 807.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 273.0, + 73.0, + 490.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 322.0, + 144.0, + 539.0, + 244.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c5ff93faf" + }, + "3c700f073e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 565.0, + 359.0, + 682.0, + 389.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 673.0, + 312.0, + 792.0, + 340.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 583.0, + 339.0, + 721.0, + 390.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 767.0, + 293.0, + 906.0, + 334.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 406.0, + 381.0, + 597.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 550.0, + 318.0, + 728.0, + 371.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 300.0, + 346.0, + 520.0, + 408.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 477.0, + 346.0, + 681.0, + 392.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c700f073e" + }, + "3c713cbf2f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 908.0, + 267.0, + 1089.0, + 378.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 317.0, + 205.0, + 487.0, + 273.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 950.0, + 290.0, + 1149.0, + 413.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 393.0, + 212.0, + 549.0, + 284.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1076.0, + 340.0, + 1279.0, + 499.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 489.0, + 223.0, + 641.0, + 305.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 576.0, + 235.0, + 751.0, + 328.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c713cbf2f" + }, + "3c8320669c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 499.0, + 348.0, + 551.0, + 375.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 376.0, + 367.0, + 536.0, + 552.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 325.0, + 499.0, + 565.0, + 578.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 694.0, + 327.0, + 742.0, + 353.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 622.0, + 349.0, + 747.0, + 568.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 614.0, + 563.0, + 712.0, + 585.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 1131.0, + 350.0, + 1236.0, + 428.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 611.0, + 325.0, + 662.0, + 352.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 518.0, + 348.0, + 674.0, + 552.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 586.0, + 507.0, + 781.0, + 568.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 795.0, + 349.0, + 889.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 480.0, + 320.0, + 532.0, + 348.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 407.0, + 342.0, + 520.0, + 570.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 411.0, + 543.0, + 588.0, + 606.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 496.0, + 400.0, + 518.0, + 419.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c8320669c" + }, + "3c90d225ee": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 152.0, + 983.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 17.0, + 185.0, + 1068.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 95.0, + 174.0, + 1154.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 39.0, + 146.0, + 1032.0, + 673.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3c90d225ee" + }, + "3cadbcc404": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 410.0, + 1279.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 79.0, + 145.0, + 1214.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 408.0, + 1279.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 78.0, + 147.0, + 1209.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 397.0, + 1279.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 74.0, + 135.0, + 1214.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 352.0, + 1279.0, + 642.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 80.0, + 136.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3cadbcc404" + }, + "3cb9be84a5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 451.0, + 115.0, + 552.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 540.0, + 144.0, + 707.0, + 375.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 671.0, + 123.0, + 838.0, + 357.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 453.0, + 110.0, + 560.0, + 351.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 542.0, + 143.0, + 713.0, + 368.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 675.0, + 122.0, + 848.0, + 355.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 453.0, + 111.0, + 562.0, + 353.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 550.0, + 140.0, + 715.0, + 366.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 677.0, + 126.0, + 853.0, + 353.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 459.0, + 114.0, + 572.0, + 358.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 546.0, + 147.0, + 723.0, + 376.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 681.0, + 135.0, + 853.0, + 361.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3cb9be84a5" + }, + "3cc37fd487": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 393.0, + 214.0, + 583.0, + 583.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 645.0, + 246.0, + 775.0, + 516.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 52.0, + 319.0, + 188.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 402.0, + 235.0, + 570.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 641.0, + 250.0, + 776.0, + 540.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 48.0, + 313.0, + 190.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 415.0, + 234.0, + 574.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 645.0, + 242.0, + 783.0, + 528.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 49.0, + 302.0, + 207.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 421.0, + 239.0, + 570.0, + 547.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 616.0, + 233.0, + 759.0, + 520.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 62.0, + 299.0, + 213.0, + 533.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3cc37fd487" + }, + "3cc6f90cb2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 192.0, + 10.0, + 907.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 163.0, + 11.0, + 929.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 156.0, + 6.0, + 916.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 145.0, + 19.0, + 988.0, + 621.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3cc6f90cb2" + }, + "3cd5e035ef": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 52.0, + 0.0, + 1279.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 36.0, + 0.0, + 1279.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 64.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 84.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3cd5e035ef" + }, + "3cdf03531b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 531.0, + 302.0, + 928.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 523.0, + 294.0, + 928.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 533.0, + 238.0, + 936.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 533.0, + 265.0, + 942.0, + 513.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3cdf03531b" + }, + "3cdf828f59": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 477.0, + 426.0, + 709.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 598.0, + 396.0, + 709.0, + 640.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 503.0, + 562.0, + 665.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 440.0, + 475.0, + 735.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3cdf828f59" + }, + "3d254b0bca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 672.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 339.0, + 215.0, + 852.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 764.0, + 69.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 357.0, + 160.0, + 824.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 613.0, + 196.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 353.0, + 209.0, + 653.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 325.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 357.0, + 506.0, + 686.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3d254b0bca" + }, + "3d5aeac5ba": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 539.0, + 384.0, + 984.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 658.0, + 233.0, + 1172.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 375.0, + 421.0, + 920.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 511.0, + 306.0, + 1201.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 385.0, + 453.0, + 951.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 387.0, + 1252.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 478.0, + 404.0, + 915.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 494.0, + 318.0, + 1189.0, + 690.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3d5aeac5ba" + }, + "3d690473e1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 211.0, + 192.0, + 995.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 407.0, + 0.0, + 1279.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 235.0, + 154.0, + 1040.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 441.0, + 0.0, + 1279.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 272.0, + 167.0, + 1105.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 472.0, + 0.0, + 1279.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 272.0, + 172.0, + 1105.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 474.0, + 0.0, + 1279.0, + 525.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3d690473e1" + }, + "3d69fed2fb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 158.0, + 19.0, + 1183.0, + 676.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 168.0, + 24.0, + 1187.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 158.0, + 20.0, + 1179.0, + 674.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 156.0, + 14.0, + 1173.0, + 668.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3d69fed2fb" + }, + "3d8997aeb6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 594.0, + 203.0, + 853.0, + 642.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 809.0, + 231.0, + 1049.0, + 601.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 300.0, + 80.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 492.0, + 184.0, + 747.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 711.0, + 195.0, + 957.0, + 647.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 516.0, + 119.0, + 785.0, + 557.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 775.0, + 134.0, + 1023.0, + 571.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 446.0, + 103.0, + 723.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 703.0, + 122.0, + 997.0, + 617.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3d8997aeb6" + }, + "3db0d6b07e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 500.0, + 350.0, + 609.0, + 421.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 527.0, + 330.0, + 696.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 540.0, + 289.0, + 898.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 555.0, + 198.0, + 997.0, + 651.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3db0d6b07e" + }, + "3db1ddb8cf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 729.0, + 137.0, + 1088.0, + 256.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 514.0, + 168.0, + 926.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 784.0, + 234.0, + 1121.0, + 415.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 670.0, + 259.0, + 1034.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 747.0, + 135.0, + 820.0, + 237.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 719.0, + 165.0, + 870.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 808.0, + 141.0, + 870.0, + 253.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 819.0, + 176.0, + 951.0, + 413.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3db1ddb8cf" + }, + "3db907ac77": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 556.0, + 232.0, + 973.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 540.0, + 180.0, + 969.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 348.0, + 233.0, + 903.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 354.0, + 285.0, + 803.0, + 626.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3db907ac77" + }, + "3dcbc0635b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 390.0, + 435.0, + 471.0, + 454.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 331.0, + 275.0, + 453.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 390.0, + 445.0, + 485.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 422.0, + 229.0, + 490.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 541.0, + 448.0, + 575.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 480.0, + 269.0, + 575.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 513.0, + 434.0, + 591.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 441.0, + 269.0, + 585.0, + 438.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3dcbc0635b" + }, + "3dd48ed55f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 1095.0, + 361.0, + 1179.0, + 439.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1009.0, + 245.0, + 1213.0, + 641.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 111.0, + 203.0, + 262.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 638.0, + 488.0, + 719.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 597.0, + 253.0, + 794.0, + 644.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 691.0, + 420.0, + 787.0, + 646.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 446.0, + 130.0, + 747.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 594.0, + 437.0, + 781.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 566.0, + 130.0, + 765.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3dd48ed55f" + }, + "3de4ac4ec4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 189.0, + 515.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 67.0, + 168.0, + 892.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 295.0, + 221.0, + 1024.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 219.0, + 207.0, + 978.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3de4ac4ec4" + }, + "3decd63d88": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 453.0, + 89.0, + 633.0, + 280.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 370.0, + 87.0, + 699.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 261.0, + 89.0, + 735.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 402.0, + 88.0, + 776.0, + 564.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3decd63d88" + }, + "3e04a6be11": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 83.0, + 757.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "snake", + "bbox": [ + 303.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "snake", + "bbox": [ + 283.0, + 0.0, + 1246.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "snake", + "bbox": [ + 377.0, + 94.0, + 1084.0, + 683.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e04a6be11" + }, + "3e108fb65a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 46.0, + 71.0, + 681.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1132.0, + 413.0, + 1212.0, + 489.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 54.0, + 110.0, + 606.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1052.0, + 395.0, + 1110.0, + 453.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 1250.0, + 373.0, + 1279.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 54.0, + 150.0, + 523.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 951.0, + 385.0, + 992.0, + 426.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 1074.0, + 368.0, + 1117.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 75.0, + 169.0, + 506.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 919.0, + 383.0, + 955.0, + 418.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 1011.0, + 367.0, + 1051.0, + 416.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e108fb65a" + }, + "3e1448b01c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 498.0, + 84.0, + 759.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 624.0, + 167.0, + 1045.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 506.0, + 135.0, + 819.0, + 394.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 342.0, + 156.0, + 663.0, + 356.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e1448b01c" + }, + "3e16c19634": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 132.0, + 121.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 92.0, + 89.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 106.0, + 108.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 216.0, + 146.0, + 1279.0, + 689.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e16c19634" + }, + "3e2845307e": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 567.0, + 261.0, + 1002.0, + 442.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 780.0, + 148.0, + 1050.0, + 320.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 545.0, + 291.0, + 1003.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 786.0, + 166.0, + 1097.0, + 316.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 531.0, + 281.0, + 1003.0, + 455.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 785.0, + 166.0, + 1102.0, + 319.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 497.0, + 303.0, + 977.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 778.0, + 161.0, + 1057.0, + 311.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e2845307e" + }, + "3e38336da5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 269.0, + 490.0, + 409.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 300.0, + 166.0, + 909.0, + 599.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 700.0, + 211.0, + 794.0, + 281.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 360.0, + 447.0, + 448.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 409.0, + 148.0, + 1010.0, + 602.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 746.0, + 219.0, + 836.0, + 290.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 528.0, + 452.0, + 597.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 501.0, + 113.0, + 1038.0, + 592.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 778.0, + 210.0, + 875.0, + 282.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 527.0, + 525.0, + 651.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 501.0, + 48.0, + 980.0, + 603.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 775.0, + 212.0, + 858.0, + 251.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e38336da5" + }, + "3e3a819865": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 749.0, + 389.0, + 1071.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 616.0, + 314.0, + 923.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 544.0, + 268.0, + 804.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 415.0, + 316.0, + 742.0, + 544.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e3a819865" + }, + "3e3e4be915": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 491.0, + 334.0, + 517.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 515.0, + 334.0, + 535.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 474.0, + 330.0, + 496.0, + 407.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 490.0, + 333.0, + 520.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 433.0, + 334.0, + 460.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 454.0, + 336.0, + 482.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 268.0, + 351.0, + 307.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 290.0, + 352.0, + 347.0, + 528.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00065", + "00085", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e3e4be915" + }, + "3e680622d7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 106.0, + 841.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 671.0, + 223.0, + 1123.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 272.0, + 874.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 663.0, + 211.0, + 1105.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 76.0, + 205.0, + 779.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 603.0, + 199.0, + 1085.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 264.0, + 192.0, + 855.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 722.0, + 239.0, + 1263.0, + 662.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e680622d7" + }, + "3e7d2aeb07": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 660.0, + 217.0, + 947.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1253.0, + 227.0, + 1279.0, + 282.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 633.0, + 247.0, + 949.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1131.0, + 302.0, + 1279.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 614.0, + 332.0, + 946.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1088.0, + 175.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 556.0, + 212.0, + 874.0, + 688.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1009.0, + 26.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e7d2aeb07" + }, + "3e7d8f363d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 129.0, + 806.0, + 667.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 841.0, + 190.0, + 1193.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 71.0, + 812.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 794.0, + 172.0, + 1181.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 30.0, + 853.0, + 672.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 783.0, + 174.0, + 1176.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 32.0, + 934.0, + 640.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 750.0, + 183.0, + 1163.0, + 687.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3e7d8f363d" + }, + "3ea4c49bbe": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 573.0, + 295.0, + 797.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 579.0, + 290.0, + 800.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 572.0, + 299.0, + 805.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 583.0, + 216.0, + 861.0, + 425.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ea4c49bbe" + }, + "3eb39d11ab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 657.0, + 215.0, + 845.0, + 326.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 824.0, + 309.0, + 922.0, + 396.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 490.0, + 340.0, + 691.0, + 498.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 676.0, + 284.0, + 742.0, + 325.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 647.0, + 181.0, + 825.0, + 297.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 777.0, + 295.0, + 886.0, + 381.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 426.0, + 300.0, + 603.0, + 458.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 671.0, + 269.0, + 816.0, + 338.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 765.0, + 170.0, + 862.0, + 312.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 691.0, + 368.0, + 857.0, + 474.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 410.0, + 397.0, + 723.0, + 584.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 783.0, + 161.0, + 932.0, + 313.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 746.0, + 337.0, + 914.0, + 491.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 524.0, + 454.0, + 886.0, + 636.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 707.0, + 130.0, + 832.0, + 221.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3eb39d11ab" + }, + "3ec273c8d5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 523.0, + 244.0, + 593.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 884.0, + 262.0, + 934.0, + 369.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 515.0, + 246.0, + 593.0, + 406.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 887.0, + 272.0, + 934.0, + 371.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 479.0, + 239.0, + 549.0, + 404.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 886.0, + 265.0, + 939.0, + 372.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 455.0, + 233.0, + 541.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 893.0, + 260.0, + 947.0, + 387.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00075", + "00080", + "00110", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ec273c8d5" + }, + "3ed3f91271": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 864.0, + 262.0, + 1211.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 789.0, + 272.0, + 1063.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 334.0, + 1031.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 342.0, + 183.0, + 831.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ed3f91271" + }, + "3ee062a2fd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 349.0, + 297.0, + 549.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 290.0, + 280.0, + 522.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 222.0, + 275.0, + 477.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 187.0, + 246.0, + 433.0, + 551.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ee062a2fd" + }, + "3eede9782c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 641.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 228.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1191.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3eede9782c" + }, + "3ef2fa99cb": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 360.0, + 104.0, + 622.0, + 718.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 472.0, + 131.0, + 1007.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 268.0, + 127.0, + 630.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 451.0, + 173.0, + 1127.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 178.0, + 105.0, + 602.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 441.0, + 160.0, + 1117.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 92.0, + 66.0, + 598.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 407.0, + 133.0, + 1167.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3ef2fa99cb" + }, + "3efc6e9892": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 749.0, + 139.0, + 893.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 624.0, + 129.0, + 759.0, + 473.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 432.0, + 141.0, + 584.0, + 461.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 757.0, + 146.0, + 903.0, + 544.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 603.0, + 136.0, + 757.0, + 493.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 432.0, + 145.0, + 568.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 773.0, + 156.0, + 909.0, + 553.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 631.0, + 146.0, + 782.0, + 503.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 426.0, + 146.0, + 571.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 780.0, + 156.0, + 914.0, + 550.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 630.0, + 134.0, + 782.0, + 515.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 434.0, + 150.0, + 576.0, + 510.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3efc6e9892" + }, + "3f0b0dfddd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 404.0, + 37.0, + 1016.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 226.0, + 0.0, + 692.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 485.0, + 87.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 468.0, + 13.0, + 1023.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 222.0, + 0.0, + 687.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 376.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 0.0, + 1034.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 311.0, + 0.0, + 681.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 464.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 525.0, + 0.0, + 1006.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 433.0, + 0.0, + 665.0, + 662.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 84.0, + 0.0, + 509.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3f0b0dfddd" + }, + "3f0c860359": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 602.0, + 432.0, + 655.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 236.0, + 440.0, + 288.0, + 563.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 883.0, + 453.0, + 953.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 570.0, + 439.0, + 624.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 230.0, + 448.0, + 286.0, + 566.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 864.0, + 443.0, + 927.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 552.0, + 434.0, + 602.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 233.0, + 439.0, + 282.0, + 556.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 817.0, + 459.0, + 897.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 515.0, + 422.0, + 568.0, + 553.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 211.0, + 424.0, + 259.0, + 541.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 802.0, + 426.0, + 863.0, + 524.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3f0c860359" + }, + "3f18728586": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 191.0, + 6.0, + 629.0, + 421.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 349.0, + 153.0, + 796.0, + 528.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 331.0, + 0.0, + 684.0, + 388.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 555.0, + 104.0, + 1006.0, + 679.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 157.0, + 0.0, + 722.0, + 371.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 375.0, + 113.0, + 1102.0, + 650.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 299.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 339.0, + 0.0, + 862.0, + 330.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 195.0, + 153.0, + 934.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 614.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3f18728586" + }, + "3f3b15f083": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 299.0, + 345.0, + 863.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 288.0, + 335.0, + 871.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 280.0, + 196.0, + 801.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 366.0, + 297.0, + 782.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3f3b15f083" + }, + "3f4f3bc803": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 471.0, + 98.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 96.0, + 87.0, + 821.0, + 690.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 811.0, + 378.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 541.0, + 170.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 118.0, + 39.0, + 747.0, + 643.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 735.0, + 326.0, + 1203.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 624.0, + 449.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 186.0, + 129.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 496.0, + 269.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 26.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 1099.0, + 262.0, + 1279.0, + 608.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3f4f3bc803" + }, + "3fd96c5267": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 180.0, + 0.0, + 873.0, + 378.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 317.0, + 0.0, + 864.0, + 243.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 440.0, + 96.0, + 802.0, + 256.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 460.0, + 111.0, + 777.0, + 262.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00060", + "00070", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3fd96c5267" + }, + "3fea675fab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 137.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 106.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1148.0, + 29.0, + 1279.0, + 244.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1054.0, + 79.0, + 1279.0, + 290.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3fea675fab" + }, + "3fee8cbc9f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 670.0, + 168.0, + 972.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 699.0, + 105.0, + 1040.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 587.0, + 75.0, + 1055.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 678.0, + 97.0, + 1090.0, + 667.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3fee8cbc9f" + }, + "3fff16d112": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 105.0, + 0.0, + 960.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 259.0, + 316.0, + 711.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 128.0, + 0.0, + 979.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 304.0, + 319.0, + 732.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 962.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 114.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1200.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/3fff16d112" + }, + "401888b36c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 573.0, + 39.0, + 860.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 589.0, + 42.0, + 859.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 745.0, + 213.0, + 862.0, + 480.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/401888b36c" + }, + "4019231330": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 598.0, + 400.0, + 728.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 637.0, + 171.0, + 669.0, + 224.0 + ], + "valid": 1 + }, + "4": {}, + "5": { + "category_name": "person", + "bbox": [ + 489.0, + 422.0, + 608.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 416.0, + 463.0, + 489.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 691.0, + 155.0, + 725.0, + 206.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1095.0, + 150.0, + 1142.0, + 190.0 + ], + "valid": 1 + }, + "4": {}, + "5": { + "category_name": "person", + "bbox": [ + 492.0, + 337.0, + 532.0, + 378.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 615.0, + 152.0, + 644.0, + 197.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 1184.0, + 136.0, + 1204.0, + 185.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 536.0, + 326.0, + 656.0, + 568.0 + ], + "valid": 1 + }, + "4": {}, + "5": { + "category_name": "person", + "bbox": [ + 587.0, + 143.0, + 608.0, + 200.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1143.0, + 140.0, + 1166.0, + 190.0 + ], + "valid": 1 + }, + "2": {}, + "3": {}, + "4": {}, + "5": {} + } + ], + "frame_names": [ + "00030", + "00050", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4019231330" + }, + "402316532d": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 508.0, + 157.0, + 953.0, + 582.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 469.0, + 70.0, + 1003.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 466.0, + 161.0, + 851.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 425.0, + 79.0, + 991.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 633.0, + 108.0, + 930.0, + 538.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 554.0, + 93.0, + 993.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 650.0, + 113.0, + 914.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 558.0, + 89.0, + 988.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/402316532d" + }, + "402680df52": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 262.0, + 115.0, + 737.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 242.0, + 125.0, + 693.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 193.0, + 81.0, + 662.0, + 471.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 199.0, + 74.0, + 672.0, + 466.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/402680df52" + }, + "404d02e0c0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 256.0, + 279.0, + 494.0, + 427.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 171.0, + 350.0, + 387.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 84.0, + 345.0, + 335.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 225.0, + 288.0, + 436.0, + 467.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/404d02e0c0" + }, + "40709263a8": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 510.0, + 508.0, + 847.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 808.0, + 367.0, + 963.0, + 644.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 534.0, + 330.0, + 829.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 584.0, + 520.0, + 922.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 882.0, + 376.0, + 1033.0, + 659.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 600.0, + 338.0, + 900.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 739.0, + 553.0, + 1082.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 1050.0, + 414.0, + 1207.0, + 703.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 760.0, + 373.0, + 1062.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 858.0, + 598.0, + 1216.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 1184.0, + 454.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 880.0, + 409.0, + 1201.0, + 642.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/40709263a8" + }, + "4083cfbe15": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 944.0, + 44.0, + 1124.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 564.0, + 276.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 109.0, + 232.0, + 580.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 935.0, + 52.0, + 1122.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 769.0, + 468.0, + 789.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 687.0, + 392.0, + 785.0, + 478.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 935.0, + 46.0, + 1123.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 689.0, + 449.0, + 753.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 661.0, + 390.0, + 746.0, + 458.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 950.0, + 54.0, + 1130.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4083cfbe15" + }, + "40a96c5cb1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 498.0, + 129.0, + 1058.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 341.0, + 0.0, + 1279.0, + 391.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 559.0, + 155.0, + 1024.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 513.0, + 0.0, + 1279.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 565.0, + 166.0, + 1000.0, + 384.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 529.0, + 0.0, + 1279.0, + 374.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 541.0, + 180.0, + 942.0, + 364.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 561.0, + 7.0, + 1279.0, + 354.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/40a96c5cb1" + }, + "40b8e50f82": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 167.0, + 181.0, + 607.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 233.0, + 67.0, + 635.0, + 702.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 773.0, + 0.0, + 1211.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 206.0, + 198.0, + 656.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 271.0, + 89.0, + 686.0, + 716.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 883.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 238.0, + 192.0, + 692.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 314.0, + 80.0, + 726.0, + 713.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1013.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 250.0, + 202.0, + 712.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 338.0, + 89.0, + 743.0, + 718.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1125.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00050", + "00060", + "00080", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/40b8e50f82" + }, + "40f4026bf5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 440.0, + 277.0, + 933.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 1069.0, + 304.0, + 1279.0, + 526.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 16.0, + 521.0, + 466.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 588.0, + 460.0, + 855.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 707.0, + 205.0, + 1243.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 174.0, + 461.0, + 332.0, + 663.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 410.0, + 560.0, + 793.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 709.0, + 258.0, + 977.0, + 624.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 412.0, + 417.0, + 628.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 737.0, + 448.0, + 1009.0, + 628.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 987.0, + 231.0, + 1279.0, + 480.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 317.0, + 270.0, + 548.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/40f4026bf5" + }, + "4100b57a3a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 259.0, + 22.0, + 480.0, + 395.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 271.0, + 339.0, + 417.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 884.0, + 184.0, + 1206.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 846.0, + 401.0, + 1016.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 843.0, + 450.0, + 1193.0, + 635.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 791.0, + 571.0, + 919.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 425.0, + 404.0, + 826.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 607.0, + 541.0, + 723.0, + 590.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4100b57a3a" + }, + "41059fdd0b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 667.0, + 303.0, + 830.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 540.0, + 288.0, + 695.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 562.0, + 293.0, + 728.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 536.0, + 278.0, + 705.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/41059fdd0b" + }, + "41124e36de": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 456.0, + 213.0, + 1007.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 464.0, + 216.0, + 1001.0, + 701.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 460.0, + 213.0, + 1001.0, + 700.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 462.0, + 212.0, + 996.0, + 696.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/41124e36de" + }, + "4122aba5f9": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 108.0, + 255.0, + 249.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 655.0, + 235.0, + 799.0, + 443.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 928.0, + 218.0, + 1025.0, + 406.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 1186.0, + 230.0, + 1263.0, + 386.0 + ], + "valid": 1 + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 182.0, + 216.0, + 315.0, + 468.0 + ], + "valid": 1 + }, + "5": { + "category_name": "person", + "bbox": [ + 1121.0, + 195.0, + 1193.0, + 362.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "person", + "bbox": [ + 583.0, + 209.0, + 705.0, + 442.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4122aba5f9" + }, + "413bab0f0d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 367.0, + 140.0, + 611.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 340.0, + 159.0, + 737.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 150.0, + 576.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 136.0, + 785.0, + 611.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/413bab0f0d" + }, + "4164faee0b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 959.0, + 660.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 76.0, + 881.0, + 686.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 49.0, + 959.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 959.0, + 566.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4164faee0b" + }, + "418035eec9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 342.0, + 244.0, + 885.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 345.0, + 243.0, + 839.0, + 389.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 485.0, + 193.0, + 1121.0, + 347.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 727.0, + 65.0, + 1278.0, + 341.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/418035eec9" + }, + "4182d51532": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 186.0, + 0.0, + 338.0, + 326.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 289.0, + 0.0, + 448.0, + 287.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 107.0, + 0.0, + 342.0, + 351.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 207.0, + 254.0, + 551.0, + 416.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 67.0, + 0.0, + 287.0, + 168.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 156.0, + 153.0, + 478.0, + 283.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 182.0, + 0.0, + 448.0, + 326.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 263.0, + 249.0, + 611.0, + 412.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00080", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4182d51532" + }, + "418bb97e10": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 762.0, + 386.0, + 882.0, + 498.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 773.0, + 471.0, + 949.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 904.0, + 442.0, + 1043.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 868.0, + 551.0, + 1011.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 994.0, + 360.0, + 1103.0, + 511.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 917.0, + 502.0, + 995.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 915.0, + 385.0, + 1062.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 875.0, + 546.0, + 1068.0, + 571.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/418bb97e10" + }, + "41a34c20e7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 427.0, + 126.0, + 556.0, + 355.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 530.0, + 189.0, + 661.0, + 285.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 406.0, + 133.0, + 581.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 806.0, + 197.0, + 884.0, + 350.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 426.0, + 139.0, + 578.0, + 351.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 845.0, + 209.0, + 967.0, + 350.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 429.0, + 118.0, + 562.0, + 350.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 795.0, + 212.0, + 890.0, + 348.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/41a34c20e7" + }, + "41dab05200": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 278.0, + 709.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 475.0, + 279.0, + 610.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 588.0, + 355.0, + 643.0, + 492.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 552.0, + 341.0, + 639.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 468.0, + 425.0, + 572.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 463.0, + 278.0, + 584.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 286.0, + 256.0, + 622.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 395.0, + 0.0, + 562.0, + 281.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/41dab05200" + }, + "41ff6d5e2a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 605.0, + 286.0, + 654.0, + 336.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 668.0, + 307.0, + 720.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 414.0, + 239.0, + 600.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 661.0, + 308.0, + 719.0, + 356.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 668.0, + 308.0, + 731.0, + 360.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 665.0, + 309.0, + 730.0, + 363.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/41ff6d5e2a" + }, + "420caf0859": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 188.0, + 476.0, + 392.0, + 605.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 858.0, + 517.0, + 987.0, + 596.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 780.0, + 509.0, + 870.0, + 566.0 + ], + "valid": 1 + }, + "4": { + "category_name": "zebra", + "bbox": [ + 281.0, + 560.0, + 330.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 187.0, + 476.0, + 391.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 892.0, + 514.0, + 1008.0, + 592.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 779.0, + 504.0, + 895.0, + 589.0 + ], + "valid": 1 + }, + "4": { + "category_name": "zebra", + "bbox": [ + 305.0, + 521.0, + 391.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 188.0, + 477.0, + 390.0, + 605.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 911.0, + 520.0, + 1010.0, + 592.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 795.0, + 510.0, + 896.0, + 602.0 + ], + "valid": 1 + }, + "4": { + "category_name": "zebra", + "bbox": [ + 318.0, + 501.0, + 411.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 190.0, + 473.0, + 395.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 911.0, + 515.0, + 1024.0, + 589.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 771.0, + 509.0, + 885.0, + 605.0 + ], + "valid": 1 + }, + "4": { + "category_name": "zebra", + "bbox": [ + 349.0, + 500.0, + 436.0, + 597.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/420caf0859" + }, + "42264230ba": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bucket", + "bbox": [ + 893.0, + 362.0, + 1098.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 440.0, + 0.0, + 843.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 946.0, + 342.0, + 1172.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 458.0, + 0.0, + 891.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 975.0, + 297.0, + 1225.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 446.0, + 0.0, + 923.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 900.0, + 231.0, + 1165.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 294.0, + 0.0, + 867.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/42264230ba" + }, + "425a0c96e0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 115.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 97.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 11.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 102.0, + 72.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/425a0c96e0" + }, + "42da96b87c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 419.0, + 0.0, + 852.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 413.0, + 51.0, + 856.0, + 635.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 351.0, + 104.0, + 1000.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 445.0, + 84.0, + 1020.0, + 668.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/42da96b87c" + }, + "42eb5a5b0f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 304.0, + 0.0, + 821.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 73.0, + 0.0, + 535.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 127.0, + 24.0, + 1176.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 50.0, + 0.0, + 1031.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/42eb5a5b0f" + }, + "42f17cd14d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 438.0, + 121.0, + 896.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 448.0, + 120.0, + 854.0, + 690.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 465.0, + 133.0, + 1118.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 455.0, + 132.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/42f17cd14d" + }, + "42f5c61c49": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 472.0, + 51.0, + 746.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 546.0, + 465.0, + 656.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 487.0, + 126.0, + 710.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 579.0, + 533.0, + 650.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 554.0, + 187.0, + 725.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 588.0, + 555.0, + 664.0, + 588.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 589.0, + 170.0, + 731.0, + 560.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/42f5c61c49" + }, + "42ffdcdee9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 619.0, + 278.0, + 900.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 589.0, + 76.0, + 881.0, + 284.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 655.0, + 156.0, + 953.0, + 355.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 475.0, + 316.0, + 897.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/42ffdcdee9" + }, + "432f9884f9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 631.0, + 208.0, + 845.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 648.0, + 49.0, + 867.0, + 376.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 207.0, + 725.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 464.0, + 32.0, + 747.0, + 454.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/432f9884f9" + }, + "43326d9940": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 537.0, + 231.0, + 912.0, + 437.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 0.0, + 155.0, + 295.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "lion", + "bbox": [ + 149.0, + 159.0, + 443.0, + 394.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "lion", + "bbox": [ + 187.0, + 173.0, + 453.0, + 393.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "lion", + "bbox": [ + 393.0, + 200.0, + 589.0, + 419.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/43326d9940" + }, + "4350f3ab60": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 863.0, + 233.0, + 1279.0, + 535.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 270.0, + 506.0, + 544.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 591.0, + 333.0, + 732.0, + 430.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 1031.0, + 268.0, + 1279.0, + 564.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 283.0, + 484.0, + 578.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 762.0, + 374.0, + 876.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 1171.0, + 314.0, + 1279.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 335.0, + 616.0, + 605.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 897.0, + 412.0, + 1011.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 1235.0, + 352.0, + 1279.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 352.0, + 667.0, + 654.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 962.0, + 430.0, + 1071.0, + 505.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4350f3ab60" + }, + "4399ffade3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 381.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 361.0, + 217.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 453.0, + 0.0, + 899.0, + 233.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 299.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 218.0, + 287.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 607.0, + 0.0, + 1093.0, + 153.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 221.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 110.0, + 181.0, + 404.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 869.0, + 0.0, + 1235.0, + 80.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 217.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 126.0, + 174.0, + 411.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 892.0, + 0.0, + 1137.0, + 63.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4399ffade3" + }, + "43a6c21f37": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 522.0, + 293.0, + 846.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 408.0, + 227.0, + 737.0, + 412.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 530.0, + 171.0, + 766.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 142.0, + 147.0, + 1120.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/43a6c21f37" + }, + "43b5555faa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 589.0, + 263.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 17.0, + 582.0, + 384.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 253.0, + 550.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 81.0, + 274.0, + 745.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00055", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/43b5555faa" + }, + "43d63b752a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 587.0, + 243.0, + 837.0, + 438.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 566.0, + 395.0, + 661.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 278.0, + 683.0, + 523.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 426.0, + 501.0, + 583.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 665.0, + 224.0, + 825.0, + 648.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 596.0, + 604.0, + 884.0, + 661.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 584.0, + 104.0, + 776.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 512.0, + 485.0, + 868.0, + 549.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/43d63b752a" + }, + "4416bdd6ac": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 98.0, + 79.0, + 483.0, + 655.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 703.0, + 109.0, + 875.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 1017.0, + 320.0, + 1279.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 65.0, + 78.0, + 471.0, + 679.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 692.0, + 120.0, + 882.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 1026.0, + 333.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 47.0, + 99.0, + 477.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 704.0, + 144.0, + 896.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 1012.0, + 386.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 27.0, + 115.0, + 462.0, + 695.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 696.0, + 155.0, + 890.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 1028.0, + 407.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4416bdd6ac" + }, + "4444753edd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1039.0, + 148.0, + 1143.0, + 350.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 214.0, + 728.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1078.0, + 142.0, + 1197.0, + 376.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 491.0, + 1.0, + 648.0, + 269.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1102.0, + 154.0, + 1238.0, + 376.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 479.0, + 1.0, + 603.0, + 246.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1113.0, + 160.0, + 1247.0, + 379.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 432.0, + 115.0, + 544.0, + 367.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4444753edd" + }, + "444aa274e7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 232.0, + 184.0, + 1041.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 149.0, + 309.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 224.0, + 147.0, + 1075.0, + 712.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 43.0, + 249.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 296.0, + 186.0, + 1133.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 116.0, + 284.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 222.0, + 173.0, + 1055.0, + 712.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 106.0, + 281.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/444aa274e7" + }, + "444d4e0596": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 32.0, + 190.0, + 400.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 675.0, + 44.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 27.0, + 194.0, + 379.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 339.0, + 54.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 0.0, + 174.0, + 332.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 314.0, + 23.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 0.0, + 202.0, + 310.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 577.0, + 50.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/444d4e0596" + }, + "446b8b5f7a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 117.0, + 104.0, + 266.0, + 250.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 216.0, + 141.0, + 294.0, + 222.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 117.0, + 107.0, + 274.0, + 249.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 219.0, + 141.0, + 297.0, + 223.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 122.0, + 114.0, + 296.0, + 249.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 226.0, + 158.0, + 310.0, + 223.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 129.0, + 109.0, + 297.0, + 249.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 226.0, + 157.0, + 310.0, + 222.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/446b8b5f7a" + }, + "4478f694bb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 236.0, + 372.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 268.0, + 239.0, + 478.0, + 438.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 927.0, + 270.0, + 1047.0, + 384.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 214.0, + 374.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 229.0, + 239.0, + 451.0, + 455.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 895.0, + 269.0, + 1020.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 234.0, + 391.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 226.0, + 253.0, + 454.0, + 472.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 891.0, + 277.0, + 1021.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 156.0, + 388.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 306.0, + 257.0, + 496.0, + 452.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 847.0, + 289.0, + 973.0, + 399.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4478f694bb" + }, + "44b1da0d87": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 440.0, + 319.0, + 741.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 463.0, + 315.0, + 728.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 368.0, + 392.0, + 463.0, + 434.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 486.0, + 325.0, + 718.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 341.0, + 403.0, + 449.0, + 444.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 493.0, + 331.0, + 680.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 286.0, + 413.0, + 395.0, + 454.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/44b1da0d87" + }, + "44b4dad8c9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 436.0, + 41.0, + 497.0, + 94.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 650.0, + 77.0, + 749.0, + 136.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 395.0, + 69.0, + 443.0, + 120.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 387.0, + 0.0, + 468.0, + 84.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 726.0, + 40.0, + 819.0, + 102.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 470.0, + 50.0, + 509.0, + 80.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 383.0, + 1.0, + 462.0, + 67.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 759.0, + 39.0, + 855.0, + 112.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 472.0, + 39.0, + 511.0, + 63.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 287.0, + 65.0, + 408.0, + 161.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 842.0, + 152.0, + 941.0, + 256.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 508.0, + 110.0, + 614.0, + 182.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00080", + "00100", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/44b4dad8c9" + }, + "44b5ece1b9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 266.0, + 0.0, + 755.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 244.0, + 40.0, + 737.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 234.0, + 75.0, + 763.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 228.0, + 56.0, + 765.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/44b5ece1b9" + }, + "44d239b24e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 528.0, + 137.0, + 800.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 509.0, + 290.0, + 762.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 592.0, + 177.0, + 755.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 581.0, + 257.0, + 740.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 593.0, + 231.0, + 725.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 587.0, + 312.0, + 705.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 672.0, + 196.0, + 885.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 678.0, + 334.0, + 896.0, + 673.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/44d239b24e" + }, + "44eaf8f51e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 132.0, + 23.0, + 743.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 503.0, + 0.0, + 1259.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 590.0, + 156.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 399.0, + 18.0, + 1026.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/44eaf8f51e" + }, + "44f4f57099": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 507.0, + 191.0, + 1156.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 388.0, + 124.0, + 1086.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 303.0, + 183.0, + 1099.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 412.0, + 164.0, + 1183.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00070", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/44f4f57099" + }, + "44f7422af2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 272.0, + 288.0, + 1271.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 270.0, + 304.0, + 1267.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 262.0, + 295.0, + 1275.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 259.0, + 298.0, + 1270.0, + 530.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/44f7422af2" + }, + "450787ac97": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 205.0, + 108.0, + 814.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 135.0, + 218.0, + 794.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 145.0, + 239.0, + 792.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 289.0, + 110.0, + 890.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/450787ac97" + }, + "4523656564": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 929.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 399.0, + 173.0, + 941.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 969.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 451.0, + 183.0, + 955.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 947.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 54.0, + 891.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 70.0, + 897.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00085", + "00090", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4523656564" + }, + "4536c882e5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 671.0, + 232.0, + 743.0, + 338.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 675.0, + 316.0, + 715.0, + 348.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 677.0, + 273.0, + 766.0, + 388.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 701.0, + 350.0, + 739.0, + 389.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 581.0, + 127.0, + 690.0, + 308.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 539.0, + 200.0, + 623.0, + 357.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4536c882e5" + }, + "453b65daa4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 66.0, + 48.0, + 835.0, + 663.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 229.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 64.0, + 31.0, + 891.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 193.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 4.0, + 31.0, + 857.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 123.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 92.0, + 77.0, + 913.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 175.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/453b65daa4" + }, + "454f227427": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 505.0, + 127.0, + 681.0, + 583.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 681.0, + 175.0, + 835.0, + 603.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 809.0, + 103.0, + 1016.0, + 639.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 475.0, + 127.0, + 647.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 644.0, + 170.0, + 819.0, + 635.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 790.0, + 97.0, + 1005.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 464.0, + 122.0, + 633.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 640.0, + 170.0, + 822.0, + 638.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 794.0, + 96.0, + 1001.0, + 686.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 387.0, + 110.0, + 608.0, + 611.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 569.0, + 163.0, + 804.0, + 640.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 786.0, + 76.0, + 1015.0, + 699.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00170", + "00185", + "00190", + "00205" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/454f227427" + }, + "45636d806a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 502.0, + 220.0, + 730.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 496.0, + 173.0, + 726.0, + 421.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 491.0, + 149.0, + 719.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 484.0, + 153.0, + 710.0, + 400.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/45636d806a" + }, + "456fb9362e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 124.0, + 229.0, + 545.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 399.0, + 233.0, + 1082.0, + 656.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 293.0, + 24.0, + 875.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 152.0, + 152.0, + 558.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 427.0, + 243.0, + 1092.0, + 651.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 324.0, + 28.0, + 898.0, + 413.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 64.0, + 149.0, + 562.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 444.0, + 252.0, + 1101.0, + 650.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 338.0, + 32.0, + 903.0, + 410.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 152.0, + 98.0, + 725.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 527.0, + 327.0, + 1095.0, + 666.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 338.0, + 33.0, + 911.0, + 398.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/456fb9362e" + }, + "457e717a14": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 390.0, + 148.0, + 612.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 493.0, + 465.0, + 773.0, + 500.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 546.0, + 137.0, + 776.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 769.0, + 511.0, + 1077.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 352.0, + 135.0, + 623.0, + 499.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 623.0, + 449.0, + 960.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 457.0, + 163.0, + 687.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 537.0, + 529.0, + 685.0, + 579.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/457e717a14" + }, + "45a89f35e1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 544.0, + 372.0, + 640.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 406.0, + 394.0, + 551.0, + 537.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 363.0, + 422.0, + 446.0, + 558.0 + ], + "valid": 1 + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 85.0, + 487.0, + 232.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 556.0, + 405.0, + 645.0, + 548.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 435.0, + 407.0, + 575.0, + 537.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 354.0, + 426.0, + 446.0, + 550.0 + ], + "valid": 1 + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 82.0, + 481.0, + 234.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 558.0, + 408.0, + 647.0, + 553.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 432.0, + 407.0, + 585.0, + 538.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 373.0, + 432.0, + 446.0, + 548.0 + ], + "valid": 1 + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 86.0, + 507.0, + 244.0, + 625.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 539.0, + 406.0, + 644.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 398.0, + 477.0, + 557.0, + 665.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 336.0, + 415.0, + 487.0, + 523.0 + ], + "valid": 1 + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 80.0, + 506.0, + 215.0, + 620.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/45a89f35e1" + }, + "45bf0e947d": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 25 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 620.0, + 473.0, + 887.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 428.0, + 430.0, + 699.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 396.0, + 331.0, + 606.0, + 583.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 995.0, + 507.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 880.0, + 455.0, + 1197.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 628.0, + 494.0, + 909.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 272.0, + 458.0, + 709.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 520.0, + 357.0, + 741.0, + 498.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 643.0, + 516.0, + 1033.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 360.0, + 460.0, + 703.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 534.0, + 381.0, + 751.0, + 525.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1039.0, + 608.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 352.0, + 463.0, + 647.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 701.0, + 390.0, + 1135.0, + 717.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00060", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/45bf0e947d" + }, + "45c36a9eab": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 194.0, + 565.0, + 666.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 854.0, + 294.0, + 1187.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 19.0, + 196.0, + 586.0, + 659.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 866.0, + 299.0, + 1189.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 23.0, + 170.0, + 595.0, + 646.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 891.0, + 289.0, + 1227.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 210.0, + 561.0, + 690.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 856.0, + 328.0, + 1190.0, + 625.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/45c36a9eab" + }, + "45d9fc1357": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 312.0, + 244.0, + 869.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 178.0, + 218.0, + 985.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 122.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 210.0, + 64.0, + 1279.0, + 700.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/45d9fc1357" + }, + "45f8128b97": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1039.0, + 121.0, + 1279.0, + 708.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "others", + "bbox": [ + 240.0, + 319.0, + 265.0, + 342.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 812.0, + 137.0, + 945.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "others", + "bbox": [ + 268.0, + 374.0, + 297.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 622.0, + 239.0, + 876.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 673.0, + 339.0, + 702.0, + 366.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 267.0, + 373.0, + 290.0, + 380.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 690.0, + 218.0, + 863.0, + 594.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 689.0, + 334.0, + 717.0, + 343.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 271.0, + 304.0, + 305.0, + 332.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/45f8128b97" + }, + "4607f6c03c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 191.0, + 285.0, + 444.0, + 645.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 412.0, + 83.0, + 436.0, + 200.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 78.0, + 126.0, + 122.0, + 187.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 55.0, + 314.0, + 370.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 160.0, + 98.0, + 188.0, + 220.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 10.0, + 0.0, + 647.0, + 553.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 435.0, + 0.0, + 836.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4607f6c03c" + }, + "46146dfd39": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 329.0, + 299.0, + 565.0, + 699.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 686.0, + 282.0, + 824.0, + 520.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 715.0, + 248.0, + 780.0, + 316.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 379.0, + 252.0, + 591.0, + 702.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 619.0, + 244.0, + 750.0, + 501.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 519.0, + 208.0, + 593.0, + 329.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 400.0, + 215.0, + 611.0, + 653.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 602.0, + 205.0, + 735.0, + 486.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 497.0, + 169.0, + 567.0, + 240.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 737.0, + 156.0, + 973.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 723.0, + 153.0, + 820.0, + 310.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 369.0, + 142.0, + 448.0, + 302.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/46146dfd39" + }, + "4620e66b1e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 725.0, + 400.0, + 899.0, + 690.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 596.0, + 227.0, + 793.0, + 673.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frog", + "bbox": [ + 438.0, + 174.0, + 669.0, + 368.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 532.0, + 469.0, + 693.0, + 703.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 484.0, + 381.0, + 638.0, + 533.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frog", + "bbox": [ + 549.0, + 125.0, + 718.0, + 325.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 622.0, + 383.0, + 947.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 546.0, + 303.0, + 701.0, + 412.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frog", + "bbox": [ + 514.0, + 57.0, + 750.0, + 276.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 616.0, + 374.0, + 937.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 520.0, + 268.0, + 783.0, + 386.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frog", + "bbox": [ + 540.0, + 24.0, + 771.0, + 253.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4620e66b1e" + }, + "4625f3f2d3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 428.0, + 385.0, + 1065.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 639.0, + 283.0, + 1093.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 394.0, + 385.0, + 1017.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 602.0, + 281.0, + 1055.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 416.0, + 376.0, + 1039.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 624.0, + 274.0, + 1083.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 418.0, + 374.0, + 1049.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 612.0, + 272.0, + 1083.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4625f3f2d3" + }, + "462b22f263": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 553.0, + 285.0, + 682.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 801.0, + 335.0, + 969.0, + 444.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 726.0, + 353.0, + 796.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 553.0, + 269.0, + 727.0, + 438.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1077.0, + 331.0, + 1279.0, + 518.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 747.0, + 353.0, + 858.0, + 432.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 534.0, + 259.0, + 783.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 795.0, + 351.0, + 952.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 523.0, + 245.0, + 819.0, + 472.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 830.0, + 354.0, + 1028.0, + 472.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/462b22f263" + }, + "4634736113": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 578.0, + 285.0, + 957.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 345.0, + 329.0, + 768.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 394.0, + 219.0, + 1279.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 555.0, + 325.0, + 1230.0, + 697.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4634736113" + }, + "463c0f4fdd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 265.0, + 89.0, + 669.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 187.0, + 502.0, + 422.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 235.0, + 89.0, + 672.0, + 628.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 180.0, + 502.0, + 391.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 274.0, + 84.0, + 614.0, + 417.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 183.0, + 493.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 94.0, + 85.0, + 618.0, + 369.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 267.0, + 447.0, + 630.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/463c0f4fdd" + }, + "46565a75f8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 729.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 414.0, + 485.0, + 869.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 732.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 372.0, + 437.0, + 887.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 736.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 321.0, + 398.0, + 895.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 729.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 345.0, + 436.0, + 875.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/46565a75f8" + }, + "46630b55ae": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 382.0, + 97.0, + 636.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 367.0, + 330.0, + 641.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 380.0, + 110.0, + 663.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 383.0, + 366.0, + 674.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 389.0, + 124.0, + 704.0, + 672.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 407.0, + 396.0, + 711.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 371.0, + 119.0, + 778.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 411.0, + 467.0, + 711.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/46630b55ae" + }, + "466839cb37": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 534.0, + 147.0, + 1118.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 533.0, + 158.0, + 1052.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 527.0, + 164.0, + 1031.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 482.0, + 200.0, + 838.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/466839cb37" + }, + "466ba4ae0c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 389.0, + 321.0, + 673.0, + 612.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 707.0, + 249.0, + 1089.0, + 630.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 571.0, + 322.0, + 888.0, + 626.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 1097.0, + 490.0, + 1279.0, + 704.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1237.0, + 517.0, + 1279.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 844.0, + 322.0, + 1271.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "duck", + "bbox": [ + 882.0, + 335.0, + 1219.0, + 662.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 170.0, + 352.0, + 490.0, + 682.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/466ba4ae0c" + }, + "4680236c9d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 95.0, + 225.0, + 460.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 56.0, + 265.0, + 462.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 240.0, + 184.0, + 527.0, + 511.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 308.0, + 255.0, + 646.0, + 641.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4680236c9d" + }, + "46bf4e8709": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 406.0, + 113.0, + 483.0, + 171.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 501.0, + 3.0, + 765.0, + 145.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 387.0, + 117.0, + 419.0, + 154.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 389.0, + 126.0, + 462.0, + 181.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 499.0, + 3.0, + 764.0, + 145.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 373.0, + 125.0, + 402.0, + 164.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 372.0, + 122.0, + 439.0, + 175.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 502.0, + 16.0, + 766.0, + 146.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 361.0, + 126.0, + 384.0, + 161.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 424.0, + 127.0, + 488.0, + 178.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 500.0, + 11.0, + 766.0, + 144.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 418.0, + 127.0, + 434.0, + 166.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00130", + "00140", + "00155", + "00175" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/46bf4e8709" + }, + "46e18e42f1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 995.0, + 235.0, + 1227.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 733.0, + 219.0, + 915.0, + 505.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 472.0, + 257.0, + 642.0, + 568.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 204.0, + 188.0, + 322.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 1125.0, + 380.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 446.0, + 219.0, + 614.0, + 429.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 588.0, + 241.0, + 764.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 987.0, + 273.0, + 1249.0, + 556.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/46e18e42f1" + }, + "46f5093c59": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 448.0, + 45.0, + 809.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 440.0, + 75.0, + 788.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 484.0, + 201.0, + 1144.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 499.0, + 209.0, + 1174.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/46f5093c59" + }, + "47269e0499": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 144.0, + 310.0, + 397.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 133.0, + 256.0, + 251.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 98.0, + 214.0, + 234.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 150.0, + 266.0, + 451.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/47269e0499" + }, + "472da1c484": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 101.0, + 29.0, + 1279.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 39.0, + 144.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 137.0, + 104.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 53.0, + 0.0, + 1279.0, + 618.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/472da1c484" + }, + "47354fab09": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 142.0, + 177.0, + 701.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 142.0, + 180.0, + 697.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 130.0, + 182.0, + 711.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 144.0, + 181.0, + 707.0, + 509.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/47354fab09" + }, + "4743bb84a7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 341.0, + 444.0, + 376.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 121.0, + 455.0, + 185.0, + 693.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 14.0, + 436.0, + 115.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 328.0, + 450.0, + 365.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 112.0, + 461.0, + 173.0, + 699.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 5.0, + 437.0, + 104.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 273.0, + 457.0, + 314.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 57.0, + 471.0, + 131.0, + 710.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 3.0, + 451.0, + 53.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 221.0, + 465.0, + 261.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 5.0, + 479.0, + 62.0, + 715.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4743bb84a7" + }, + "474a796272": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 690.0, + 50.0, + 1056.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 669.0, + 56.0, + 1024.0, + 619.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 592.0, + 83.0, + 930.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 633.0, + 225.0, + 933.0, + 718.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/474a796272" + }, + "4783d2ab87": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 390.0, + 278.0, + 516.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 683.0, + 215.0, + 811.0, + 534.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 406.0, + 224.0, + 722.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 167.0, + 318.0, + 470.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 508.0, + 248.0, + 641.0, + 568.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 245.0, + 252.0, + 547.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 159.0, + 349.0, + 498.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 504.0, + 274.0, + 634.0, + 591.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 235.0, + 285.0, + 539.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 146.0, + 374.0, + 533.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 514.0, + 290.0, + 634.0, + 605.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 208.0, + 304.0, + 539.0, + 546.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4783d2ab87" + }, + "479cad5da3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 19.0, + 865.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 2.0, + 847.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 833.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 811.0, + 533.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/479cad5da3" + }, + "479f5d7ef6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 320.0, + 215.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 331.0, + 346.0, + 548.0, + 616.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 122.0, + 279.0, + 207.0, + 368.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 298.0, + 189.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 379.0, + 318.0, + 598.0, + 608.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 62.0, + 255.0, + 134.0, + 306.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 277.0, + 253.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 431.0, + 302.0, + 670.0, + 598.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 42.0, + 247.0, + 75.0, + 287.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 270.0, + 170.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 436.0, + 282.0, + 681.0, + 596.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00065", + "00080", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/479f5d7ef6" + }, + "47a05fbd1d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 318.0, + 196.0, + 661.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 231.0, + 300.0, + 410.0, + 573.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 238.0, + 358.0, + 485.0, + 568.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 922.0, + 275.0, + 1084.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 300.0, + 180.0, + 632.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 203.0, + 302.0, + 403.0, + 593.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 243.0, + 361.0, + 457.0, + 561.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 921.0, + 271.0, + 1091.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 282.0, + 174.0, + 577.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 171.0, + 309.0, + 369.0, + 593.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 253.0, + 338.0, + 539.0, + 565.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 913.0, + 285.0, + 1097.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 295.0, + 159.0, + 572.0, + 560.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 201.0, + 300.0, + 391.0, + 561.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 326.0, + 331.0, + 577.0, + 556.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 924.0, + 286.0, + 1115.0, + 599.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/47a05fbd1d" + }, + "4804ee2767": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dog", + "bbox": [ + 403.0, + 319.0, + 859.0, + 718.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 500.0, + 148.0, + 942.0, + 416.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dog", + "bbox": [ + 846.0, + 150.0, + 1155.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dog", + "bbox": [ + 71.0, + 247.0, + 440.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 404.0, + 109.0, + 861.0, + 494.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dog", + "bbox": [ + 715.0, + 91.0, + 978.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 416.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 539.0, + 99.0, + 755.0, + 575.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dog", + "bbox": [ + 602.0, + 151.0, + 962.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 462.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 452.0, + 151.0, + 951.0, + 425.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dog", + "bbox": [ + 548.0, + 252.0, + 1029.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4804ee2767" + }, + "4810c3fbca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 471.0, + 656.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 245.0, + 396.0, + 818.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 389.0, + 830.0, + 514.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4810c3fbca" + }, + "482fb439c2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 254.0, + 221.0, + 604.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 230.0, + 220.0, + 582.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 242.0, + 208.0, + 582.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 254.0, + 212.0, + 584.0, + 469.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/482fb439c2" + }, + "48375af288": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 997.0, + 373.0, + 1023.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 991.0, + 365.0, + 1016.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 981.0, + 366.0, + 1001.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 986.0, + 361.0, + 1004.0, + 431.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/48375af288" + }, + "484ab44de4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 409.0, + 314.0, + 1076.0, + 472.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 158.0, + 443.0, + 850.0, + 607.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 233.0, + 144.0, + 959.0, + 314.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 258.0, + 176.0, + 1013.0, + 354.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/484ab44de4" + }, + "485f3944cd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 529.0, + 492.0, + 722.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 769.0, + 473.0, + 926.0, + 716.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 616.0, + 469.0, + 734.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 348.0, + 519.0, + 652.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 655.0, + 490.0, + 822.0, + 708.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 447.0, + 464.0, + 617.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 443.0, + 487.0, + 696.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 694.0, + 419.0, + 876.0, + 684.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 506.0, + 432.0, + 677.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 466.0, + 529.0, + 782.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 790.0, + 471.0, + 980.0, + 665.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 568.0, + 467.0, + 757.0, + 642.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/485f3944cd" + }, + "4867b84887": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 687.0, + 369.0, + 1051.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 619.0, + 351.0, + 882.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 614.0, + 302.0, + 1029.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 548.0, + 310.0, + 1101.0, + 595.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4867b84887" + }, + "486a8ac57e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 385.0, + 197.0, + 723.0, + 430.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 414.0, + 219.0, + 753.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 417.0, + 231.0, + 760.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 446.0, + 247.0, + 788.0, + 478.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/486a8ac57e" + }, + "486e69c5bd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 273.0, + 0.0, + 1256.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 271.0, + 85.0, + 1032.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 186.0, + 974.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 331.0, + 9.0, + 992.0, + 621.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/486e69c5bd" + }, + "48812cf33e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 298.0, + 335.0, + 813.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 307.0, + 327.0, + 811.0, + 532.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 305.0, + 330.0, + 808.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 305.0, + 327.0, + 820.0, + 537.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/48812cf33e" + }, + "4894b3b9ea": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 414.0, + 338.0, + 538.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 433.0, + 326.0, + 571.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 472.0, + 193.0, + 626.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 477.0, + 179.0, + 633.0, + 364.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4894b3b9ea" + }, + "48bd66517d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 473.0, + 379.0, + 718.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 158.0, + 281.0, + 526.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 386.0, + 269.0, + 614.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 628.0, + 305.0, + 859.0, + 526.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/48bd66517d" + }, + "48d83b48a4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 678.0, + 261.0, + 743.0, + 307.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 739.0, + 258.0, + 817.0, + 304.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 845.0, + 255.0, + 903.0, + 303.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 664.0, + 233.0, + 724.0, + 284.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 727.0, + 228.0, + 812.0, + 272.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 839.0, + 227.0, + 903.0, + 272.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 640.0, + 215.0, + 699.0, + 267.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 701.0, + 211.0, + 772.0, + 255.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 824.0, + 209.0, + 883.0, + 255.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 619.0, + 226.0, + 674.0, + 278.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 678.0, + 220.0, + 747.0, + 266.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 810.0, + 218.0, + 871.0, + 264.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/48d83b48a4" + }, + "4918d10ff0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 93.0, + 44.0, + 434.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 401.0, + 57.0, + 1106.0, + 606.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 443.0, + 0.0, + 734.0, + 110.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 157.0, + 0.0, + 530.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 517.0, + 0.0, + 1198.0, + 524.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 695.0, + 0.0, + 806.0, + 58.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 231.0, + 5.0, + 642.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 588.0, + 0.0, + 1242.0, + 534.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 746.0, + 0.0, + 968.0, + 79.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 371.0, + 0.0, + 678.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 646.0, + 0.0, + 1279.0, + 506.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 795.0, + 0.0, + 1034.0, + 38.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4918d10ff0" + }, + "4932911f80": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 227.0, + 10.0, + 824.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 621.0, + 518.0, + 909.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 121.0, + 0.0, + 714.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 16.0, + 494.0, + 803.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 26.0, + 287.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 398.0, + 443.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 351.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 52.0, + 407.0, + 639.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4932911f80" + }, + "49405b7900": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 301.0, + 0.0, + 1072.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 324.0, + 111.0, + 810.0, + 664.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 349.0, + 103.0, + 775.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 447.0, + 98.0, + 743.0, + 492.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49405b7900" + }, + "49972c2d14": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 422.0, + 165.0, + 665.0, + 552.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 684.0, + 164.0, + 757.0, + 237.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 637.0, + 389.0, + 870.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 322.0, + 271.0, + 595.0, + 645.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 575.0, + 432.0, + 643.0, + 503.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 446.0, + 461.0, + 629.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 469.0, + 342.0, + 786.0, + 684.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 452.0, + 489.0, + 530.0, + 551.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 359.0, + 301.0, + 592.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 465.0, + 76.0, + 746.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 581.0, + 608.0, + 672.0, + 629.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 117.0, + 435.0, + 506.0, + 656.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49972c2d14" + }, + "499bf07002": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 107.0, + 541.0, + 315.0, + 646.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 8.0, + 1279.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 185.0, + 530.0, + 395.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 177.0, + 544.0, + 394.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 157.0, + 550.0, + 376.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 8.0, + 1279.0, + 629.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/499bf07002" + }, + "49b16e9377": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 545.0, + 322.0, + 678.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 496.0, + 534.0, + 676.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 659.0, + 186.0, + 825.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 671.0, + 522.0, + 792.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 631.0, + 233.0, + 849.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 592.0, + 584.0, + 937.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 585.0, + 329.0, + 671.0, + 480.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 633.0, + 477.0, + 669.0, + 509.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49b16e9377" + }, + "49c104258e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 414.0, + 276.0, + 1279.0, + 522.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 200.0, + 256.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 398.0, + 277.0, + 1279.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 199.0, + 254.0, + 490.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 390.0, + 271.0, + 1279.0, + 522.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 195.0, + 250.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 412.0, + 251.0, + 1279.0, + 526.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 198.0, + 254.0, + 480.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49c104258e" + }, + "49c879f82d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 506.0, + 196.0, + 667.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1.0, + 420.0, + 232.0, + 604.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 495.0, + 67.0, + 669.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1.0, + 293.0, + 265.0, + 481.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 601.0, + 1.0, + 763.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 127.0, + 75.0, + 490.0, + 264.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 709.0, + 0.0, + 873.0, + 684.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 261.0, + 129.0, + 607.0, + 315.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49c879f82d" + }, + "49e7326789": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 284.0, + 290.0, + 1103.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 298.0, + 268.0, + 1031.0, + 441.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 348.0, + 279.0, + 971.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1208.0, + 357.0, + 1251.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 264.0, + 484.0, + 399.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49e7326789" + }, + "49ec3e406a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 141.0, + 82.0, + 928.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 493.0, + 259.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 201.0, + 88.0, + 951.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 545.0, + 271.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 169.0, + 40.0, + 787.0, + 683.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 504.0, + 221.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 69.0, + 82.0, + 739.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 481.0, + 324.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49ec3e406a" + }, + "49fbf0c98a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 473.0, + 344.0, + 578.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 482.0, + 161.0, + 596.0, + 374.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 834.0, + 184.0, + 1009.0, + 536.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 921.0, + 180.0, + 1020.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 418.0, + 325.0, + 502.0, + 472.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 450.0, + 163.0, + 562.0, + 409.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 814.0, + 187.0, + 981.0, + 534.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 839.0, + 182.0, + 953.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 396.0, + 355.0, + 513.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 439.0, + 147.0, + 549.0, + 361.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 814.0, + 194.0, + 960.0, + 530.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 847.0, + 173.0, + 951.0, + 528.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 427.0, + 339.0, + 546.0, + 463.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 450.0, + 149.0, + 565.0, + 365.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 824.0, + 189.0, + 990.0, + 534.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 811.0, + 177.0, + 885.0, + 524.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/49fbf0c98a" + }, + "4a0255c865": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 203.0, + 0.0, + 772.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 509.0, + 465.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 379.0, + 0.0, + 751.0, + 627.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 530.0, + 433.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 412.0, + 31.0, + 684.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 524.0, + 410.0, + 1222.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 415.0, + 117.0, + 671.0, + 423.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 513.0, + 408.0, + 1114.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a0255c865" + }, + "4a088fe99a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 406.0, + 266.0, + 743.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 847.0, + 588.0, + 1181.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 421.0, + 269.0, + 720.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 827.0, + 604.0, + 1159.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 415.0, + 277.0, + 705.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 797.0, + 603.0, + 1157.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 382.0, + 259.0, + 686.0, + 473.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 809.0, + 566.0, + 1165.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a088fe99a" + }, + "4a341402d0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 108.0, + 126.0, + 644.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 586.0, + 74.0, + 949.0, + 421.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 176.0, + 175.0, + 667.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 574.0, + 117.0, + 929.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 120.0, + 125.0, + 638.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 554.0, + 56.0, + 943.0, + 409.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 783.0, + 107.0, + 1135.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a341402d0" + }, + "4a3471bdf5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 383.0, + 46.0, + 616.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 550.0, + 346.0, + 951.0, + 500.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 990.0, + 52.0, + 1077.0, + 207.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 319.0, + 62.0, + 581.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 467.0, + 372.0, + 901.0, + 557.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 943.0, + 81.0, + 1030.0, + 231.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 409.0, + 98.0, + 725.0, + 599.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 483.0, + 391.0, + 969.0, + 545.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1027.0, + 99.0, + 1108.0, + 251.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 346.0, + 107.0, + 738.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 475.0, + 404.0, + 878.0, + 554.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 929.0, + 113.0, + 1010.0, + 265.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00070", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a3471bdf5" + }, + "4a4b50571c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 338.0, + 237.0, + 1279.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 284.0, + 0.0, + 715.0, + 445.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 260.0, + 251.0, + 1279.0, + 617.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 180.0, + 0.0, + 636.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 204.0, + 296.0, + 1029.0, + 574.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 58.0, + 0.0, + 767.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 194.0, + 223.0, + 1047.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 80.0, + 0.0, + 762.0, + 412.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a4b50571c" + }, + "4a50f3d2e9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 577.0, + 212.0, + 940.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 118.0, + 319.0, + 479.0, + 434.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 141.0, + 357.0, + 547.0, + 560.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 272.0, + 466.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 613.0, + 188.0, + 854.0, + 383.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 161.0, + 271.0, + 521.0, + 386.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 186.0, + 309.0, + 592.0, + 510.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 225.0, + 508.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 597.0, + 256.0, + 754.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 155.0, + 317.0, + 517.0, + 433.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 174.0, + 358.0, + 583.0, + 557.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 278.0, + 504.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 601.0, + 260.0, + 747.0, + 406.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 160.0, + 320.0, + 521.0, + 437.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 180.0, + 362.0, + 588.0, + 560.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 279.0, + 506.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00150", + "00170", + "00205", + "00210" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a50f3d2e9" + }, + "4a6e3faaa1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 163.0, + 338.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 590.0, + 0.0, + 1051.0, + 400.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 175.0, + 264.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 661.0, + 0.0, + 1071.0, + 371.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 205.0, + 376.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 757.0, + 0.0, + 1153.0, + 310.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 598.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 1219.0, + 131.0, + 1279.0, + 270.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a6e3faaa1" + }, + "4a7191f08a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 677.0, + 212.0, + 790.0, + 442.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 595.0, + 432.0, + 847.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 794.0, + 128.0, + 907.0, + 405.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 601.0, + 389.0, + 848.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 733.0, + 124.0, + 881.0, + 367.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 512.0, + 336.0, + 904.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 715.0, + 130.0, + 862.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 526.0, + 375.0, + 866.0, + 402.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a7191f08a" + }, + "4a86fcfc30": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 687.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 677.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 741.0, + 705.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 753.0, + 693.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a86fcfc30" + }, + "4a885fa3ef": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 476.0, + 267.0, + 1023.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 501.0, + 280.0, + 981.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 560.0, + 282.0, + 810.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 367.0, + 238.0, + 638.0, + 718.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4a885fa3ef" + }, + "4aa2e0f865": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 238.0, + 112.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 268.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 284.0, + 33.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 268.0, + 73.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4aa2e0f865" + }, + "4aa9d6527f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 382.0, + 137.0, + 697.0, + 402.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 379.0, + 159.0, + 661.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 391.0, + 138.0, + 675.0, + 384.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 371.0, + 74.0, + 733.0, + 350.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4aa9d6527f" + }, + "4abb74bb52": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 494.0, + 109.0, + 709.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 497.0, + 104.0, + 709.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 495.0, + 105.0, + 709.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 490.0, + 111.0, + 873.0, + 618.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00050", + "00070", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4abb74bb52" + }, + "4ae13de1cd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 72.0, + 0.0, + 410.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 0.0, + 285.0, + 414.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 712.0, + 123.0, + 834.0, + 430.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 104.0, + 0.0, + 424.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 52.0, + 291.0, + 425.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 708.0, + 130.0, + 830.0, + 412.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 330.0, + 9.0, + 562.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 286.0, + 289.0, + 531.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 766.0, + 137.0, + 879.0, + 390.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 407.0, + 31.0, + 598.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 376.0, + 287.0, + 584.0, + 686.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 761.0, + 153.0, + 864.0, + 409.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4ae13de1cd" + }, + "4af8cb323f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 593.0, + 27.0, + 1279.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 168.0, + 630.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 589.0, + 0.0, + 1279.0, + 599.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 125.0, + 637.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 591.0, + 0.0, + 1279.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 237.0, + 684.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 648.0, + 0.0, + 1279.0, + 494.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 107.0, + 646.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4af8cb323f" + }, + "4b02c272b3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 455.0, + 282.0, + 950.0, + 672.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 175.0, + 240.0, + 481.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 481.0, + 316.0, + 972.0, + 574.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 153.0, + 232.0, + 451.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 464.0, + 282.0, + 896.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 217.0, + 264.0, + 507.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 506.0, + 263.0, + 831.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 182.0, + 283.0, + 530.0, + 650.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b02c272b3" + }, + "4b19c529fb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 907.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 495.0, + 62.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 867.0, + 611.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 479.0, + 25.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 90.0, + 37.0, + 1005.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 523.0, + 223.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 222.0, + 67.0, + 977.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 512.0, + 297.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b19c529fb" + }, + "4b2974eff4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 806.0, + 481.0, + 1060.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 776.0, + 183.0, + 1142.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 782.0, + 119.0, + 972.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 798.0, + 124.0, + 1146.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b2974eff4" + }, + "4b3154c159": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 612.0, + 596.0, + 1066.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 415.0, + 442.0, + 842.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 1140.0, + 246.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 234.0, + 240.0, + 657.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 869.0, + 209.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 230.0, + 218.0, + 632.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 654.0, + 199.0, + 1279.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00085", + "00100", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b3154c159" + }, + "4b54d2587f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 486.0, + 332.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 120.0, + 409.0, + 418.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 472.0, + 140.0, + 1175.0, + 643.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b54d2587f" + }, + "4b556740ff": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 283.0, + 44.0, + 1061.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 447.0, + 50.0, + 1112.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 422.0, + 49.0, + 1089.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 413.0, + 50.0, + 1014.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 847.0, + 276.0, + 1084.0, + 532.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b556740ff" + }, + "4b67aa9ef6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 583.0, + 305.0, + 988.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 579.0, + 290.0, + 996.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 584.0, + 310.0, + 982.0, + 508.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 573.0, + 289.0, + 996.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 584.0, + 319.0, + 973.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 572.0, + 320.0, + 980.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 565.0, + 316.0, + 955.0, + 484.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 607.0, + 318.0, + 979.0, + 488.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b67aa9ef6" + }, + "4b97cc7b8d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 235.0, + 18.0, + 568.0, + 298.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 523.0, + 136.0, + 783.0, + 306.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 238.0, + 21.0, + 529.0, + 307.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 553.0, + 150.0, + 797.0, + 326.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 311.0, + 15.0, + 529.0, + 336.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 571.0, + 133.0, + 807.0, + 330.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 101.0, + 18.0, + 518.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 579.0, + 141.0, + 795.0, + 338.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00070", + "00085", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4b97cc7b8d" + }, + "4baa1ed4aa": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 207.0, + 1057.0, + 652.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 867.0, + 346.0, + 910.0, + 390.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 923.0, + 348.0, + 979.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 204.0, + 932.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 738.0, + 354.0, + 781.0, + 418.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 797.0, + 358.0, + 860.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 66.0, + 227.0, + 1050.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 845.0, + 366.0, + 890.0, + 426.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 905.0, + 367.0, + 970.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 12.0, + 263.0, + 991.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 785.0, + 410.0, + 828.0, + 465.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 846.0, + 412.0, + 909.0, + 467.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4baa1ed4aa" + }, + "4bc8c676bb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 363.0, + 174.0, + 842.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 364.0, + 150.0, + 1045.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 374.0, + 129.0, + 1217.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 160.0, + 85.0, + 1279.0, + 564.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00175", + "00195", + "00205", + "00235" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4bc8c676bb" + }, + "4beaea4dbe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 447.0, + 195.0, + 668.0, + 389.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 216.0, + 220.0, + 866.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 425.0, + 212.0, + 637.0, + 365.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 229.0, + 174.0, + 869.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 315.0, + 256.0, + 585.0, + 377.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 233.0, + 157.0, + 930.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 251.0, + 212.0, + 509.0, + 359.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 196.0, + 146.0, + 871.0, + 523.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4beaea4dbe" + }, + "4bf5763d24": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 628.0, + 205.0, + 807.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 856.0, + 325.0, + 988.0, + 602.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 811.0, + 358.0, + 885.0, + 437.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 245.0, + 301.0, + 906.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 628.0, + 204.0, + 791.0, + 583.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 831.0, + 353.0, + 1000.0, + 612.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 879.0, + 346.0, + 933.0, + 419.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 293.0, + 290.0, + 902.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 644.0, + 184.0, + 801.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 749.0, + 322.0, + 996.0, + 608.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 892.0, + 339.0, + 959.0, + 438.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 342.0, + 280.0, + 1017.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 671.0, + 183.0, + 838.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 894.0, + 313.0, + 1053.0, + 622.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 895.0, + 398.0, + 919.0, + 416.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 356.0, + 286.0, + 953.0, + 575.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00105", + "00130", + "00145", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4bf5763d24" + }, + "4bffa92b67": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 648.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 760.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 777.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 789.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4bffa92b67" + }, + "4c25dfa8ec": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 154.0, + 357.0, + 307.0, + 641.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 462.0, + 331.0, + 638.0, + 580.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 842.0, + 312.0, + 984.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 428.0, + 374.0, + 573.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 765.0, + 386.0, + 955.0, + 675.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 1160.0, + 390.0, + 1279.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 441.0, + 398.0, + 595.0, + 705.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 788.0, + 389.0, + 971.0, + 683.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 1168.0, + 379.0, + 1279.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 343.0, + 393.0, + 483.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 694.0, + 452.0, + 901.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 1089.0, + 487.0, + 1223.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00085", + "00120", + "00130", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4c25dfa8ec" + }, + "4c397b6fd4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 287.0, + 202.0, + 664.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 283.0, + 223.0, + 618.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 236.0, + 195.0, + 608.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 220.0, + 245.0, + 606.0, + 633.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4c397b6fd4" + }, + "4c51e75d66": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 162.0, + 174.0, + 243.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 20.0, + 228.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 249.0, + 164.0, + 299.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 30.0, + 21.0, + 292.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 232.0, + 155.0, + 367.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 200.0, + 23.0, + 342.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 293.0, + 186.0, + 374.0, + 422.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 116.0, + 60.0, + 336.0, + 469.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4c51e75d66" + }, + "4c7710908f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 55.0, + 205.0, + 808.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 139.0, + 192.0, + 842.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 141.0, + 32.0, + 844.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 193.0, + 20.0, + 812.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4c7710908f" + }, + "4c9b5017be": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 172.0, + 907.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 262.0, + 831.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 233.0, + 706.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 2.0, + 266.0, + 787.0, + 440.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4c9b5017be" + }, + "4ca2ffc361": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 428.0, + 159.0, + 978.0, + 619.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 410.0, + 151.0, + 979.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 419.0, + 126.0, + 1061.0, + 664.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 184.0, + 0.0, + 1129.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4ca2ffc361" + }, + "4cad2e93bc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 362.0, + 38.0, + 1050.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 361.0, + 15.0, + 1044.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 438.0, + 93.0, + 1114.0, + 705.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 484.0, + 94.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cad2e93bc" + }, + "4cd427b535": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 23 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 481.0, + 540.0, + 774.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 765.0, + 461.0, + 1131.0, + 640.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 122.0, + 427.0, + 441.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 780.0, + 340.0, + 1127.0, + 527.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 1027.0, + 0.0, + 1196.0, + 426.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fish", + "bbox": [ + 773.0, + 541.0, + 1070.0, + 700.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 738.0, + 189.0, + 1184.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 420.0, + 482.0, + 676.0, + 674.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 918.0, + 360.0, + 1142.0, + 527.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 1082.0, + 90.0, + 1279.0, + 363.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00075", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cd427b535" + }, + "4cd9a4b1ef": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 73.0, + 536.0, + 519.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 73.0, + 520.0, + 548.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 248.0, + 429.0, + 732.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 419.0, + 382.0, + 805.0, + 547.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00055", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cd9a4b1ef" + }, + "4cdfe3c2b2": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 594.0, + 449.0, + 1257.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 654.0, + 449.0, + 1279.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 727.0, + 452.0, + 1279.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 752.0, + 453.0, + 1279.0, + 632.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00115", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cdfe3c2b2" + }, + "4cef87b649": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 125.0, + 217.0, + 889.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 953.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 90.0, + 118.0, + 444.0, + 674.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 40.0, + 0.0, + 1001.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 104.0, + 284.0, + 516.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 126.0, + 0.0, + 1117.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 270.0, + 390.0, + 618.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00070", + "00095", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cef87b649" + }, + "4cf208e9b3": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 165.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 205.0, + 66.0, + 563.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 299.0, + 134.0, + 519.0, + 484.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00105", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cf208e9b3" + }, + "4cf5bc3e60": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 1.0, + 256.0, + 274.0, + 358.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 334.0, + 657.0, + 385.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 782.0, + 617.0, + 919.0, + 716.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 469.0, + 459.0, + 765.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 2.0, + 247.0, + 296.0, + 350.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 325.0, + 649.0, + 378.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 770.0, + 582.0, + 954.0, + 716.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 450.0, + 441.0, + 768.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 2.0, + 249.0, + 301.0, + 352.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 320.0, + 660.0, + 374.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 766.0, + 581.0, + 954.0, + 717.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 429.0, + 452.0, + 788.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 6.0, + 223.0, + 305.0, + 354.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 303.0, + 629.0, + 351.0, + 716.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 769.0, + 429.0, + 956.0, + 703.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 314.0, + 410.0, + 677.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cf5bc3e60" + }, + "4cfdd73249": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 132.0, + 59.0, + 778.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 35.0, + 92.0, + 789.0, + 441.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 666.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 733.0, + 568.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cfdd73249" + }, + "4cff5c9e42": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 217.0, + 96.0, + 807.0, + 666.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 466.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 172.0, + 27.0, + 759.0, + 589.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 265.0, + 395.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 152.0, + 13.0, + 743.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 253.0, + 373.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 230.0, + 89.0, + 795.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 513.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4cff5c9e42" + }, + "4d26d41091": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 334.0, + 184.0, + 857.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 314.0, + 346.0, + 346.0, + 437.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 924.0, + 210.0, + 1189.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 394.0, + 241.0, + 841.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 344.0, + 381.0, + 399.0, + 492.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 922.0, + 246.0, + 1134.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 413.0, + 256.0, + 774.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 342.0, + 367.0, + 420.0, + 469.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 820.0, + 239.0, + 1077.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 499.0, + 262.0, + 793.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 416.0, + 359.0, + 504.0, + 451.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 826.0, + 204.0, + 1117.0, + 581.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00225", + "00235", + "00250", + "00270" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4d26d41091" + }, + "4d5c23c554": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 204.0, + 242.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 196.0, + 274.0, + 371.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 183.0, + 301.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 215.0, + 226.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 176.0, + 288.0, + 369.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 349.0, + 313.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 216.0, + 230.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 189.0, + 277.0, + 371.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 349.0, + 312.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 216.0, + 228.0, + 437.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 186.0, + 280.0, + 369.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 350.0, + 319.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4d5c23c554" + }, + "4d67c59727": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 73.0, + 0.0, + 1000.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 77.0, + 0.0, + 1094.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1028.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1026.0, + 657.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4d67c59727" + }, + "4d983cad9f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 91.0, + 88.0, + 658.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 69.0, + 0.0, + 1054.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 291.0, + 84.0, + 1244.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 193.0, + 88.0, + 1184.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4d983cad9f" + }, + "4da0d00b55": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 723.0, + 0.0, + 1279.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 761.0, + 0.0, + 1279.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 230.0, + 0.0, + 1184.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 158.0, + 0.0, + 946.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4da0d00b55" + }, + "4daa179861": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 319.0, + 142.0, + 397.0, + 364.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 352.0, + 246.0, + 573.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 358.0, + 214.0, + 521.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 237.0, + 271.0, + 545.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4daa179861" + }, + "4dadd57153": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1019.0, + 239.0, + 1155.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 402.0, + 277.0, + 1048.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 372.0, + 229.0, + 1072.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 326.0, + 245.0, + 1058.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 280.0, + 216.0, + 1069.0, + 526.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4dadd57153" + }, + "4db117e6c5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 885.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 4.0, + 668.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1101.0, + 314.0, + 1207.0, + 568.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 83.0, + 97.0, + 664.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 946.0, + 327.0, + 1047.0, + 581.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1241.0, + 306.0, + 1279.0, + 472.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 218.0, + 205.0, + 540.0, + 441.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 426.0, + 288.0, + 531.0, + 576.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 750.0, + 276.0, + 802.0, + 434.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4db117e6c5" + }, + "4de4ce4dea": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 686.0, + 357.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 699.0, + 44.0, + 1202.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 86.0, + 674.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 88.0, + 679.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 687.0, + 188.0, + 1183.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 108.0, + 665.0, + 497.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 689.0, + 266.0, + 1173.0, + 565.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4de4ce4dea" + }, + "4dfaee19e5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 201.0, + 380.0, + 732.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 273.0, + 154.0, + 728.0, + 682.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 281.0, + 91.0, + 720.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 281.0, + 92.0, + 730.0, + 683.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4dfaee19e5" + }, + "4dfdd7fab0": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 745.0, + 397.0, + 877.0, + 653.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 741.0, + 608.0, + 937.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 711.0, + 392.0, + 799.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 677.0, + 569.0, + 771.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 853.0, + 357.0, + 941.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 777.0, + 541.0, + 989.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 813.0, + 197.0, + 1129.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 679.0, + 703.0, + 729.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00055", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4dfdd7fab0" + }, + "4e3f346aa5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 661.0, + 96.0, + 1156.0, + 356.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 663.0, + 82.0, + 1151.0, + 355.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 658.0, + 78.0, + 1149.0, + 355.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 683.0, + 66.0, + 1121.0, + 353.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e3f346aa5" + }, + "4e49c2a9c7": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 182.0, + 108.0, + 593.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 30.0, + 17.0, + 80.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 170.0, + 81.0, + 509.0, + 301.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 31.0, + 41.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 153.0, + 80.0, + 478.0, + 294.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 98.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 164.0, + 83.0, + 562.0, + 284.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 213.0, + 359.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e49c2a9c7" + }, + "4e4e06a749": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 143.0, + 7.0, + 752.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 655.0, + 259.0, + 903.0, + 375.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 133.0, + 9.0, + 745.0, + 589.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 656.0, + 247.0, + 884.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 133.0, + 16.0, + 732.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 660.0, + 252.0, + 854.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 145.0, + 13.0, + 734.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 644.0, + 245.0, + 858.0, + 371.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e4e06a749" + }, + "4e70279712": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 165.0, + 175.0, + 1015.0, + 659.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 27.0, + 463.0, + 269.0, + 583.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 172.0, + 159.0, + 1032.0, + 649.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 41.0, + 449.0, + 277.0, + 569.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 419.0, + 68.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 187.0, + 161.0, + 1050.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 121.0, + 448.0, + 284.0, + 567.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 5.0, + 410.0, + 162.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 194.0, + 156.0, + 1058.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 108.0, + 446.0, + 275.0, + 566.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 86.0, + 400.0, + 245.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e70279712" + }, + "4e72856cc7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 988.0, + 447.0, + 1261.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 764.0, + 446.0, + 1011.0, + 648.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 146.0, + 400.0, + 209.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1141.0, + 564.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 965.0, + 432.0, + 1214.0, + 643.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 375.0, + 453.0, + 469.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1062.0, + 420.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 865.0, + 435.0, + 1113.0, + 639.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 317.0, + 470.0, + 411.0, + 640.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 738.0, + 328.0, + 979.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 512.0, + 335.0, + 762.0, + 539.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 25.0, + 401.0, + 77.0, + 604.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e72856cc7" + }, + "4e752f8075": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 959.0, + 106.0, + 1103.0, + 251.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 264.0, + 459.0, + 350.0, + 559.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 433.0, + 537.0, + 620.0, + 678.0 + ], + "valid": 1 + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 292.0, + 131.0, + 406.0, + 212.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 959.0, + 93.0, + 1106.0, + 244.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 270.0, + 476.0, + 426.0, + 576.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 528.0, + 576.0, + 651.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 288.0, + 139.0, + 409.0, + 220.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 940.0, + 154.0, + 1055.0, + 256.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 336.0, + 427.0, + 509.0, + 588.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 544.0, + 647.0, + 657.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 276.0, + 123.0, + 400.0, + 204.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 935.0, + 139.0, + 1039.0, + 268.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 341.0, + 429.0, + 486.0, + 593.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 540.0, + 612.0, + 777.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 287.0, + 127.0, + 407.0, + 209.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e752f8075" + }, + "4e7a28907f": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 331.0, + 271.0, + 692.0, + 490.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 309.0, + 269.0, + 664.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 301.0, + 254.0, + 623.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 276.0, + 236.0, + 599.0, + 487.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e7a28907f" + }, + "4e824b9247": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 787.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 495.0, + 265.0, + 871.0, + 507.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tiger", + "bbox": [ + 805.0, + 152.0, + 1190.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 857.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 619.0, + 259.0, + 955.0, + 514.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tiger", + "bbox": [ + 695.0, + 162.0, + 1229.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 853.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 639.0, + 287.0, + 923.0, + 501.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tiger", + "bbox": [ + 705.0, + 137.0, + 1221.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 21.0, + 0.0, + 1050.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 855.0, + 279.0, + 1036.0, + 418.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tiger", + "bbox": [ + 936.0, + 120.0, + 1279.0, + 454.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e824b9247" + }, + "4e82b1df57": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 319.0, + 141.0, + 499.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 284.0, + 147.0, + 718.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 536.0, + 239.0, + 700.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 315.0, + 149.0, + 714.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 502.0, + 213.0, + 676.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 337.0, + 175.0, + 554.0, + 517.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e82b1df57" + }, + "4e87a639bc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 329.0, + 311.0, + 523.0, + 456.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 519.0, + 298.0, + 626.0, + 429.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 188.0, + 247.0, + 851.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 361.0, + 320.0, + 553.0, + 452.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 539.0, + 297.0, + 650.0, + 434.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 208.0, + 248.0, + 876.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 351.0, + 304.0, + 568.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 549.0, + 306.0, + 651.0, + 434.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 202.0, + 260.0, + 880.0, + 646.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 341.0, + 295.0, + 568.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 531.0, + 301.0, + 638.0, + 454.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 190.0, + 275.0, + 860.0, + 660.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4e87a639bc" + }, + "4ea77bfd15": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 389.0, + 0.0, + 1130.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 0.0, + 332.0, + 396.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 247.0, + 66.0, + 988.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 0.0, + 604.0, + 362.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 493.0, + 208.0, + 1070.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 0.0, + 421.0, + 323.0, + 607.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 485.0, + 201.0, + 1070.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 0.0, + 401.0, + 253.0, + 572.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4ea77bfd15" + }, + "4eb6fc23a2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 546.0, + 346.0, + 646.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 520.0, + 339.0, + 601.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 522.0, + 351.0, + 644.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 469.0, + 326.0, + 628.0, + 685.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4eb6fc23a2" + }, + "4ec9da329e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 471.0, + 1277.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 24.0, + 0.0, + 1279.0, + 555.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 484.0, + 1261.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 0.0, + 32.0, + 1279.0, + 684.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 558.0, + 258.0, + 604.0, + 310.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 460.0, + 1245.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 1164.0, + 609.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 454.0, + 325.0, + 518.0, + 386.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 86.0, + 598.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 0.0, + 264.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 332.0, + 309.0, + 392.0, + 364.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4ec9da329e" + }, + "4efb9a0720": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 346.0, + 225.0, + 636.0, + 344.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 442.0, + 223.0, + 729.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 1025.0, + 272.0, + 1171.0, + 409.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 1019.0, + 341.0, + 1277.0, + 460.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4efb9a0720" + }, + "4f062fbc63": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 707.0, + 313.0, + 724.0, + 328.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 687.0, + 327.0, + 734.0, + 417.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 696.0, + 355.0, + 746.0, + 437.0 + ], + "valid": 1 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 351.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 686.0, + 328.0, + 699.0, + 338.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 666.0, + 337.0, + 705.0, + 401.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 670.0, + 360.0, + 705.0, + 416.0 + ], + "valid": 1 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 372.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 693.0, + 334.0, + 704.0, + 343.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 671.0, + 341.0, + 706.0, + 389.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 676.0, + 360.0, + 707.0, + 408.0 + ], + "valid": 1 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 373.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 696.0, + 327.0, + 718.0, + 362.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 699.0, + 347.0, + 715.0, + 372.0 + ], + "valid": 1 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 343.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f062fbc63" + }, + "4f35be0e0b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 3.0, + 266.0, + 309.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 141.0, + 263.0, + 470.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 344.0, + 281.0, + 694.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 686.0, + 336.0, + 1136.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f35be0e0b" + }, + "4f37e86797": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 556.0, + 283.0, + 870.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 556.0, + 281.0, + 873.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 492.0, + 197.0, + 686.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 491.0, + 78.0, + 796.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f37e86797" + }, + "4f414dd6e7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 564.0, + 231.0, + 955.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 521.0, + 426.0, + 852.0, + 628.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 662.0, + 0.0, + 756.0, + 230.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 563.0, + 231.0, + 961.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 543.0, + 433.0, + 852.0, + 632.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 661.0, + 0.0, + 751.0, + 228.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 579.0, + 232.0, + 960.0, + 564.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 542.0, + 429.0, + 853.0, + 623.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 665.0, + 0.0, + 740.0, + 235.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 231.0, + 955.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 539.0, + 425.0, + 850.0, + 630.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 665.0, + 0.0, + 761.0, + 237.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f414dd6e7" + }, + "4f424abded": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 476.0, + 127.0, + 765.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 482.0, + 125.0, + 771.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 461.0, + 130.0, + 744.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 465.0, + 100.0, + 740.0, + 642.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f424abded" + }, + "4f470cc3ae": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 310.0, + 442.0, + 476.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 644.0, + 482.0, + 892.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 291.0, + 455.0, + 484.0, + 644.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 646.0, + 469.0, + 895.0, + 713.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 289.0, + 502.0, + 493.0, + 642.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 646.0, + 462.0, + 895.0, + 713.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 291.0, + 507.0, + 507.0, + 642.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 636.0, + 461.0, + 894.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f470cc3ae" + }, + "4f601d255a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 9.0, + 338.0, + 722.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 102.0, + 347.0, + 787.0, + 449.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 683.0, + 300.0, + 780.0, + 418.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 973.0, + 297.0, + 1279.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 400.0, + 386.0, + 882.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "fox", + "bbox": [ + 645.0, + 339.0, + 749.0, + 408.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 294.0, + 349.0, + 769.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f601d255a" + }, + "4f7386a1ab": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 18.0, + 1059.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 80.0, + 835.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 76.0, + 843.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 71.0, + 839.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f7386a1ab" + }, + "4f824d3dcd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 319.0, + 213.0, + 849.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 317.0, + 174.0, + 848.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 310.0, + 145.0, + 873.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 308.0, + 142.0, + 874.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f824d3dcd" + }, + "4f827b0751": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 344.0, + 338.0, + 1005.0, + 703.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 582.0, + 31.0, + 1188.0, + 270.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 1142.0, + 0.0, + 1279.0, + 385.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 471.0, + 634.0, + 649.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 65.0, + 184.0, + 713.0, + 460.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 690.0, + 0.0, + 957.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 474.0, + 632.0, + 734.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 347.0, + 281.0, + 959.0, + 438.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 806.0, + 44.0, + 1072.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 296.0, + 575.0, + 813.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 506.0, + 231.0, + 1037.0, + 472.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 739.0, + 102.0, + 1240.0, + 515.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f827b0751" + }, + "4f8db33a13": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 157.0, + 178.0, + 486.0, + 384.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 748.0, + 429.0, + 957.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 152.0, + 175.0, + 439.0, + 388.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 749.0, + 440.0, + 951.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 109.0, + 190.0, + 403.0, + 411.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 764.0, + 402.0, + 1064.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 178.0, + 201.0, + 617.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 937.0, + 253.0, + 1129.0, + 484.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4f8db33a13" + }, + "4fa160f8a3": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 309.0, + 408.0, + 571.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 118.0, + 428.0, + 498.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 341.0, + 222.0, + 646.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 350.0, + 160.0, + 598.0, + 636.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4fa160f8a3" + }, + "4fa9c30a45": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 629.0, + 387.0, + 953.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 661.0, + 356.0, + 991.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 670.0, + 356.0, + 1001.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 999.0, + 673.0, + 1143.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00080", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4fa9c30a45" + }, + "4facd8f0e8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 1.0, + 52.0, + 300.0, + 200.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 263.0, + 159.0, + 283.0, + 198.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 71.0, + 305.0, + 206.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 259.0, + 162.0, + 286.0, + 200.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 102.0, + 161.0, + 511.0, + 331.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 287.0, + 277.0, + 313.0, + 303.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 336.0, + 139.0, + 600.0, + 316.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4facd8f0e8" + }, + "4fca07ad01": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 17.0, + 112.0, + 238.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 137.0, + 430.0, + 840.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 648.0, + 277.0, + 885.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 188.0, + 170.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 88.0, + 534.0, + 797.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 594.0, + 278.0, + 853.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 245.0, + 115.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 568.0, + 711.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 527.0, + 304.0, + 832.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 218.0, + 217.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 253.0, + 529.0, + 718.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 586.0, + 304.0, + 873.0, + 634.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4fca07ad01" + }, + "4fded94004": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 236.0, + 160.0, + 568.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 412.0, + 107.0, + 879.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 604.0, + 0.0, + 1093.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 1031.0, + 0.0, + 1279.0, + 680.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4fded94004" + }, + "4fdfef4dea": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 788.0, + 548.0, + 921.0, + 730.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 777.0, + 642.0, + 916.0, + 805.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 617.0, + 473.0, + 711.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 837.0, + 585.0, + 1011.0, + 825.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 807.0, + 726.0, + 1045.0, + 909.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 589.0, + 487.0, + 683.0, + 643.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1117.0, + 599.0, + 1352.0, + 883.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 1035.0, + 751.0, + 1431.0, + 946.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 397.0, + 556.0, + 554.0, + 795.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1229.0, + 584.0, + 1440.0, + 905.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 1133.0, + 720.0, + 1502.0, + 942.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 449.0, + 576.0, + 664.0, + 866.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4fdfef4dea" + }, + "4feb3ac01f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 72.0, + 122.0, + 339.0, + 582.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 90.0, + 433.0, + 329.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 95.0, + 166.0, + 364.0, + 628.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 95.0, + 491.0, + 334.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 68.0, + 148.0, + 345.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 64.0, + 456.0, + 295.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 2.0, + 211.0, + 256.0, + 652.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 0.0, + 533.0, + 199.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4feb3ac01f" + }, + "4fffec8479": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 354.0, + 413.0, + 411.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 336.0, + 429.0, + 401.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 320.0, + 480.0, + 374.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 291.0, + 445.0, + 333.0, + 514.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00080", + "00085", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/4fffec8479" + }, + "500c835a86": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 653.0, + 186.0, + 719.0, + 315.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 645.0, + 307.0, + 719.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 482.0, + 0.0, + 687.0, + 332.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 454.0, + 270.0, + 745.0, + 394.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 624.0, + 259.0, + 705.0, + 336.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/500c835a86" + }, + "50168342bf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 275.0, + 166.0, + 749.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 229.0, + 163.0, + 686.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 222.0, + 162.0, + 754.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 204.0, + 211.0, + 679.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 182.0, + 87.0, + 761.0, + 626.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 179.0, + 111.0, + 677.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 218.0, + 162.0, + 732.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 203.0, + 114.0, + 662.0, + 632.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50168342bf" + }, + "50243cffdc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 324.0, + 230.0, + 1077.0, + 703.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 680.0, + 0.0, + 1186.0, + 191.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 592.0, + 179.0, + 1277.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 669.0, + 0.0, + 1164.0, + 126.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 626.0, + 270.0, + 989.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 683.0, + 0.0, + 994.0, + 191.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 637.0, + 216.0, + 935.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 686.0, + 0.0, + 1000.0, + 148.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50243cffdc" + }, + "5031d5a036": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 62.0, + 361.0, + 301.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 64.0, + 362.0, + 294.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 360.0, + 245.0, + 567.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 359.0, + 215.0, + 569.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5031d5a036" + }, + "504dd9c0fd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 564.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 602.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 713.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 723.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00205", + "00225", + "00250", + "00265" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/504dd9c0fd" + }, + "50568fbcfb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 123.0, + 47.0, + 518.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 124.0, + 49.0, + 516.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 125.0, + 24.0, + 515.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 134.0, + 51.0, + 577.0, + 539.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50568fbcfb" + }, + "5069c7c5b3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 354.0, + 247.0, + 699.0, + 441.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 688.0, + 252.0, + 993.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 704.0, + 228.0, + 1003.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 724.0, + 217.0, + 973.0, + 416.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5069c7c5b3" + }, + "508189ac91": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 493.0, + 3.0, + 696.0, + 366.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 559.0, + 291.0, + 626.0, + 383.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 392.0, + 27.0, + 622.0, + 344.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 555.0, + 276.0, + 629.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 615.0, + 48.0, + 759.0, + 324.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 663.0, + 276.0, + 695.0, + 332.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 580.0, + 150.0, + 734.0, + 400.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 629.0, + 351.0, + 689.0, + 415.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/508189ac91" + }, + "50b6b3d4b7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 536.0, + 181.0, + 882.0, + 430.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 573.0, + 189.0, + 892.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 626.0, + 221.0, + 963.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 729.0, + 205.0, + 1013.0, + 376.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50b6b3d4b7" + }, + "50c6f4fe3e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 184.0, + 165.0, + 384.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 201.0, + 307.0, + 555.0, + 660.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 624.0, + 280.0, + 734.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 224.0, + 186.0, + 409.0, + 581.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 235.0, + 404.0, + 592.0, + 673.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 648.0, + 289.0, + 757.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 270.0, + 337.0, + 560.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 255.0, + 382.0, + 638.0, + 675.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 643.0, + 292.0, + 754.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 509.0, + 286.0, + 790.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 476.0, + 408.0, + 789.0, + 622.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 410.0, + 395.0, + 523.0, + 685.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50c6f4fe3e" + }, + "50cce40173": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 31.0, + 155.0, + 461.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 31.0, + 151.0, + 475.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 35.0, + 161.0, + 521.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 32.0, + 140.0, + 491.0, + 544.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50cce40173" + }, + "50efbe152f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 408.0, + 0.0, + 927.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 367.0, + 0.0, + 1204.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 411.0, + 0.0, + 1090.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 96.0, + 0.0, + 1083.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50efbe152f" + }, + "50f290b95d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 496.0, + 294.0, + 660.0, + 317.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 775.0, + 173.0, + 1255.0, + 394.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 400.0, + 285.0, + 559.0, + 377.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 808.0, + 188.0, + 1172.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 215.0, + 286.0, + 378.0, + 370.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 658.0, + 152.0, + 1018.0, + 386.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 392.0, + 117.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 446.0, + 295.0, + 735.0, + 492.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/50f290b95d" + }, + "5104aa1fea": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 528.0, + 0.0, + 636.0, + 72.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 425.0, + 57.0, + 723.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 782.0, + 136.0, + 847.0, + 179.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 476.0, + 2.0, + 587.0, + 84.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 371.0, + 67.0, + 669.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 743.0, + 136.0, + 805.0, + 179.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 480.0, + 33.0, + 584.0, + 110.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 399.0, + 104.0, + 672.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 782.0, + 140.0, + 847.0, + 186.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 422.0, + 49.0, + 517.0, + 122.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 349.0, + 113.0, + 590.0, + 644.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 714.0, + 141.0, + 779.0, + 186.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5104aa1fea" + }, + "5110dc72c0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 553.0, + 282.0, + 740.0, + 636.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 489.0, + 595.0, + 825.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 485.0, + 275.0, + 707.0, + 690.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 521.0, + 143.0, + 684.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 474.0, + 522.0, + 735.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 425.0, + 213.0, + 688.0, + 684.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 340.0, + 581.0, + 747.0, + 697.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5110dc72c0" + }, + "511e8ecd7f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 101.0, + 335.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 633.0, + 128.0, + 1200.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 101.0, + 341.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 638.0, + 131.0, + 1218.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 106.0, + 339.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 646.0, + 120.0, + 1222.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 99.0, + 307.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 633.0, + 141.0, + 1200.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/511e8ecd7f" + }, + "513aada14e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 697.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 592.0, + 58.0, + 1033.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 24.0, + 759.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 598.0, + 20.0, + 1039.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 899.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 370.0, + 0.0, + 1087.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 809.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 91.0, + 39.0, + 1087.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/513aada14e" + }, + "5158d6e985": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 34.0, + 905.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 246.0, + 168.0, + 809.0, + 670.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 295.0, + 107.0, + 899.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 522.0, + 555.0, + 717.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 272.0, + 99.0, + 921.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 538.0, + 545.0, + 731.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5158d6e985" + }, + "5161e1fa57": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 307.0, + 311.0, + 972.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 467.0, + 381.0, + 932.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 266.0, + 391.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 405.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5161e1fa57" + }, + "51794ddd58": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 292.0, + 259.0, + 425.0, + 385.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 581.0, + 315.0, + 609.0, + 389.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1039.0, + 328.0, + 1095.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 302.0, + 259.0, + 442.0, + 397.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 576.0, + 320.0, + 602.0, + 393.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1032.0, + 334.0, + 1086.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 333.0, + 258.0, + 487.0, + 407.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 596.0, + 320.0, + 623.0, + 394.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1053.0, + 334.0, + 1108.0, + 490.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 330.0, + 199.0, + 510.0, + 374.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 580.0, + 270.0, + 606.0, + 344.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1027.0, + 291.0, + 1084.0, + 447.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51794ddd58" + }, + "517d276725": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 549.0, + 271.0, + 801.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 590.0, + 177.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 395.0, + 236.0, + 803.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 592.0, + 175.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 546.0, + 297.0, + 800.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 593.0, + 175.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 546.0, + 312.0, + 800.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 644.0, + 175.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/517d276725" + }, + "51a597ee04": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 530.0, + 271.0, + 817.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 534.0, + 458.0, + 828.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 506.0, + 455.0, + 783.0, + 697.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 627.0, + 448.0, + 817.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 423.0, + 715.0, + 691.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 601.0, + 392.0, + 771.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 398.0, + 371.0, + 566.0, + 520.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 493.0, + 264.0, + 754.0, + 489.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51a597ee04" + }, + "51b37b6d97": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 300.0, + 96.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 282.0, + 65.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 260.0, + 6.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 568.0, + 225.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51b37b6d97" + }, + "51b5dc30a0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00225", + "00235", + "00260", + "00280" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51b5dc30a0" + }, + "51e85b347b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 958.0, + 53.0, + 1024.0, + 168.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 934.0, + 63.0, + 991.0, + 184.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 914.0, + 102.0, + 1046.0, + 198.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 922.0, + 66.0, + 993.0, + 202.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 893.0, + 80.0, + 961.0, + 214.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 874.0, + 125.0, + 1024.0, + 235.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 837.0, + 107.0, + 949.0, + 324.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 788.0, + 127.0, + 897.0, + 341.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 766.0, + 185.0, + 996.0, + 379.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 836.0, + 99.0, + 1001.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 776.0, + 136.0, + 923.0, + 478.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 758.0, + 238.0, + 1072.0, + 492.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51e85b347b" + }, + "51eea1fdac": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 352.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 159.0, + 95.0, + 1274.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 83.0, + 1140.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 45.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 364.0, + 372.0, + 1234.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51eea1fdac" + }, + "51eef778af": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 142.0, + 233.0, + 364.0, + 358.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 11.0, + 210.0, + 191.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 139.0, + 226.0, + 347.0, + 358.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 7.0, + 207.0, + 193.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 212.0, + 227.0, + 480.0, + 358.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 48.0, + 217.0, + 269.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 123.0, + 125.0, + 424.0, + 357.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 100.0, + 368.0, + 359.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51eef778af" + }, + "51f384721c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 264.0, + 280.0, + 857.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 300.0, + 287.0, + 825.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 273.0, + 304.0, + 824.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 327.0, + 323.0, + 921.0, + 586.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/51f384721c" + }, + "521cfadcb4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 270.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 1009.0, + 293.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 103.0, + 1017.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 465.0, + 40.0, + 1279.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 430.0, + 0.0, + 877.0, + 184.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 635.0, + 0.0, + 1279.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 20.0, + 0.0, + 606.0, + 373.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/521cfadcb4" + }, + "52355da42f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 582.0, + 204.0, + 753.0, + 301.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 568.0, + 255.0, + 741.0, + 356.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 453.0, + 155.0, + 710.0, + 313.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 476.0, + 93.0, + 784.0, + 331.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/52355da42f" + }, + "5247d4b160": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 585.0, + 52.0, + 769.0, + 641.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 619.0, + 0.0, + 709.0, + 124.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 595.0, + 45.0, + 771.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 607.0, + 0.0, + 694.0, + 141.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 606.0, + 134.0, + 761.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 669.0, + 204.0, + 831.0, + 306.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 573.0, + 80.0, + 763.0, + 619.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 679.0, + 113.0, + 860.0, + 268.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5247d4b160" + }, + "524b470fd0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 601.0, + 284.0, + 734.0, + 456.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 613.0, + 436.0, + 775.0, + 461.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 609.0, + 254.0, + 747.0, + 438.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 656.0, + 402.0, + 766.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 611.0, + 258.0, + 768.0, + 455.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 625.0, + 426.0, + 801.0, + 465.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 597.0, + 256.0, + 745.0, + 442.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 616.0, + 419.0, + 785.0, + 456.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/524b470fd0" + }, + "524cee1534": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 110.0, + 1279.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 548.0, + 66.0, + 579.0, + 92.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 544.0, + 81.0, + 604.0, + 143.0 + ], + "valid": 1 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 53.0, + 1192.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 289.0, + 1279.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 613.0, + 51.0, + 652.0, + 78.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 597.0, + 72.0, + 673.0, + 132.0 + ], + "valid": 1 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 17.0, + 45.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 420.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 562.0, + 288.0, + 610.0, + 326.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 549.0, + 310.0, + 632.0, + 389.0 + ], + "valid": 1 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 189.0, + 424.0, + 1176.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 497.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 637.0, + 249.0, + 676.0, + 283.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 616.0, + 273.0, + 709.0, + 365.0 + ], + "valid": 1 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 285.0, + 466.0, + 1224.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/524cee1534" + }, + "5252195e8a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 683.0, + 7.0, + 1069.0, + 588.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 645.0, + 126.0, + 940.0, + 365.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 696.0, + 0.0, + 1170.0, + 544.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 626.0, + 111.0, + 957.0, + 355.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 846.0, + 118.0, + 1276.0, + 547.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 614.0, + 103.0, + 931.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "cat", + "bbox": [ + 594.0, + 150.0, + 933.0, + 392.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5252195e8a" + }, + "5255c9ca97": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 534.0, + 377.0, + 885.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 494.0, + 361.0, + 904.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 496.0, + 246.0, + 950.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 494.0, + 314.0, + 920.0, + 680.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5255c9ca97" + }, + "525928f46f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 692.0, + 137.0, + 959.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 110.0, + 375.0, + 631.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 512.0, + 335.0, + 576.0, + 369.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 622.0, + 149.0, + 892.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 89.0, + 424.0, + 629.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 499.0, + 339.0, + 571.0, + 376.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 617.0, + 176.0, + 891.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 26.0, + 96.0, + 462.0, + 629.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 554.0, + 365.0, + 619.0, + 402.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 649.0, + 179.0, + 909.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 51.0, + 94.0, + 482.0, + 629.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 593.0, + 361.0, + 630.0, + 401.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00200", + "00225", + "00250", + "00275" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/525928f46f" + }, + "526df007a7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 73.0, + 367.0, + 377.0, + 552.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 413.0, + 235.0, + 589.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 20.0, + 403.0, + 351.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 367.0, + 231.0, + 541.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 123.0, + 360.0, + 358.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 472.0, + 307.0, + 663.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 117.0, + 389.0, + 369.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 496.0, + 307.0, + 673.0, + 556.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/526df007a7" + }, + "529b12de78": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 860.0, + 149.0, + 1092.0, + 288.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 583.0, + 135.0, + 830.0, + 240.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 378.0, + 129.0, + 614.0, + 283.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 915.0, + 130.0, + 1147.0, + 271.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 629.0, + 112.0, + 903.0, + 221.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 410.0, + 103.0, + 656.0, + 262.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1059.0, + 127.0, + 1279.0, + 270.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 770.0, + 106.0, + 1064.0, + 219.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 534.0, + 93.0, + 792.0, + 259.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1186.0, + 149.0, + 1279.0, + 237.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 887.0, + 103.0, + 1206.0, + 286.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/529b12de78" + }, + "52c7a3d653": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 831.0, + 332.0, + 1235.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 887.0, + 268.0, + 1279.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1169.0, + 235.0, + 1279.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 455.0, + 155.0, + 778.0, + 559.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/52c7a3d653" + }, + "52c8ec0373": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 240.0, + 162.0, + 538.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 75.0, + 171.0, + 254.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 96.0, + 41.0, + 288.0, + 145.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 210.0, + 122.0, + 492.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 52.0, + 139.0, + 245.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 64.0, + 3.0, + 258.0, + 108.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 188.0, + 119.0, + 512.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 38.0, + 135.0, + 203.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 51.0, + 1.0, + 245.0, + 103.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 195.0, + 138.0, + 509.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 43.0, + 153.0, + 211.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 58.0, + 22.0, + 251.0, + 126.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/52c8ec0373" + }, + "52d225ed52": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 521.0, + 0.0, + 1046.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 514.0, + 306.0, + 802.0, + 424.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 670.0, + 68.0, + 1048.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 687.0, + 454.0, + 960.0, + 643.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 919.0, + 21.0, + 1042.0, + 141.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 980.0, + 7.0, + 1279.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 972.0, + 460.0, + 1210.0, + 635.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1240.0, + 0.0, + 1279.0, + 17.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 941.0, + 31.0, + 1279.0, + 564.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 959.0, + 473.0, + 1267.0, + 596.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1198.0, + 0.0, + 1279.0, + 45.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00065", + "00090", + "00120", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/52d225ed52" + }, + "52ee406d9e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 452.0, + 175.0, + 739.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 462.0, + 179.0, + 739.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 446.0, + 185.0, + 715.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 448.0, + 184.0, + 725.0, + 606.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/52ee406d9e" + }, + "52ff1ccd4a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 457.0, + 250.0, + 891.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 381.0, + 196.0, + 564.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 681.0, + 309.0, + 1023.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 512.0, + 230.0, + 768.0, + 702.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 772.0, + 213.0, + 1277.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 697.0, + 158.0, + 875.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 373.0, + 105.0, + 1249.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 396.0, + 79.0, + 956.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/52ff1ccd4a" + }, + "53143511e8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 536.0, + 278.0, + 716.0, + 429.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 570.0, + 261.0, + 737.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 370.0, + 195.0, + 713.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 171.0, + 189.0, + 755.0, + 640.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53143511e8" + }, + "5316d11eb7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 350.0, + 150.0, + 737.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 233.0, + 216.0, + 769.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 349.0, + 24.0, + 986.0, + 688.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 398.0, + 21.0, + 889.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 276.0, + 82.0, + 999.0, + 703.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 396.0, + 32.0, + 911.0, + 317.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 336.0, + 31.0, + 978.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 461.0, + 11.0, + 953.0, + 333.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00070", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5316d11eb7" + }, + "53253f2362": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 128.0, + 61.0, + 296.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fish", + "bbox": [ + 752.0, + 16.0, + 869.0, + 172.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fish", + "bbox": [ + 780.0, + 0.0, + 897.0, + 104.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fish", + "bbox": [ + 712.0, + 0.0, + 955.0, + 106.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53253f2362" + }, + "534a560609": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/534a560609" + }, + "5352c4a70e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 905.0, + 473.0, + 945.0, + 558.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 840.0, + 315.0, + 977.0, + 611.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 519.0, + 77.0, + 540.0, + 142.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 845.0, + 326.0, + 957.0, + 632.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 522.0, + 83.0, + 543.0, + 147.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 756.0, + 364.0, + 817.0, + 400.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 793.0, + 265.0, + 916.0, + 516.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 496.0, + 88.0, + 527.0, + 145.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 687.0, + 310.0, + 751.0, + 341.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 734.0, + 239.0, + 809.0, + 467.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 510.0, + 82.0, + 533.0, + 146.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5352c4a70e" + }, + "536096501f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 279.0, + 119.0, + 815.0, + 637.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 753.0, + 72.0, + 1271.0, + 483.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 700.0, + 586.0, + 958.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 423.0, + 244.0, + 913.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 796.0, + 89.0, + 1211.0, + 464.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 739.0, + 566.0, + 950.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 546.0, + 245.0, + 1005.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 774.0, + 42.0, + 1138.0, + 412.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 735.0, + 550.0, + 989.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 532.0, + 302.0, + 1042.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 718.0, + 33.0, + 1135.0, + 412.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 737.0, + 550.0, + 989.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/536096501f" + }, + "536b17bcea": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 411.0, + 0.0, + 1279.0, + 611.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 44.0, + 520.0, + 520.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 415.0, + 0.0, + 1279.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 50.0, + 501.0, + 522.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 446.0, + 0.0, + 1279.0, + 539.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 61.0, + 468.0, + 545.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 417.0, + 0.0, + 1279.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 378.0, + 507.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/536b17bcea" + }, + "5380eaabff": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 443.0, + 208.0, + 834.0, + 606.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 438.0, + 239.0, + 764.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5380eaabff" + }, + "5390a43a54": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 67.0, + 407.0, + 300.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 519.0, + 136.0, + 684.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 73.0, + 389.0, + 305.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 428.0, + 177.0, + 674.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 52.0, + 340.0, + 319.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 410.0, + 230.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 54.0, + 344.0, + 329.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 419.0, + 209.0, + 707.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5390a43a54" + }, + "53af427bb2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 123.0, + 207.0, + 386.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 28.0, + 296.0, + 310.0, + 527.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 130.0, + 80.0, + 376.0, + 333.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 79.0, + 123.0, + 269.0, + 325.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 262.0, + 286.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 426.0, + 48.0, + 666.0, + 237.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53af427bb2" + }, + "53bf5964ce": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 485.0, + 189.0, + 787.0, + 574.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 432.0, + 374.0, + 522.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 481.0, + 205.0, + 778.0, + 582.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 408.0, + 323.0, + 521.0, + 516.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 456.0, + 201.0, + 745.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 352.0, + 283.0, + 494.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 426.0, + 127.0, + 751.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 351.0, + 358.0, + 557.0, + 646.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53bf5964ce" + }, + "53c30110b5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 97.0, + 558.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 671.0, + 432.0, + 1269.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1051.0, + 156.0, + 1072.0, + 171.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 249.0, + 540.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 677.0, + 434.0, + 1271.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1042.0, + 149.0, + 1064.0, + 164.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 226.0, + 522.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 669.0, + 407.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1050.0, + 112.0, + 1084.0, + 141.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 256.0, + 522.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 669.0, + 437.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1051.0, + 125.0, + 1098.0, + 168.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53c30110b5" + }, + "53cad8e44a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 183.0, + 163.0, + 1061.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 174.0, + 135.0, + 925.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 251.0, + 150.0, + 946.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 354.0, + 102.0, + 961.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53cad8e44a" + }, + "53d9c45013": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 477.0, + 122.0, + 533.0, + 218.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 687.0, + 135.0, + 758.0, + 240.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 100.0, + 95.0, + 187.0, + 211.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 437.0, + 136.0, + 624.0, + 251.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 606.0, + 165.0, + 741.0, + 271.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 98.0, + 121.0, + 190.0, + 235.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 436.0, + 155.0, + 551.0, + 259.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 620.0, + 169.0, + 739.0, + 293.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 93.0, + 142.0, + 183.0, + 252.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 421.0, + 175.0, + 586.0, + 284.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 585.0, + 201.0, + 760.0, + 324.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 77.0, + 154.0, + 166.0, + 258.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00215", + "00240", + "00250", + "00280" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53d9c45013" + }, + "53e274f1b5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 469.0, + 59.0, + 928.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 461.0, + 107.0, + 912.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 461.0, + 124.0, + 928.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 289.0, + 0.0, + 936.0, + 541.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53e274f1b5" + }, + "53e32d21ea": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 431.0, + 144.0, + 529.0, + 219.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 620.0, + 184.0, + 693.0, + 243.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 982.0, + 177.0, + 1081.0, + 293.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 449.0, + 154.0, + 548.0, + 232.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 635.0, + 220.0, + 710.0, + 284.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 991.0, + 200.0, + 1097.0, + 325.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 408.0, + 142.0, + 522.0, + 264.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 666.0, + 282.0, + 744.0, + 365.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 909.0, + 188.0, + 1010.0, + 327.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 288.0, + 40.0, + 401.0, + 131.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 622.0, + 111.0, + 708.0, + 190.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 735.0, + 62.0, + 841.0, + 200.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/53e32d21ea" + }, + "540850e1c7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1199.0, + 332.0, + 1258.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1118.0, + 327.0, + 1156.0, + 445.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1161.0, + 346.0, + 1198.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1101.0, + 336.0, + 1167.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1031.0, + 331.0, + 1080.0, + 447.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1081.0, + 344.0, + 1113.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 958.0, + 304.0, + 1048.0, + 513.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 832.0, + 299.0, + 899.0, + 475.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 946.0, + 318.0, + 969.0, + 471.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 789.0, + 276.0, + 922.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 588.0, + 268.0, + 690.0, + 524.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00110", + "00130", + "00160", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/540850e1c7" + }, + "540cb31cfe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 35.0, + 276.0, + 405.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 33.0, + 273.0, + 404.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 34.0, + 270.0, + 404.0, + 664.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 36.0, + 277.0, + 405.0, + 664.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/540cb31cfe" + }, + "541c4da30f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 158.0, + 163.0, + 522.0, + 588.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 562.0, + 137.0, + 739.0, + 490.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 707.0, + 141.0, + 932.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 69.0, + 175.0, + 428.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 531.0, + 151.0, + 715.0, + 363.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 617.0, + 158.0, + 872.0, + 567.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 21.0, + 209.0, + 295.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 477.0, + 188.0, + 672.0, + 326.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 476.0, + 204.0, + 767.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 25.0, + 216.0, + 261.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 467.0, + 214.0, + 683.0, + 318.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 438.0, + 213.0, + 737.0, + 657.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/541c4da30f" + }, + "541d7935d7": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 45.0, + 1279.0, + 711.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 1169.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 1055.0, + 154.0, + 1279.0, + 372.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 1272.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 1077.0, + 39.0, + 1279.0, + 265.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 1096.0, + 665.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 990.0, + 147.0, + 1279.0, + 402.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/541d7935d7" + }, + "545468262b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 548.0, + 138.0, + 739.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 510.0, + 190.0, + 728.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 433.0, + 295.0, + 706.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 462.0, + 287.0, + 705.0, + 656.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/545468262b" + }, + "5458647306": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 332.0, + 306.0, + 696.0, + 509.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 504.0, + 480.0, + 707.0, + 637.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 44.0, + 161.0, + 216.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 122.0, + 310.0, + 483.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 854.0, + 449.0, + 1103.0, + 657.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 299.0, + 209.0, + 454.0, + 347.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 61.0, + 315.0, + 436.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 785.0, + 445.0, + 1095.0, + 623.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 434.0, + 217.0, + 604.0, + 351.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 56.0, + 127.0, + 342.0, + 437.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 602.0, + 396.0, + 937.0, + 580.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 655.0, + 173.0, + 902.0, + 323.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5458647306" + }, + "54657855cd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 646.0, + 180.0, + 731.0, + 400.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 534.0, + 189.0, + 639.0, + 448.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 608.0, + 166.0, + 660.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 666.0, + 142.0, + 779.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 489.0, + 157.0, + 649.0, + 540.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 632.0, + 119.0, + 689.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 670.0, + 116.0, + 827.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 420.0, + 138.0, + 621.0, + 590.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 650.0, + 84.0, + 725.0, + 252.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 708.0, + 96.0, + 970.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 270.0, + 108.0, + 623.0, + 716.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 775.0, + 43.0, + 916.0, + 337.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/54657855cd" + }, + "547b3fb23b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 685.0, + 149.0, + 934.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 685.0, + 136.0, + 935.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 697.0, + 128.0, + 934.0, + 567.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 685.0, + 140.0, + 927.0, + 566.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/547b3fb23b" + }, + "5497dc3712": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 51.0, + 291.0, + 611.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 69.0, + 316.0, + 623.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 33.0, + 317.0, + 633.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 305.0, + 625.0, + 590.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5497dc3712" + }, + "549c56f1d4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 254.0, + 19.0, + 1083.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 324.0, + 40.0, + 1105.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 298.0, + 144.0, + 1101.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 224.0, + 79.0, + 1113.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00170", + "00195", + "00210", + "00235" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/549c56f1d4" + }, + "54a4260bb1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 405.0, + 384.0, + 615.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 691.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 401.0, + 385.0, + 612.0, + 550.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 684.0, + 502.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 405.0, + 384.0, + 607.0, + 550.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 680.0, + 500.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 389.0, + 384.0, + 552.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 680.0, + 502.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/54a4260bb1" + }, + "54b98b8d5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 814.0, + 123.0, + 1152.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 724.0, + 230.0, + 1279.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 162.0, + 866.0, + 536.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 401.0, + 271.0, + 1126.0, + 690.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 644.0, + 129.0, + 926.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 525.0, + 233.0, + 1138.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 629.0, + 0.0, + 946.0, + 364.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 581.0, + 108.0, + 1116.0, + 388.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/54b98b8d5e" + }, + "54e1054b0f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 38.0, + 0.0, + 1265.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 48.0, + 0.0, + 1275.0, + 640.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 42.0, + 0.0, + 1270.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 43.0, + 0.0, + 1272.0, + 645.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/54e1054b0f" + }, + "54e8867b83": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 96.0, + 300.0, + 526.0, + 625.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 299.0, + 578.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 251.0, + 560.0, + 676.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 221.0, + 408.0, + 576.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/54e8867b83" + }, + "54ebe34f6e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 709.0, + 0.0, + 967.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 669.0, + 430.0, + 1039.0, + 705.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 677.0, + 0.0, + 983.0, + 585.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 670.0, + 478.0, + 1103.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 672.0, + 25.0, + 920.0, + 446.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 594.0, + 340.0, + 874.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 754.0, + 4.0, + 993.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 828.0, + 372.0, + 958.0, + 523.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/54ebe34f6e" + }, + "5519b4ad13": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 18.0, + 80.0, + 220.0, + 237.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 206.0, + 161.0, + 358.0, + 242.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 5.0, + 85.0, + 207.0, + 246.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 193.0, + 164.0, + 358.0, + 248.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 6.0, + 79.0, + 205.0, + 249.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 188.0, + 166.0, + 356.0, + 251.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 17.0, + 48.0, + 193.0, + 256.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 184.0, + 144.0, + 323.0, + 258.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5519b4ad13" + }, + "551acbffd5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 440.0, + 217.0, + 855.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 434.0, + 374.0, + 527.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 688.0, + 216.0, + 1037.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 604.0, + 360.0, + 711.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 705.0, + 236.0, + 1091.0, + 583.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 653.0, + 370.0, + 751.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 734.0, + 225.0, + 1096.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 655.0, + 367.0, + 749.0, + 507.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/551acbffd5" + }, + "55341f42da": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 443.0, + 198.0, + 625.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 379.0, + 163.0, + 519.0, + 387.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 507.0, + 191.0, + 627.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 658.0, + 128.0, + 780.0, + 322.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/55341f42da" + }, + "5566ab97e1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 320.0, + 312.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 233.0, + 366.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 169.0, + 215.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 80.0, + 352.0, + 251.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5566ab97e1" + }, + "556c79bbf2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 383.0, + 112.0, + 827.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 366.0, + 113.0, + 827.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 369.0, + 109.0, + 810.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 376.0, + 108.0, + 815.0, + 528.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/556c79bbf2" + }, + "5589637cc4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 882.0, + 191.0, + 965.0, + 277.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 847.0, + 272.0, + 973.0, + 294.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 879.0, + 152.0, + 947.0, + 249.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 832.0, + 248.0, + 966.0, + 278.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 777.0, + 173.0, + 859.0, + 296.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 742.0, + 286.0, + 904.0, + 323.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 747.0, + 202.0, + 839.0, + 313.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 699.0, + 296.0, + 863.0, + 331.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5589637cc4" + }, + "558aa072f0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 263.0, + 0.0, + 1086.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 307.0, + 0.0, + 1238.0, + 694.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 325.0, + 9.0, + 1054.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 297.0, + 15.0, + 1230.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/558aa072f0" + }, + "559824b6f6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 335.0, + 162.0, + 1111.0, + 552.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 275.0, + 404.0, + 381.0, + 511.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 319.0, + 147.0, + 1075.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 42.0, + 367.0, + 331.0, + 547.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 352.0, + 111.0, + 1060.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 376.0, + 47.0, + 589.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 356.0, + 96.0, + 1043.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 262.0, + 467.0, + 370.0, + 638.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/559824b6f6" + }, + "55c1764e90": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 312.0, + 436.0, + 850.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 335.0, + 451.0, + 850.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 263.0, + 404.0, + 776.0, + 653.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 39.0, + 350.0, + 937.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/55c1764e90" + }, + "55eda6c77e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 452.0, + 0.0, + 857.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 451.0, + 0.0, + 795.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 363.0, + 0.0, + 822.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 253.0, + 0.0, + 775.0, + 471.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/55eda6c77e" + }, + "562d173565": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 450.0, + 30.0, + 1098.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 441.0, + 77.0, + 1102.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 391.0, + 96.0, + 1084.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 452.0, + 109.0, + 1109.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/562d173565" + }, + "5665c024cb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 71.0, + 272.0, + 221.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 240.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 66.0, + 242.0, + 212.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 259.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 38.0, + 245.0, + 194.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 248.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 18.0, + 176.0, + 186.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 212.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5665c024cb" + }, + "566cef4959": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 625.0, + 81.0, + 871.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 481.0, + 140.0, + 623.0, + 392.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 252.0, + 1279.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 657.0, + 106.0, + 879.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 502.0, + 124.0, + 618.0, + 363.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 248.0, + 1279.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 852.0, + 52.0, + 1075.0, + 362.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 614.0, + 83.0, + 729.0, + 251.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 261.0, + 1279.0, + 500.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 800.0, + 62.0, + 1005.0, + 339.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 538.0, + 90.0, + 639.0, + 301.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 266.0, + 1279.0, + 457.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/566cef4959" + }, + "5675d78833": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 520.0, + 124.0, + 1079.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 337.0, + 202.0, + 565.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 490.0, + 147.0, + 1049.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 346.0, + 210.0, + 547.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 234.0, + 59.0, + 959.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 112.0, + 227.0, + 306.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 279.0, + 6.0, + 788.0, + 700.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 667.0, + 0.0, + 762.0, + 152.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5675d78833" + }, + "5678a91bd8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 487.0, + 88.0, + 583.0, + 281.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 85.0, + 324.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 430.0, + 193.0, + 588.0, + 431.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 87.0, + 374.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 442.0, + 217.0, + 591.0, + 485.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 84.0, + 391.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 460.0, + 220.0, + 662.0, + 338.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 86.0, + 400.0, + 631.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5678a91bd8" + }, + "567a2b4bd0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 79.0, + 0.0, + 1096.0, + 443.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 53.0, + 0.0, + 1156.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 56.0, + 0.0, + 1156.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 72.0, + 0.0, + 1090.0, + 408.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/567a2b4bd0" + }, + "569c282890": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 315.0, + 415.0, + 798.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 292.0, + 288.0, + 1031.0, + 676.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 228.0, + 272.0, + 1019.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 188.0, + 367.0, + 989.0, + 675.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/569c282890" + }, + "56cc449917": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 497.0, + 312.0, + 918.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 555.0, + 314.0, + 1002.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 553.0, + 323.0, + 871.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 497.0, + 304.0, + 834.0, + 550.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/56cc449917" + }, + "56e71f3e07": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 390.0, + 47.0, + 839.0, + 695.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 386.0, + 52.0, + 825.0, + 697.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 412.0, + 69.0, + 827.0, + 695.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 390.0, + 64.0, + 833.0, + 693.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/56e71f3e07" + }, + "56f09b9d92": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 448.0, + 140.0, + 855.0, + 516.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 426.0, + 147.0, + 855.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 470.0, + 118.0, + 923.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 430.0, + 52.0, + 1159.0, + 588.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/56f09b9d92" + }, + "56fc0e8cf9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 290.0, + 325.0, + 464.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 699.0, + 0.0, + 1085.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 285.0, + 286.0, + 499.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 617.0, + 117.0, + 1033.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 292.0, + 278.0, + 479.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 447.0, + 122.0, + 907.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 288.0, + 279.0, + 479.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 445.0, + 70.0, + 972.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/56fc0e8cf9" + }, + "571ca79c71": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 348.0, + 297.0, + 460.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 655.0, + 273.0, + 781.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 774.0, + 291.0, + 903.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 856.0, + 291.0, + 988.0, + 488.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/571ca79c71" + }, + "57243657cf": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 210.0, + 944.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 175.0, + 820.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 235.0, + 748.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 302.0, + 868.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57243657cf" + }, + "57246af7d1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 20.0, + 1071.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1178.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 14.0, + 1269.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 623.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57246af7d1" + }, + "57427393e9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 867.0, + 536.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 842.0, + 222.0, + 1192.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 913.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 895.0, + 220.0, + 1279.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 36.0, + 0.0, + 925.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 914.0, + 234.0, + 1279.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 294.0, + 0.0, + 1079.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 1123.0, + 284.0, + 1279.0, + 449.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00115", + "00140", + "00145", + "00180" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57427393e9" + }, + "574b682c19": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 435.0, + 267.0, + 856.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 496.0, + 222.0, + 711.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 517.0, + 263.0, + 690.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 542.0, + 267.0, + 715.0, + 489.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/574b682c19" + }, + "578f211b86": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 572.0, + 141.0, + 664.0, + 343.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 556.0, + 131.0, + 687.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 557.0, + 145.0, + 677.0, + 392.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 816.0, + 404.0, + 995.0, + 640.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/578f211b86" + }, + "5790ac295d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 739.0, + 188.0, + 1177.0, + 646.0 + ], + "valid": 1 + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 805.0, + 55.0, + 1139.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 837.0, + 29.0, + 1103.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 1163.0, + 94.0, + 1279.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 234.0, + 159.0, + 644.0, + 363.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5790ac295d" + }, + "579393912d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 83.0, + 1154.0, + 684.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 25.0, + 844.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 97.0, + 11.0, + 884.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 169.0, + 0.0, + 858.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/579393912d" + }, + "57a344ab1a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 59.0, + 1279.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 152.0, + 1279.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 169.0, + 1279.0, + 479.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57a344ab1a" + }, + "57bd3bcda4": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 456.0, + 99.0, + 1012.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 405.0, + 81.0, + 984.0, + 626.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 367.0, + 82.0, + 958.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 363.0, + 27.0, + 980.0, + 622.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57bd3bcda4" + }, + "57bfb7fa4c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 458.0, + 61.0, + 766.0, + 539.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 551.0, + 504.0, + 822.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 28.0, + 868.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 591.0, + 571.0, + 816.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 631.0, + 45.0, + 939.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 651.0, + 630.0, + 932.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 496.0, + 22.0, + 734.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 466.0, + 535.0, + 746.0, + 602.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57bfb7fa4c" + }, + "57c010175e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 534.0, + 417.0, + 749.0, + 670.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 484.0, + 0.0, + 955.0, + 643.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 545.0, + 390.0, + 751.0, + 666.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 519.0, + 0.0, + 956.0, + 632.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 523.0, + 400.0, + 754.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 531.0, + 0.0, + 972.0, + 641.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 588.0, + 405.0, + 771.0, + 680.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 552.0, + 0.0, + 999.0, + 657.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57c010175e" + }, + "57c457cc75": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 692.0, + 191.0, + 860.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 649.0, + 240.0, + 820.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 611.0, + 229.0, + 825.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 741.0, + 228.0, + 914.0, + 481.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57c457cc75" + }, + "57c7fc2183": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 257.0, + 84.0, + 503.0, + 517.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 495.0, + 222.0, + 1279.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 268.0, + 110.0, + 525.0, + 499.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 526.0, + 208.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 226.0, + 50.0, + 574.0, + 485.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 561.0, + 139.0, + 1185.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 243.0, + 99.0, + 501.0, + 535.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 437.0, + 357.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57c7fc2183" + }, + "57d5289a01": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 188.0, + 206.0, + 394.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 668.0, + 151.0, + 1198.0, + 426.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 379.0, + 275.0, + 397.0, + 352.0 + ], + "valid": 1 + }, + "4": { + "category_name": "bus", + "bbox": [ + 395.0, + 258.0, + 513.0, + 350.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 179.0, + 223.0, + 385.0, + 436.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 662.0, + 170.0, + 1191.0, + 437.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 372.0, + 281.0, + 396.0, + 368.0 + ], + "valid": 1 + }, + "4": { + "category_name": "bus", + "bbox": [ + 390.0, + 272.0, + 507.0, + 366.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 229.0, + 208.0, + 433.0, + 422.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 709.0, + 165.0, + 1238.0, + 442.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 422.0, + 261.0, + 462.0, + 365.0 + ], + "valid": 1 + }, + "4": { + "category_name": "bus", + "bbox": [ + 461.0, + 261.0, + 553.0, + 353.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 230.0, + 223.0, + 431.0, + 439.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 707.0, + 171.0, + 1241.0, + 443.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 419.0, + 263.0, + 485.0, + 383.0 + ], + "valid": 1 + }, + "4": { + "category_name": "bus", + "bbox": [ + 480.0, + 274.0, + 552.0, + 365.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/57d5289a01" + }, + "58045fde85": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 538.0, + 252.0, + 904.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 677.0, + 153.0, + 757.0, + 225.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 563.0, + 221.0, + 786.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 266.0, + 321.0, + 448.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 326.0, + 218.0, + 377.0, + 268.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 276.0, + 262.0, + 402.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 218.0, + 307.0, + 383.0, + 521.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 274.0, + 218.0, + 323.0, + 269.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 224.0, + 263.0, + 349.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 233.0, + 268.0, + 330.0, + 408.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 270.0, + 166.0, + 303.0, + 204.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 231.0, + 199.0, + 331.0, + 353.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00065", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/58045fde85" + }, + "58163c37cd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 623.0, + 105.0, + 1118.0, + 480.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 732.0, + 316.0, + 835.0, + 450.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 534.0, + 344.0, + 877.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 633.0, + 110.0, + 1119.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 691.0, + 318.0, + 851.0, + 471.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 538.0, + 373.0, + 878.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 640.0, + 101.0, + 1120.0, + 476.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 543.0, + 292.0, + 853.0, + 584.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 526.0, + 372.0, + 873.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 631.0, + 107.0, + 1126.0, + 475.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 542.0, + 291.0, + 840.0, + 584.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 527.0, + 336.0, + 878.0, + 621.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/58163c37cd" + }, + "582d463e5c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 31.0, + 737.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 476.0, + 211.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 30.0, + 739.0, + 594.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 629.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 31.0, + 749.0, + 602.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 598.0, + 426.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 15.0, + 729.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 431.0, + 518.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/582d463e5c" + }, + "5851739c15": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 592.0, + 190.0, + 784.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 295.0, + 263.0, + 650.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 181.0, + 235.0, + 585.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 243.0, + 224.0, + 657.0, + 533.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5851739c15" + }, + "585dd0f208": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 581.0, + 217.0, + 710.0, + 389.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 565.0, + 209.0, + 718.0, + 394.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 560.0, + 74.0, + 695.0, + 222.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 646.0, + 140.0, + 809.0, + 278.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/585dd0f208" + }, + "587250f3c3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 576.0, + 225.0, + 777.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 558.0, + 194.0, + 735.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 438.0, + 231.0, + 743.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 438.0, + 181.0, + 923.0, + 588.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/587250f3c3" + }, + "589e4cc1de": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 316.0, + 1.0, + 1054.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 438.0, + 146.0, + 1131.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 322.0, + 885.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 423.0, + 181.0, + 1161.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 480.0, + 192.0, + 1176.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/589e4cc1de" + }, + "589f65f5d5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 109.0, + 1279.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 156.0, + 784.0, + 498.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 550.0, + 270.0, + 1058.0, + 445.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 506.0, + 299.0, + 1021.0, + 447.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/589f65f5d5" + }, + "58a07c17d5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 49.0, + 683.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 29.0, + 763.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 50.0, + 893.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 110.0, + 981.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/58a07c17d5" + }, + "58adc6d8b6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 940.0, + 383.0, + 1082.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 982.0, + 385.0, + 1124.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 919.0, + 390.0, + 1074.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 936.0, + 365.0, + 1093.0, + 441.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/58adc6d8b6" + }, + "58b9bcf656": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 827.0, + 132.0, + 924.0, + 449.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 656.0, + 311.0, + 701.0, + 397.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 580.0, + 174.0, + 669.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 813.0, + 127.0, + 920.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 656.0, + 307.0, + 700.0, + 403.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 575.0, + 167.0, + 672.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 810.0, + 123.0, + 898.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 671.0, + 308.0, + 732.0, + 431.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 586.0, + 159.0, + 687.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 830.0, + 130.0, + 907.0, + 441.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 694.0, + 348.0, + 774.0, + 468.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 596.0, + 164.0, + 702.0, + 473.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/58b9bcf656" + }, + "58c374917e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 123.0, + 104.0, + 325.0, + 296.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 299.0, + 44.0, + 489.0, + 266.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 108.0, + 105.0, + 334.0, + 299.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 297.0, + 44.0, + 487.0, + 265.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 115.0, + 102.0, + 359.0, + 297.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 284.0, + 43.0, + 489.0, + 269.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 115.0, + 102.0, + 372.0, + 303.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 269.0, + 43.0, + 489.0, + 259.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/58c374917e" + }, + "58fc75fd42": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 267.0, + 0.0, + 1080.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 137.0, + 0.0, + 986.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 934.0, + 686.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 962.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/58fc75fd42" + }, + "5914c30f05": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 538.0, + 117.0, + 949.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 305.0, + 164.0, + 439.0, + 629.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 745.0, + 94.0, + 932.0, + 645.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 480.0, + 47.0, + 783.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 39.0, + 137.0, + 179.0, + 611.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 559.0, + 71.0, + 658.0, + 364.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 476.0, + 24.0, + 735.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 57.0, + 124.0, + 193.0, + 594.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 647.0, + 69.0, + 812.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 480.0, + 10.0, + 783.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 76.0, + 130.0, + 214.0, + 600.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 718.0, + 74.0, + 840.0, + 555.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5914c30f05" + }, + "59323787d5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 231.0, + 202.0, + 902.0, + 447.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 321.0, + 200.0, + 917.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 292.0, + 230.0, + 909.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 297.0, + 240.0, + 895.0, + 471.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59323787d5" + }, + "5937b08d69": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 330.0, + 210.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 422.0, + 116.0, + 1057.0, + 562.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 296.0, + 84.0, + 693.0, + 370.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 1020.0, + 219.0, + 1166.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 480.0, + 20.0, + 700.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 220.0, + 161.0, + 885.0, + 561.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 202.0, + 118.0, + 618.0, + 283.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 852.0, + 259.0, + 1101.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 92.0, + 177.0, + 759.0, + 590.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 158.0, + 136.0, + 538.0, + 250.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 748.0, + 268.0, + 1041.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 34.0, + 175.0, + 735.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 359.0, + 147.0, + 600.0, + 208.0 + ], + "valid": 1 + }, + "4": { + "category_name": "elephant", + "bbox": [ + 882.0, + 277.0, + 1122.0, + 513.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5937b08d69" + }, + "594065ddd7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 248.0, + 253.0, + 639.0, + 402.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 181.0, + 299.0, + 594.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 259.0, + 337.0, + 678.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 332.0, + 312.0, + 741.0, + 495.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/594065ddd7" + }, + "595a0ceea6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 288.0, + 647.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 247.0, + 750.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 12.0, + 211.0, + 931.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 63.0, + 1129.0, + 718.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/595a0ceea6" + }, + "59623ec40b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 852.0, + 279.0, + 1045.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 649.0, + 251.0, + 812.0, + 536.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 295.0, + 244.0, + 500.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 842.0, + 253.0, + 1052.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 652.0, + 245.0, + 822.0, + 516.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 288.0, + 215.0, + 468.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 905.0, + 347.0, + 1015.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 648.0, + 337.0, + 810.0, + 622.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 313.0, + 311.0, + 397.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 882.0, + 377.0, + 992.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 705.0, + 340.0, + 824.0, + 614.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 273.0, + 359.0, + 399.0, + 617.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59623ec40b" + }, + "597ff7ef78": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 313.0, + 92.0, + 782.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 319.0, + 105.0, + 776.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 357.0, + 88.0, + 772.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 353.0, + 87.0, + 764.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/597ff7ef78" + }, + "598c2ad3b2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 508.0, + 145.0, + 1183.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 99.0, + 0.0, + 1179.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 574.0, + 206.0, + 1181.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 98.0, + 234.0, + 1179.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 502.0, + 237.0, + 1049.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 99.0, + 260.0, + 1179.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 472.0, + 200.0, + 827.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 99.0, + 268.0, + 1180.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/598c2ad3b2" + }, + "59a6459751": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 725.0, + 213.0, + 1023.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 15.0, + 159.0, + 395.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 779.0, + 192.0, + 1061.0, + 414.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 29.0, + 147.0, + 403.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 869.0, + 161.0, + 1101.0, + 405.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 144.0, + 288.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 835.0, + 160.0, + 1100.0, + 416.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 135.0, + 282.0, + 453.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59a6459751" + }, + "59b175e138": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 839.0, + 549.0, + 997.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 840.0, + 279.0, + 925.0, + 515.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 824.0, + 234.0, + 881.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 898.0, + 545.0, + 1052.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 870.0, + 273.0, + 957.0, + 509.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 882.0, + 231.0, + 963.0, + 302.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1000.0, + 576.0, + 1154.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 960.0, + 343.0, + 1101.0, + 541.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1001.0, + 279.0, + 1110.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1044.0, + 591.0, + 1192.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 999.0, + 292.0, + 1091.0, + 563.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1038.0, + 282.0, + 1132.0, + 513.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59b175e138" + }, + "59bf0a149f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 493.0, + 267.0, + 577.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 533.0, + 337.0, + 612.0, + 392.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 493.0, + 293.0, + 580.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 501.0, + 437.0, + 551.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 474.0, + 314.0, + 586.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 495.0, + 483.0, + 583.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 485.0, + 314.0, + 611.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 452.0, + 450.0, + 502.0, + 545.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59bf0a149f" + }, + "59d53d1649": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 301.0, + 110.0, + 976.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 213.0, + 31.0, + 521.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 345.0, + 85.0, + 1066.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 712.0, + 37.0, + 988.0, + 253.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 165.0, + 1018.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 563.0, + 6.0, + 1279.0, + 343.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 270.0, + 571.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 577.0, + 55.0, + 1279.0, + 383.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59d53d1649" + }, + "59e3e6fae7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 90.0, + 630.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 109.0, + 521.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 23.0, + 131.0, + 608.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 46.0, + 86.0, + 781.0, + 631.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59e3e6fae7" + }, + "59fe33e560": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 493.0, + 344.0, + 778.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 491.0, + 346.0, + 786.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 496.0, + 348.0, + 783.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 484.0, + 339.0, + 871.0, + 552.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/59fe33e560" + }, + "5a13a73fe5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 446.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 837.0, + 225.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 541.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 566.0, + 0.0, + 1279.0, + 697.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 782.0, + 135.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 488.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 1151.0, + 0.0, + 1279.0, + 320.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1164.0, + 246.0, + 1279.0, + 411.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 659.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "cow", + "bbox": [ + 86.0, + 0.0, + 708.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5a13a73fe5" + }, + "5a25c22770": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 3.0, + 290.0, + 1222.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 254.0, + 1225.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 251.0, + 1271.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 291.0, + 1279.0, + 516.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5a25c22770" + }, + "5a4a785006": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 417.0, + 121.0, + 1030.0, + 350.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 616.0, + 113.0, + 898.0, + 180.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 879.0, + 72.0, + 1116.0, + 195.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 362.0, + 163.0, + 1001.0, + 397.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 485.0, + 158.0, + 705.0, + 226.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 762.0, + 114.0, + 998.0, + 226.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 346.0, + 199.0, + 1087.0, + 439.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 220.0, + 202.0, + 590.0, + 359.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 571.0, + 150.0, + 846.0, + 243.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 288.0, + 191.0, + 1051.0, + 441.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 83.0, + 191.0, + 483.0, + 366.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 464.0, + 141.0, + 750.0, + 247.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5a4a785006" + }, + "5a50640995": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "6": { + "category_name": "person", + "bbox": [ + 891.0, + 174.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 213.0, + 374.0, + 356.0, + 552.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 24.0, + 382.0, + 165.0, + 535.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 356.0, + 72.0, + 530.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "6": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 528.0, + 338.0, + 649.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 358.0, + 356.0, + 518.0, + 488.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 222.0, + 320.0, + 298.0, + 489.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 323.0, + 92.0, + 484.0 + ], + "valid": 1 + }, + "5": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "6": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 572.0, + 339.0, + 704.0, + 505.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 386.0, + 355.0, + 546.0, + 505.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 231.0, + 317.0, + 333.0, + 486.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 332.0, + 90.0, + 482.0 + ], + "valid": 1 + }, + "5": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "6": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5a50640995" + }, + "5a75f7a1cf": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 378.0, + 189.0, + 440.0, + 367.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 577.0, + 187.0, + 632.0, + 329.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 660.0, + 187.0, + 697.0, + 314.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 396.0, + 177.0, + 459.0, + 358.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 596.0, + 175.0, + 652.0, + 315.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 684.0, + 172.0, + 720.0, + 296.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 412.0, + 187.0, + 474.0, + 364.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 610.0, + 183.0, + 669.0, + 321.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 694.0, + 179.0, + 733.0, + 303.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 469.0, + 203.0, + 532.0, + 381.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 669.0, + 201.0, + 722.0, + 339.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 748.0, + 196.0, + 791.0, + 321.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5a75f7a1cf" + }, + "5a841e59ad": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 307.0, + 42.0, + 1151.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 413.0, + 25.0, + 1119.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 391.0, + 82.0, + 1007.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 364.0, + 55.0, + 1039.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5a841e59ad" + }, + "5a91c5ab6d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 620.0, + 167.0, + 1279.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 610.0, + 165.0, + 1279.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 600.0, + 113.0, + 1279.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 562.0, + 137.0, + 1279.0, + 614.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5a91c5ab6d" + }, + "5ab49d9de0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 572.0, + 280.0, + 612.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 701.0, + 270.0, + 736.0, + 338.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 570.0, + 305.0, + 611.0, + 384.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 726.0, + 281.0, + 771.0, + 371.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 879.0, + 277.0, + 915.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 554.0, + 277.0, + 591.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 724.0, + 285.0, + 776.0, + 372.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 891.0, + 276.0, + 923.0, + 350.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 571.0, + 286.0, + 612.0, + 393.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 770.0, + 305.0, + 808.0, + 376.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 938.0, + 286.0, + 985.0, + 384.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ab49d9de0" + }, + "5aba1057fe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 522.0, + 374.0, + 971.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 558.0, + 26.0, + 893.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 580.0, + 318.0, + 991.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 575.0, + 39.0, + 905.0, + 549.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 552.0, + 318.0, + 1025.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 597.0, + 0.0, + 928.0, + 374.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 741.0, + 311.0, + 1049.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 576.0, + 0.0, + 949.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5aba1057fe" + }, + "5abe46ba6d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 670.0, + 131.0, + 1098.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 180.0, + 214.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 601.0, + 143.0, + 1063.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 47.0, + 211.0, + 282.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 529.0, + 131.0, + 1086.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 253.0, + 238.0, + 445.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 455.0, + 95.0, + 1093.0, + 683.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 343.0, + 229.0, + 456.0, + 407.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5abe46ba6d" + }, + "5ac7c88d0c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 133.0, + 273.0, + 266.0, + 389.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 143.0, + 206.0, + 294.0, + 355.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 180.0, + 244.0, + 349.0, + 393.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 180.0, + 258.0, + 311.0, + 416.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ac7c88d0c" + }, + "5aeb95cc7d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 322.0, + 0.0, + 841.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 840.0, + 2.0, + 1275.0, + 239.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 187.0, + 367.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 330.0, + 3.0, + 851.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 842.0, + 7.0, + 1232.0, + 264.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 190.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 330.0, + 2.0, + 852.0, + 424.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 859.0, + 6.0, + 1201.0, + 271.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 194.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 323.0, + 1.0, + 850.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 943.0, + 0.0, + 1279.0, + 331.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 190.0, + 369.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5aeb95cc7d" + }, + "5af15e4fc3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 81.0, + 332.0, + 844.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 996.0, + 313.0, + 1235.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 129.0, + 339.0, + 757.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 1012.0, + 319.0, + 1227.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 130.0, + 338.0, + 767.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 990.0, + 313.0, + 1222.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 147.0, + 314.0, + 743.0, + 485.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 967.0, + 288.0, + 1230.0, + 444.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5af15e4fc3" + }, + "5afe381ae4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 45.0, + 43.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 291.0, + 73.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 55.0, + 70.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00060", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5afe381ae4" + }, + "5b07b4229d": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 156.0, + 890.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 275.0, + 0.0, + 972.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 157.0, + 858.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 305.0, + 0.0, + 970.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 157.0, + 864.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 313.0, + 0.0, + 964.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 154.0, + 860.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 313.0, + 0.0, + 966.0, + 403.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00025" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5b07b4229d" + }, + "5b1001cc4f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 410.0, + 3.0, + 953.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 364.0, + 28.0, + 969.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 446.0, + 0.0, + 963.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 454.0, + 0.0, + 919.0, + 581.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5b1001cc4f" + }, + "5b1df237d2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 103.0, + 292.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 99.0, + 280.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 93.0, + 360.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5b1df237d2" + }, + "5b263013bf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 183.0, + 0.0, + 1036.0, + 683.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 134.0, + 1.0, + 208.0, + 237.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 110.0, + 226.0, + 233.0, + 378.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 207.0, + 22.0, + 1057.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 156.0, + 2.0, + 232.0, + 272.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 133.0, + 262.0, + 254.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 258.0, + 38.0, + 1115.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 188.0, + 2.0, + 262.0, + 300.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 165.0, + 289.0, + 286.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 274.0, + 37.0, + 1133.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 198.0, + 2.0, + 274.0, + 299.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 176.0, + 288.0, + 298.0, + 442.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5b263013bf" + }, + "5b27d19f0b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 424.0, + 73.0, + 442.0, + 98.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 236.0, + 119.0, + 363.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 106.0, + 60.0, + 440.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 65.0, + 377.0, + 175.0, + 495.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 126.0, + 55.0, + 397.0, + 664.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 173.0, + 50.0, + 408.0, + 661.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 338.0, + 242.0, + 413.0, + 334.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 186.0, + 39.0, + 427.0, + 679.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5b27d19f0b" + }, + "5b48ae16c5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 364.0, + 0.0, + 907.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 178.0, + 551.0, + 446.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 520.0, + 0.0, + 1021.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 184.0, + 420.0, + 606.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 152.0, + 0.0, + 717.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 156.0, + 482.0, + 284.0, + 682.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 320.0, + 0.0, + 829.0, + 550.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 160.0, + 504.0, + 442.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5b48ae16c5" + }, + "5b5babc719": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1108.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 343.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 850.0, + 0.0, + 1279.0, + 520.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5b5babc719" + }, + "5baaebdf00": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 250.0, + 137.0, + 1045.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 122.0, + 0.0, + 610.0, + 116.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 222.0, + 209.0, + 993.0, + 692.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 256.0, + 76.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 238.0, + 227.0, + 969.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 242.0, + 95.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 915.0, + 482.0, + 1161.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 384.0, + 87.0, + 620.0, + 323.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 699.0, + 46.0, + 852.0, + 239.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 1077.0, + 88.0, + 1279.0, + 298.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5baaebdf00" + }, + "5bab55cdbe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 775.0, + 166.0, + 1003.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 749.0, + 172.0, + 997.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 749.0, + 185.0, + 999.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 759.0, + 197.0, + 1003.0, + 656.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5bab55cdbe" + }, + "5bafef6e79": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 54.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1235.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 955.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00130", + "00150", + "00180", + "00205" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5bafef6e79" + }, + "5bc77844da": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 590.0, + 191.0, + 1065.0, + 510.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 414.0, + 308.0, + 1001.0, + 708.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 297.0, + 50.0, + 606.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 588.0, + 191.0, + 1095.0, + 505.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 420.0, + 312.0, + 1019.0, + 691.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 308.0, + 52.0, + 619.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 426.0, + 275.0, + 1079.0, + 599.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 358.0, + 281.0, + 1027.0, + 685.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 312.0, + 40.0, + 574.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 454.0, + 305.0, + 1095.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 400.0, + 147.0, + 963.0, + 656.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 333.0, + 0.0, + 601.0, + 483.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5bc77844da" + }, + "5bd1f84545": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 762.0, + 152.0, + 1152.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 1045.0, + 200.0, + 1270.0, + 427.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 668.0, + 226.0, + 1138.0, + 504.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 992.0, + 182.0, + 1279.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 676.0, + 92.0, + 1190.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 1005.0, + 149.0, + 1279.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 762.0, + 231.0, + 1144.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 1017.0, + 250.0, + 1252.0, + 517.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5bd1f84545" + }, + "5bddc3ba25": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 527.0, + 123.0, + 597.0, + 240.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 402.0, + 425.0, + 484.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 570.0, + 175.0, + 616.0, + 298.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 286.0, + 451.0, + 347.0, + 567.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 572.0, + 174.0, + 621.0, + 298.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 287.0, + 447.0, + 351.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 575.0, + 179.0, + 622.0, + 303.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 301.0, + 452.0, + 384.0, + 572.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5bddc3ba25" + }, + "5bdf7c20d2": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 321.0, + 154.0, + 900.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 318.0, + 144.0, + 895.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 332.0, + 136.0, + 919.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 340.0, + 81.0, + 893.0, + 591.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00055", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5bdf7c20d2" + }, + "5bf23bc9d3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 714.0, + 162.0, + 1191.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 678.0, + 106.0, + 1238.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 384.0, + 34.0, + 1070.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 393.0, + 268.0, + 1147.0, + 637.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5bf23bc9d3" + }, + "5c01f6171a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 295.0, + 28.0, + 897.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 307.0, + 47.0, + 1147.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 272.0, + 63.0, + 1059.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 222.0, + 79.0, + 1007.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c01f6171a" + }, + "5c021681b7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 863.0, + 86.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 131.0, + 865.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 863.0, + 122.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 125.0, + 848.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 879.0, + 142.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 125.0, + 850.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 885.0, + 147.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 125.0, + 823.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c021681b7" + }, + "5c185cff1d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 749.0, + 334.0, + 949.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 746.0, + 338.0, + 948.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 787.0, + 341.0, + 1036.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 843.0, + 320.0, + 1036.0, + 598.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c185cff1d" + }, + "5c42aba280": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 348.0, + 29.0, + 881.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 474.0, + 93.0, + 776.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 411.0, + 144.0, + 718.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 369.0, + 209.0, + 681.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c42aba280" + }, + "5c44bf8ab6": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 141.0, + 94.0, + 1227.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 147.0, + 95.0, + 1279.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 140.0, + 107.0, + 1278.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 136.0, + 16.0, + 1199.0, + 620.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c44bf8ab6" + }, + "5c4c574894": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 255.0, + 136.0, + 1126.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 304.0, + 95.0, + 1150.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 279.0, + 1.0, + 1189.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 305.0, + 105.0, + 1015.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c4c574894" + }, + "5c52fa4662": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1123.0, + 360.0, + 1273.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 301.0, + 277.0, + 502.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1095.0, + 382.0, + 1250.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 312.0, + 300.0, + 481.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1099.0, + 402.0, + 1236.0, + 589.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 281.0, + 312.0, + 470.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1095.0, + 403.0, + 1245.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 286.0, + 226.0, + 480.0, + 599.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c52fa4662" + }, + "5c6ea7dac3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 581.0, + 0.0, + 884.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 249.0, + 110.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 55.0, + 25.0, + 405.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 0.0, + 49.0, + 780.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 579.0, + 389.0, + 800.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 35.0, + 305.0, + 690.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 0.0, + 84.0, + 682.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 553.0, + 266.0, + 748.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 200.0, + 13.0, + 305.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 0.0, + 44.0, + 361.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 425.0, + 224.0, + 601.0, + 635.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c6ea7dac3" + }, + "5c74315dc2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 133.0, + 277.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 128.0, + 106.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 182.0, + 221.0, + 1279.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 247.0, + 256.0, + 838.0, + 518.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c74315dc2" + }, + "5c7668855e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 26.0, + 972.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 958.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 965.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 1047.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c7668855e" + }, + "5c83e96778": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 508.0, + 115.0, + 811.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 526.0, + 128.0, + 812.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 525.0, + 121.0, + 814.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 529.0, + 114.0, + 826.0, + 578.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5c83e96778" + }, + "5ca36173e4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 205.0, + 0.0, + 753.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 206.0, + 0.0, + 753.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 203.0, + 0.0, + 756.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 206.0, + 0.0, + 754.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00200", + "00205", + "00240", + "00245" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ca36173e4" + }, + "5cac477371": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 436.0, + 153.0, + 490.0, + 291.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 539.0, + 151.0, + 593.0, + 290.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 722.0, + 247.0, + 874.0, + 626.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 418.0, + 182.0, + 470.0, + 332.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 493.0, + 184.0, + 546.0, + 302.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 753.0, + 258.0, + 937.0, + 626.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 367.0, + 137.0, + 421.0, + 302.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 442.0, + 143.0, + 493.0, + 259.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 711.0, + 206.0, + 902.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 365.0, + 155.0, + 421.0, + 310.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 440.0, + 147.0, + 488.0, + 291.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 676.0, + 199.0, + 868.0, + 626.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5cac477371" + }, + "5cb0cb1b2f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 193.0, + 148.0, + 575.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 248.0, + 44.0, + 617.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 345.0, + 96.0, + 764.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 388.0, + 97.0, + 797.0, + 609.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5cb0cb1b2f" + }, + "5cb0cfb98f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 214.0, + 272.0, + 544.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 234.0, + 278.0, + 598.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 264.0, + 214.0, + 630.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 284.0, + 174.0, + 695.0, + 545.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5cb0cfb98f" + }, + "5cb49a19cf": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 993.0, + 496.0, + 1110.0, + 688.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 1112.0, + 509.0, + 1231.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 973.0, + 489.0, + 1104.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 1106.0, + 496.0, + 1223.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 957.0, + 493.0, + 1105.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 1103.0, + 494.0, + 1225.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 432.0, + 172.0, + 609.0, + 299.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 1105.0, + 500.0, + 1230.0, + 673.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00085", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5cb49a19cf" + }, + "5cbf7dc388": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 731.0, + 223.0, + 1009.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 378.0, + 187.0, + 679.0, + 449.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 1105.0, + 136.0, + 1279.0, + 222.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 657.0, + 179.0, + 997.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 338.0, + 179.0, + 680.0, + 536.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 1029.0, + 166.0, + 1279.0, + 260.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 637.0, + 251.0, + 969.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 340.0, + 196.0, + 685.0, + 525.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 1015.0, + 169.0, + 1279.0, + 271.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 470.0, + 202.0, + 911.0, + 628.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 276.0, + 232.0, + 546.0, + 535.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 925.0, + 176.0, + 1265.0, + 263.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5cbf7dc388" + }, + "5d0e07d126": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 489.0, + 252.0, + 779.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 476.0, + 210.0, + 864.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 439.0, + 162.0, + 979.0, + 700.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 379.0, + 120.0, + 1092.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5d0e07d126" + }, + "5d1e24b6e3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 204.0, + 629.0, + 352.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 146.0, + 533.0, + 362.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 170.0, + 571.0, + 382.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00080", + "00095", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5d1e24b6e3" + }, + "5d663000ff": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 336.0, + 170.0, + 925.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 373.0, + 146.0, + 943.0, + 585.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 421.0, + 150.0, + 982.0, + 493.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 449.0, + 255.0, + 1088.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5d663000ff" + }, + "5da6b2dc5d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 360.0, + 277.0, + 614.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 352.0, + 277.0, + 618.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 366.0, + 277.0, + 611.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 365.0, + 276.0, + 616.0, + 429.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5da6b2dc5d" + }, + "5de9b90f24": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 217.0, + 1279.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 223.0, + 1153.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 218.0, + 1045.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 229.0, + 937.0, + 537.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5de9b90f24" + }, + "5e08de0ed7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 844.0, + 251.0, + 1116.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 305.0, + 709.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 803.0, + 266.0, + 1062.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 146.0, + 450.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 885.0, + 313.0, + 1113.0, + 626.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 10.0, + 306.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 768.0, + 303.0, + 980.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e08de0ed7" + }, + "5e1011df9a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 395.0, + 271.0, + 845.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 281.0, + 234.0, + 731.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 153.0, + 240.0, + 781.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 414.0, + 214.0, + 1061.0, + 635.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e1011df9a" + }, + "5e1ce354fd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 258.0, + 344.0, + 604.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 503.0, + 266.0, + 740.0, + 558.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 799.0, + 253.0, + 1142.0, + 513.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 602.0, + 398.0, + 1027.0, + 630.0 + ], + "valid": 1 + }, + "5": { + "category_name": "giant_panda", + "bbox": [ + 333.0, + 182.0, + 376.0, + 195.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 267.0, + 363.0, + 586.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 467.0, + 268.0, + 738.0, + 566.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 899.0, + 249.0, + 1155.0, + 539.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 586.0, + 399.0, + 1057.0, + 629.0 + ], + "valid": 1 + }, + "5": { + "category_name": "giant_panda", + "bbox": [ + 316.0, + 146.0, + 423.0, + 192.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 265.0, + 362.0, + 591.0, + 617.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 520.0, + 268.0, + 765.0, + 565.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 942.0, + 258.0, + 1179.0, + 558.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 688.0, + 327.0, + 1189.0, + 633.0 + ], + "valid": 1 + }, + "5": { + "category_name": "giant_panda", + "bbox": [ + 333.0, + 127.0, + 422.0, + 194.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 261.0, + 364.0, + 612.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 668.0, + 231.0, + 968.0, + 520.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 923.0, + 238.0, + 1279.0, + 542.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "giant_panda", + "bbox": [ + 411.0, + 70.0, + 540.0, + 190.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e1ce354fd" + }, + "5e35512dd7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 271.0, + 120.0, + 988.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 287.0, + 143.0, + 996.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 91.0, + 0.0, + 1056.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 87.0, + 0.0, + 1020.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e35512dd7" + }, + "5e418b25f9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 403.0, + 275.0, + 561.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 122.0, + 296.0, + 314.0, + 684.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 247.0, + 284.0, + 336.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 874.0, + 300.0, + 1023.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 600.0, + 322.0, + 800.0, + 703.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 713.0, + 323.0, + 800.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1102.0, + 219.0, + 1271.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 888.0, + 252.0, + 1069.0, + 632.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1022.0, + 250.0, + 1102.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1240.0, + 330.0, + 1277.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1042.0, + 201.0, + 1218.0, + 588.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1192.0, + 200.0, + 1267.0, + 353.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e418b25f9" + }, + "5e4849935a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 450.0, + 341.0, + 640.0, + 641.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 27.0, + 0.0, + 591.0, + 402.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 424.0, + 372.0, + 635.0, + 639.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 18.0, + 0.0, + 642.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 424.0, + 369.0, + 633.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 663.0, + 429.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 434.0, + 396.0, + 619.0, + 645.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 14.0, + 668.0, + 464.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e4849935a" + }, + "5e4ee19663": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 202.0, + 1102.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1216.0, + 286.0, + 1279.0, + 615.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 459.0, + 232.0, + 551.0, + 301.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 284.0, + 143.0, + 946.0, + 527.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 850.0, + 208.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 606.0, + 191.0, + 671.0, + 245.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 244.0, + 153.0, + 788.0, + 445.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 557.0, + 157.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 538.0, + 170.0, + 601.0, + 214.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 423.0, + 149.0, + 764.0, + 235.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 340.0, + 146.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 664.0, + 162.0, + 715.0, + 189.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e4ee19663" + }, + "5e886ef78f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 237.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 220.0, + 74.0, + 1134.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 224.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 168.0, + 60.0, + 1266.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 208.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 174.0, + 87.0, + 1228.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 198.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 80.0, + 145.0, + 990.0, + 591.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e886ef78f" + }, + "5e8d00b974": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 412.0, + 0.0, + 995.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 430.0, + 0.0, + 1005.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 374.0, + 0.0, + 955.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 572.0, + 0.0, + 987.0, + 658.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00055", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e8d00b974" + }, + "5e8d59dc31": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 627.0, + 484.0, + 713.0, + 549.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 645.0, + 464.0, + 730.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 673.0, + 427.0, + 793.0, + 559.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5e8d59dc31" + }, + "5ed838bd5c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 483.0, + 267.0, + 633.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 429.0, + 239.0, + 573.0, + 387.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 200.0, + 305.0, + 337.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 439.0, + 261.0, + 702.0, + 462.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 428.0, + 242.0, + 569.0, + 392.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 205.0, + 311.0, + 309.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 530.0, + 185.0, + 747.0, + 384.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 444.0, + 168.0, + 586.0, + 340.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 235.0, + 244.0, + 328.0, + 412.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 493.0, + 121.0, + 734.0, + 358.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 365.0, + 107.0, + 543.0, + 308.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 136.0, + 196.0, + 243.0, + 390.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ed838bd5c" + }, + "5edda6ee5a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 115.0, + 831.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 71.0, + 805.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 84.0, + 802.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 94.0, + 1026.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5edda6ee5a" + }, + "5ede4d2f7a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 97.0, + 160.0, + 603.0, + 520.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 324.0, + 117.0, + 621.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 172.0, + 312.0, + 687.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 309.0, + 150.0, + 803.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 349.0, + 141.0, + 633.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 184.0, + 108.0, + 778.0, + 425.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 452.0, + 144.0, + 790.0, + 473.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 314.0, + 55.0, + 726.0, + 604.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ede4d2f7a" + }, + "5ede9767da": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 348.0, + 225.0, + 839.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 677.0, + 209.0, + 1059.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 645.0, + 107.0, + 1037.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 616.0, + 173.0, + 1121.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ede9767da" + }, + "5ee23ca60e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 480.0, + 232.0, + 531.0, + 305.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 603.0, + 252.0, + 688.0, + 391.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "bird", + "bbox": [ + 643.0, + 242.0, + 715.0, + 361.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 530.0, + 195.0, + 566.0, + 318.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 639.0, + 232.0, + 729.0, + 405.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 689.0, + 65.0, + 920.0, + 225.0 + ], + "valid": 1 + }, + "4": { + "category_name": "bird", + "bbox": [ + 679.0, + 239.0, + 767.0, + 375.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 529.0, + 221.0, + 563.0, + 346.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 631.0, + 256.0, + 727.0, + 432.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 719.0, + 211.0, + 856.0, + 285.0 + ], + "valid": 1 + }, + "4": { + "category_name": "bird", + "bbox": [ + 677.0, + 267.0, + 761.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 524.0, + 215.0, + 563.0, + 339.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 634.0, + 248.0, + 728.0, + 425.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 631.0, + 276.0, + 776.0, + 340.0 + ], + "valid": 1 + }, + "4": { + "category_name": "bird", + "bbox": [ + 677.0, + 217.0, + 743.0, + 391.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ee23ca60e" + }, + "5eec4d9fe5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 599.0, + 292.0, + 724.0, + 697.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 579.0, + 172.0, + 652.0, + 240.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 473.0, + 51.0, + 826.0, + 182.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 225.0, + 651.0, + 640.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 541.0, + 99.0, + 615.0, + 169.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 438.0, + 0.0, + 804.0, + 114.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 504.0, + 265.0, + 615.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 529.0, + 153.0, + 593.0, + 200.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 415.0, + 24.0, + 762.0, + 152.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 473.0, + 269.0, + 582.0, + 648.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 496.0, + 155.0, + 570.0, + 214.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 397.0, + 31.0, + 746.0, + 154.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5eec4d9fe5" + }, + "5eecf07824": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 555.0, + 237.0, + 924.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 555.0, + 245.0, + 930.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 545.0, + 264.0, + 920.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 573.0, + 276.0, + 946.0, + 575.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5eecf07824" + }, + "5eef7ed4f4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 881.0, + 62.0, + 1012.0, + 309.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 595.0, + 95.0, + 1215.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 818.0, + 0.0, + 1038.0, + 188.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 525.0, + 33.0, + 1187.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 827.0, + 0.0, + 957.0, + 254.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 477.0, + 46.0, + 1134.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 559.0, + 0.0, + 707.0, + 271.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 311.0, + 44.0, + 851.0, + 553.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5eef7ed4f4" + }, + "5ef5860ac6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 764.0, + 207.0, + 1181.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 166.0, + 169.0, + 494.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 748.0, + 209.0, + 1205.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 170.0, + 167.0, + 494.0, + 625.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 749.0, + 208.0, + 1216.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 195.0, + 158.0, + 490.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 753.0, + 206.0, + 1222.0, + 612.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 198.0, + 166.0, + 493.0, + 615.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ef5860ac6" + }, + "5ef6573a99": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 598.0, + 545.0, + 744.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 681.0, + 382.0, + 988.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 643.0, + 422.0, + 982.0, + 660.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 639.0, + 383.0, + 981.0, + 626.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ef6573a99" + }, + "5f1193e72b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 110.0, + 112.0, + 486.0, + 288.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 126.0, + 99.0, + 491.0, + 297.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 223.0, + 102.0, + 543.0, + 300.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 267.0, + 92.0, + 488.0, + 252.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5f1193e72b" + }, + "5f29ced797": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 268.0, + 349.0, + 647.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 693.0, + 342.0, + 1185.0, + 643.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1069.0, + 355.0, + 1279.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1133.0, + 383.0, + 1279.0, + 548.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5f29ced797" + }, + "5f32cf521e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 704.0, + 0.0, + 1226.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 688.0, + 0.0, + 1200.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 686.0, + 45.0, + 1206.0, + 664.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 700.0, + 234.0, + 1238.0, + 699.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 494.0, + 0.0, + 879.0, + 282.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5f32cf521e" + }, + "5f51876986": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 809.0, + 304.0, + 912.0, + 357.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 132.0, + 291.0, + 189.0, + 328.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 838.0, + 303.0, + 952.0, + 360.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 259.0, + 292.0, + 339.0, + 326.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1046.0, + 310.0, + 1141.0, + 378.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 613.0, + 297.0, + 683.0, + 330.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1094.0, + 312.0, + 1215.0, + 392.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 683.0, + 297.0, + 739.0, + 335.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5f51876986" + }, + "5f6ebe94a9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 567.0, + 170.0, + 644.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 531.0, + 132.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 555.0, + 166.0, + 635.0, + 564.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 543.0, + 167.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 548.0, + 165.0, + 625.0, + 574.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 560.0, + 125.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 558.0, + 163.0, + 635.0, + 581.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 615.0, + 131.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5f6ebe94a9" + }, + "5f6f14977c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1219.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1079.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5f6f14977c" + }, + "5f808d0d2d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 572.0, + 165.0, + 688.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 614.0, + 147.0, + 758.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 678.0, + 1.0, + 916.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 460.0, + 2.0, + 807.0, + 511.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5f808d0d2d" + }, + "5fb8aded6a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 426.0, + 307.0, + 526.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 412.0, + 313.0, + 438.0, + 429.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 370.0, + 410.0, + 461.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 381.0, + 483.0, + 423.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 283.0, + 557.0, + 363.0, + 695.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5fb8aded6a" + }, + "5fba90767d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 506.0, + 264.0, + 964.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 195.0, + 415.0, + 271.0, + 471.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 127.0, + 411.0, + 178.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 510.0, + 266.0, + 956.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 206.0, + 410.0, + 291.0, + 472.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 131.0, + 406.0, + 185.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 503.0, + 239.0, + 898.0, + 497.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 253.0, + 383.0, + 426.0, + 500.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 101.0, + 381.0, + 195.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 486.0, + 247.0, + 879.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 265.0, + 391.0, + 488.0, + 543.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 72.0, + 389.0, + 186.0, + 481.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00160", + "00165", + "00195", + "00205" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5fba90767d" + }, + "5fd1c7a3df": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 703.0, + 178.0, + 897.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 16.0, + 255.0, + 736.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 742.0, + 180.0, + 898.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 42.0, + 259.0, + 724.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 712.0, + 201.0, + 931.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 53.0, + 287.0, + 795.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 723.0, + 185.0, + 929.0, + 640.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 129.0, + 276.0, + 817.0, + 649.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5fd1c7a3df" + }, + "5fd3da9f68": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 88.0, + 0.0, + 811.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 80.0, + 0.0, + 801.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 24.0, + 0.0, + 767.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 725.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5fd3da9f68" + }, + "5fee2570ae": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 355.0, + 127.0, + 1128.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 439.0, + 65.0, + 1094.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 267.0, + 0.0, + 1016.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 774.0, + 126.0, + 1018.0, + 534.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5fee2570ae" + }, + "5ff66140d6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 945.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 10.0, + 729.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 115.0, + 709.0, + 606.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 129.0, + 699.0, + 655.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ff66140d6" + }, + "5ff8b85b53": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 409.0, + 88.0, + 696.0, + 393.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 467.0, + 392.0, + 1052.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 451.0, + 34.0, + 889.0, + 254.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 493.0, + 420.0, + 1079.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 513.0, + 33.0, + 918.0, + 290.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 526.0, + 452.0, + 1101.0, + 693.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 574.0, + 144.0, + 884.0, + 297.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 544.0, + 491.0, + 1117.0, + 703.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/5ff8b85b53" + }, + "600803c0f6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 528.0, + 85.0, + 1019.0, + 224.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 468.0, + 94.0, + 801.0, + 235.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 386.0, + 41.0, + 655.0, + 148.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 400.0, + 36.0, + 647.0, + 147.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/600803c0f6" + }, + "600be7f53e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 195.0, + 62.0, + 693.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 190.0, + 136.0, + 648.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 222.0, + 259.0, + 562.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00095", + "00115", + "00130", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/600be7f53e" + }, + "6024888af8": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 31 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 394.0, + 199.0, + 654.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 388.0, + 203.0, + 640.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 403.0, + 193.0, + 633.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 404.0, + 193.0, + 624.0, + 634.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6024888af8" + }, + "603189a03c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 257.0, + 308.0, + 1172.0, + 510.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 446.0, + 81.0, + 651.0, + 401.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 195.0, + 1279.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 267.0, + 315.0, + 1166.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 454.0, + 112.0, + 652.0, + 413.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 216.0, + 1279.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 223.0, + 348.0, + 1111.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 368.0, + 188.0, + 596.0, + 431.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 237.0, + 1279.0, + 390.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 228.0, + 368.0, + 1131.0, + 510.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 401.0, + 186.0, + 609.0, + 435.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 229.0, + 1279.0, + 380.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/603189a03c" + }, + "6057307f6e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 521.0, + 525.0, + 1204.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 143.0, + 128.0, + 1134.0, + 608.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 1044.0, + 247.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 523.0, + 536.0, + 1182.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 131.0, + 135.0, + 1128.0, + 619.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 1140.0, + 502.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 527.0, + 549.0, + 1168.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 143.0, + 142.0, + 1134.0, + 623.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 521.0, + 543.0, + 1176.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 141.0, + 140.0, + 1128.0, + 629.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6057307f6e" + }, + "6061ddbb65": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 153.0, + 293.0, + 475.0, + 424.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 329.0, + 333.0, + 664.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 256.0, + 323.0, + 544.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 353.0, + 360.0, + 671.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 506.0, + 372.0, + 798.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 372.0, + 423.0, + 617.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 460.0, + 389.0, + 749.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 319.0, + 424.0, + 599.0, + 626.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6061ddbb65" + }, + "606c86c455": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 423.0, + 40.0, + 571.0, + 378.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 463.0, + 372.0, + 587.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 536.0, + 226.0, + 677.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 632.0, + 225.0, + 778.0, + 363.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 708.0, + 255.0, + 824.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 741.0, + 363.0, + 838.0, + 409.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/606c86c455" + }, + "60c61cc2e5": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 510.0, + 290.0, + 881.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 489.0, + 296.0, + 874.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 509.0, + 321.0, + 900.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 510.0, + 296.0, + 919.0, + 445.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/60c61cc2e5" + }, + "60e51ff1ae": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 551.0, + 456.0, + 728.0, + 703.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 292.0, + 112.0, + 611.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 605.0, + 467.0, + 992.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 333.0, + 139.0, + 604.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 786.0, + 434.0, + 954.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 630.0, + 216.0, + 869.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 610.0, + 423.0, + 702.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 495.0, + 265.0, + 624.0, + 702.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/60e51ff1ae" + }, + "610e38b751": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 179.0, + 140.0, + 1052.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 197.0, + 126.0, + 1072.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 211.0, + 137.0, + 1050.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 241.0, + 140.0, + 1050.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/610e38b751" + }, + "61344be2f6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 358.0, + 123.0, + 766.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 205.0, + 340.0, + 379.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 325.0, + 122.0, + 761.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 204.0, + 331.0, + 370.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 300.0, + 101.0, + 716.0, + 617.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 241.0, + 381.0, + 334.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 241.0, + 114.0, + 673.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 285.0, + 353.0, + 299.0, + 393.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61344be2f6" + }, + "6135e27185": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 63.0, + 230.0, + 182.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 169.0, + 292.0, + 1117.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 83.0, + 239.0, + 185.0, + 557.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 171.0, + 292.0, + 1121.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 160.0, + 252.0, + 251.0, + 536.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 170.0, + 292.0, + 1115.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 345.0, + 268.0, + 416.0, + 380.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 169.0, + 293.0, + 1116.0, + 596.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6135e27185" + }, + "614afe7975": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 750.0, + 56.0, + 1052.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 774.0, + 558.0, + 1056.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 345.0, + 63.0, + 806.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 301.0, + 612.0, + 627.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 506.0, + 315.0, + 768.0, + 655.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 644.0, + 584.0, + 688.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 601.0, + 243.0, + 775.0, + 574.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 690.0, + 571.0, + 748.0, + 591.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/614afe7975" + }, + "614e571886": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 820.0, + 156.0, + 1070.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 836.0, + 155.0, + 1098.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 830.0, + 99.0, + 1078.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 820.0, + 88.0, + 1072.0, + 577.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/614e571886" + }, + "614e7078db": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 597.0, + 191.0, + 732.0, + 348.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 523.0, + 190.0, + 602.0, + 312.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 255.0, + 211.0, + 1049.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 198.0, + 746.0, + 347.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 540.0, + 197.0, + 620.0, + 316.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 287.0, + 217.0, + 1038.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 654.0, + 183.0, + 767.0, + 321.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 568.0, + 179.0, + 660.0, + 292.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 363.0, + 197.0, + 1002.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 656.0, + 188.0, + 763.0, + 318.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 571.0, + 186.0, + 662.0, + 294.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 391.0, + 207.0, + 974.0, + 455.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00080", + "00090", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/614e7078db" + }, + "619812a1a7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 532.0, + 141.0, + 713.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 597.0, + 364.0, + 665.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 687.0, + 136.0, + 872.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 724.0, + 351.0, + 809.0, + 692.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 538.0, + 173.0, + 728.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 575.0, + 359.0, + 652.0, + 684.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 656.0, + 126.0, + 828.0, + 547.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 724.0, + 326.0, + 788.0, + 634.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/619812a1a7" + }, + "61b481a78b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 518.0, + 385.0, + 819.0, + 640.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 166.0, + 163.0, + 797.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 879.0, + 255.0, + 1279.0, + 576.0 + ], + "valid": 1 + }, + "4": { + "category_name": "cat", + "bbox": [ + 451.0, + 153.0, + 692.0, + 197.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 530.0, + 369.0, + 839.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 190.0, + 174.0, + 815.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 925.0, + 293.0, + 1279.0, + 576.0 + ], + "valid": 1 + }, + "4": { + "category_name": "cat", + "bbox": [ + 344.0, + 181.0, + 381.0, + 242.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 731.0, + 376.0, + 1031.0, + 636.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 380.0, + 212.0, + 1019.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 1245.0, + 279.0, + 1279.0, + 371.0 + ], + "valid": 1 + }, + "4": { + "category_name": "cat", + "bbox": [ + 628.0, + 88.0, + 894.0, + 239.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 741.0, + 393.0, + 1035.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 390.0, + 228.0, + 1027.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "cat", + "bbox": [ + 734.0, + 116.0, + 989.0, + 249.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61b481a78b" + }, + "61c7172650": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 155.0, + 279.0, + 236.0, + 358.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 350.0, + 256.0, + 403.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 172.0, + 294.0, + 264.0, + 371.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 335.0, + 258.0, + 404.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 152.0, + 280.0, + 291.0, + 373.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 286.0, + 215.0, + 401.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 124.0, + 279.0, + 273.0, + 377.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 293.0, + 239.0, + 402.0, + 465.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61c7172650" + }, + "61cf7e40d2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 333.0, + 316.0, + 463.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 307.0, + 329.0, + 602.0, + 569.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 939.0, + 350.0, + 977.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 324.0, + 333.0, + 473.0, + 640.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 299.0, + 347.0, + 594.0, + 593.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 955.0, + 354.0, + 999.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 422.0, + 327.0, + 585.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 283.0, + 365.0, + 502.0, + 650.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1046.0, + 369.0, + 1082.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 319.0, + 614.0, + 697.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 280.0, + 362.0, + 499.0, + 656.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1048.0, + 370.0, + 1086.0, + 528.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61cf7e40d2" + }, + "61da008958": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 270.0, + 71.0, + 1063.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 935.0, + 74.0, + 1053.0, + 122.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 305.0, + 64.0, + 1112.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1095.0, + 82.0, + 1226.0, + 133.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 317.0, + 33.0, + 1120.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1184.0, + 48.0, + 1279.0, + 100.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 333.0, + 41.0, + 1082.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61da008958" + }, + "61ed178ecb": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 331.0, + 1279.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 330.0, + 1279.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 325.0, + 1279.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 311.0, + 1279.0, + 480.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61ed178ecb" + }, + "61f5d1282c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 742.0, + 270.0, + 972.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 581.0, + 371.0, + 1240.0, + 499.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 571.0, + 147.0, + 836.0, + 197.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 752.0, + 262.0, + 898.0, + 411.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 563.0, + 351.0, + 1100.0, + 460.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 640.0, + 168.0, + 868.0, + 214.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 728.0, + 251.0, + 888.0, + 395.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 557.0, + 325.0, + 1068.0, + 442.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 698.0, + 167.0, + 958.0, + 201.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 844.0, + 247.0, + 988.0, + 379.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 718.0, + 323.0, + 1186.0, + 404.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 982.0, + 179.0, + 1274.0, + 210.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61f5d1282c" + }, + "61fd977e49": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 508.0, + 26.0, + 759.0, + 398.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 401.0, + 140.0, + 1034.0, + 647.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 501.0, + 47.0, + 754.0, + 377.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 439.0, + 142.0, + 1037.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 464.0, + 55.0, + 852.0, + 341.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 462.0, + 135.0, + 1053.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 466.0, + 66.0, + 857.0, + 351.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 471.0, + 148.0, + 1039.0, + 690.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/61fd977e49" + }, + "621584cffe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 447.0, + 0.0, + 1045.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 430.0, + 248.0, + 604.0, + 572.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 362.0, + 0.0, + 1117.0, + 324.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 414.0, + 0.0, + 965.0, + 695.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 378.0, + 427.0, + 483.0, + 502.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 302.0, + 0.0, + 1063.0, + 326.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 618.0, + 0.0, + 1183.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 572.0, + 206.0, + 831.0, + 558.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 510.0, + 0.0, + 1211.0, + 344.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 695.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 683.0, + 236.0, + 927.0, + 620.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 624.0, + 0.0, + 1279.0, + 312.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/621584cffe" + }, + "625817a927": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 494.0, + 191.0, + 1256.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 525.0, + 230.0, + 1277.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 247.0, + 219.0, + 1028.0, + 693.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 620.0, + 343.0, + 852.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/625817a927" + }, + "625892cf0b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 708.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1016.0, + 243.0, + 1279.0, + 359.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 754.0, + 267.0, + 882.0, + 332.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 706.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 886.0, + 233.0, + 1166.0, + 339.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 746.0, + 253.0, + 874.0, + 310.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 714.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 840.0, + 237.0, + 1035.0, + 337.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 752.0, + 253.0, + 875.0, + 310.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 733.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 761.0, + 252.0, + 970.0, + 340.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 771.0, + 258.0, + 823.0, + 283.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/625892cf0b" + }, + "625b89d28a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 0.0, + 2.0, + 479.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 41.0, + 8.0, + 479.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 43.0, + 0.0, + 469.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 0.0, + 164.0, + 424.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/625b89d28a" + }, + "629995af95": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 778.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 762.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 844.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 818.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/629995af95" + }, + "62a0840bb5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 455.0, + 308.0, + 786.0, + 489.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 452.0, + 307.0, + 788.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 458.0, + 301.0, + 785.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 458.0, + 299.0, + 778.0, + 491.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/62a0840bb5" + }, + "62ad6e121c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 264.0, + 1279.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 206.0, + 1279.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 368.0, + 885.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 252.0, + 385.0, + 1105.0, + 695.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/62ad6e121c" + }, + "62d6ece152": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 573.0, + 132.0, + 696.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 395.0, + 191.0, + 530.0, + 438.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 583.0, + 147.0, + 707.0, + 473.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 426.0, + 204.0, + 530.0, + 460.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 167.0, + 301.0, + 219.0, + 337.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 586.0, + 80.0, + 752.0, + 492.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 466.0, + 165.0, + 577.0, + 498.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 143.0, + 198.0, + 310.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 589.0, + 38.0, + 781.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 464.0, + 129.0, + 631.0, + 522.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 197.0, + 173.0, + 341.0, + 527.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/62d6ece152" + }, + "62ede7b2da": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 36.0, + 118.0, + 632.0, + 708.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 631.0, + 262.0, + 942.0, + 678.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 40.0, + 113.0, + 594.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 573.0, + 225.0, + 942.0, + 640.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 86.0, + 144.0, + 578.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 491.0, + 263.0, + 814.0, + 600.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "cow", + "bbox": [ + 241.0, + 122.0, + 993.0, + 688.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/62ede7b2da" + }, + "62f025e1bc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 354.0, + 95.0, + 928.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 510.0, + 186.0, + 1124.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 604.0, + 123.0, + 1278.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 639.0, + 97.0, + 1278.0, + 452.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/62f025e1bc" + }, + "6316faaebc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 578.0, + 136.0, + 695.0, + 250.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 474.0, + 0.0, + 1279.0, + 672.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 622.0, + 165.0, + 740.0, + 272.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 508.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 483.0, + 286.0, + 505.0, + 343.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 629.0, + 189.0, + 753.0, + 305.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 516.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 460.0, + 308.0, + 487.0, + 367.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 630.0, + 197.0, + 750.0, + 320.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 514.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 440.0, + 317.0, + 463.0, + 380.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6316faaebc" + }, + "63281534dc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 308.0, + 167.0, + 683.0, + 673.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 460.0, + 187.0, + 941.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 536.0, + 362.0, + 831.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 554.0, + 426.0, + 745.0, + 516.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 438.0, + 0.0, + 1141.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 695.0, + 436.0, + 847.0, + 523.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 675.0, + 425.0, + 807.0, + 537.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 770.0, + 96.0, + 941.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 761.0, + 389.0, + 922.0, + 490.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 791.0, + 443.0, + 893.0, + 525.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 709.0, + 118.0, + 899.0, + 361.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/63281534dc" + }, + "634058dda0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 469.0, + 105.0, + 920.0, + 298.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 373.0, + 219.0, + 1048.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 417.0, + 127.0, + 904.0, + 337.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 334.0, + 233.0, + 1042.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 380.0, + 135.0, + 868.0, + 360.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 286.0, + 231.0, + 1030.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 380.0, + 148.0, + 896.0, + 362.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 336.0, + 259.0, + 1063.0, + 712.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/634058dda0" + }, + "6353f09384": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 575.0, + 174.0, + 700.0, + 261.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 866.0, + 571.0, + 1037.0, + 683.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 509.0, + 4.0, + 779.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 555.0, + 198.0, + 686.0, + 279.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 863.0, + 570.0, + 1039.0, + 684.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 509.0, + 5.0, + 776.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 551.0, + 182.0, + 677.0, + 265.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 865.0, + 567.0, + 1035.0, + 683.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 509.0, + 3.0, + 776.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 589.0, + 164.0, + 706.0, + 255.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 864.0, + 569.0, + 1037.0, + 684.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 514.0, + 8.0, + 779.0, + 557.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6353f09384" + }, + "6363c87314": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 397.0, + 207.0, + 487.0, + 367.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 367.0, + 362.0, + 497.0, + 375.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 490.0, + 234.0, + 609.0, + 354.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 571.0, + 230.0, + 722.0, + 376.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 688.0, + 346.0, + 720.0, + 380.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 581.0, + 146.0, + 751.0, + 344.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 705.0, + 198.0, + 767.0, + 341.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6363c87314" + }, + "636e4872e0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 536.0, + 0.0, + 1279.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 446.0, + 0.0, + 1279.0, + 606.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 364.0, + 0.0, + 1267.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 1225.0, + 442.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/636e4872e0" + }, + "637681cd6b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 607.0, + 305.0, + 1074.0, + 697.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 615.0, + 263.0, + 1054.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 745.0, + 244.0, + 1231.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 582.0, + 0.0, + 1185.0, + 577.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/637681cd6b" + }, + "6376d49f31": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 658.0, + 281.0, + 1279.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1209.0, + 686.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 466.0, + 284.0, + 1279.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1170.0, + 667.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 506.0, + 175.0, + 1230.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1256.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 532.0, + 262.0, + 1279.0, + 530.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6376d49f31" + }, + "6377809ec2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 543.0, + 103.0, + 617.0, + 285.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 503.0, + 297.0, + 600.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 396.0, + 229.0, + 588.0, + 516.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 447.0, + 228.0, + 586.0, + 497.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6377809ec2" + }, + "63936d7de5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 352.0, + 451.0, + 419.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 98.0, + 292.0, + 614.0, + 558.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 799.0, + 302.0, + 1200.0, + 580.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 318.0, + 449.0, + 389.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 64.0, + 287.0, + 587.0, + 551.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 770.0, + 296.0, + 1169.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 293.0, + 452.0, + 371.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 51.0, + 284.0, + 575.0, + 549.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 760.0, + 295.0, + 1156.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 256.0, + 452.0, + 330.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 1.0, + 278.0, + 526.0, + 547.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 712.0, + 282.0, + 1096.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/63936d7de5" + }, + "639bddef11": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 751.0, + 463.0, + 1279.0, + 687.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 330.0, + 151.0, + 802.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 693.0, + 288.0, + 1221.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 376.0, + 51.0, + 722.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 584.0, + 399.0, + 1009.0, + 535.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 133.0, + 204.0, + 595.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 536.0, + 664.0, + 797.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 238.0, + 534.0, + 443.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/639bddef11" + }, + "63d37e9fd3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 332.0, + 115.0, + 1067.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 163.0, + 0.0, + 1103.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 181.0, + 33.0, + 1127.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 57.0, + 60.0, + 1027.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/63d37e9fd3" + }, + "63d90c2bae": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 1175.0, + 274.0, + 1279.0, + 344.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 1132.0, + 266.0, + 1279.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 683.0, + 307.0, + 718.0, + 361.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 685.0, + 307.0, + 716.0, + 361.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 167.0, + 52.0, + 1279.0, + 440.0 + ], + "valid": 1 + }, + "2": {}, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/63d90c2bae" + }, + "63e544a5d6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 19.0, + 376.0, + 545.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 246.0, + 543.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 7.0, + 228.0, + 559.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 15.0, + 194.0, + 574.0, + 718.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/63e544a5d6" + }, + "63ebbcf874": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 71.0, + 1279.0, + 341.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 80.0, + 1279.0, + 289.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 48.0, + 1279.0, + 203.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 81.0, + 1160.0, + 252.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/63ebbcf874" + }, + "63fff40b31": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 173.0, + 267.0, + 733.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 176.0, + 263.0, + 740.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 171.0, + 265.0, + 740.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 245.0, + 355.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/63fff40b31" + }, + "6406c72e4d": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 428.0, + 384.0, + 1493.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 395.0, + 348.0, + 1547.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 365.0, + 340.0, + 1560.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 339.0, + 349.0, + 1587.0, + 720.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6406c72e4d" + }, + "64148128be": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 935.0, + 10.0, + 1012.0, + 69.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 879.0, + 59.0, + 1089.0, + 487.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bike", + "bbox": [ + 873.0, + 231.0, + 1084.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 983.0, + 5.0, + 1071.0, + 76.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 934.0, + 54.0, + 1164.0, + 554.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bike", + "bbox": [ + 935.0, + 240.0, + 1143.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 982.0, + 4.0, + 1062.0, + 63.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 917.0, + 52.0, + 1145.0, + 547.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bike", + "bbox": [ + 918.0, + 237.0, + 1107.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 961.0, + 0.0, + 1037.0, + 47.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 885.0, + 41.0, + 1107.0, + 533.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bike", + "bbox": [ + 887.0, + 231.0, + 1068.0, + 604.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/64148128be" + }, + "6419386729": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 556.0, + 326.0, + 853.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 721.0, + 109.0, + 1071.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 202.0, + 296.0, + 747.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 604.0, + 286.0, + 1005.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 172.0, + 432.0, + 731.0, + 655.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 673.0, + 293.0, + 1037.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 94.0, + 330.0, + 649.0, + 661.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 577.0, + 293.0, + 1049.0, + 608.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6419386729" + }, + "643092bc41": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 352.0, + 47.0, + 1101.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 296.0, + 194.0, + 1147.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 260.0, + 134.0, + 1121.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 134.0, + 127.0, + 1065.0, + 609.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/643092bc41" + }, + "644081b88d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 312.0, + 286.0, + 630.0, + 472.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 750.0, + 99.0, + 798.0, + 133.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 167.0, + 347.0, + 502.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 736.0, + 103.0, + 793.0, + 136.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 11.0, + 510.0, + 306.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 668.0, + 109.0, + 781.0, + 140.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "shark", + "bbox": [ + 478.0, + 203.0, + 712.0, + 269.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/644081b88d" + }, + "64453cf61d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 1059.0, + 431.0, + 1211.0, + 583.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 479.0, + 459.0, + 758.0, + 700.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 272.0, + 268.0, + 368.0, + 619.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 50.0, + 380.0, + 214.0, + 614.0 + ], + "valid": 1 + }, + "5": { + "category_name": "ape", + "bbox": [ + 298.0, + 474.0, + 502.0, + 697.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 1043.0, + 431.0, + 1210.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 483.0, + 450.0, + 739.0, + 704.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 32.0, + 296.0, + 280.0, + 561.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 50.0, + 398.0, + 112.0, + 540.0 + ], + "valid": 1 + }, + "5": { + "category_name": "ape", + "bbox": [ + 219.0, + 541.0, + 381.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 1037.0, + 425.0, + 1206.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 477.0, + 452.0, + 735.0, + 695.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 111.0, + 306.0, + 283.0, + 611.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 40.0, + 361.0, + 136.0, + 574.0 + ], + "valid": 1 + }, + "5": { + "category_name": "ape", + "bbox": [ + 229.0, + 538.0, + 384.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 910.0, + 420.0, + 1127.0, + 588.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 587.0, + 439.0, + 872.0, + 690.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 37.0, + 347.0, + 154.0, + 547.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 48.0, + 375.0, + 196.0, + 655.0 + ], + "valid": 1 + }, + "5": { + "category_name": "ape", + "bbox": [ + 235.0, + 545.0, + 388.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/64453cf61d" + }, + "644bad9729": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 402.0, + 0.0, + 1020.0, + 361.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 387.0, + 310.0, + 1204.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 429.0, + 0.0, + 810.0, + 393.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 509.0, + 155.0, + 752.0, + 443.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 279.0, + 0.0, + 676.0, + 412.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 288.0, + 72.0, + 716.0, + 430.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 352.0, + 0.0, + 745.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 430.0, + 506.0, + 667.0, + 590.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/644bad9729" + }, + "6454f548fd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 467.0, + 0.0, + 649.0, + 588.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 650.0, + 0.0, + 942.0, + 254.0 + ], + "valid": 1 + }, + "3": { + "category_name": "snake", + "bbox": [ + 90.0, + 0.0, + 366.0, + 661.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 467.0, + 0.0, + 734.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 718.0, + 0.0, + 936.0, + 471.0 + ], + "valid": 1 + }, + "3": { + "category_name": "snake", + "bbox": [ + 197.0, + 0.0, + 506.0, + 656.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 457.0, + 0.0, + 645.0, + 602.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 753.0, + 0.0, + 960.0, + 493.0 + ], + "valid": 1 + }, + "3": { + "category_name": "snake", + "bbox": [ + 228.0, + 11.0, + 475.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 428.0, + 0.0, + 648.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 765.0, + 0.0, + 943.0, + 500.0 + ], + "valid": 1 + }, + "3": { + "category_name": "snake", + "bbox": [ + 225.0, + 0.0, + 472.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6454f548fd" + }, + "645913b63a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 979.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 791.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 771.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 907.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/645913b63a" + }, + "64750b825f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 394.0, + 164.0, + 741.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 424.0, + 165.0, + 775.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 421.0, + 164.0, + 717.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 436.0, + 163.0, + 731.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/64750b825f" + }, + "64a43876b7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 108.0, + 336.0, + 1085.0, + 661.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 336.0, + 321.0, + 610.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 154.0, + 318.0, + 1075.0, + 667.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 273.0, + 333.0, + 549.0, + 500.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 210.0, + 341.0, + 1157.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 178.0, + 378.0, + 476.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 188.0, + 428.0, + 1225.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 160.0, + 383.0, + 427.0, + 575.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00115", + "00130", + "00150", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/64a43876b7" + }, + "64dd6c83e3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 138.0, + 203.0, + 1100.0, + 398.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 332.0, + 145.0, + 621.0, + 382.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 472.0, + 155.0, + 676.0, + 263.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 138.0, + 218.0, + 1103.0, + 412.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 332.0, + 158.0, + 626.0, + 397.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 486.0, + 173.0, + 685.0, + 256.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 133.0, + 228.0, + 1103.0, + 421.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 331.0, + 128.0, + 630.0, + 405.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 558.0, + 188.0, + 660.0, + 254.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 132.0, + 230.0, + 1110.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 347.0, + 112.0, + 760.0, + 409.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 371.0, + 249.0, + 379.0, + 269.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/64dd6c83e3" + }, + "64e05bf46e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 199.0, + 229.0, + 450.0, + 319.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 624.0, + 557.0, + 682.0, + 641.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 689.0, + 371.0, + 784.0, + 444.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 202.0, + 229.0, + 450.0, + 321.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 602.0, + 565.0, + 660.0, + 653.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 670.0, + 371.0, + 781.0, + 447.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 204.0, + 219.0, + 452.0, + 319.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 592.0, + 562.0, + 652.0, + 651.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 632.0, + 362.0, + 750.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 254.0, + 218.0, + 497.0, + 306.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 640.0, + 579.0, + 700.0, + 671.0 + ], + "valid": 1 + }, + "3": { + "category_name": "umbrella", + "bbox": [ + 664.0, + 364.0, + 791.0, + 449.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/64e05bf46e" + }, + "64f55f1478": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 354.0, + 0.0, + 1007.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 372.0, + 0.0, + 1019.0, + 641.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 390.0, + 0.0, + 1027.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 208.0, + 0.0, + 1219.0, + 427.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/64f55f1478" + }, + "650b0165e4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 348.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 330.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 318.0, + 74.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 271.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/650b0165e4" + }, + "651066ed39": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 372.0, + 109.0, + 927.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 340.0, + 134.0, + 991.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 418.0, + 249.0, + 1079.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 416.0, + 204.0, + 1107.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/651066ed39" + }, + "652b67d960": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 369.0, + 211.0, + 610.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 414.0, + 596.0, + 549.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 452.0, + 319.0, + 588.0, + 588.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 461.0, + 584.0, + 534.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 681.0, + 410.0, + 811.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 751.0, + 499.0, + 789.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 770.0, + 418.0, + 869.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 764.0, + 610.0, + 837.0, + 629.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/652b67d960" + }, + "653821d680": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 193.0, + 384.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 171.0, + 111.0, + 398.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 66.0, + 357.0, + 400.0, + 635.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 284.0, + 273.0, + 595.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/653821d680" + }, + "6538d00d73": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 560.0, + 31.0, + 789.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 564.0, + 431.0, + 865.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 526.0, + 209.0, + 766.0, + 478.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 645.0, + 428.0, + 804.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 623.0, + 158.0, + 797.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 751.0, + 415.0, + 845.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 622.0, + 223.0, + 711.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 611.0, + 424.0, + 652.0, + 437.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6538d00d73" + }, + "65866dce22": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 238.0, + 23.0, + 685.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 585.0, + 37.0, + 1019.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 252.0, + 38.0, + 693.0, + 670.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 621.0, + 39.0, + 1029.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 312.0, + 67.0, + 879.0, + 682.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 768.0, + 113.0, + 1073.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 242.0, + 67.0, + 933.0, + 683.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 688.0, + 86.0, + 1203.0, + 602.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65866dce22" + }, + "6589565c8c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 770.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 768.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 728.0, + 0.0, + 1279.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 702.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6589565c8c" + }, + "659832db64": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 279.0, + 313.0, + 550.0, + 493.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 674.0, + 181.0, + 893.0, + 365.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 279.0, + 283.0, + 566.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 680.0, + 171.0, + 881.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 267.0, + 290.0, + 535.0, + 475.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 655.0, + 180.0, + 855.0, + 365.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 258.0, + 297.0, + 524.0, + 484.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 639.0, + 195.0, + 846.0, + 394.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/659832db64" + }, + "65ab7e1d98": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 815.0, + 144.0, + 1020.0, + 351.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 656.0, + 147.0, + 890.0, + 628.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 889.0, + 142.0, + 952.0, + 187.0 + ], + "valid": 1 + }, + "4": { + "category_name": "frisbee", + "bbox": [ + 868.0, + 352.0, + 903.0, + 425.0 + ], + "valid": 1 + }, + "5": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 1086.0, + 465.0, + 1277.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 644.0, + 135.0, + 884.0, + 624.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 1057.0, + 563.0, + 1128.0, + 634.0 + ], + "valid": 1 + }, + "4": { + "category_name": "frisbee", + "bbox": [ + 692.0, + 184.0, + 738.0, + 246.0 + ], + "valid": 1 + }, + "5": { + "category_name": "frisbee", + "bbox": [ + 871.0, + 266.0, + 936.0, + 342.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 185.0, + 223.0, + 473.0, + 601.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 409.0, + 137.0, + 598.0, + 612.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 834.0, + 621.0, + 914.0, + 635.0 + ], + "valid": 1 + }, + "4": { + "category_name": "frisbee", + "bbox": [ + 432.0, + 419.0, + 501.0, + 474.0 + ], + "valid": 1 + }, + "5": { + "category_name": "frisbee", + "bbox": [ + 506.0, + 283.0, + 581.0, + 322.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 664.0, + 149.0, + 866.0, + 411.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 592.0, + 127.0, + 758.0, + 610.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frisbee", + "bbox": [ + 1130.0, + 625.0, + 1214.0, + 640.0 + ], + "valid": 1 + }, + "4": { + "category_name": "frisbee", + "bbox": [ + 618.0, + 628.0, + 699.0, + 643.0 + ], + "valid": 1 + }, + "5": { + "category_name": "frisbee", + "bbox": [ + 709.0, + 321.0, + 739.0, + 344.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65ab7e1d98" + }, + "65b7dda462": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 323.0, + 554.0, + 680.0, + 701.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 495.0, + 0.0, + 964.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 355.0, + 586.0, + 675.0, + 696.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 494.0, + 0.0, + 957.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 360.0, + 555.0, + 667.0, + 702.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 502.0, + 0.0, + 956.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 390.0, + 567.0, + 674.0, + 693.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 460.0, + 0.0, + 972.0, + 549.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65b7dda462" + }, + "65bd5eb4f5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 550.0, + 329.0, + 827.0, + 701.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 524.0, + 195.0, + 904.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 535.0, + 111.0, + 985.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 477.0, + 223.0, + 840.0, + 527.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65bd5eb4f5" + }, + "65dcf115ab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 174.0, + 252.0, + 950.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 50.0, + 310.0, + 833.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 325.0, + 634.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 327.0, + 235.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65dcf115ab" + }, + "65e9825801": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 560.0, + 234.0, + 1123.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 577.0, + 239.0, + 1145.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 568.0, + 230.0, + 1135.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 554.0, + 243.0, + 1151.0, + 503.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65e9825801" + }, + "65f9afe51c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 1005.0, + 270.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 236.0, + 365.0, + 618.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 1097.0, + 181.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 264.0, + 290.0, + 620.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "bird", + "bbox": [ + 414.0, + 110.0, + 738.0, + 376.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 1087.0, + 36.0, + 1279.0, + 256.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 348.0, + 92.0, + 843.0, + 435.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65f9afe51c" + }, + "65ff12bcb5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 488.0, + 325.0, + 785.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 502.0, + 309.0, + 805.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 479.0, + 300.0, + 797.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 489.0, + 275.0, + 791.0, + 499.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/65ff12bcb5" + }, + "666b660284": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 165.0, + 0.0, + 1083.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 3.0, + 962.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 882.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 53.0, + 0.0, + 911.0, + 699.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/666b660284" + }, + "6671643f31": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 518.0, + 245.0, + 702.0, + 330.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 605.0, + 147.0, + 772.0, + 340.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 598.0, + 189.0, + 782.0, + 378.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 448.0, + 326.0, + 776.0, + 531.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6671643f31" + }, + "668364b372": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 645.0, + 409.0, + 683.0, + 521.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 675.0, + 399.0, + 723.0, + 530.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 3.0, + 371.0, + 94.0, + 479.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 665.0, + 401.0, + 705.0, + 514.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 694.0, + 392.0, + 743.0, + 524.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 22.0, + 365.0, + 114.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 206.0, + 381.0, + 246.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 230.0, + 373.0, + 285.0, + 507.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 54.0, + 442.0, + 91.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 80.0, + 435.0, + 134.0, + 573.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/668364b372" + }, + "66852243cb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1150.0, + 636.0, + 1214.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1170.0, + 636.0, + 1226.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1190.0, + 626.0, + 1250.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1182.0, + 647.0, + 1242.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/66852243cb" + }, + "6693a52081": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 128.0, + 204.0, + 343.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6693a52081" + }, + "669b572898": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 551.0, + 164.0, + 749.0, + 390.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 337.0, + 571.0, + 542.0, + 715.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 667.0, + 184.0, + 814.0, + 400.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 333.0, + 588.0, + 583.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 712.0, + 222.0, + 986.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 303.0, + 397.0, + 535.0, + 714.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 889.0, + 342.0, + 1147.0, + 664.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 255.0, + 321.0, + 527.0, + 628.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 506.0, + 381.0, + 709.0, + 695.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/669b572898" + }, + "66e98e78f5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 66.0, + 0.0, + 948.0, + 449.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 914.0, + 0.0, + 1097.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 67.0, + 0.0, + 928.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 894.0, + 0.0, + 1055.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 67.0, + 0.0, + 918.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 847.0, + 1.0, + 1020.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 64.0, + 0.0, + 924.0, + 455.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 841.0, + 20.0, + 980.0, + 389.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00190", + "00200", + "00210", + "00240" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/66e98e78f5" + }, + "670f12e88f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 641.0, + 227.0, + 944.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 603.0, + 109.0, + 942.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 124.0, + 315.0, + 914.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 199.0, + 300.0, + 1013.0, + 536.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/670f12e88f" + }, + "674c12c92d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 467.0, + 245.0, + 1277.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 597.0, + 251.0, + 1277.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 782.0, + 271.0, + 1277.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 233.0, + 1275.0, + 588.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/674c12c92d" + }, + "675c27208a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 388.0, + 102.0, + 1279.0, + 706.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 172.0, + 212.0, + 577.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 428.0, + 145.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 180.0, + 222.0, + 517.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 250.0, + 70.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 214.0, + 238.0, + 533.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 404.0, + 18.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 288.0, + 161.0, + 625.0, + 557.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/675c27208a" + }, + "675ed3e1ca": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 86.0, + 0.0, + 1004.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 476.0, + 0.0, + 931.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 191.0, + 0.0, + 646.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 486.0, + 0.0, + 1176.0, + 287.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/675ed3e1ca" + }, + "67741db50a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 134.0, + 146.0, + 640.0, + 462.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 458.0, + 0.0, + 536.0, + 568.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 387.0, + 1018.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 115.0, + 126.0, + 579.0, + 437.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 432.0, + 84.0, + 632.0, + 263.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 339.0, + 940.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 240.0, + 142.0, + 689.0, + 441.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 544.0, + 47.0, + 586.0, + 563.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 343.0, + 1033.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 282.0, + 145.0, + 712.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 479.0, + 103.0, + 671.0, + 593.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 0.0, + 342.0, + 1042.0, + 537.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/67741db50a" + }, + "678a2357eb": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 541.0, + 158.0, + 728.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 502.0, + 177.0, + 542.0, + 227.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 498.0, + 137.0, + 676.0, + 398.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 457.0, + 163.0, + 498.0, + 218.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 506.0, + 175.0, + 678.0, + 415.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 475.0, + 194.0, + 510.0, + 252.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 532.0, + 181.0, + 782.0, + 520.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 483.0, + 231.0, + 569.0, + 309.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00070", + "00080", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/678a2357eb" + }, + "67b0f4d562": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 284.0, + 195.0, + 1005.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 237.0, + 181.0, + 1026.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 443.0, + 225.0, + 1279.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 455.0, + 199.0, + 1068.0, + 590.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/67b0f4d562" + }, + "67cfbff9b1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 532.0, + 197.0, + 1215.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 486.0, + 266.0, + 833.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 554.0, + 208.0, + 755.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 488.0, + 250.0, + 735.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/67cfbff9b1" + }, + "67e717d6bd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 777.0, + 181.0, + 897.0, + 368.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 799.0, + 248.0, + 912.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 743.0, + 189.0, + 860.0, + 364.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 751.0, + 252.0, + 875.0, + 409.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 754.0, + 255.0, + 857.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 746.0, + 317.0, + 875.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 897.0, + 195.0, + 1000.0, + 345.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 892.0, + 260.0, + 1011.0, + 371.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/67e717d6bd" + }, + "67ea169a3b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 89.0, + 83.0, + 1279.0, + 694.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 519.0, + 420.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 98.0, + 78.0, + 1279.0, + 691.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 524.0, + 431.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 106.0, + 103.0, + 1279.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 548.0, + 443.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 88.0, + 91.0, + 1279.0, + 702.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 528.0, + 422.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/67ea169a3b" + }, + "67ea809e0e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 297.0, + 230.0, + 545.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 205.0, + 685.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 271.0, + 271.0, + 563.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 229.0, + 635.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 208.0, + 273.0, + 592.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 194.0, + 589.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 63.0, + 89.0, + 671.0, + 412.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 88.0, + 285.0, + 307.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/67ea809e0e" + }, + "681249baa3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 444.0, + 0.0, + 1043.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 438.0, + 0.0, + 1031.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 442.0, + 2.0, + 865.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 428.0, + 0.0, + 871.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/681249baa3" + }, + "683de643d9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 341.0, + 181.0, + 878.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 473.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 327.0, + 266.0, + 716.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 529.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 206.0, + 278.0, + 572.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 500.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 30.0, + 340.0, + 530.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/683de643d9" + }, + "6846ac20df": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 70.0, + 252.0, + 237.0, + 355.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 307.0, + 302.0, + 478.0, + 391.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 168.0, + 258.0, + 337.0, + 360.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 544.0, + 298.0, + 720.0, + 387.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 108.0, + 268.0, + 282.0, + 369.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 578.0, + 303.0, + 754.0, + 392.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 333.0, + 66.0, + 408.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 23.0, + 264.0, + 191.0, + 368.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 748.0, + 272.0, + 923.0, + 374.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6846ac20df" + }, + "6848e012ef": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 477.0, + 229.0, + 596.0, + 430.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 650.0, + 216.0, + 821.0, + 430.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 638.0, + 236.0, + 826.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 626.0, + 247.0, + 724.0, + 422.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6848e012ef" + }, + "684bcd8812": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 622.0, + 367.0, + 654.0, + 395.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 603.0, + 387.0, + 682.0, + 510.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 537.0, + 402.0, + 632.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 628.0, + 368.0, + 669.0, + 408.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 598.0, + 399.0, + 710.0, + 563.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 512.0, + 411.0, + 644.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 632.0, + 358.0, + 673.0, + 397.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 591.0, + 387.0, + 710.0, + 570.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 502.0, + 406.0, + 643.0, + 580.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 645.0, + 321.0, + 704.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 594.0, + 365.0, + 752.0, + 624.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 464.0, + 373.0, + 664.0, + 637.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/684bcd8812" + }, + "684dc1c40c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 560.0, + 131.0, + 713.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 488.0, + 268.0, + 885.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 562.0, + 88.0, + 714.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 496.0, + 207.0, + 851.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 540.0, + 97.0, + 669.0, + 362.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 451.0, + 210.0, + 803.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 509.0, + 171.0, + 622.0, + 393.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 429.0, + 258.0, + 760.0, + 516.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/684dc1c40c" + }, + "685a1fa9cf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 696.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/685a1fa9cf" + }, + "686dafaac9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 174.0, + 52.0, + 622.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 102.0, + 192.0, + 434.0, + 552.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 268.0, + 440.0, + 596.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 4.0, + 0.0, + 488.0, + 367.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 30.0, + 265.0, + 390.0, + 447.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 430.0, + 303.0, + 877.0, + 704.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 41.0, + 62.0, + 325.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 600.0, + 209.0, + 931.0, + 692.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 120.0, + 0.0, + 248.0, + 14.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 44.0, + 0.0, + 438.0, + 298.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 929.0, + 164.0, + 1279.0, + 628.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/686dafaac9" + }, + "68807d8601": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 460.0, + 317.0, + 558.0, + 363.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 462.0, + 301.0, + 574.0, + 350.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 371.0, + 300.0, + 493.0, + 354.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 444.0, + 280.0, + 594.0, + 338.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68807d8601" + }, + "6893778c77": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 833.0, + 37.0, + 964.0, + 194.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 747.0, + 39.0, + 880.0, + 214.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 558.0, + 0.0, + 690.0, + 174.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 463.0, + 25.0, + 612.0, + 210.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 656.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6893778c77" + }, + "6899d2dabe": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 48.0, + 496.0, + 335.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 105.0, + 568.0, + 341.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 76.0, + 560.0, + 298.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 228.0, + 145.0, + 406.0, + 274.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6899d2dabe" + }, + "68a2fad4ab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 936.0, + 622.0, + 1018.0, + 665.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 542.0, + 64.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 1109.0, + 361.0, + 1151.0, + 429.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 425.0, + 176.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 1073.0, + 263.0, + 1110.0, + 330.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 4.0, + 410.0, + 174.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 952.0, + 341.0, + 1025.0, + 412.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 362.0, + 103.0, + 474.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68a2fad4ab" + }, + "68cb45fda3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 494.0, + 118.0, + 937.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 161.0, + 756.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 506.0, + 175.0, + 901.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 52.0, + 734.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 363.0, + 156.0, + 901.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 52.0, + 734.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 525.0, + 146.0, + 887.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 363.0, + 811.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68cb45fda3" + }, + "68cc4a1970": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 290.0, + 0.0, + 1121.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 348.0, + 0.0, + 1031.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 288.0, + 0.0, + 1131.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 341.0, + 0.0, + 1038.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 288.0, + 0.0, + 1077.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 346.0, + 0.0, + 1020.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 280.0, + 0.0, + 1075.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 279.0, + 0.0, + 1238.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68cc4a1970" + }, + "68dcb40675": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 272.0, + 9.0, + 927.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 274.0, + 11.0, + 919.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 292.0, + 37.0, + 927.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 264.0, + 29.0, + 897.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68dcb40675" + }, + "68ea4a8c3d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 318.0, + 349.0, + 417.0, + 415.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 314.0, + 408.0, + 369.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 339.0, + 252.0, + 423.0, + 307.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 392.0, + 304.0, + 414.0, + 312.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 275.0, + 175.0, + 427.0, + 338.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 342.0, + 298.0, + 451.0, + 366.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 496.0, + 230.0, + 721.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 360.0, + 396.0, + 594.0, + 474.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68ea4a8c3d" + }, + "68f6e7fbf0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 186.0, + 201.0, + 309.0, + 291.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 147.0, + 238.0, + 333.0, + 486.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 120.0, + 430.0, + 464.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 183.0, + 189.0, + 311.0, + 272.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 121.0, + 244.0, + 375.0, + 480.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 89.0, + 415.0, + 437.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 376.0, + 238.0, + 521.0, + 328.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 137.0, + 314.0, + 507.0, + 565.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 165.0, + 511.0, + 582.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 434.0, + 235.0, + 589.0, + 336.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 25.0, + 310.0, + 574.0, + 579.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 160.0, + 511.0, + 604.0, + 630.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00130", + "00140", + "00170", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68f6e7fbf0" + }, + "68fa8300b4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 508.0, + 227.0, + 818.0, + 697.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 684.0, + 273.0, + 762.0, + 425.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 203.0, + 648.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 174.0, + 215.0, + 869.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/68fa8300b4" + }, + "69023db81f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 496.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 74.0, + 171.0, + 1151.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 476.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 60.0, + 148.0, + 889.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 468.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 64.0, + 148.0, + 1149.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 476.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 68.0, + 150.0, + 1147.0, + 584.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69023db81f" + }, + "6908ccf557": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 329.0, + 189.0, + 940.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 225.0, + 205.0, + 817.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 128.0, + 217.0, + 779.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 118.0, + 237.0, + 786.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6908ccf557" + }, + "691a111e7c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 286.0, + 178.0, + 943.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 200.0, + 123.0, + 806.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 233.0, + 34.0, + 880.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 272.0, + 44.0, + 862.0, + 435.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/691a111e7c" + }, + "6927723ba5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 499.0, + 0.0, + 850.0, + 104.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 411.0, + 307.0, + 800.0, + 499.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 417.0, + 227.0, + 771.0, + 418.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 433.0, + 124.0, + 757.0, + 355.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 46.0, + 447.0, + 297.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6927723ba5" + }, + "692ca0e1a2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 483.0, + 111.0, + 893.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 509.0, + 134.0, + 899.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 555.0, + 130.0, + 930.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 534.0, + 155.0, + 937.0, + 654.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/692ca0e1a2" + }, + "692eb57b63": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 275.0, + 0.0, + 916.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 537.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 385.0, + 382.0, + 778.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 455.0, + 0.0, + 1279.0, + 329.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 369.0, + 353.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 427.0, + 0.0, + 1279.0, + 377.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 389.0, + 328.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/692eb57b63" + }, + "69340faa52": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 17.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 363.0, + 61.0, + 1044.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 20.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 267.0, + 58.0, + 1041.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 21.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 320.0, + 62.0, + 1038.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 22.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 319.0, + 66.0, + 1032.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00065", + "00100", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69340faa52" + }, + "693cbf0c9d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 600.0, + 0.0, + 1279.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 576.0, + 0.0, + 1279.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 596.0, + 0.0, + 1279.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 488.0, + 21.0, + 1279.0, + 716.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/693cbf0c9d" + }, + "6942f684ad": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 276.0, + 174.0, + 949.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 144.0, + 1159.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 276.0, + 170.0, + 949.0, + 522.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 155.0, + 1157.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 284.0, + 188.0, + 971.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 336.0, + 1157.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 288.0, + 197.0, + 969.0, + 527.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 328.0, + 1151.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00070", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6942f684ad" + }, + "6944fc833b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 69.0, + 233.0, + 405.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 28.0, + 230.0, + 404.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 11.0, + 223.0, + 403.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 8.0, + 222.0, + 398.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6944fc833b" + }, + "69491c0ebf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 605.0, + 79.0, + 716.0, + 327.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 206.0, + 202.0, + 419.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 577.0, + 222.0, + 637.0, + 305.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 601.0, + 86.0, + 694.0, + 335.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 171.0, + 215.0, + 400.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 548.0, + 215.0, + 720.0, + 308.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 578.0, + 99.0, + 694.0, + 330.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 158.0, + 215.0, + 377.0, + 716.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 567.0, + 226.0, + 710.0, + 313.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 525.0, + 101.0, + 663.0, + 331.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 165.0, + 234.0, + 390.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 611.0, + 237.0, + 675.0, + 328.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69491c0ebf" + }, + "695b61a2b0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 284.0, + 169.0, + 961.0, + 668.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 162.0, + 294.0, + 977.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 476.0, + 0.0, + 1191.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 520.0, + 225.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 484.0, + 0.0, + 1039.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 514.0, + 294.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 194.0, + 37.0, + 576.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 335.0, + 178.0, + 989.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00065", + "00090", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/695b61a2b0" + }, + "6979b4d83f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 138.0, + 915.0, + 692.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 77.0, + 813.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 82.0, + 811.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 222.0, + 703.0, + 702.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6979b4d83f" + }, + "697d4fdb02": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 502.0, + 43.0, + 861.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 480.0, + 49.0, + 837.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 478.0, + 79.0, + 835.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 477.0, + 74.0, + 829.0, + 568.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/697d4fdb02" + }, + "69910460a4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 416.0, + 181.0, + 869.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 469.0, + 109.0, + 712.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 465.0, + 202.0, + 808.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 487.0, + 182.0, + 783.0, + 445.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69910460a4" + }, + "6997636670": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 365.0, + 216.0, + 999.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 356.0, + 365.0, + 962.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 360.0, + 210.0, + 998.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 360.0, + 358.0, + 960.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 384.0, + 215.0, + 1006.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 376.0, + 365.0, + 979.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 380.0, + 214.0, + 995.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 376.0, + 360.0, + 962.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6997636670" + }, + "69a436750b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 434.0, + 401.0, + 1085.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 184.0, + 346.0, + 1005.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 250.0, + 289.0, + 731.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 187.0, + 323.0, + 850.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69a436750b" + }, + "69aebf7669": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 513.0, + 184.0, + 891.0, + 425.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 589.0, + 204.0, + 933.0, + 439.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 633.0, + 202.0, + 961.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 625.0, + 207.0, + 961.0, + 437.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69aebf7669" + }, + "69b8c17047": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 293.0, + 114.0, + 463.0, + 385.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 357.0, + 371.0, + 528.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 347.0, + 180.0, + 511.0, + 412.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 381.0, + 394.0, + 578.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 422.0, + 255.0, + 542.0, + 452.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 467.0, + 446.0, + 610.0, + 465.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 635.0, + 247.0, + 772.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 533.0, + 494.0, + 875.0, + 551.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69b8c17047" + }, + "69c67f109f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 434.0, + 170.0, + 555.0, + 320.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 472.0, + 269.0, + 604.0, + 357.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 125.0, + 760.0, + 322.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 628.0, + 256.0, + 857.0, + 336.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 800.0, + 181.0, + 898.0, + 359.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 840.0, + 302.0, + 960.0, + 367.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 528.0, + 136.0, + 693.0, + 284.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 576.0, + 244.0, + 683.0, + 304.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69c67f109f" + }, + "69e0e7b868": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 328.0, + 167.0, + 716.0, + 653.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 316.0, + 536.0, + 817.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 346.0, + 117.0, + 748.0, + 642.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 300.0, + 526.0, + 837.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 180.0, + 163.0, + 923.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 335.0, + 537.0, + 841.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 180.0, + 188.0, + 1071.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 336.0, + 547.0, + 845.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69e0e7b868" + }, + "69ea9c09d1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 704.0, + 160.0, + 1279.0, + 649.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 273.0, + 200.0, + 769.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 411.0, + 62.0, + 1279.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 365.0, + 260.0, + 472.0, + 307.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 569.0, + 103.0, + 1279.0, + 547.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 261.0, + 570.0, + 350.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 585.0, + 111.0, + 1279.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 261.0, + 593.0, + 378.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69ea9c09d1" + }, + "69f0af42a6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 131.0, + 134.0, + 810.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 157.0, + 139.0, + 819.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 219.0, + 108.0, + 855.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 395.0, + 110.0, + 905.0, + 693.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/69f0af42a6" + }, + "6a078cdcc7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 357.0, + 161.0, + 1271.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 363.0, + 163.0, + 1275.0, + 686.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 359.0, + 160.0, + 1277.0, + 684.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 362.0, + 160.0, + 1275.0, + 683.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a078cdcc7" + }, + "6a37a91708": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 496.0, + 311.0, + 1279.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 485.0, + 323.0, + 1279.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 528.0, + 297.0, + 1279.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 485.0, + 285.0, + 1279.0, + 523.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00180", + "00190", + "00200", + "00215" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a37a91708" + }, + "6a42176f2e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 390.0, + 203.0, + 721.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 374.0, + 118.0, + 959.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 171.0, + 148.0, + 553.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 85.0, + 83.0, + 959.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 380.0, + 129.0, + 656.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 311.0, + 87.0, + 959.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 460.0, + 99.0, + 732.0, + 511.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 397.0, + 85.0, + 959.0, + 631.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a42176f2e" + }, + "6a48e4aea8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1204.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 684.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00075", + "00105", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a48e4aea8" + }, + "6a5977be3a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 83.0, + 803.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 101.0, + 787.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 93.0, + 763.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 88.0, + 763.0, + 558.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a5977be3a" + }, + "6a5de0535f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 258.0, + 265.0, + 541.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 309.0, + 194.0, + 516.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 284.0, + 305.0, + 529.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 299.0, + 211.0, + 550.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 256.0, + 283.0, + 583.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 338.0, + 169.0, + 559.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 234.0, + 282.0, + 662.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 259.0, + 190.0, + 517.0, + 423.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a5de0535f" + }, + "6a80d2e2e5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 642.0, + 233.0, + 785.0, + 663.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 619.0, + 302.0, + 868.0, + 691.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 628.0, + 84.0, + 725.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 481.0, + 327.0, + 810.0, + 656.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1208.0, + 565.0, + 1279.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 607.0, + 107.0, + 713.0, + 492.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 460.0, + 351.0, + 798.0, + 672.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1191.0, + 561.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 630.0, + 194.0, + 725.0, + 482.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 463.0, + 339.0, + 796.0, + 675.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1194.0, + 570.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a80d2e2e5" + }, + "6a96c8815d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 337.0, + 303.0, + 562.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 278.0, + 227.0, + 549.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 406.0, + 186.0, + 727.0, + 371.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 268.0, + 211.0, + 642.0, + 504.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a96c8815d" + }, + "6a986084e2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 409.0, + 456.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 338.0, + 466.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 267.0, + 604.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 240.0, + 665.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00130", + "00145", + "00175", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6a986084e2" + }, + "6aa8e50445": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 231.0, + 76.0, + 630.0, + 705.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1078.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 381.0, + 155.0, + 1206.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 318.0, + 14.0, + 594.0, + 670.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1097.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 431.0, + 158.0, + 1223.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 297.0, + 327.0, + 600.0, + 710.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1094.0, + 12.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 409.0, + 194.0, + 1208.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 327.0, + 277.0, + 454.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1155.0, + 175.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 779.0, + 133.0, + 1231.0, + 551.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6aa8e50445" + }, + "6ab9dce449": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 508.0, + 577.0, + 959.0, + 714.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 512.0, + 258.0, + 991.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 546.0, + 540.0, + 901.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 564.0, + 279.0, + 1013.0, + 713.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 540.0, + 549.0, + 897.0, + 712.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 550.0, + 254.0, + 1013.0, + 713.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 540.0, + 543.0, + 899.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 582.0, + 289.0, + 1043.0, + 713.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6ab9dce449" + }, + "6abf0ba6b2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 202.0, + 690.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 214.0, + 741.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 118.0, + 777.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 155.0, + 765.0, + 579.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6abf0ba6b2" + }, + "6acc6049d9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 525.0, + 242.0, + 937.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 528.0, + 319.0, + 1086.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 548.0, + 204.0, + 1087.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 231.0, + 324.0, + 956.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6acc6049d9" + }, + "6adb31756c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 344.0, + 170.0, + 682.0, + 670.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 364.0, + 0.0, + 1277.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 591.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 333.0, + 0.0, + 890.0, + 647.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6adb31756c" + }, + "6ade215eb0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bucket", + "bbox": [ + 522.0, + 98.0, + 620.0, + 212.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 610.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 592.0, + 376.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 523.0, + 0.0, + 582.0, + 59.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 552.0, + 282.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 653.0, + 462.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6ade215eb0" + }, + "6afb7d50e4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 160.0, + 504.0, + 406.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 353.0, + 0.0, + 1224.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 238.0, + 69.0, + 675.0, + 412.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 591.0, + 0.0, + 1247.0, + 289.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 270.0, + 45.0, + 661.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 166.0, + 0.0, + 378.0, + 453.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6afb7d50e4" + }, + "6afd692f1a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 474.0, + 34.0, + 602.0, + 102.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 791.0, + 555.0, + 1121.0, + 716.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 498.0, + 606.0, + 827.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 976.0, + 405.0, + 1270.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 790.0, + 609.0, + 1130.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 684.0, + 633.0, + 822.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 840.0, + 118.0, + 1106.0, + 261.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 780.0, + 385.0, + 1149.0, + 584.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 749.0, + 0.0, + 863.0, + 104.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 905.0, + 61.0, + 1066.0, + 241.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 704.0, + 279.0, + 1108.0, + 522.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6afd692f1a" + }, + "6b0b1044fe": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 357.0, + 81.0, + 639.0, + 239.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 6.0, + 103.0, + 355.0, + 324.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 241.0, + 68.0, + 393.0, + 196.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 386.0, + 39.0, + 633.0, + 203.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 149.0, + 63.0, + 528.0, + 303.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 110.0, + 26.0, + 298.0, + 157.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 166.0, + 58.0, + 467.0, + 238.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 541.0, + 116.0, + 639.0, + 359.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 54.0, + 112.0, + 201.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 195.0, + 36.0, + 519.0, + 160.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 199.0, + 64.0, + 506.0, + 252.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 50.0, + 147.0, + 211.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 8.0, + 40.0, + 223.0, + 163.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b0b1044fe" + }, + "6b17c67633": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 548.0, + 395.0, + 721.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 544.0, + 368.0, + 712.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 527.0, + 384.0, + 698.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 546.0, + 371.0, + 714.0, + 612.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b17c67633" + }, + "6b1b6ef28b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 382.0, + 1.0, + 480.0, + 361.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 388.0, + 2.0, + 508.0, + 378.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 381.0, + 2.0, + 512.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 349.0, + 3.0, + 466.0, + 411.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b1b6ef28b" + }, + "6b1e04d00d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 522.0, + 148.0, + 767.0, + 676.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 555.0, + 263.0, + 672.0, + 331.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 736.0, + 295.0, + 905.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 840.0, + 399.0, + 932.0, + 446.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 578.0, + 377.0, + 734.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 691.0, + 432.0, + 744.0, + 472.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 184.0, + 391.0, + 244.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 528.0, + 275.0, + 740.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 507.0, + 456.0, + 535.0, + 535.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 273.0, + 365.0, + 334.0, + 568.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b1e04d00d" + }, + "6b2261888d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 297.0, + 0.0, + 732.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 435.0, + 311.0, + 826.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 273.0, + 3.0, + 702.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 543.0, + 336.0, + 936.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 273.0, + 0.0, + 682.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 656.0, + 289.0, + 1054.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 185.0, + 55.0, + 854.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 569.0, + 338.0, + 952.0, + 592.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b2261888d" + }, + "6b25d6528a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 597.0, + 426.0, + 671.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 64.0, + 73.0, + 320.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 406.0, + 154.0, + 593.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 314.0, + 223.0, + 518.0, + 602.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 642.0, + 137.0, + 871.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b25d6528a" + }, + "6b3a24395c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 538.0, + 71.0, + 844.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 421.0, + 0.0, + 984.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 498.0, + 114.0, + 785.0, + 484.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 430.0, + 0.0, + 1014.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 508.0, + 205.0, + 791.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 431.0, + 0.0, + 1005.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 424.0, + 183.0, + 775.0, + 538.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 422.0, + 0.0, + 994.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b3a24395c" + }, + "6b685eb75b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 762.0, + 155.0, + 952.0, + 333.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 945.0, + 26.0, + 1193.0, + 268.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 645.0, + 209.0, + 876.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 852.0, + 73.0, + 1206.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 260.0, + 206.0, + 546.0, + 449.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 492.0, + 27.0, + 935.0, + 345.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 269.0, + 283.0, + 547.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 512.0, + 116.0, + 943.0, + 454.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b685eb75b" + }, + "6b79be238c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 154.0, + 474.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 596.0, + 142.0, + 1279.0, + 606.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 271.0, + 516.0, + 654.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 140.0, + 480.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 604.0, + 139.0, + 1279.0, + 603.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 242.0, + 516.0, + 638.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 132.0, + 458.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 614.0, + 164.0, + 1279.0, + 623.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 199.0, + 494.0, + 590.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 117.0, + 398.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 574.0, + 161.0, + 1279.0, + 625.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 185.0, + 501.0, + 575.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b79be238c" + }, + "6b928b7ba6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 355.0, + 53.0, + 564.0, + 349.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 219.0, + 645.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1116.0, + 196.0, + 1236.0, + 273.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 467.0, + 0.0, + 668.0, + 544.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 164.0, + 659.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 512.0, + 0.0, + 693.0, + 508.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 130.0, + 586.0, + 714.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 462.0, + 0.0, + 672.0, + 492.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 86.0, + 564.0, + 679.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1246.0, + 61.0, + 1279.0, + 153.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b928b7ba6" + }, + "6b9c43c25a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 83.0, + 0.0, + 1124.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 528.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 43.0, + 0.0, + 1126.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 523.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 36.0, + 0.0, + 1089.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 533.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 40.0, + 1030.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 574.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6b9c43c25a" + }, + "6ba99cc41f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 51.0, + 1279.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 6.0, + 1279.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 26.0, + 0.0, + 1243.0, + 606.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 150.0, + 14.0, + 1029.0, + 582.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6ba99cc41f" + }, + "6bdab62bcd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 90.0, + 258.0, + 716.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 933.0, + 179.0, + 1204.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 77.0, + 257.0, + 723.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 939.0, + 169.0, + 1206.0, + 454.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 74.0, + 255.0, + 716.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 939.0, + 173.0, + 1215.0, + 454.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 67.0, + 256.0, + 720.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 939.0, + 168.0, + 1203.0, + 449.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6bdab62bcd" + }, + "6bf2e853b1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 526.0, + 253.0, + 963.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 530.0, + 303.0, + 952.0, + 713.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 519.0, + 284.0, + 948.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 527.0, + 248.0, + 956.0, + 675.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6bf2e853b1" + }, + "6bf584200f": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 493.0, + 82.0, + 1028.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 347.0, + 0.0, + 1048.0, + 245.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 531.0, + 221.0, + 1122.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 427.0, + 0.0, + 1144.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 497.0, + 361.0, + 1080.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 425.0, + 18.0, + 1162.0, + 490.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 341.0, + 383.0, + 976.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 221.0, + 13.0, + 1279.0, + 494.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00100", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6bf584200f" + }, + "6bf95df2b9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 319.0, + 433.0, + 422.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 334.0, + 216.0, + 474.0, + 457.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 354.0, + 457.0, + 445.0, + 493.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 368.0, + 252.0, + 488.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 339.0, + 384.0, + 404.0, + 400.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 294.0, + 259.0, + 431.0, + 391.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 508.0, + 441.0, + 681.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 539.0, + 126.0, + 722.0, + 492.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6bf95df2b9" + }, + "6c0949c51c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 74.0, + 0.0, + 1193.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 177.0, + 218.0, + 1256.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 151.0, + 171.0, + 1233.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 184.0, + 1100.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c0949c51c" + }, + "6c11a5f11f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 427.0, + 143.0, + 784.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 319.0, + 252.0, + 441.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 471.0, + 135.0, + 816.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 352.0, + 245.0, + 487.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 501.0, + 165.0, + 864.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 382.0, + 306.0, + 518.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 503.0, + 165.0, + 859.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 384.0, + 298.0, + 513.0, + 469.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c11a5f11f" + }, + "6c23d89189": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 94.0, + 449.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 75.0, + 348.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 24.0, + 229.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 129.0, + 75.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c23d89189" + }, + "6c4387daf5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 581.0, + 436.0, + 727.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 553.0, + 441.0, + 768.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 582.0, + 492.0, + 822.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 596.0, + 482.0, + 854.0, + 674.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c4387daf5" + }, + "6c4ce479a4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 292.0, + 602.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 303.0, + 643.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 246.0, + 88.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 10.0, + 218.0, + 723.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00155", + "00165", + "00190", + "00205" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c4ce479a4" + }, + "6c5123e4bc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 370.0, + 276.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 647.0, + 151.0, + 1008.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 406.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 255.0, + 339.0, + 1130.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 313.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 255.0, + 311.0, + 1119.0, + 641.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 293.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 244.0, + 309.0, + 1089.0, + 623.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c5123e4bc" + }, + "6c54265f16": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 1082.0, + 213.0, + 1279.0, + 467.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 365.0, + 109.0, + 906.0, + 506.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 551.0, + 94.0, + 1168.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c54265f16" + }, + "6c56848429": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 687.0, + 203.0, + 764.0, + 245.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 577.0, + 229.0, + 763.0, + 608.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 621.0, + 602.0, + 678.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 731.0, + 197.0, + 798.0, + 243.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 539.0, + 222.0, + 801.0, + 616.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 614.0, + 604.0, + 707.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 717.0, + 342.0, + 789.0, + 388.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 520.0, + 367.0, + 785.0, + 610.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 595.0, + 598.0, + 701.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 708.0, + 137.0, + 779.0, + 183.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 539.0, + 136.0, + 802.0, + 519.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 528.0, + 467.0, + 683.0, + 540.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c56848429" + }, + "6c81b014e9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 616.0, + 61.0, + 816.0, + 238.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 434.0, + 167.0, + 725.0, + 344.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 633.0, + 224.0, + 805.0, + 302.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 276.0, + 77.0, + 491.0, + 264.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 68.0, + 186.0, + 406.0, + 382.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 302.0, + 249.0, + 481.0, + 329.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 161.0, + 89.0, + 387.0, + 282.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 200.0, + 300.0, + 411.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 179.0, + 266.0, + 374.0, + 353.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 183.0, + 106.0, + 414.0, + 304.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 217.0, + 322.0, + 433.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 200.0, + 290.0, + 399.0, + 374.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00080", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c81b014e9" + }, + "6c99ea7c31": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 605.0, + 217.0, + 1011.0, + 694.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 682.0, + 225.0, + 1097.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 654.0, + 222.0, + 1040.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 651.0, + 86.0, + 1007.0, + 581.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c99ea7c31" + }, + "6c9d29d509": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 326.0, + 335.0, + 511.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 357.0, + 326.0, + 773.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 353.0, + 349.0, + 782.0, + 643.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 341.0, + 312.0, + 807.0, + 610.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c9d29d509" + }, + "6c9e3b7d1a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 654.0, + 449.0, + 804.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 365.0, + 428.0, + 711.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 257.0, + 89.0, + 516.0, + 197.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 910.0, + 112.0, + 1186.0, + 271.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6c9e3b7d1a" + }, + "6ca006e283": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 312.0, + 136.0, + 638.0, + 365.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 334.0, + 144.0, + 643.0, + 367.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 398.0, + 157.0, + 659.0, + 360.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 366.0, + 160.0, + 558.0, + 344.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00060", + "00080", + "00100", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6ca006e283" + }, + "6caeb928d6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 910.0, + 539.0, + 1061.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 801.0, + 484.0, + 961.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 796.0, + 476.0, + 948.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 728.0, + 484.0, + 963.0, + 643.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6caeb928d6" + }, + "6cb2ee722a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 164.0, + 10.0, + 1235.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 449.0, + 420.0, + 761.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 123.0, + 11.0, + 1196.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 276.0, + 498.0, + 691.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 11.0, + 46.0, + 1050.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 106.0, + 462.0, + 438.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 75.0, + 0.0, + 945.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 286.0, + 505.0, + 680.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6cb2ee722a" + }, + "6cbfd32c5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 556.0, + 236.0, + 737.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 588.0, + 273.0, + 746.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 568.0, + 262.0, + 751.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 572.0, + 232.0, + 756.0, + 600.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6cbfd32c5e" + }, + "6cc791250b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 240.0, + 317.0, + 462.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 713.0, + 112.0, + 888.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 232.0, + 341.0, + 434.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 611.0, + 129.0, + 748.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 232.0, + 294.0, + 418.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 490.0, + 169.0, + 671.0, + 469.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 420.0, + 307.0, + 604.0, + 513.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 578.0, + 299.0, + 834.0, + 517.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6cc791250b" + }, + "6cccc985e0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 842.0, + 312.0, + 879.0, + 352.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 890.0, + 317.0, + 915.0, + 363.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 959.0, + 304.0, + 1019.0, + 356.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 838.0, + 313.0, + 868.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 883.0, + 318.0, + 904.0, + 366.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 953.0, + 307.0, + 1011.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 635.0, + 287.0, + 678.0, + 354.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 700.0, + 291.0, + 728.0, + 365.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 800.0, + 279.0, + 882.0, + 354.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 355.0, + 345.0, + 488.0, + 547.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 548.0, + 358.0, + 640.0, + 582.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 848.0, + 318.0, + 1101.0, + 543.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6cccc985e0" + }, + "6d12e30c48": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 75.0, + 222.0, + 636.0, + 626.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 90.0, + 892.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 102.0, + 178.0, + 640.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 88.0, + 876.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 151.0, + 163.0, + 691.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 89.0, + 918.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 148.0, + 206.0, + 565.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 88.0, + 730.0, + 632.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6d12e30c48" + }, + "6d4bf200ad": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 155.0, + 322.0, + 792.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 622.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 217.0, + 332.0, + 646.0, + 511.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 640.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 227.0, + 167.0, + 744.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 648.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 581.0, + 354.0, + 686.0, + 402.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 741.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6d4bf200ad" + }, + "6d6d2b8843": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 948.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 941.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 961.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 132.0, + 0.0, + 1238.0, + 645.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6d6d2b8843" + }, + "6d6eea5682": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 337.0, + 108.0, + 674.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 445.0, + 102.0, + 710.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 449.0, + 188.0, + 738.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 447.0, + 226.0, + 780.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 160.0, + 209.0, + 334.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6d6eea5682" + }, + "6d7a3d0c21": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 149.0, + 209.0, + 207.0, + 340.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 378.0, + 248.0, + 447.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 420.0, + 274.0, + 490.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 377.0, + 327.0, + 467.0, + 551.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6d7a3d0c21" + }, + "6d7efa9b9e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 712.0, + 201.0, + 850.0, + 690.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 448.0, + 196.0, + 548.0, + 689.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 795.0, + 180.0, + 902.0, + 197.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 447.0, + 195.0, + 548.0, + 689.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 800.0, + 176.0, + 930.0, + 190.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 749.0, + 118.0, + 905.0, + 694.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 953.0, + 142.0, + 985.0, + 147.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 764.0, + 137.0, + 956.0, + 693.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 448.0, + 198.0, + 549.0, + 692.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00030", + "00050", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6d7efa9b9e" + }, + "6da21f5c91": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 448.0, + 232.0, + 1269.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 437.0, + 449.0, + 657.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 525.0, + 226.0, + 1269.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 436.0, + 435.0, + 652.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 455.0, + 223.0, + 1269.0, + 510.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 446.0, + 482.0, + 653.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 469.0, + 216.0, + 1269.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 431.0, + 460.0, + 646.0, + 563.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6da21f5c91" + }, + "6da6adabc0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 330.0, + 255.0, + 1267.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 380.0, + 242.0, + 1256.0, + 674.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 332.0, + 263.0, + 1261.0, + 670.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 456.0, + 258.0, + 1248.0, + 667.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6da6adabc0" + }, + "6dd2827fbb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 164.0, + 262.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 136.0, + 0.0, + 727.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 296.0, + 0.0, + 831.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 320.0, + 167.0, + 831.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6dd2827fbb" + }, + "6dd36705b9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 19 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "bucket", + "bbox": [ + 400.0, + 382.0, + 429.0, + 419.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 458.0, + 188.0, + 1279.0, + 481.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 296.0, + 402.0, + 324.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "bucket", + "bbox": [ + 449.0, + 180.0, + 1279.0, + 487.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 316.0, + 390.0, + 342.0, + 424.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 414.0, + 390.0, + 443.0, + 426.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "bucket", + "bbox": [ + 279.0, + 384.0, + 305.0, + 419.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 377.0, + 380.0, + 404.0, + 416.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 434.0, + 110.0, + 1279.0, + 441.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6dd36705b9" + }, + "6df3637557": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 169.0, + 0.0, + 1184.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 188.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 201.0, + 0.0, + 1204.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 541.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 299.0, + 78.0, + 1148.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 916.0, + 0.0, + 1279.0, + 680.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 740.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 275.0, + 0.0, + 1164.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 280.0, + 175.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6df3637557" + }, + "6dfe55e9e5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 548.0, + 163.0, + 1219.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 598.0, + 147.0, + 1221.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 548.0, + 154.0, + 1223.0, + 626.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 518.0, + 160.0, + 1223.0, + 631.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6dfe55e9e5" + }, + "6e1a21ba55": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 222.0, + 9.0, + 265.0, + 36.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 478.0, + 0.0, + 526.0, + 20.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 337.0, + 56.0, + 637.0, + 220.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 147.0, + 16.0, + 189.0, + 44.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 404.0, + 0.0, + 452.0, + 24.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 261.0, + 64.0, + 564.0, + 227.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 72.0, + 32.0, + 121.0, + 67.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 330.0, + 12.0, + 380.0, + 42.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 192.0, + 79.0, + 492.0, + 245.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 136.0, + 31.0, + 182.0, + 65.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 395.0, + 10.0, + 439.0, + 40.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 254.0, + 78.0, + 548.0, + 241.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6e1a21ba55" + }, + "6e2f834767": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 285.0, + 1171.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 267.0, + 1124.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 161.0, + 1080.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 47.0, + 122.0, + 1138.0, + 626.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6e2f834767" + }, + "6e36e4929a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 116.0, + 0.0, + 1279.0, + 381.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 333.0, + 34.0, + 1279.0, + 308.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 130.0, + 0.0, + 1279.0, + 377.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 361.0, + 50.0, + 1279.0, + 306.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 432.0, + 0.0, + 1279.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 94.0, + 0.0, + 1254.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 396.0, + 0.0, + 1211.0, + 338.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 0.0, + 0.0, + 1072.0, + 588.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6e36e4929a" + }, + "6e4f460caf": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 206.0, + 133.0, + 1003.0, + 391.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 167.0, + 178.0, + 976.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 247.0, + 153.0, + 1071.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 268.0, + 155.0, + 1104.0, + 444.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6e4f460caf" + }, + "6e618d26b6": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 233.0, + 305.0, + 350.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 232.0, + 264.0, + 332.0, + 439.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 150.0, + 291.0, + 295.0, + 460.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 377.0, + 295.0, + 406.0, + 318.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 195.0, + 312.0, + 267.0, + 471.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 258.0, + 328.0, + 401.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 263.0, + 288.0, + 360.0, + 480.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 242.0, + 314.0, + 320.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 249.0, + 272.0, + 363.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 240.0, + 265.0, + 339.0, + 447.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 146.0, + 281.0, + 296.0, + 447.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00080", + "00090", + "00100", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6e618d26b6" + }, + "6ead4670f7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 391.0, + 69.0, + 1062.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 141.0, + 376.0, + 1044.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 413.0, + 74.0, + 1008.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 179.0, + 395.0, + 1040.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 63.0, + 1206.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 301.0, + 1132.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 105.0, + 1000.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 324.0, + 996.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6ead4670f7" + }, + "6eaff19b9f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 621.0, + 61.0, + 1279.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 354.0, + 0.0, + 1279.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 513.0, + 0.0, + 1182.0, + 212.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 691.0, + 0.0, + 1279.0, + 410.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 435.0, + 0.0, + 1138.0, + 215.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 634.0, + 0.0, + 1279.0, + 443.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 368.0, + 129.0, + 1081.0, + 364.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 426.0, + 0.0, + 1279.0, + 509.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6eaff19b9f" + }, + "6eb2e1cd9e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 342.0, + 212.0, + 400.0, + 330.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 321.0, + 314.0, + 405.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 332.0, + 198.0, + 409.0, + 383.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 367.0, + 378.0, + 409.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 333.0, + 216.0, + 416.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 299.0, + 393.0, + 398.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 361.0, + 701.0, + 535.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 591.0, + 519.0, + 664.0, + 555.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6eb2e1cd9e" + }, + "6eb30b3b5a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1048.0, + 161.0, + 1216.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 172.0, + 178.0, + 286.0, + 431.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 572.0, + 200.0, + 688.0, + 443.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 117.0, + 326.0, + 559.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 805.0, + 204.0, + 943.0, + 443.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 368.0, + 336.0, + 803.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "horse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6eb30b3b5a" + }, + "6eca26c202": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 260.0, + 134.0, + 950.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 166.0, + 227.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 497.0, + 139.0, + 949.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 221.0, + 198.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 472.0, + 142.0, + 972.0, + 525.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 229.0, + 206.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 353.0, + 119.0, + 960.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 170.0, + 207.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6eca26c202" + }, + "6ecad29e52": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 26.0, + 0.0, + 999.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 1081.0, + 486.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 802.0, + 582.0, + 938.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 102.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 995.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 971.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6ecad29e52" + }, + "6ef0b44654": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 520.0, + 136.0, + 1126.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 930.0, + 81.0, + 1279.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 511.0, + 135.0, + 1102.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 920.0, + 81.0, + 1279.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 548.0, + 151.0, + 1000.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 900.0, + 83.0, + 1279.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 585.0, + 140.0, + 997.0, + 645.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 862.0, + 76.0, + 1279.0, + 644.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6ef0b44654" + }, + "6efcfe9275": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 96.0, + 494.0, + 240.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 114.0, + 0.0, + 292.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 150.0, + 495.0, + 486.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 26.0, + 0.0, + 496.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 50.0, + 467.0, + 242.0, + 526.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 100.0, + 0.0, + 316.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 552.0, + 458.0, + 749.0, + 510.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 556.0, + 0.0, + 753.0, + 470.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6efcfe9275" + }, + "6f4789045c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 716.0, + 318.0, + 1000.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 670.0, + 312.0, + 1004.0, + 689.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 600.0, + 398.0, + 757.0, + 597.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6f4789045c" + }, + "6f49f522ef": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 285.0, + 218.0, + 1018.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 383.0, + 213.0, + 1204.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 485.0, + 79.0, + 1108.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 371.0, + 75.0, + 830.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00075", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6f49f522ef" + }, + "6f67d7c4c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 54.0, + 0.0, + 1109.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 280.0, + 195.0, + 1103.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 120.0, + 172.0, + 638.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 264.0, + 224.0, + 949.0, + 702.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6f67d7c4c4" + }, + "6f96e91d81": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 382.0, + 189.0, + 542.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 547.0, + 317.0, + 734.0, + 480.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 889.0, + 327.0, + 1130.0, + 484.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 358.0, + 0.0, + 650.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 380.0, + 193.0, + 539.0, + 503.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 534.0, + 302.0, + 691.0, + 486.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 904.0, + 306.0, + 1168.0, + 494.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 351.0, + 0.0, + 628.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 371.0, + 307.0, + 602.0, + 503.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 554.0, + 372.0, + 698.0, + 486.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 1088.0, + 345.0, + 1279.0, + 530.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 349.0, + 0.0, + 820.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 374.0, + 309.0, + 604.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 562.0, + 376.0, + 692.0, + 486.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 1104.0, + 346.0, + 1279.0, + 529.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 647.0, + 0.0, + 962.0, + 531.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6f96e91d81" + }, + "6fc6fce380": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 655.0, + 271.0, + 814.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 169.0, + 487.0, + 461.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 775.0, + 343.0, + 927.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 326.0, + 486.0, + 727.0, + 665.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 749.0, + 253.0, + 997.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 498.0, + 447.0, + 685.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 724.0, + 347.0, + 934.0, + 544.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 526.0, + 455.0, + 670.0, + 629.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6fc6fce380" + }, + "6fc9b44c00": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 451.0, + 250.0, + 1099.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 413.0, + 177.0, + 1202.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 553.0, + 251.0, + 1162.0, + 708.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 414.0, + 166.0, + 1166.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 644.0, + 247.0, + 1192.0, + 709.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 443.0, + 157.0, + 1211.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 727.0, + 233.0, + 1097.0, + 701.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 464.0, + 143.0, + 1173.0, + 688.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6fc9b44c00" + }, + "6fce7f3226": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 613.0, + 283.0, + 944.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 830.0, + 559.0, + 966.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 413.0, + 340.0, + 513.0, + 476.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 460.0, + 473.0, + 533.0, + 489.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 332.0, + 78.0, + 461.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 30.0, + 443.0, + 82.0, + 469.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6fce7f3226" + }, + "6fdf1ca888": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 370.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 397.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 413.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 371.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/6fdf1ca888" + }, + "702fd8b729": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 179.0, + 958.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 199.0, + 1104.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 25.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/702fd8b729" + }, + "70405185d2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 428.0, + 194.0, + 461.0, + 286.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 390.0, + 193.0, + 460.0, + 293.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 431.0, + 193.0, + 462.0, + 289.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 429.0, + 192.0, + 463.0, + 288.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/70405185d2" + }, + "7053e4f41e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 481.0, + 229.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 840.0, + 222.0, + 1279.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 388.0, + 75.0, + 472.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 635.0, + 137.0, + 1020.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 429.0, + 126.0, + 918.0, + 539.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7053e4f41e" + }, + "707bf4ce41": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 125.0, + 288.0, + 1009.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 555.0, + 476.0, + 1142.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 133.0, + 249.0, + 1025.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 565.0, + 442.0, + 1150.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 141.0, + 91.0, + 918.0, + 686.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 573.0, + 401.0, + 1155.0, + 665.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 95.0, + 31.0, + 853.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 521.0, + 364.0, + 1106.0, + 632.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/707bf4ce41" + }, + "7082544248": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 726.0, + 515.0, + 886.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 704.0, + 433.0, + 892.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 736.0, + 390.0, + 956.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 760.0, + 368.0, + 1012.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00195", + "00210", + "00230", + "00250" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7082544248" + }, + "708535b72a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 608.0, + 404.0, + 699.0, + 637.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 460.0, + 46.0, + 977.0, + 573.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 929.0, + 36.0, + 1277.0, + 458.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 621.0, + 400.0, + 713.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 454.0, + 40.0, + 977.0, + 577.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 921.0, + 28.0, + 1279.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 662.0, + 417.0, + 769.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 428.0, + 63.0, + 975.0, + 616.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 929.0, + 51.0, + 1279.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 799.0, + 314.0, + 893.0, + 548.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 416.0, + 0.0, + 1004.0, + 536.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 1007.0, + 0.0, + 1279.0, + 407.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/708535b72a" + }, + "7094ac0f60": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 122.0, + 126.0, + 608.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 99.0, + 79.0, + 608.0, + 416.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 76.0, + 69.0, + 595.0, + 410.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 88.0, + 102.0, + 604.0, + 428.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7094ac0f60" + }, + "70a6b875fa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 191.0, + 155.0, + 642.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 164.0, + 144.0, + 591.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 163.0, + 91.0, + 435.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 161.0, + 72.0, + 445.0, + 534.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/70a6b875fa" + }, + "70c3e97e41": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 555.0, + 246.0, + 837.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 469.0, + 246.0, + 818.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 526.0, + 255.0, + 871.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 504.0, + 227.0, + 858.0, + 471.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/70c3e97e41" + }, + "7106b020ab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 747.0, + 225.0, + 1039.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 725.0, + 239.0, + 955.0, + 685.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 733.0, + 263.0, + 911.0, + 654.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 738.0, + 282.0, + 901.0, + 623.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7106b020ab" + }, + "711dce6fe2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 770.0, + 219.0, + 927.0, + 325.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 893.0, + 415.0, + 928.0, + 471.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 720.0, + 280.0, + 907.0, + 619.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 616.0, + 124.0, + 789.0, + 234.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 736.0, + 362.0, + 771.0, + 418.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 570.0, + 192.0, + 746.0, + 528.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 621.0, + 102.0, + 789.0, + 209.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 735.0, + 430.0, + 775.0, + 490.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 575.0, + 179.0, + 768.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 644.0, + 97.0, + 803.0, + 177.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 682.0, + 531.0, + 706.0, + 549.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 605.0, + 144.0, + 931.0, + 521.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/711dce6fe2" + }, + "7136a4453f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 744.0, + 280.0, + 899.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 776.0, + 535.0, + 842.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 666.0, + 322.0, + 759.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 622.0, + 552.0, + 800.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 529.0, + 363.0, + 681.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 513.0, + 519.0, + 606.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 960.0, + 432.0, + 1078.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 976.0, + 624.0, + 1040.0, + 647.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7136a4453f" + }, + "7143fb084f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 445.0, + 368.0, + 731.0, + 693.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 674.0, + 428.0, + 1029.0, + 656.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 492.0, + 383.0, + 673.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 661.0, + 416.0, + 1024.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 305.0, + 40.0, + 682.0, + 635.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 662.0, + 406.0, + 1025.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 428.0, + 0.0, + 757.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 739.0, + 348.0, + 1119.0, + 598.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7143fb084f" + }, + "714d902095": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 749.0, + 537.0, + 841.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 697.0, + 413.0, + 777.0, + 499.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 604.0, + 434.0, + 839.0, + 706.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 556.0, + 623.0, + 622.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 500.0, + 176.0, + 977.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/714d902095" + }, + "7151c53b32": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 481.0, + 218.0, + 786.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 452.0, + 179.0, + 766.0, + 389.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 456.0, + 148.0, + 828.0, + 361.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 410.0, + 87.0, + 647.0, + 300.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7151c53b32" + }, + "715357be94": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 631.0, + 183.0, + 727.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 596.0, + 321.0, + 693.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 586.0, + 95.0, + 795.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 640.0, + 293.0, + 837.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 533.0, + 91.0, + 750.0, + 462.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 577.0, + 271.0, + 790.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 462.0, + 132.0, + 735.0, + 497.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 559.0, + 304.0, + 755.0, + 509.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/715357be94" + }, + "7163b8085f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 277.0, + 88.0, + 764.0, + 705.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 477.0, + 77.0, + 1070.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 537.0, + 51.0, + 1060.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 491.0, + 48.0, + 1082.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7163b8085f" + }, + "716df1aa59": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 402.0, + 224.0, + 835.0, + 438.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 124.0, + 212.0, + 1239.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 409.0, + 228.0, + 840.0, + 442.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 131.0, + 222.0, + 1239.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 357.0, + 251.0, + 848.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 89.0, + 227.0, + 1238.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 282.0, + 249.0, + 864.0, + 480.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 26.0, + 246.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/716df1aa59" + }, + "71caded286": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 658.0, + 3.0, + 1085.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 724.0, + 488.0, + 889.0, + 640.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 736.0, + 63.0, + 1099.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 711.0, + 546.0, + 935.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 607.0, + 6.0, + 994.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 584.0, + 505.0, + 787.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 109.0, + 637.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 486.0, + 393.0, + 559.0, + 434.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/71caded286" + }, + "71d2665f35": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 141.0, + 113.0, + 389.0, + 301.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 204.0, + 114.0, + 489.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 119.0, + 130.0, + 391.0, + 318.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 233.0, + 115.0, + 489.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 87.0, + 139.0, + 380.0, + 316.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 284.0, + 119.0, + 489.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 69.0, + 136.0, + 353.0, + 316.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 271.0, + 120.0, + 489.0, + 315.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/71d2665f35" + }, + "71d67b9e19": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 608.0, + 0.0, + 1021.0, + 583.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 100.0, + 550.0, + 272.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 0.0, + 333.0, + 210.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 739.0, + 4.0, + 1183.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 252.0, + 527.0, + 410.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 124.0, + 302.0, + 360.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 1097.0, + 389.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 586.0, + 616.0, + 689.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 506.0, + 380.0, + 705.0, + 656.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 1231.0, + 681.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 594.0, + 609.0, + 789.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00120", + "00130", + "00160", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/71d67b9e19" + }, + "71e06dda39": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 103.0, + 376.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 439.0, + 149.0, + 793.0, + 385.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 669.0, + 301.0, + 1116.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 46.0, + 0.0, + 446.0, + 322.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 509.0, + 55.0, + 861.0, + 288.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 726.0, + 230.0, + 1177.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 94.0, + 34.0, + 486.0, + 352.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 550.0, + 82.0, + 904.0, + 318.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 784.0, + 222.0, + 1234.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 214.0, + 37.0, + 619.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 680.0, + 102.0, + 1072.0, + 361.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 913.0, + 267.0, + 1279.0, + 598.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/71e06dda39" + }, + "720b398b9c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 407.0, + 306.0, + 626.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 344.0, + 281.0, + 437.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 316.0, + 330.0, + 551.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 611.0, + 429.0, + 759.0, + 655.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 475.0, + 315.0, + 577.0, + 471.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 411.0, + 361.0, + 595.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 704.0, + 471.0, + 896.0, + 675.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 578.0, + 326.0, + 675.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 300.0, + 385.0, + 476.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 752.0, + 490.0, + 932.0, + 705.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 599.0, + 336.0, + 684.0, + 598.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/720b398b9c" + }, + "720e3fa04c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 116.0, + 330.0, + 612.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 488.0, + 233.0, + 706.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 492.0, + 277.0, + 871.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 817.0, + 217.0, + 1221.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 861.0, + 265.0, + 1214.0, + 552.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/720e3fa04c" + }, + "720e7a5f1e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 345.0, + 319.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 329.0, + 91.0, + 639.0, + 287.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 341.0, + 318.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 325.0, + 91.0, + 639.0, + 288.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 333.0, + 319.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 365.0, + 45.0, + 639.0, + 280.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 21.0, + 258.0, + 329.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 504.0, + 0.0, + 639.0, + 290.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/720e7a5f1e" + }, + "721bb6f2cb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 668.0, + 274.0, + 1193.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 910.0, + 198.0, + 1025.0, + 307.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 683.0, + 327.0, + 1197.0, + 407.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 862.0, + 257.0, + 1021.0, + 364.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 864.0, + 268.0, + 910.0, + 341.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 862.0, + 341.0, + 1279.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1068.0, + 275.0, + 1170.0, + 387.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 1054.0, + 331.0, + 1086.0, + 373.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 917.0, + 345.0, + 1279.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1087.0, + 276.0, + 1222.0, + 389.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 1066.0, + 298.0, + 1137.0, + 366.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/721bb6f2cb" + }, + "722803f4f2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 142.0, + 313.0, + 571.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 99.0, + 395.0, + 568.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 184.0, + 104.0, + 541.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 222.0, + 186.0, + 727.0, + 578.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/722803f4f2" + }, + "72552a07c9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 556.0, + 300.0, + 1157.0, + 702.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 299.0, + 175.0, + 910.0, + 544.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tiger", + "bbox": [ + 623.0, + 165.0, + 753.0, + 273.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 562.0, + 299.0, + 1178.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 344.0, + 190.0, + 794.0, + 567.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tiger", + "bbox": [ + 631.0, + 181.0, + 699.0, + 256.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 729.0, + 317.0, + 1215.0, + 653.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 557.0, + 210.0, + 819.0, + 522.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tiger", + "bbox": [ + 497.0, + 179.0, + 681.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 727.0, + 363.0, + 1213.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 648.0, + 273.0, + 812.0, + 529.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tiger", + "bbox": [ + 437.0, + 282.0, + 692.0, + 447.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72552a07c9" + }, + "726243a205": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 260.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 262.0, + 28.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 252.0, + 60.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 234.0, + 80.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/726243a205" + }, + "728cda9b65": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 562.0, + 375.0, + 607.0, + 393.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 614.0, + 342.0, + 664.0, + 363.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 689.0, + 362.0, + 741.0, + 380.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 538.0, + 354.0, + 585.0, + 375.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 587.0, + 311.0, + 636.0, + 335.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 664.0, + 334.0, + 716.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 378.0, + 257.0, + 476.0, + 322.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 337.0, + 60.0, + 464.0, + 160.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 560.0, + 90.0, + 668.0, + 189.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 576.0, + 525.0, + 678.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 387.0, + 502.0, + 486.0, + 582.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 409.0, + 351.0, + 513.0, + 448.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/728cda9b65" + }, + "728e81c319": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 203.0, + 431.0, + 440.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 396.0, + 542.0, + 564.0, + 645.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 218.0, + 414.0, + 443.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 393.0, + 520.0, + 570.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 266.0, + 348.0, + 462.0, + 539.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 442.0, + 447.0, + 598.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 281.0, + 346.0, + 468.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 433.0, + 442.0, + 596.0, + 542.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/728e81c319" + }, + "72a810a799": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 433.0, + 39.0, + 1060.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 375.0, + 46.0, + 1014.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 325.0, + 38.0, + 970.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 299.0, + 0.0, + 978.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72a810a799" + }, + "72acb8cdf6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 285.0, + 57.0, + 692.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 324.0, + 39.0, + 717.0, + 695.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 342.0, + 128.0, + 747.0, + 682.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 317.0, + 102.0, + 744.0, + 694.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72acb8cdf6" + }, + "72b01281f9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 485.0, + 102.0, + 866.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 502.0, + 159.0, + 931.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 544.0, + 166.0, + 890.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 526.0, + 180.0, + 874.0, + 583.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72b01281f9" + }, + "72cac683e4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 338.0, + 0.0, + 888.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 539.0, + 116.0, + 899.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 291.0, + 0.0, + 916.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 463.0, + 53.0, + 877.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 305.0, + 0.0, + 963.0, + 670.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 412.0, + 8.0, + 920.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 227.0, + 0.0, + 971.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 203.0, + 0.0, + 855.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72cac683e4" + }, + "72cadebbce": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 576.0, + 164.0, + 1067.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "owl", + "bbox": [ + 289.0, + 229.0, + 751.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 546.0, + 99.0, + 1021.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "owl", + "bbox": [ + 275.0, + 286.0, + 736.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 584.0, + 87.0, + 1001.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "owl", + "bbox": [ + 261.0, + 292.0, + 750.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 542.0, + 89.0, + 1001.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "owl", + "bbox": [ + 263.0, + 297.0, + 764.0, + 528.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72cadebbce" + }, + "72cae058a5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 145.0, + 0.0, + 1150.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 179.0, + 0.0, + 1184.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 155.0, + 0.0, + 1156.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 41.0, + 0.0, + 1018.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72cae058a5" + }, + "72d8dba870": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 362.0, + 110.0, + 903.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 790.0, + 0.0, + 1277.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 368.0, + 103.0, + 845.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 695.0, + 0.0, + 1279.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 342.0, + 112.0, + 821.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 700.0, + 0.0, + 1279.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 302.0, + 101.0, + 763.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 697.0, + 0.0, + 1279.0, + 592.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72d8dba870" + }, + "72e8d1c1ff": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 462.0, + 222.0, + 584.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 473.0, + 239.0, + 620.0, + 681.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 207.0, + 621.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 469.0, + 219.0, + 635.0, + 697.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72e8d1c1ff" + }, + "72edc08285": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 475.0, + 5.0, + 746.0, + 391.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 435.0, + 30.0, + 738.0, + 426.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 429.0, + 36.0, + 734.0, + 426.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 431.0, + 23.0, + 730.0, + 413.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72edc08285" + }, + "72f04f1a38": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 46.0, + 891.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 561.0, + 34.0, + 1033.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 546.0, + 23.0, + 806.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 545.0, + 16.0, + 814.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/72f04f1a38" + }, + "731b825695": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 147.0, + 232.0, + 288.0, + 585.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 82.0, + 324.0, + 332.0, + 461.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 352.0, + 180.0, + 465.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 266.0, + 278.0, + 521.0, + 448.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 404.0, + 217.0, + 558.0, + 605.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 321.0, + 267.0, + 572.0, + 466.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 16.0, + 211.0, + 172.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 459.0, + 324.0, + 653.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 553.0, + 339.0, + 657.0, + 553.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 226.0, + 204.0, + 344.0, + 581.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/731b825695" + }, + "7320b49b13": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 330.0, + 256.0, + 917.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 272.0, + 214.0, + 955.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 210.0, + 238.0, + 935.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 234.0, + 264.0, + 965.0, + 701.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7320b49b13" + }, + "732626383b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 183.0, + 327.0, + 318.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 285.0, + 261.0, + 1043.0, + 466.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 972.0, + 189.0, + 1226.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 150.0, + 330.0, + 296.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 265.0, + 261.0, + 1051.0, + 468.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1143.0, + 188.0, + 1275.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 140.0, + 331.0, + 266.0, + 467.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 238.0, + 258.0, + 1031.0, + 467.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 113.0, + 328.0, + 222.0, + 467.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 198.0, + 259.0, + 999.0, + 467.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/732626383b" + }, + "732df1eb05": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 331.0, + 302.0, + 743.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 335.0, + 296.0, + 744.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 346.0, + 264.0, + 762.0, + 416.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 358.0, + 263.0, + 765.0, + 416.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/732df1eb05" + }, + "73329902ab": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 429.0, + 26.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 19.0, + 438.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 439.0, + 29.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 12.0, + 406.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 542.0, + 21.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 16.0, + 337.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 562.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 355.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/73329902ab" + }, + "733798921e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 515.0, + 372.0, + 631.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 384.0, + 645.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 487.0, + 408.0, + 607.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 532.0, + 384.0, + 661.0, + 484.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/733798921e" + }, + "733824d431": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 573.0, + 272.0, + 1043.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 563.0, + 273.0, + 1036.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 582.0, + 260.0, + 1026.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 573.0, + 255.0, + 1017.0, + 598.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/733824d431" + }, + "734ea0d7fb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 389.0, + 244.0, + 1064.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 291.0, + 239.0, + 1040.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 230.0, + 273.0, + 1104.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 228.0, + 279.0, + 1124.0, + 551.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/734ea0d7fb" + }, + "735a7cf7b9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 622.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 582.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 1.0, + 505.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 63.0, + 672.0, + 565.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/735a7cf7b9" + }, + "7367a42892": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 1071.0, + 706.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 884.0, + 704.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 913.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 825.0, + 711.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7367a42892" + }, + "7368d5c053": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 306.0, + 0.0, + 1234.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 153.0, + 0.0, + 1229.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 292.0, + 141.0, + 1077.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 262.0, + 188.0, + 1115.0, + 632.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7368d5c053" + }, + "738e5a0a14": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 226.0, + 264.0, + 979.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 191.0, + 272.0, + 983.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 203.0, + 199.0, + 999.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 151.0, + 187.0, + 1069.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/738e5a0a14" + }, + "73c6ae7711": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 327.0, + 413.0, + 879.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 881.0, + 484.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 473.0, + 303.0, + 703.0, + 548.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 472.0, + 257.0, + 643.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 467.0, + 312.0, + 751.0, + 582.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/73c6ae7711" + }, + "73e1852735": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 728.0, + 338.0, + 809.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 681.0, + 310.0, + 778.0, + 449.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 463.0, + 240.0, + 585.0, + 400.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 578.0, + 281.0, + 675.0, + 441.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 707.0, + 240.0, + 861.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 651.0, + 319.0, + 751.0, + 467.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 641.0, + 291.0, + 712.0, + 391.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 613.0, + 252.0, + 752.0, + 321.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 590.0, + 255.0, + 689.0, + 393.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 809.0, + 254.0, + 900.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 613.0, + 325.0, + 701.0, + 472.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 549.0, + 308.0, + 633.0, + 438.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 666.0, + 271.0, + 786.0, + 406.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 603.0, + 280.0, + 705.0, + 359.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 831.0, + 290.0, + 943.0, + 429.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 406.0, + 357.0, + 503.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 309.0, + 351.0, + 379.0, + 485.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 669.0, + 357.0, + 776.0, + 485.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 541.0, + 359.0, + 607.0, + 489.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 858.0, + 354.0, + 1000.0, + 476.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00070", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/73e1852735" + }, + "73e4e5cc74": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 428.0, + 0.0, + 745.0, + 376.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 421.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 410.0, + 0.0, + 624.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 338.0, + 286.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 410.0, + 0.0, + 622.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 402.0, + 393.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 382.0, + 0.0, + 575.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 484.0, + 634.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/73e4e5cc74" + }, + "73eac9156b": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 427.0, + 224.0, + 1026.0, + 689.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 810.0, + 304.0, + 1234.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 1120.0, + 291.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 1068.0, + 322.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/73eac9156b" + }, + "73f8441a88": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 755.0, + 55.0, + 877.0, + 406.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 390.0, + 350.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 50.0, + 403.0, + 507.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 894.0, + 24.0, + 1097.0, + 418.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 609.0, + 356.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 49.0, + 373.0, + 740.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 941.0, + 9.0, + 1120.0, + 406.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 642.0, + 367.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 64.0, + 358.0, + 800.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1062.0, + 8.0, + 1168.0, + 374.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 917.0, + 341.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 173.0, + 339.0, + 1010.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/73f8441a88" + }, + "7419e2ab3f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 857.0, + 283.0, + 1085.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 677.0, + 259.0, + 1079.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 630.0, + 255.0, + 1073.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 626.0, + 251.0, + 1122.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7419e2ab3f" + }, + "74267f68b9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 542.0, + 149.0, + 849.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 614.0, + 161.0, + 804.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 506.0, + 154.0, + 781.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 518.0, + 152.0, + 630.0, + 654.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/74267f68b9" + }, + "747c44785c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 313.0, + 169.0, + 653.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 337.0, + 38.0, + 896.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 328.0, + 1.0, + 1244.0, + 635.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 376.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/747c44785c" + }, + "747f1b1f2f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 539.0, + 0.0, + 932.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 367.0, + 0.0, + 835.0, + 132.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 754.0, + 124.0, + 1279.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 467.0, + 172.0, + 1078.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 589.0, + 0.0, + 1042.0, + 290.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 794.0, + 200.0, + 1279.0, + 446.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 483.0, + 19.0, + 1228.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 702.0, + 82.0, + 1096.0, + 346.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 801.0, + 325.0, + 1279.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 439.0, + 0.0, + 1279.0, + 335.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "hand", + "bbox": [ + 811.0, + 368.0, + 1279.0, + 631.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/747f1b1f2f" + }, + "748b2d5c01": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 609.0, + 102.0, + 808.0, + 309.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 635.0, + 169.0, + 730.0, + 254.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 613.0, + 110.0, + 740.0, + 269.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 590.0, + 78.0, + 784.0, + 282.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 617.0, + 142.0, + 709.0, + 234.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 582.0, + 79.0, + 715.0, + 252.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 72.0, + 808.0, + 277.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 637.0, + 141.0, + 735.0, + 227.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 618.0, + 77.0, + 744.0, + 237.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 622.0, + 77.0, + 827.0, + 276.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 651.0, + 134.0, + 749.0, + 224.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 626.0, + 69.0, + 751.0, + 232.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/748b2d5c01" + }, + "74d4cee0a4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 514.0, + 146.0, + 856.0, + 369.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 532.0, + 333.0, + 758.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 706.0, + 329.0, + 814.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 521.0, + 147.0, + 884.0, + 367.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 343.0, + 804.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 719.0, + 337.0, + 845.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 548.0, + 157.0, + 925.0, + 378.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 591.0, + 359.0, + 840.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 750.0, + 354.0, + 878.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 580.0, + 162.0, + 970.0, + 390.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 623.0, + 370.0, + 900.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 815.0, + 367.0, + 926.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/74d4cee0a4" + }, + "74ec2b3073": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 656.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 661.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 656.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 647.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/74ec2b3073" + }, + "74ef677020": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 192.0, + 103.0, + 1051.0, + 343.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 5.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 186.0, + 101.0, + 1069.0, + 334.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 144.0, + 101.0, + 1097.0, + 227.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 34.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 188.0, + 31.0, + 1065.0, + 291.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/74ef677020" + }, + "750be4c4d8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 777.0, + 414.0, + 868.0, + 661.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 104.0, + 347.0, + 137.0, + 438.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 124.0, + 339.0, + 145.0, + 416.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 809.0, + 378.0, + 881.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 238.0, + 337.0, + 262.0, + 406.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 234.0, + 327.0, + 250.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 841.0, + 397.0, + 903.0, + 585.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 271.0, + 360.0, + 295.0, + 426.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 249.0, + 349.0, + 274.0, + 410.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 849.0, + 375.0, + 910.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 271.0, + 345.0, + 293.0, + 410.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 247.0, + 334.0, + 268.0, + 393.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00065", + "00090", + "00115", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/750be4c4d8" + }, + "75172d4ac8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 550.0, + 107.0, + 756.0, + 393.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 525.0, + 141.0, + 740.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 568.0, + 169.0, + 806.0, + 444.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 532.0, + 184.0, + 776.0, + 429.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75172d4ac8" + }, + "75285a7eb1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 307.0, + 0.0, + 812.0, + 653.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 15.0, + 117.0, + 1238.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 111.0, + 166.0, + 1252.0, + 643.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 99.0, + 52.0, + 1170.0, + 609.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75285a7eb1" + }, + "75504539c3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 247.0, + 70.0, + 751.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 260.0, + 153.0, + 807.0, + 714.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 316.0, + 92.0, + 886.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 462.0, + 65.0, + 994.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1137.0, + 157.0, + 1279.0, + 666.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 414.0, + 119.0, + 691.0, + 668.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 1197.0, + 340.0, + 1279.0, + 374.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75504539c3" + }, + "7550949b1d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 381.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 2.0, + 376.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 380.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 1.0, + 385.0, + 959.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7550949b1d" + }, + "7551cbd537": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 382.0, + 98.0, + 1129.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 458.0, + 62.0, + 1139.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 462.0, + 194.0, + 1129.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 269.0, + 159.0, + 1135.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7551cbd537" + }, + "75595b453d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 91.0, + 179.0, + 312.0, + 363.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 136.0, + 309.0, + 297.0, + 363.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 874.0, + 159.0, + 1122.0, + 400.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 26.0, + 229.0, + 354.0, + 307.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 128.0, + 279.0, + 289.0, + 331.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 901.0, + 123.0, + 1180.0, + 361.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 92.0, + 158.0, + 337.0, + 329.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 159.0, + 277.0, + 323.0, + 325.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 922.0, + 144.0, + 1199.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 254.0, + 244.0, + 534.0, + 344.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 328.0, + 308.0, + 488.0, + 359.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 1109.0, + 159.0, + 1273.0, + 284.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75595b453d" + }, + "7559b4b0ec": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 191.0, + 197.0, + 939.0, + 685.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 289.0, + 192.0, + 1001.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 364.0, + 177.0, + 1044.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 411.0, + 154.0, + 945.0, + 565.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7559b4b0ec" + }, + "755bd1fbeb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 613.0, + 606.0, + 1032.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 143.0, + 42.0, + 988.0, + 577.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 921.0, + 72.0, + 970.0, + 140.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 611.0, + 603.0, + 1040.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 132.0, + 69.0, + 985.0, + 587.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 931.0, + 72.0, + 968.0, + 141.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 625.0, + 603.0, + 1056.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 150.0, + 82.0, + 1011.0, + 612.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 933.0, + 69.0, + 968.0, + 123.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 588.0, + 1074.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 186.0, + 101.0, + 1056.0, + 610.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 941.0, + 71.0, + 980.0, + 131.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/755bd1fbeb" + }, + "756f76f74d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 321.0, + 397.0, + 532.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 258.0, + 98.0, + 921.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 403.0, + 348.0, + 677.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 62.0, + 132.0, + 906.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 655.0, + 381.0, + 974.0, + 445.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 138.0, + 130.0, + 969.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 749.0, + 293.0, + 1037.0, + 363.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 240.0, + 123.0, + 1105.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/756f76f74d" + }, + "7570ca7f3c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 144.0, + 46.0, + 915.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 154.0, + 69.0, + 935.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 157.0, + 80.0, + 876.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 147.0, + 37.0, + 876.0, + 573.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7570ca7f3c" + }, + "757a69746e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 436.0, + 162.0, + 745.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 444.0, + 172.0, + 745.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 432.0, + 180.0, + 743.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 432.0, + 185.0, + 747.0, + 532.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/757a69746e" + }, + "757cac96c6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 541.0, + 226.0, + 748.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 550.0, + 218.0, + 774.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 509.0, + 223.0, + 725.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 476.0, + 318.0, + 736.0, + 522.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/757cac96c6" + }, + "7584129dc3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 243.0, + 317.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fish", + "bbox": [ + 44.0, + 0.0, + 675.0, + 615.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 192.0, + 72.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "fish", + "bbox": [ + 123.0, + 481.0, + 523.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7584129dc3" + }, + "75a058dbcd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 207.0, + 1252.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 211.0, + 1276.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 228.0, + 1279.0, + 653.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 239.0, + 1279.0, + 651.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75a058dbcd" + }, + "75b09ce005": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 209.0, + 0.0, + 932.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 726.0, + 22.0, + 1157.0, + 348.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 910.0, + 370.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 217.0, + 0.0, + 946.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 722.0, + 19.0, + 1156.0, + 342.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 912.0, + 369.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 377.0, + 0.0, + 918.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 724.0, + 22.0, + 1157.0, + 348.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 913.0, + 371.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 271.0, + 77.0, + 1132.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 726.0, + 22.0, + 1157.0, + 318.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 913.0, + 371.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75b09ce005" + }, + "75cae39a8f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 314.0, + 44.0, + 797.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 324.0, + 269.0, + 792.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 408.0, + 146.0, + 827.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 251.0, + 178.0, + 705.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75cae39a8f" + }, + "75cee6caf0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 533.0, + 320.0, + 900.0, + 635.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 524.0, + 383.0, + 851.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 693.0, + 337.0, + 894.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 500.0, + 336.0, + 984.0, + 682.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75cee6caf0" + }, + "75cf58fb2c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 723.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 829.0, + 0.0, + 1177.0, + 395.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 626.0, + 170.0, + 893.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 687.0, + 601.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 687.0, + 0.0, + 1217.0, + 467.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 496.0, + 175.0, + 761.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 174.0, + 0.0, + 777.0, + 417.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 689.0, + 284.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 514.0, + 205.0, + 815.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 240.0, + 54.0, + 893.0, + 493.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 536.0, + 369.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 574.0, + 348.0, + 935.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75cf58fb2c" + }, + "75d5c2f32a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 328.0, + 514.0, + 639.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 491.0, + 0.0, + 1279.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 307.0, + 515.0, + 643.0, + 672.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 481.0, + 0.0, + 1279.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 286.0, + 512.0, + 632.0, + 691.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 92.0, + 895.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75d5c2f32a" + }, + "75eaf5669d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 1021.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 819.0, + 19.0, + 1279.0, + 166.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 920.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 558.0, + 0.0, + 1279.0, + 254.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 671.0, + 134.0, + 769.0, + 286.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 918.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 807.0, + 0.0, + 1279.0, + 155.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 850.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 759.0, + 0.0, + 1279.0, + 116.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75eaf5669d" + }, + "75f7937438": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 391.0, + 0.0, + 690.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 321.0, + 0.0, + 611.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 351.0, + 0.0, + 637.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 145.0, + 129.0, + 427.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75f7937438" + }, + "75f99bd3b3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 847.0, + 31.0, + 1173.0, + 719.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "hat", + "bbox": [ + 831.0, + 24.0, + 1127.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 288.0, + 74.0, + 331.0, + 106.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "hat", + "bbox": [ + 293.0, + 81.0, + 338.0, + 113.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 837.0, + 50.0, + 1109.0, + 719.0 + ], + "valid": 1 + }, + "2": {}, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75f99bd3b3" + }, + "75fa586876": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 242.0, + 0.0, + 1117.0, + 371.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 164.0, + 14.0, + 784.0, + 151.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 224.0, + 0.0, + 1033.0, + 369.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 593.0, + 0.0, + 927.0, + 165.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 134.0, + 0.0, + 951.0, + 405.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 656.0, + 0.0, + 892.0, + 223.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 103.0, + 568.0, + 405.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 539.0, + 76.0, + 720.0, + 308.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/75fa586876" + }, + "7613df1f84": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 382.0, + 117.0, + 801.0, + 377.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 330.0, + 0.0, + 560.0, + 441.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 316.0, + 285.0, + 649.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 304.0, + 136.0, + 562.0, + 553.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7613df1f84" + }, + "762e1b3487": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 548.0, + 0.0, + 1279.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 272.0, + 226.0, + 1279.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 532.0, + 0.0, + 1279.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 278.0, + 194.0, + 1277.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 504.0, + 0.0, + 1279.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 274.0, + 255.0, + 1197.0, + 465.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 568.0, + 0.0, + 1279.0, + 456.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 252.0, + 33.0, + 1085.0, + 293.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/762e1b3487" + }, + "76379a3e69": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 470.0, + 0.0, + 1117.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 280.0, + 0.0, + 1249.0, + 407.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 162.0, + 0.0, + 1013.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 201.0, + 989.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 635.0, + 323.0, + 1137.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/76379a3e69" + }, + "764271f0f3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 417.0, + 183.0, + 753.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 442.0, + 185.0, + 760.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 360.0, + 94.0, + 761.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 368.0, + 43.0, + 693.0, + 715.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/764271f0f3" + }, + "764503c499": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 522.0, + 154.0, + 597.0, + 211.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 661.0, + 143.0, + 766.0, + 227.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1151.0, + 264.0, + 1194.0, + 305.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 518.0, + 151.0, + 589.0, + 207.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 649.0, + 141.0, + 742.0, + 220.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1046.0, + 252.0, + 1083.0, + 288.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 497.0, + 152.0, + 566.0, + 200.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 623.0, + 142.0, + 700.0, + 208.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 815.0, + 238.0, + 856.0, + 279.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 470.0, + 148.0, + 545.0, + 196.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 614.0, + 140.0, + 680.0, + 201.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 663.0, + 232.0, + 706.0, + 270.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/764503c499" + }, + "7666351b84": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 170.0, + 203.0, + 238.0, + 245.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 315.0, + 202.0, + 383.0, + 238.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 396.0, + 139.0, + 604.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 222.0, + 190.0, + 293.0, + 232.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 337.0, + 184.0, + 400.0, + 220.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 387.0, + 140.0, + 573.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 233.0, + 186.0, + 303.0, + 227.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 340.0, + 188.0, + 404.0, + 223.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 388.0, + 144.0, + 574.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 231.0, + 173.0, + 304.0, + 219.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 350.0, + 202.0, + 414.0, + 243.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 382.0, + 150.0, + 559.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7666351b84" + }, + "76693db153": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 332.0, + 119.0, + 565.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 384.0, + 175.0, + 568.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 416.0, + 215.0, + 572.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 480.0, + 271.0, + 582.0, + 472.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/76693db153" + }, + "767856368b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 278.0, + 198.0, + 1211.0, + 492.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 256.0, + 201.0, + 1095.0, + 485.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 84.0, + 251.0, + 122.0, + 374.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 147.0, + 270.0, + 186.0, + 366.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 294.0, + 224.0, + 1101.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 79.0, + 268.0, + 119.0, + 387.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 136.0, + 288.0, + 177.0, + 385.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 394.0, + 220.0, + 1187.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 7.0, + 243.0, + 51.0, + 367.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 130.0, + 267.0, + 161.0, + 359.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/767856368b" + }, + "768671f652": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 218.0, + 225.0, + 461.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 475.0, + 101.0, + 863.0, + 203.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 888.0, + 158.0, + 1268.0, + 312.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 1001.0, + 192.0, + 1275.0, + 370.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/768671f652" + }, + "768802b80d": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 31 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 579.0, + 233.0, + 870.0, + 528.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 577.0, + 102.0, + 944.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 467.0, + 130.0, + 681.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 799.0, + 166.0, + 1262.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/768802b80d" + }, + "76962c7ed2": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 66.0, + 198.0, + 454.0, + 423.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 518.0, + 265.0, + 951.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dog", + "bbox": [ + 595.0, + 17.0, + 1182.0, + 314.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dog", + "bbox": [ + 713.0, + 0.0, + 1048.0, + 190.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 337.0, + 298.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 742.0, + 92.0, + 822.0, + 217.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00030", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/76962c7ed2" + }, + "76a75f4eee": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 79.0, + 126.0, + 914.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 289.0, + 146.0, + 890.0, + 593.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 305.0, + 0.0, + 1090.0, + 409.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 517.0, + 356.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 259.0, + 175.0, + 1084.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 487.0, + 153.0, + 1048.0, + 480.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 565.0, + 0.0, + 1204.0, + 378.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 156.0, + 531.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 495.0, + 0.0, + 1150.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 730.0, + 118.0, + 1138.0, + 517.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 675.0, + 0.0, + 1190.0, + 310.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 141.0, + 85.0, + 504.0, + 467.0 + ], + "valid": 1 + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 650.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 307.0, + 0.0, + 1082.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 633.0, + 174.0, + 1064.0, + 513.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 566.0, + 0.0, + 1200.0, + 461.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 105.0, + 120.0, + 398.0, + 518.0 + ], + "valid": 1 + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 578.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/76a75f4eee" + }, + "76b90809f7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 366.0, + 0.0, + 977.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 905.0, + 0.0, + 1279.0, + 442.0 + ], + "valid": 1 + }, + "3": { + "category_name": "snail", + "bbox": [ + 0.0, + 102.0, + 382.0, + 688.0 + ], + "valid": 1 + }, + "4": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 835.0, + 0.0, + 1279.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "snail", + "bbox": [ + 210.0, + 0.0, + 879.0, + 633.0 + ], + "valid": 1 + }, + "4": { + "category_name": "snail", + "bbox": [ + 0.0, + 22.0, + 477.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 1261.0, + 56.0, + 1279.0, + 168.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "snail", + "bbox": [ + 647.0, + 0.0, + 1279.0, + 581.0 + ], + "valid": 1 + }, + "4": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 896.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "snail", + "bbox": [ + 705.0, + 0.0, + 1279.0, + 586.0 + ], + "valid": 1 + }, + "4": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 988.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/76b90809f7" + }, + "770a441457": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 712.0, + 245.0, + 953.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 519.0, + 358.0, + 1159.0, + 544.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 685.0, + 317.0, + 992.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 745.0, + 242.0, + 1006.0, + 520.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 529.0, + 321.0, + 1231.0, + 479.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 710.0, + 298.0, + 1007.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 550.0, + 296.0, + 780.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 294.0, + 384.0, + 997.0, + 515.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 505.0, + 345.0, + 798.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1.0, + 343.0, + 229.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 1.0, + 469.0, + 429.0, + 530.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 1.0, + 373.0, + 242.0, + 703.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/770a441457" + }, + "772a0fa402": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 515.0, + 0.0, + 1279.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 564.0, + 0.0, + 1279.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 494.0, + 0.0, + 1279.0, + 643.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 466.0, + 0.0, + 1279.0, + 588.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/772a0fa402" + }, + "772f2ffc3e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 110.0, + 0.0, + 444.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 109.0, + 0.0, + 447.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 110.0, + 2.0, + 455.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 109.0, + 0.0, + 452.0, + 359.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/772f2ffc3e" + }, + "774f6c2175": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 535.0, + 156.0, + 922.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 471.0, + 127.0, + 860.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 499.0, + 128.0, + 874.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 499.0, + 129.0, + 884.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/774f6c2175" + }, + "77610860e0": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 585.0, + 282.0, + 674.0, + 395.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 255.0, + 340.0, + 414.0, + 449.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 146.0, + 161.0, + 262.0, + 400.0 + ], + "valid": 1 + }, + "4": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 596.0, + 296.0, + 669.0, + 411.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 461.0, + 341.0, + 603.0, + 453.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 135.0, + 165.0, + 284.0, + 410.0 + ], + "valid": 1 + }, + "4": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 581.0, + 291.0, + 700.0, + 425.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 625.0, + 341.0, + 732.0, + 442.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 1.0, + 155.0, + 209.0, + 401.0 + ], + "valid": 1 + }, + "4": { + "category_name": "cow", + "bbox": [ + 1232.0, + 250.0, + 1279.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 574.0, + 325.0, + 670.0, + 445.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 645.0, + 351.0, + 796.0, + 451.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 179.0, + 108.0, + 362.0 + ], + "valid": 1 + }, + "4": { + "category_name": "cow", + "bbox": [ + 1096.0, + 244.0, + 1238.0, + 498.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00020", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/77610860e0" + }, + "777e58ff3d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 733.0, + 383.0, + 757.0, + 422.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 749.0, + 347.0, + 862.0, + 461.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 791.0, + 433.0, + 867.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 735.0, + 414.0, + 767.0, + 438.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 718.0, + 424.0, + 846.0, + 515.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 817.0, + 490.0, + 895.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 662.0, + 434.0, + 708.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 622.0, + 462.0, + 716.0, + 581.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 693.0, + 535.0, + 770.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 700.0, + 447.0, + 751.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 632.0, + 474.0, + 765.0, + 619.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 726.0, + 572.0, + 822.0, + 623.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00075", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/777e58ff3d" + }, + "77920f1708": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 555.0, + 187.0, + 858.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 521.0, + 249.0, + 803.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 516.0, + 241.0, + 802.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 529.0, + 239.0, + 800.0, + 479.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/77920f1708" + }, + "7799df28e7": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 199.0, + 108.0, + 813.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 218.0, + 102.0, + 813.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 286.0, + 90.0, + 891.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 344.0, + 97.0, + 911.0, + 629.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00085", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7799df28e7" + }, + "779e847a9a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 808.0, + 525.0, + 976.0, + 665.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1234.0, + 460.0, + 1413.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 846.0, + 525.0, + 1015.0, + 661.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1285.0, + 457.0, + 1454.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 933.0, + 510.0, + 1107.0, + 644.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1380.0, + 444.0, + 1547.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 998.0, + 500.0, + 1187.0, + 642.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1447.0, + 438.0, + 1610.0, + 552.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/779e847a9a" + }, + "77ba4edc72": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 293.0, + 56.0, + 920.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 176.0, + 590.0, + 271.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 1094.0, + 414.0, + 1243.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 300.0, + 63.0, + 915.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 205.0, + 577.0, + 301.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 1089.0, + 411.0, + 1231.0, + 500.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 313.0, + 79.0, + 887.0, + 564.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 335.0, + 533.0, + 412.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 1051.0, + 395.0, + 1165.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 307.0, + 87.0, + 848.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 410.0, + 494.0, + 472.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 1005.0, + 380.0, + 1097.0, + 440.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/77ba4edc72" + }, + "77d8aa8691": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 160.0, + 331.0, + 622.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 175.0, + 302.0, + 556.0, + 364.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 227.0, + 226.0, + 621.0, + 326.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 284.0, + 35.0, + 602.0, + 271.0 + ], + "valid": 1 + }, + "5": { + "category_name": "fish", + "bbox": [ + 807.0, + 176.0, + 1080.0, + 410.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 525.0, + 409.0, + 926.0, + 558.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 215.0, + 308.0, + 644.0, + 376.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 281.0, + 219.0, + 649.0, + 320.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 287.0, + 36.0, + 532.0, + 332.0 + ], + "valid": 1 + }, + "5": { + "category_name": "fish", + "bbox": [ + 638.0, + 279.0, + 960.0, + 386.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 830.0, + 383.0, + 1279.0, + 513.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 211.0, + 295.0, + 642.0, + 394.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 270.0, + 228.0, + 638.0, + 309.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 271.0, + 30.0, + 520.0, + 315.0 + ], + "valid": 1 + }, + "5": { + "category_name": "fish", + "bbox": [ + 618.0, + 291.0, + 939.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 740.0, + 223.0, + 1162.0, + 294.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 255.0, + 297.0, + 640.0, + 387.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 269.0, + 208.0, + 627.0, + 347.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fish", + "bbox": [ + 231.0, + 102.0, + 427.0, + 351.0 + ], + "valid": 1 + }, + "5": { + "category_name": "fish", + "bbox": [ + 641.0, + 179.0, + 732.0, + 415.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/77d8aa8691" + }, + "77e7f38f4d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 357.0, + 45.0, + 1122.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 407.0, + 62.0, + 1138.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 423.0, + 74.0, + 1120.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 889.0, + 327.0, + 1064.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 395.0, + 49.0, + 1054.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 775.0, + 293.0, + 1072.0, + 650.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/77e7f38f4d" + }, + "77eea6845e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 755.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 327.0, + 561.0, + 481.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 639.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 325.0, + 619.0, + 477.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 661.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 315.0, + 602.0, + 470.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 435.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 263.0, + 592.0, + 383.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/77eea6845e" + }, + "7806308f33": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 577.0, + 174.0, + 725.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 580.0, + 166.0, + 772.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 591.0, + 171.0, + 781.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 644.0, + 116.0, + 827.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7806308f33" + }, + "78254660ea": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 451.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 471.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 297.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 84.0, + 1279.0, + 415.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78254660ea" + }, + "7828af8bff": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 338.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 266.0, + 0.0, + 1005.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 262.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 238.0, + 0.0, + 952.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 74.0, + 18.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 316.0, + 0.0, + 1041.0, + 413.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 104.0, + 23.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 336.0, + 0.0, + 962.0, + 416.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7828af8bff" + }, + "784398620a": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 346.0, + 119.0, + 945.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 0.0, + 865.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 292.0, + 74.0, + 939.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 316.0, + 106.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 296.0, + 310.0, + 939.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 290.0, + 83.0, + 941.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/784398620a" + }, + "784d201b12": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 145.0, + 568.0, + 925.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 26.0, + 344.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 134.0, + 577.0, + 942.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 9.0, + 375.0, + 914.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 71.0, + 500.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 261.0, + 935.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 70.0, + 544.0, + 954.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 297.0, + 953.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/784d201b12" + }, + "78613981ed": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 945.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 950.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 945.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 934.0, + 614.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78613981ed" + }, + "78896c6baf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 457.0, + 321.0, + 972.0, + 446.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 561.0, + 203.0, + 966.0, + 343.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 177.0, + 248.0, + 621.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 497.0, + 368.0, + 1042.0, + 461.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 607.0, + 211.0, + 998.0, + 355.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 185.0, + 280.0, + 674.0, + 409.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 541.0, + 358.0, + 1033.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 658.0, + 186.0, + 956.0, + 350.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 227.0, + 282.0, + 673.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 476.0, + 349.0, + 928.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 629.0, + 140.0, + 863.0, + 351.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 105.0, + 218.0, + 565.0, + 401.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78896c6baf" + }, + "78aff3ebc0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 251.0, + 157.0, + 641.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 769.0, + 267.0, + 1278.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 222.0, + 153.0, + 648.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 758.0, + 252.0, + 1271.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 213.0, + 141.0, + 619.0, + 527.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 746.0, + 246.0, + 1215.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 178.0, + 122.0, + 587.0, + 510.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 688.0, + 221.0, + 1161.0, + 532.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78aff3ebc0" + }, + "78c7c03716": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 291.0, + 15.0, + 688.0, + 684.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 579.0, + 279.0, + 1244.0, + 694.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 758.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 289.0, + 5.0, + 652.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 561.0, + 254.0, + 1172.0, + 636.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 724.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 290.0, + 24.0, + 632.0, + 642.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 549.0, + 264.0, + 1152.0, + 634.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 710.0, + 0.0, + 1260.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 290.0, + 3.0, + 601.0, + 628.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 525.0, + 267.0, + 1112.0, + 607.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 575.0, + 0.0, + 1162.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78c7c03716" + }, + "78d3676361": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 141.0, + 440.0, + 355.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 221.0, + 398.0, + 340.0, + 615.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 420.0, + 340.0, + 769.0, + 369.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 307.0, + 401.0, + 443.0, + 714.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 335.0, + 379.0, + 441.0, + 548.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 425.0, + 340.0, + 775.0, + 368.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 463.0, + 403.0, + 573.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 480.0, + 293.0, + 577.0, + 465.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 427.0, + 344.0, + 776.0, + 372.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 534.0, + 264.0, + 608.0, + 395.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 550.0, + 206.0, + 609.0, + 299.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 429.0, + 343.0, + 772.0, + 385.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78d3676361" + }, + "78e29dd4c3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 623.0, + 217.0, + 1182.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 286.0, + 54.0, + 921.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 619.0, + 228.0, + 1168.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 286.0, + 85.0, + 898.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 625.0, + 230.0, + 1178.0, + 658.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 288.0, + 61.0, + 889.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 627.0, + 229.0, + 1170.0, + 659.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 285.0, + 71.0, + 906.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78e29dd4c3" + }, + "78f1a1a54f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 3.0, + 225.0, + 744.0, + 646.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 235.0, + 751.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 23.0, + 259.0, + 795.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 44.0, + 259.0, + 804.0, + 652.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/78f1a1a54f" + }, + "79208585cd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 122.0, + 153.0, + 500.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 112.0, + 189.0, + 468.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 95.0, + 162.0, + 474.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 103.0, + 189.0, + 466.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79208585cd" + }, + "792218456c": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 620.0, + 311.0, + 987.0, + 617.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 588.0, + 384.0, + 917.0, + 659.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fish", + "bbox": [ + 598.0, + 80.0, + 869.0, + 199.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fish", + "bbox": [ + 1109.0, + 88.0, + 1279.0, + 202.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/792218456c" + }, + "7923bad550": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 241.0, + 119.0, + 989.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 129.0, + 108.0, + 996.0, + 690.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 96.0, + 50.0, + 989.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 118.0, + 0.0, + 1007.0, + 568.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7923bad550" + }, + "794e6fc49f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 252.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 214.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 172.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 64.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00210", + "00245", + "00260", + "00285" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/794e6fc49f" + }, + "796e6762ce": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 560.0, + 332.0, + 846.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 557.0, + 318.0, + 844.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 558.0, + 321.0, + 844.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 556.0, + 317.0, + 846.0, + 573.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/796e6762ce" + }, + "797cd21f71": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 540.0, + 190.0, + 1057.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 909.0, + 261.0, + 1279.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 901.0, + 338.0, + 1279.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 772.0, + 240.0, + 1246.0, + 646.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/797cd21f71" + }, + "79921b21c2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 180.0, + 108.0, + 745.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 689.0, + 399.0, + 1077.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 212.0, + 107.0, + 691.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 653.0, + 263.0, + 1123.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 226.0, + 0.0, + 797.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 661.0, + 125.0, + 1127.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 328.0, + 285.0, + 779.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 759.0, + 308.0, + 1245.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00070", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79921b21c2" + }, + "79a5778027": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 506.0, + 0.0, + 1021.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 555.0, + 0.0, + 804.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 377.0, + 0.0, + 1000.0, + 482.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 434.0, + 0.0, + 727.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 434.0, + 0.0, + 936.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 478.0, + 9.0, + 694.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 440.0, + 0.0, + 869.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 417.0, + 41.0, + 720.0, + 594.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79a5778027" + }, + "79bc006280": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 528.0, + 177.0, + 793.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 462.0, + 184.0, + 873.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 530.0, + 160.0, + 873.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 486.0, + 170.0, + 783.0, + 491.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79bc006280" + }, + "79bf95e624": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 182.0, + 234.0, + 362.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 282.0, + 194.0, + 408.0, + 505.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 530.0, + 285.0, + 697.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 140.0, + 231.0, + 310.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 263.0, + 179.0, + 383.0, + 501.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 531.0, + 284.0, + 695.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 165.0, + 213.0, + 265.0, + 574.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 236.0, + 185.0, + 366.0, + 509.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 529.0, + 285.0, + 695.0, + 580.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 180.0, + 227.0, + 283.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 177.0, + 192.0, + 342.0, + 502.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 532.0, + 287.0, + 699.0, + 578.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79bf95e624" + }, + "79d9e00c55": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 786.0, + 2.0, + 1017.0, + 432.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 735.0, + 1.0, + 1014.0, + 358.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 697.0, + 1.0, + 1062.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 620.0, + 100.0, + 928.0, + 471.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79d9e00c55" + }, + "79e20fc008": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 801.0, + 387.0, + 880.0, + 419.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 796.0, + 194.0, + 891.0, + 400.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 475.0, + 59.0, + 558.0, + 283.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 455.0, + 273.0, + 560.0, + 302.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 156.0, + 146.0, + 302.0, + 182.0 + ], + "valid": 1 + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79e20fc008" + }, + "79e9db913e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 175.0, + 1206.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 535.0, + 263.0, + 1041.0, + 436.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 580.0, + 159.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 711.0, + 120.0, + 1279.0, + 579.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 596.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 913.0, + 62.0, + 1118.0, + 218.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 593.0, + 579.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1185.0, + 53.0, + 1274.0, + 196.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79e9db913e" + }, + "79f014085e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1073.0, + 87.0, + 1123.0, + 137.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1133.0, + 61.0, + 1199.0, + 125.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1045.0, + 17.0, + 1124.0, + 147.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1145.0, + 0.0, + 1199.0, + 48.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1007.0, + 36.0, + 1080.0, + 178.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1092.0, + 8.0, + 1156.0, + 76.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 963.0, + 0.0, + 1047.0, + 281.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1038.0, + 0.0, + 1127.0, + 284.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79f014085e" + }, + "79fcbb433a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 407.0, + 274.0, + 559.0, + 352.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 423.0, + 8.0, + 779.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 462.0, + 236.0, + 595.0, + 340.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 434.0, + 0.0, + 774.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 458.0, + 239.0, + 602.0, + 309.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 444.0, + 0.0, + 793.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 467.0, + 248.0, + 622.0, + 357.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 458.0, + 94.0, + 831.0, + 597.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00070", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/79fcbb433a" + }, + "7a13a5dfaa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 398.0, + 154.0, + 752.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 639.0, + 385.0, + 927.0, + 710.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 409.0, + 44.0, + 717.0, + 517.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 594.0, + 235.0, + 855.0, + 655.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 638.0, + 283.0, + 870.0, + 686.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 700.0, + 423.0, + 983.0, + 716.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 579.0, + 208.0, + 803.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 471.0, + 164.0, + 746.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 602.0, + 407.0, + 867.0, + 715.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 436.0, + 277.0, + 615.0, + 603.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a13a5dfaa" + }, + "7a14bc9a36": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1255.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1137.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a14bc9a36" + }, + "7a3c535f70": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 694.0, + 6.0, + 1034.0, + 436.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 564.0, + 335.0, + 1260.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 653.0, + 7.0, + 980.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 509.0, + 332.0, + 1043.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 685.0, + 46.0, + 1033.0, + 452.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 541.0, + 423.0, + 1130.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1041.0, + 0.0, + 1279.0, + 431.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 918.0, + 362.0, + 1279.0, + 550.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a3c535f70" + }, + "7a446a51e9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 232.0, + 11.0, + 608.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 514.0, + 0.0, + 823.0, + 587.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 759.0, + 54.0, + 1172.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 490.0, + 72.0, + 909.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 675.0, + 34.0, + 939.0, + 640.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 880.0, + 95.0, + 1271.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 528.0, + 173.0, + 1111.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 576.0, + 77.0, + 890.0, + 648.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 874.0, + 102.0, + 1203.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 572.0, + 187.0, + 1191.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 558.0, + 43.0, + 914.0, + 552.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 827.0, + 91.0, + 1057.0, + 304.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a446a51e9" + }, + "7a56e759c5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 257.0, + 68.0, + 494.0, + 241.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 65.0, + 61.0, + 469.0, + 274.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 11.0, + 4.0, + 500.0, + 230.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 1.0, + 559.0, + 288.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a56e759c5" + }, + "7a5f46198d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 576.0, + 656.0, + 673.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 285.0, + 565.0, + 392.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 258.0, + 398.0, + 385.0, + 591.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a5f46198d" + }, + "7a626ec98d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 566.0, + 2.0, + 769.0, + 332.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 504.0, + 36.0, + 706.0, + 425.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 518.0, + 69.0, + 721.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 504.0, + 119.0, + 700.0, + 508.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a626ec98d" + }, + "7a802264c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 575.0, + 424.0, + 767.0, + 713.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 678.0, + 386.0, + 938.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 626.0, + 378.0, + 917.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 641.0, + 363.0, + 897.0, + 661.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a802264c4" + }, + "7a8b5456ca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 185.0, + 102.0, + 675.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 86.0, + 215.0, + 603.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 77.0, + 124.0, + 644.0, + 535.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 569.0, + 458.0, + 717.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 173.0, + 85.0, + 594.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 537.0, + 325.0, + 738.0, + 462.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7a8b5456ca" + }, + "7abdff3086": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 349.0, + 1069.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 42.0, + 495.0, + 765.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tiger", + "bbox": [ + 312.0, + 44.0, + 897.0, + 441.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 82.0, + 289.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 484.0, + 932.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tiger", + "bbox": [ + 256.0, + 0.0, + 906.0, + 600.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 729.0, + 303.0, + 1163.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 807.0, + 469.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tiger", + "bbox": [ + 0.0, + 115.0, + 1003.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 1030.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 687.0, + 313.0, + 1237.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 556.0, + 287.0, + 846.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tiger", + "bbox": [ + 0.0, + 119.0, + 620.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 1194.0, + 0.0, + 1279.0, + 638.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7abdff3086" + }, + "7aecf9f7ac": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 612.0, + 434.0, + 781.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 584.0, + 435.0, + 767.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 590.0, + 512.0, + 793.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 554.0, + 538.0, + 753.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7aecf9f7ac" + }, + "7b0fd09c28": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 912.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 746.0, + 0.0, + 1279.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 295.0, + 187.0, + 938.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 872.0, + 0.0, + 1279.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 353.0, + 20.0, + 936.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 669.0, + 0.0, + 1279.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 142.0, + 0.0, + 715.0, + 625.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00160", + "00185", + "00200", + "00210" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b0fd09c28" + }, + "7b18b3db87": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 615.0, + 158.0, + 784.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 442.0, + 213.0, + 595.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 611.0, + 161.0, + 767.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 439.0, + 217.0, + 591.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 615.0, + 163.0, + 800.0, + 560.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 439.0, + 219.0, + 592.0, + 661.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 613.0, + 162.0, + 776.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 462.0, + 239.0, + 601.0, + 711.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b18b3db87" + }, + "7b39fe7371": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 805.0, + 263.0, + 844.0, + 340.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 803.0, + 300.0, + 837.0, + 366.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 698.0, + 256.0, + 773.0, + 363.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 708.0, + 306.0, + 765.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 772.0, + 174.0, + 908.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 776.0, + 271.0, + 871.0, + 467.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b39fe7371" + }, + "7b49e03d4c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 54.0, + 182.0, + 615.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 227.0, + 256.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 127.0, + 702.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 140.0, + 173.0, + 460.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 199.0, + 171.0, + 953.0, + 693.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 186.0, + 567.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 197.0, + 198.0, + 963.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 432.0, + 659.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b49e03d4c" + }, + "7b5388c9f1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 264.0, + 157.0, + 651.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 276.0, + 169.0, + 662.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 271.0, + 172.0, + 665.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 292.0, + 169.0, + 691.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b5388c9f1" + }, + "7b5cf7837f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 408.0, + 326.0, + 747.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 448.0, + 321.0, + 749.0, + 429.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 458.0, + 296.0, + 755.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 345.0, + 306.0, + 740.0, + 462.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b5cf7837f" + }, + "7b733d31d8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 400.0, + 113.0, + 998.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 65.0, + 0.0, + 488.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 424.0, + 0.0, + 809.0, + 343.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 358.0, + 0.0, + 672.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 471.0, + 47.0, + 801.0, + 268.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 410.0, + 0.0, + 692.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 357.0, + 0.0, + 797.0, + 423.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 303.0, + 34.0, + 620.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b733d31d8" + }, + "7b74fd7b98": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 578.0, + 19.0, + 763.0, + 351.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 607.0, + 1.0, + 787.0, + 286.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 594.0, + 103.0, + 951.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 552.0, + 53.0, + 943.0, + 448.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b74fd7b98" + }, + "7b918ccb8a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 392.0, + 84.0, + 1057.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 397.0, + 83.0, + 873.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 388.0, + 117.0, + 856.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 350.0, + 122.0, + 857.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7b918ccb8a" + }, + "7ba3ce3485": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 361.0, + 450.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 458.0, + 375.0, + 489.0, + 408.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "motorbike", + "bbox": [ + 437.0, + 389.0, + 466.0, + 422.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 355.0, + 887.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 357.0, + 927.0, + 719.0 + ], + "valid": 1 + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7ba3ce3485" + }, + "7bb0abc031": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 496.0, + 187.0, + 797.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 499.0, + 202.0, + 810.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 490.0, + 193.0, + 720.0, + 472.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 499.0, + 221.0, + 805.0, + 465.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7bb0abc031" + }, + "7bb5bb25cd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 167.0, + 26.0, + 662.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 268.0, + 347.0, + 411.0, + 497.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 408.0, + 384.0, + 554.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 149.0, + 27.0, + 646.0, + 655.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 248.0, + 352.0, + 403.0, + 499.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 395.0, + 386.0, + 532.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 151.0, + 38.0, + 650.0, + 668.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 246.0, + 360.0, + 391.0, + 505.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 391.0, + 402.0, + 529.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 121.0, + 30.0, + 615.0, + 658.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 212.0, + 357.0, + 360.0, + 502.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 362.0, + 393.0, + 500.0, + 530.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7bb5bb25cd" + }, + "7bb7dac673": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 491.0, + 331.0, + 585.0, + 371.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 627.0, + 45.0, + 850.0, + 141.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 461.0, + 347.0, + 557.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 615.0, + 45.0, + 839.0, + 139.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 439.0, + 311.0, + 554.0, + 345.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 681.0, + 34.0, + 912.0, + 129.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 449.0, + 303.0, + 570.0, + 341.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 722.0, + 35.0, + 956.0, + 133.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7bb7dac673" + }, + "7bc7761b8c": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 346.0, + 134.0, + 931.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 341.0, + 154.0, + 926.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 341.0, + 151.0, + 923.0, + 692.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 337.0, + 142.0, + 926.0, + 691.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00085", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7bc7761b8c" + }, + "7bf3820566": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 420.0, + 269.0, + 729.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 357.0, + 160.0, + 682.0, + 469.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 281.0, + 164.0, + 627.0, + 489.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 336.0, + 225.0, + 700.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7bf3820566" + }, + "7c03a18ec1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 217.0, + 88.0, + 692.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 561.0, + 230.0, + 730.0, + 360.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 838.0, + 156.0, + 1183.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 189.0, + 124.0, + 670.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 609.0, + 186.0, + 716.0, + 299.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 828.0, + 183.0, + 1153.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 235.0, + 103.0, + 659.0, + 446.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 648.0, + 155.0, + 739.0, + 371.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 839.0, + 146.0, + 1192.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 172.0, + 82.0, + 620.0, + 411.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 609.0, + 136.0, + 744.0, + 342.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 795.0, + 117.0, + 1150.0, + 532.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c03a18ec1" + }, + "7c078f211b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 579.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 461.0, + 695.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 40.0, + 1182.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c078f211b" + }, + "7c37d7991a": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 13.0, + 1168.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 11.0, + 44.0, + 1178.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 77.0, + 1182.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 111.0, + 1186.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c37d7991a" + }, + "7c4ec17eff": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 696.0, + 630.0, + 809.0, + 705.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 603.0, + 54.0, + 840.0, + 684.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 638.0, + 627.0, + 842.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 460.0, + 0.0, + 877.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 188.0, + 478.0, + 534.0, + 666.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 210.0, + 0.0, + 524.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 355.0, + 501.0, + 470.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 316.0, + 0.0, + 552.0, + 521.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c4ec17eff" + }, + "7c649c2aaf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 69.0, + 717.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 298.0, + 44.0, + 1078.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 1050.0, + 530.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 557.0, + 165.0, + 913.0, + 517.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c649c2aaf" + }, + "7c73340ab7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 318.0, + 145.0, + 901.0, + 628.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 573.0, + 440.0, + 802.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 300.0, + 129.0, + 941.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 540.0, + 410.0, + 811.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 248.0, + 91.0, + 737.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 529.0, + 391.0, + 783.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 258.0, + 125.0, + 737.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 566.0, + 414.0, + 817.0, + 626.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c73340ab7" + }, + "7c78a2266d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 137.0, + 0.0, + 1279.0, + 655.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 489.0, + 143.0, + 860.0, + 514.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 70.0, + 0.0, + 1237.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 434.0, + 232.0, + 801.0, + 515.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 796.0, + 0.0, + 1279.0, + 547.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 482.0, + 155.0, + 600.0, + 255.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 48.0, + 0.0, + 1279.0, + 677.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 398.0, + 256.0, + 775.0, + 521.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 796.0, + 0.0, + 1279.0, + 574.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 452.0, + 181.0, + 582.0, + 272.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 84.0, + 37.0, + 1279.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 448.0, + 237.0, + 815.0, + 534.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 963.0, + 0.0, + 1279.0, + 572.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 522.0, + 169.0, + 638.0, + 253.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c78a2266d" + }, + "7c88ce3c5b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 34.0, + 135.0, + 477.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 37.0, + 142.0, + 478.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 29.0, + 144.0, + 478.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 29.0, + 138.0, + 466.0, + 592.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7c88ce3c5b" + }, + "7ca6843a72": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 350.0, + 168.0, + 925.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 543.0, + 86.0, + 965.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 501.0, + 135.0, + 984.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 495.0, + 220.0, + 1013.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7ca6843a72" + }, + "7cc9258dee": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 710.0, + 0.0, + 1126.0, + 245.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 969.0, + 119.0, + 1279.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 31.0, + 185.0, + 219.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 999.0, + 150.0, + 1279.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 52.0, + 242.0, + 249.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 1050.0, + 184.0, + 1279.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 1.0, + 95.0, + 264.0, + 290.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00070", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7cc9258dee" + }, + "7d0ffa68a4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 656.0, + 197.0, + 911.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 468.0, + 0.0, + 680.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 668.0, + 212.0, + 916.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 577.0, + 2.0, + 731.0, + 454.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 654.0, + 391.0, + 957.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 595.0, + 97.0, + 807.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 686.0, + 331.0, + 1134.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 513.0, + 35.0, + 682.0, + 457.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d0ffa68a4" + }, + "7d11b4450f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 462.0, + 84.0, + 719.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 460.0, + 40.0, + 736.0, + 689.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 474.0, + 13.0, + 747.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 449.0, + 25.0, + 733.0, + 652.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d11b4450f" + }, + "7d1333fcbe": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 1001.0, + 181.0, + 1231.0, + 536.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 222.0, + 89.0, + 1120.0, + 681.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 360.0, + 224.0, + 711.0, + 520.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 530.0, + 0.0, + 1243.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 368.0, + 272.0, + 615.0, + 676.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 1036.0, + 90.0, + 1233.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 310.0, + 155.0, + 1019.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d1333fcbe" + }, + "7d18074fef": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 374.0, + 2.0, + 717.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 339.0, + 0.0, + 772.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 323.0, + 0.0, + 791.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 244.0, + 0.0, + 896.0, + 715.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00080", + "00095", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d18074fef" + }, + "7d18c8c716": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 316.0, + 73.0, + 1279.0, + 360.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 370.0, + 121.0, + 1279.0, + 355.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 362.0, + 96.0, + 1279.0, + 325.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 368.0, + 91.0, + 1279.0, + 317.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d18c8c716" + }, + "7d508fb027": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 342.0, + 75.0, + 838.0, + 605.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 314.0, + 356.0, + 615.0, + 706.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 299.0, + 110.0, + 819.0, + 639.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 317.0, + 228.0, + 620.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 304.0, + 105.0, + 817.0, + 639.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 300.0, + 237.0, + 609.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 303.0, + 103.0, + 805.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 260.0, + 376.0, + 582.0, + 716.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d508fb027" + }, + "7d55f791f0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 127.0, + 29.0, + 1033.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 113.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 218.0, + 0.0, + 1058.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 159.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 169.0, + 0.0, + 1100.0, + 667.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 228.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 209.0, + 0.0, + 1166.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 217.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d55f791f0" + }, + "7d74e3c2f6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 46.0, + 0.0, + 552.0, + 308.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 82.0, + 0.0, + 580.0, + 316.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 114.0, + 0.0, + 610.0, + 331.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 104.0, + 0.0, + 614.0, + 323.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d74e3c2f6" + }, + "7d783f67a9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 321.0, + 221.0, + 1041.0, + 426.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 282.0, + 218.0, + 1032.0, + 421.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 276.0, + 219.0, + 1056.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 357.0, + 247.0, + 1169.0, + 449.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d783f67a9" + }, + "7d83a5d854": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 383.0, + 129.0, + 798.0, + 445.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 412.0, + 132.0, + 844.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 490.0, + 279.0, + 669.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 318.0, + 165.0, + 534.0, + 431.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7d83a5d854" + }, + "7dd409947e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 654.0, + 292.0, + 867.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 400.0, + 0.0, + 754.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 631.0, + 281.0, + 1006.0, + 673.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 394.0, + 0.0, + 745.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 626.0, + 282.0, + 987.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 383.0, + 0.0, + 739.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 538.0, + 355.0, + 992.0, + 663.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 389.0, + 9.0, + 796.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7dd409947e" + }, + "7de45f75e5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 483.0, + 203.0, + 963.0, + 580.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 434.0, + 195.0, + 987.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 256.0, + 286.0, + 914.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 29.0, + 341.0, + 878.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7de45f75e5" + }, + "7e0cd25696": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 318.0, + 12.0, + 1129.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 352.0, + 31.0, + 1129.0, + 702.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 384.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 334.0, + 35.0, + 1173.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e0cd25696" + }, + "7e1922575c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 438.0, + 206.0, + 905.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 260.0, + 300.0, + 697.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 98.0, + 163.0, + 312.0, + 441.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 120.0, + 200.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 162.0, + 119.0, + 711.0, + 704.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 286.0, + 350.0, + 622.0, + 611.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 158.0, + 283.0, + 260.0, + 438.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 135.0, + 275.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 96.0, + 145.0, + 486.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 192.0, + 342.0, + 953.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 169.0, + 102.0, + 624.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 430.0, + 180.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 76.0, + 156.0, + 458.0, + 386.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 168.0, + 335.0, + 1029.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 206.0, + 82.0, + 633.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 480.0, + 160.0, + 572.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e1922575c" + }, + "7e1e3bbcc1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 240.0, + 70.0, + 1179.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 146.0, + 41.0, + 1045.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 210.0, + 41.0, + 1083.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 314.0, + 122.0, + 1209.0, + 658.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e1e3bbcc1" + }, + "7e24023274": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 396.0, + 89.0, + 661.0, + 407.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 399.0, + 309.0, + 478.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1039.0, + 104.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 392.0, + 34.0, + 590.0, + 415.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 369.0, + 260.0, + 492.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1031.0, + 150.0, + 1279.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 1055.0, + 654.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e24023274" + }, + "7e2f212fd3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 304.0, + 0.0, + 991.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 320.0, + 0.0, + 997.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 344.0, + 0.0, + 1021.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 360.0, + 0.0, + 1043.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00195", + "00215", + "00225", + "00250" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e2f212fd3" + }, + "7e6d1cc1f4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 64.0, + 160.0, + 1117.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 40.0, + 160.0, + 1085.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 14.0, + 171.0, + 1055.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 160.0, + 1127.0, + 585.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e6d1cc1f4" + }, + "7e7cdcb284": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 313.0, + 188.0, + 846.0, + 695.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 285.0, + 387.0, + 904.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 321.0, + 225.0, + 850.0, + 702.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 311.0, + 388.0, + 886.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 281.0, + 179.0, + 852.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 321.0, + 333.0, + 880.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 403.0, + 150.0, + 858.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 295.0, + 321.0, + 864.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e7cdcb284" + }, + "7e9b6bef69": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 869.0, + 164.0, + 970.0, + 222.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 69.0, + 978.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 866.0, + 185.0, + 965.0, + 242.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 120.0, + 978.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 876.0, + 153.0, + 985.0, + 223.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 88.0, + 999.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 848.0, + 141.0, + 968.0, + 213.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 114.0, + 986.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7e9b6bef69" + }, + "7ea5b49283": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 522.0, + 37.0, + 901.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 513.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 590.0, + 16.0, + 990.0, + 673.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 376.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 625.0, + 0.0, + 1039.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 446.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 592.0, + 121.0, + 927.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 515.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7ea5b49283" + }, + "7eb2605d96": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1090.0, + 431.0, + 1157.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 1119.0, + 487.0, + 1189.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1121.0, + 447.0, + 1187.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 1135.0, + 507.0, + 1207.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1201.0, + 415.0, + 1301.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 1187.0, + 496.0, + 1330.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1110.0, + 357.0, + 1283.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 991.0, + 493.0, + 1389.0, + 694.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7eb2605d96" + }, + "7eb26b8485": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 153.0, + 101.0, + 528.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 110.0, + 95.0, + 676.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 100.0, + 45.0, + 629.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 125.0, + 159.0, + 578.0, + 522.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7eb26b8485" + }, + "7ecd1f0c69": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 326.0, + 264.0, + 762.0, + 672.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 614.0, + 0.0, + 1009.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1245.0, + 218.0, + 1279.0, + 261.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 364.0, + 278.0, + 771.0, + 695.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 650.0, + 13.0, + 1007.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1229.0, + 248.0, + 1279.0, + 298.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 384.0, + 267.0, + 789.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 671.0, + 18.0, + 1017.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1199.0, + 263.0, + 1279.0, + 319.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 398.0, + 263.0, + 793.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 659.0, + 11.0, + 1023.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1195.0, + 260.0, + 1279.0, + 316.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7ecd1f0c69" + }, + "7f02b3cfe2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 595.0, + 183.0, + 825.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 594.0, + 123.0, + 767.0, + 348.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 715.0, + 162.0, + 982.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 510.0, + 164.0, + 777.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f02b3cfe2" + }, + "7f1723f0d5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 292.0, + 0.0, + 683.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 145.0, + 599.0, + 247.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 372.0, + 0.0, + 727.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 264.0, + 635.0, + 317.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 346.0, + 0.0, + 907.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 241.0, + 622.0, + 288.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 424.0, + 151.0, + 1131.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 245.0, + 528.0, + 369.0, + 713.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f1723f0d5" + }, + "7f21063c3a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 248.0, + 86.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 60.0, + 136.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 223.0, + 40.0, + 1279.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 112.0, + 241.0, + 283.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 303.0, + 222.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 241.0, + 543.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 301.0, + 217.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 269.0, + 591.0, + 528.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00060", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f21063c3a" + }, + "7f3658460e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 681.0, + 184.0, + 950.0, + 402.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 759.0, + 269.0, + 875.0, + 428.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 662.0, + 209.0, + 884.0, + 454.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 739.0, + 310.0, + 855.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 695.0, + 256.0, + 874.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 722.0, + 391.0, + 881.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 592.0, + 230.0, + 725.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 606.0, + 421.0, + 745.0, + 563.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f3658460e" + }, + "7f54132e48": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 492.0, + 282.0, + 695.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 593.0, + 138.0, + 817.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 642.0, + 227.0, + 823.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 686.0, + 160.0, + 877.0, + 614.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f54132e48" + }, + "7f559f9d4a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 475.0, + 0.0, + 1232.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 292.0, + 99.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 505.0, + 0.0, + 1279.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 280.0, + 29.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 445.0, + 0.0, + 1279.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 214.0, + 60.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 147.0, + 3.0, + 988.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 36.0, + 428.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f559f9d4a" + }, + "7f5faedf8b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 663.0, + 311.0, + 886.0, + 402.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 618.0, + 308.0, + 830.0, + 397.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 506.0, + 445.0, + 682.0, + 544.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 469.0, + 350.0, + 689.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f5faedf8b" + }, + "7f838baf2b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 411.0, + 187.0, + 1188.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 245.0, + 74.0, + 463.0, + 651.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 68.0, + 177.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 151.0, + 214.0, + 1204.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 415.0, + 36.0, + 531.0, + 221.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 137.0, + 21.0, + 367.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 148.0, + 253.0, + 494.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 852.0, + 181.0, + 1014.0, + 578.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 414.0, + 171.0, + 777.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 525.0, + 153.0, + 625.0, + 450.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1010.0, + 121.0, + 1194.0, + 619.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7f838baf2b" + }, + "7fa5f527e3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 302.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 704.0, + 325.0, + 723.0, + 343.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 299.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 698.0, + 305.0, + 735.0, + 341.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 303.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 709.0, + 296.0, + 778.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 305.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 744.0, + 277.0, + 897.0, + 383.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7fa5f527e3" + }, + "7ff84d66dd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 152.0, + 117.0, + 589.0, + 485.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 688.0, + 247.0, + 1134.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 174.0, + 123.0, + 592.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 709.0, + 258.0, + 1168.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 187.0, + 125.0, + 612.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 737.0, + 250.0, + 1203.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 190.0, + 119.0, + 617.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 737.0, + 252.0, + 1203.0, + 589.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/7ff84d66dd" + }, + "802b45c8c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 533.0, + 142.0, + 820.0, + 478.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 639.0, + 57.0, + 912.0, + 382.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 527.0, + 140.0, + 798.0, + 617.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 595.0, + 68.0, + 886.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 549.0, + 232.0, + 806.0, + 636.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 598.0, + 69.0, + 891.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 553.0, + 251.0, + 794.0, + 641.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 573.0, + 32.0, + 836.0, + 353.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/802b45c8c4" + }, + "804382b1ad": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 632.0, + 328.0, + 690.0, + 388.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 600.0, + 312.0, + 661.0, + 363.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 295.0, + 311.0, + 344.0, + 366.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 247.0, + 303.0, + 297.0, + 354.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 683.0, + 337.0, + 734.0, + 376.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 337.0, + 233.0, + 382.0, + 273.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 285.0, + 240.0, + 347.0, + 284.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 604.0, + 292.0, + 650.0, + 339.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 244.0, + 322.0, + 337.0, + 361.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 263.0, + 341.0, + 279.0, + 351.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/804382b1ad" + }, + "804c558adb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 422.0, + 1279.0, + 685.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 419.0, + 1279.0, + 670.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 440.0, + 1279.0, + 661.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 377.0, + 1279.0, + 683.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/804c558adb" + }, + "804f6338a4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 698.0, + 158.0, + 939.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 642.0, + 237.0, + 996.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 586.0, + 89.0, + 1014.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 372.0, + 0.0, + 991.0, + 696.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/804f6338a4" + }, + "8056117b89": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 646.0, + 265.0, + 1009.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 907.0, + 200.0, + 1081.0, + 648.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 153.0, + 144.0, + 648.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 604.0, + 442.0, + 845.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 523.0, + 262.0, + 650.0, + 491.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 180.0, + 169.0, + 555.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "lion", + "bbox": [ + 458.0, + 313.0, + 574.0, + 563.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 165.0, + 191.0, + 557.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "lion", + "bbox": [ + 451.0, + 371.0, + 592.0, + 582.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 169.0, + 201.0, + 558.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8056117b89" + }, + "806b6223ab": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 188.0, + 330.0, + 279.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 181.0, + 431.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 13.0, + 145.0, + 431.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 179.0, + 293.0, + 270.0, + 359.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 144.0, + 423.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 109.0, + 431.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 180.0, + 336.0, + 276.0, + 383.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 183.0, + 416.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 11.0, + 143.0, + 431.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 192.0, + 332.0, + 340.0, + 387.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 4.0, + 191.0, + 427.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 22.0, + 154.0, + 431.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/806b6223ab" + }, + "80b790703b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 186.0, + 310.0, + 318.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 72.0, + 550.0, + 231.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 570.0, + 364.0, + 1042.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 657.0, + 387.0, + 1031.0, + 552.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/80b790703b" + }, + "80c4a94706": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 378.0, + 283.0, + 459.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 398.0, + 452.0, + 547.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 373.0, + 300.0, + 455.0, + 503.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 376.0, + 467.0, + 524.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 366.0, + 271.0, + 442.0, + 462.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 327.0, + 447.0, + 467.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 345.0, + 273.0, + 422.0, + 457.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 319.0, + 435.0, + 428.0, + 491.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/80c4a94706" + }, + "80ce2e351b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 635.0, + 267.0, + 791.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 611.0, + 260.0, + 796.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 578.0, + 232.0, + 790.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 523.0, + 268.0, + 791.0, + 505.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/80ce2e351b" + }, + "80db581acd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 163.0, + 297.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 141.0, + 525.0, + 257.0, + 624.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 154.0, + 495.0, + 273.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 291.0, + 466.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 138.0, + 377.0, + 229.0, + 472.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 143.0, + 357.0, + 241.0, + 443.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 193.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 130.0, + 316.0, + 252.0, + 385.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 144.0, + 266.0, + 263.0, + 347.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 152.0, + 353.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 161.0, + 293.0, + 296.0, + 370.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 181.0, + 247.0, + 306.0, + 332.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/80db581acd" + }, + "80e12193df": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 481.0, + 52.0, + 1146.0, + 703.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 1030.0, + 224.0, + 1279.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 481.0, + 155.0, + 1087.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 691.0, + 206.0, + 1279.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 454.0, + 208.0, + 1188.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 670.0, + 322.0, + 1279.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 463.0, + 166.0, + 1005.0, + 697.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 747.0, + 282.0, + 1279.0, + 641.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/80e12193df" + }, + "80e41b608f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 213.0, + 325.0, + 1038.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 179.0, + 271.0, + 982.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 73.0, + 140.0, + 900.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 117.0, + 10.0, + 926.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/80e41b608f" + }, + "80f16b016d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 356.0, + 149.0, + 589.0, + 544.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 682.0, + 355.0, + 815.0, + 509.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 604.0, + 132.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 324.0, + 99.0, + 603.0, + 544.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 692.0, + 335.0, + 825.0, + 515.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 681.0, + 53.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 335.0, + 0.0, + 611.0, + 457.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 784.0, + 253.0, + 913.0, + 461.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 956.0, + 368.0, + 1279.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 383.0, + 0.0, + 669.0, + 509.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 869.0, + 279.0, + 975.0, + 514.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1161.0, + 498.0, + 1277.0, + 586.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/80f16b016d" + }, + "81541b3725": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 111.0, + 190.0, + 632.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 185.0, + 186.0, + 674.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 120.0, + 20.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 221.0, + 176.0, + 695.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 85.0, + 30.0, + 361.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 317.0, + 229.0, + 819.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 123.0, + 112.0, + 295.0, + 408.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/81541b3725" + }, + "8175486e6a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 911.0, + 636.0, + 1066.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 0.0, + 512.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 334.0, + 380.0, + 820.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 1148.0, + 712.0, + 1220.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 121.0, + 634.0, + 277.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 529.0, + 426.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 1014.0, + 540.0, + 1239.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 805.0, + 467.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 554.0, + 430.0, + 974.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 43.0, + 638.0, + 948.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 0.0, + 561.0, + 1104.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 380.0, + 396.0, + 829.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00125", + "00145", + "00165", + "00195" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8175486e6a" + }, + "8179095000": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 153.0, + 434.0, + 610.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 680.0, + 222.0, + 1150.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 179.0, + 449.0, + 759.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 650.0, + 165.0, + 1136.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 170.0, + 451.0, + 628.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 748.0, + 228.0, + 1279.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 163.0, + 437.0, + 588.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 519.0, + 236.0, + 1044.0, + 532.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8179095000" + }, + "8193671178": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 871.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 19.0, + 853.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 9.0, + 837.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 20.0, + 886.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8193671178" + }, + "81a58d2c6b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 388.0, + 176.0, + 738.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 685.0, + 369.0, + 828.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 439.0, + 178.0, + 793.0, + 480.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 646.0, + 373.0, + 780.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 527.0, + 227.0, + 841.0, + 485.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 619.0, + 394.0, + 760.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 533.0, + 227.0, + 832.0, + 525.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 610.0, + 399.0, + 747.0, + 565.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/81a58d2c6b" + }, + "81aa1286fb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 979.0, + 0.0, + 1111.0, + 84.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 837.0, + 280.0, + 1247.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 1005.0, + 0.0, + 1125.0, + 93.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 857.0, + 288.0, + 1243.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 952.0, + 0.0, + 1080.0, + 70.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 808.0, + 270.0, + 1228.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 990.0, + 0.0, + 1114.0, + 92.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 847.0, + 285.0, + 1235.0, + 441.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/81aa1286fb" + }, + "81dffd30fb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 265.0, + 77.0, + 1129.0, + 522.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 677.0, + 55.0, + 1279.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 261.0, + 115.0, + 1132.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 663.0, + 4.0, + 1279.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 181.0, + 170.0, + 878.0, + 393.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 699.0, + 74.0, + 1279.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 217.0, + 182.0, + 833.0, + 447.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 669.0, + 61.0, + 1279.0, + 496.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00095", + "00105", + "00130", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/81dffd30fb" + }, + "824973babb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 171.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 244.0, + 0.0, + 1279.0, + 713.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 54.0, + 0.0, + 1279.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 5.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/824973babb" + }, + "824ca5538f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 51.0, + 38.0, + 1279.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 53.0, + 36.0, + 1279.0, + 606.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 61.0, + 0.0, + 1279.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 69.0, + 0.0, + 1279.0, + 637.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/824ca5538f" + }, + "827171a845": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 474.0, + 168.0, + 937.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 482.0, + 132.0, + 1105.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 430.0, + 122.0, + 909.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 530.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/827171a845" + }, + "8273a03530": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 70.0, + 733.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 16.0, + 75.0, + 177.0, + 345.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 44.0, + 67.0, + 604.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 70.0, + 106.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 339.0, + 65.0, + 854.0, + 642.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 204.0, + 68.0, + 500.0, + 446.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 369.0, + 96.0, + 824.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 188.0, + 71.0, + 490.0, + 318.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8273a03530" + }, + "827cf4f886": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 168.0, + 264.0, + 715.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 697.0, + 246.0, + 1231.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 145.0, + 263.0, + 623.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 658.0, + 267.0, + 1196.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 187.0, + 246.0, + 387.0, + 521.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 607.0, + 273.0, + 1152.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 215.0, + 244.0, + 380.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 571.0, + 259.0, + 1124.0, + 610.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/827cf4f886" + }, + "82b865c7dd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 498.0, + 179.0, + 712.0, + 395.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 488.0, + 178.0, + 717.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 559.0, + 102.0, + 782.0, + 328.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 514.0, + 44.0, + 749.0, + 270.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/82b865c7dd" + }, + "82c1517708": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 334.0, + 191.0, + 633.0, + 390.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 338.0, + 259.0, + 390.0, + 348.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 717.0, + 325.0, + 809.0, + 402.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 368.0, + 185.0, + 662.0, + 376.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 353.0, + 255.0, + 402.0, + 352.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 727.0, + 304.0, + 860.0, + 385.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 361.0, + 181.0, + 672.0, + 377.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 357.0, + 264.0, + 395.0, + 355.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 721.0, + 307.0, + 823.0, + 386.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 323.0, + 166.0, + 511.0, + 358.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 337.0, + 256.0, + 365.0, + 343.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 666.0, + 288.0, + 722.0, + 366.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/82c1517708" + }, + "82d15514d6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 197.0, + 0.0, + 1279.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 253.0, + 313.0, + 1095.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 215.0, + 0.0, + 1279.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 300.0, + 307.0, + 1189.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 203.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 343.0, + 352.0, + 1206.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 245.0, + 10.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 364.0, + 357.0, + 1000.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/82d15514d6" + }, + "82e117b900": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 213.0, + 647.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 179.0, + 681.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 273.0, + 663.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 298.0, + 624.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/82e117b900" + }, + "82fec06574": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 80.0, + 50.0, + 987.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 84.0, + 51.0, + 985.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 50.0, + 36.0, + 1207.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 54.0, + 0.0, + 1171.0, + 590.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/82fec06574" + }, + "832b5ef379": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 567.0, + 252.0, + 781.0, + 672.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 436.0, + 284.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 539.0, + 240.0, + 780.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 25.0, + 715.0, + 338.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 555.0, + 115.0, + 764.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 645.0, + 265.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 509.0, + 103.0, + 762.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 470.0, + 226.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/832b5ef379" + }, + "83424c9fbf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 919.0, + 258.0, + 1267.0, + 443.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 356.0, + 331.0, + 669.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 761.0, + 64.0, + 1051.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 302.0, + 110.0, + 1279.0, + 700.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83424c9fbf" + }, + "8345358fb8": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 990.0, + 47.0, + 1063.0, + 261.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 677.0, + 17.0, + 805.0, + 321.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 26.0, + 176.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 974.0, + 63.0, + 1052.0, + 282.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 772.0, + 31.0, + 847.0, + 350.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 16.0, + 8.0, + 229.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 950.0, + 142.0, + 1035.0, + 362.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 776.0, + 109.0, + 918.0, + 425.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 31.0, + 65.0, + 242.0, + 682.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 936.0, + 169.0, + 1010.0, + 398.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 886.0, + 136.0, + 1024.0, + 444.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 98.0, + 80.0, + 390.0, + 712.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8345358fb8" + }, + "834b50b31b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 22.0, + 18.0, + 669.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 689.0, + 0.0, + 1279.0, + 461.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 0.0, + 670.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 790.0, + 0.0, + 1279.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 0.0, + 511.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 694.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 0.0, + 643.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 884.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/834b50b31b" + }, + "835e3b67d7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 125.0, + 508.0, + 318.0, + 717.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 457.0, + 470.0, + 497.0, + 549.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 190.0, + 516.0, + 419.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 591.0, + 471.0, + 625.0, + 592.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 323.0, + 509.0, + 518.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 578.0, + 469.0, + 613.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 463.0, + 466.0, + 506.0, + 543.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 566.0, + 471.0, + 606.0, + 596.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 462.0, + 468.0, + 501.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/835e3b67d7" + }, + "836ea92b15": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 440.0, + 196.0, + 718.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 443.0, + 199.0, + 713.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 445.0, + 205.0, + 715.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 445.0, + 204.0, + 716.0, + 608.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/836ea92b15" + }, + "837c618777": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 416.0, + 340.0, + 1129.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 390.0, + 315.0, + 1120.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 386.0, + 321.0, + 1141.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 474.0, + 373.0, + 1041.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/837c618777" + }, + "838eb3bd89": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 1000.0, + 335.0, + 1122.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 498.0, + 477.0, + 721.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 1016.0, + 335.0, + 1127.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 501.0, + 471.0, + 722.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 469.0, + 485.0, + 674.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 969.0, + 340.0, + 1079.0, + 479.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 1001.0, + 383.0, + 1121.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 489.0, + 532.0, + 666.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/838eb3bd89" + }, + "839381063f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 392.0, + 0.0, + 1279.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 616.0, + 0.0, + 1279.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 450.0, + 0.0, + 1279.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 540.0, + 0.0, + 1279.0, + 663.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/839381063f" + }, + "839bc71489": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 101.0, + 161.0, + 643.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 655.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 566.0, + 90.0, + 1239.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 932.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 545.0, + 54.0, + 1227.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 876.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 204.0, + 118.0, + 874.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 557.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/839bc71489" + }, + "83a8151377": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 982.0, + 536.0, + 1042.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 447.0, + 162.0, + 481.0, + 184.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 606.0, + 657.0, + 647.0, + 680.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83a8151377" + }, + "83ae88d217": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 358.0, + 707.0, + 378.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 296.0, + 30.0, + 843.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 200.0, + 5.0, + 781.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 472.0, + 523.0, + 989.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 226.0, + 0.0, + 783.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 655.0, + 158.0, + 925.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 42.0, + 12.0, + 827.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83ae88d217" + }, + "83ca8bcad0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 10.0, + 180.0, + 697.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 527.0, + 0.0, + 979.0, + 661.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 16.0, + 163.0, + 735.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 551.0, + 0.0, + 1003.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 56.0, + 184.0, + 739.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 557.0, + 0.0, + 1005.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 94.0, + 257.0, + 713.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 533.0, + 0.0, + 990.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83ca8bcad0" + }, + "83ce590d7f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 641.0, + 50.0, + 1083.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 643.0, + 34.0, + 1069.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 639.0, + 34.0, + 1075.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 614.0, + 38.0, + 1041.0, + 547.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83ce590d7f" + }, + "83d40bcba5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 269.0, + 27.0, + 303.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 117.0, + 281.0, + 182.0, + 315.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 248.0, + 266.0, + 268.0, + 300.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 241.0, + 84.0, + 297.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 187.0, + 211.0, + 230.0, + 267.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 244.0, + 98.0, + 301.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 211.0, + 212.0, + 281.0, + 266.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "duck", + "bbox": [ + 38.0, + 107.0, + 136.0, + 163.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 265.0, + 87.0, + 340.0, + 126.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83d40bcba5" + }, + "83daba503a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 608.0, + 324.0, + 664.0, + 405.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 584.0, + 390.0, + 671.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 550.0, + 238.0, + 634.0, + 413.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 507.0, + 386.0, + 645.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 125.0, + 700.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 480.0, + 399.0, + 765.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 107.0, + 704.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 585.0, + 420.0, + 673.0, + 451.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83daba503a" + }, + "83de906ec0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1254.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1252.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1094.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 994.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/83de906ec0" + }, + "84044f37f3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 859.0, + 302.0, + 1077.0, + 557.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 621.0, + 381.0, + 887.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 842.0, + 253.0, + 1154.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 694.0, + 364.0, + 850.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 395.0, + 47.0, + 994.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 84.0, + 290.0, + 410.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 464.0, + 12.0, + 937.0, + 602.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 342.0, + 336.0, + 635.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84044f37f3" + }, + "84696b5a5e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 386.0, + 152.0, + 457.0, + 206.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 393.0, + 186.0, + 506.0, + 598.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 520.0, + 98.0, + 646.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 396.0, + 151.0, + 462.0, + 200.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 386.0, + 196.0, + 520.0, + 596.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 511.0, + 116.0, + 658.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 423.0, + 149.0, + 478.0, + 192.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 390.0, + 184.0, + 533.0, + 568.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 527.0, + 98.0, + 647.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 435.0, + 151.0, + 494.0, + 194.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 403.0, + 190.0, + 537.0, + 569.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 537.0, + 119.0, + 673.0, + 573.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84696b5a5e" + }, + "84752191a3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 348.0, + 109.0, + 442.0, + 173.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 318.0, + 105.0, + 639.0, + 251.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 342.0, + 102.0, + 525.0, + 230.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 607.0, + 167.0, + 638.0, + 215.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 324.0, + 94.0, + 491.0, + 231.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 271.0, + 81.0, + 506.0, + 245.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84752191a3" + }, + "847eeeb2e0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 392.0, + 0.0, + 843.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 146.0, + 89.0, + 476.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 417.0, + 210.0, + 1028.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 202.0, + 188.0, + 568.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 787.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 312.0, + 65.0, + 995.0, + 489.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 369.0, + 417.0, + 570.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 539.0, + 357.0, + 761.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/847eeeb2e0" + }, + "848e7835a0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 647.0, + 190.0, + 974.0, + 499.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 1127.0, + 322.0, + 1279.0, + 515.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 454.0, + 181.0, + 848.0, + 461.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 1024.0, + 296.0, + 1279.0, + 493.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 225.0, + 218.0, + 665.0, + 425.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 842.0, + 270.0, + 1279.0, + 510.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 133.0, + 205.0, + 542.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 759.0, + 264.0, + 1242.0, + 500.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00145", + "00155", + "00180", + "00195" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/848e7835a0" + }, + "84a4b29286": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 429.0, + 50.0, + 1061.0, + 689.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 370.0, + 24.0, + 993.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 367.0, + 23.0, + 976.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 376.0, + 18.0, + 973.0, + 649.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84a4b29286" + }, + "84a4bf147d": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 623.0, + 203.0, + 728.0, + 407.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 574.0, + 191.0, + 705.0, + 400.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 574.0, + 108.0, + 712.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 529.0, + 4.0, + 773.0, + 443.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00030", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84a4bf147d" + }, + "84be115c09": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 627.0, + 256.0, + 750.0, + 513.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 618.0, + 271.0, + 681.0, + 400.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 569.0, + 376.0, + 720.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 541.0, + 394.0, + 651.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 632.0, + 429.0, + 742.0, + 583.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 570.0, + 397.0, + 645.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 648.0, + 429.0, + 750.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 601.0, + 416.0, + 673.0, + 570.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84be115c09" + }, + "84d95c4350": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 291.0, + 35.0, + 956.0, + 669.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 370.0, + 416.0, + 819.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 281.0, + 36.0, + 1026.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 487.0, + 313.0, + 921.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 255.0, + 38.0, + 1026.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 442.0, + 343.0, + 893.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 231.0, + 55.0, + 1048.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 402.0, + 397.0, + 773.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84d95c4350" + }, + "84e0922cf7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 709.0, + 265.0, + 858.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 578.0, + 490.0, + 782.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 718.0, + 300.0, + 852.0, + 513.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 632.0, + 494.0, + 870.0, + 528.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 695.0, + 236.0, + 789.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 607.0, + 405.0, + 754.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 884.0, + 266.0, + 973.0, + 415.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 809.0, + 389.0, + 902.0, + 419.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84e0922cf7" + }, + "84f0cfc665": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 110.0, + 1167.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 49.0, + 719.0, + 366.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 49.0, + 1209.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 282.0, + 0.0, + 835.0, + 109.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 57.0, + 1163.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 318.0, + 0.0, + 861.0, + 111.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1169.0, + 557.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 668.0, + 0.0, + 943.0, + 45.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/84f0cfc665" + }, + "8515f6db22": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 624.0, + 218.0, + 836.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 622.0, + 209.0, + 755.0, + 350.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 536.0, + 326.0, + 620.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 472.0, + 298.0, + 555.0, + 399.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8515f6db22" + }, + "851f2f32c1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 537.0, + 362.0, + 625.0, + 429.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 487.0, + 301.0, + 581.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 384.0, + 260.0, + 578.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 498.0, + 300.0, + 743.0, + 458.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00065", + "00085", + "00100", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/851f2f32c1" + }, + "852a4d6067": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 344.0, + 703.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 664.0, + 397.0, + 708.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 632.0, + 419.0, + 764.0, + 527.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 715.0, + 494.0, + 761.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 626.0, + 341.0, + 809.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 716.0, + 411.0, + 812.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 741.0, + 125.0, + 955.0, + 325.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 858.0, + 269.0, + 978.0, + 344.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/852a4d6067" + }, + "854c48b02a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 497.0, + 249.0, + 765.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 323.0, + 375.0, + 746.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 516.0, + 254.0, + 786.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 383.0, + 611.0, + 782.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 435.0, + 237.0, + 696.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 527.0, + 225.0, + 783.0, + 509.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/854c48b02a" + }, + "857a387c86": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 677.0, + 196.0, + 753.0, + 309.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 669.0, + 294.0, + 803.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 338.0, + 176.0, + 420.0, + 235.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 310.0, + 223.0, + 386.0, + 243.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 424.0, + 231.0, + 482.0, + 295.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 402.0, + 274.0, + 508.0, + 303.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 665.0, + 138.0, + 743.0, + 244.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 739.0, + 230.0, + 753.0, + 256.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/857a387c86" + }, + "859633d56a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 312.0, + 42.0, + 943.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 903.0, + 527.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 502.0, + 0.0, + 956.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 446.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 484.0, + 0.0, + 917.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 448.0, + 0.0, + 1257.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 404.0, + 0.0, + 827.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 358.0, + 31.0, + 1081.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00115", + "00145", + "00150", + "00180" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/859633d56a" + }, + "85a4f4a639": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 237.0, + 49.0, + 748.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 448.0, + 460.0, + 630.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 229.0, + 43.0, + 740.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 444.0, + 455.0, + 629.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 221.0, + 44.0, + 770.0, + 602.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 429.0, + 453.0, + 657.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 245.0, + 83.0, + 782.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 472.0, + 397.0, + 701.0, + 569.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/85a4f4a639" + }, + "85ab85510c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 535.0, + 0.0, + 1279.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1238.0, + 640.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1148.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 173.0, + 1.0, + 1268.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/85ab85510c" + }, + "85b1eda0d9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 411.0, + 193.0, + 880.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 603.0, + 103.0, + 721.0, + 236.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 352.0, + 188.0, + 844.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 660.0, + 70.0, + 794.0, + 250.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 313.0, + 183.0, + 820.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 681.0, + 64.0, + 809.0, + 239.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 341.0, + 150.0, + 867.0, + 511.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 773.0, + 23.0, + 884.0, + 198.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/85b1eda0d9" + }, + "85dc1041c6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 18.0, + 249.0, + 861.0, + 622.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 201.0, + 445.0, + 427.0, + 630.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1.0, + 508.0, + 194.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 35.0, + 290.0, + 894.0, + 637.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 199.0, + 477.0, + 433.0, + 632.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 503.0, + 232.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 159.0, + 317.0, + 959.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 335.0, + 512.0, + 430.0, + 629.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 176.0, + 500.0, + 438.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 186.0, + 349.0, + 959.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 224.0, + 566.0, + 287.0, + 605.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 269.0, + 536.0, + 521.0, + 630.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00075", + "00090", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/85dc1041c6" + }, + "85e081f3c7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 425.0, + 275.0, + 1036.0, + 695.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 385.0, + 253.0, + 970.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 290.0, + 291.0, + 1021.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 483.0, + 259.0, + 1098.0, + 659.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/85e081f3c7" + }, + "85f75187ad": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 295.0, + 106.0, + 1005.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 991.0, + 327.0, + 1269.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 297.0, + 107.0, + 1006.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 993.0, + 328.0, + 1266.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 296.0, + 114.0, + 1006.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 991.0, + 333.0, + 1264.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 269.0, + 119.0, + 975.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 966.0, + 343.0, + 1234.0, + 575.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/85f75187ad" + }, + "8604bb2b75": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 215.0, + 144.0, + 1255.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1132.0, + 126.0, + 1279.0, + 412.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 93.0, + 43.0, + 187.0, + 234.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 255.0, + 145.0, + 1160.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1108.0, + 21.0, + 1279.0, + 403.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 53.0, + 44.0, + 121.0, + 238.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 542.0, + 145.0, + 1096.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1253.0, + 327.0, + 1279.0, + 381.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 159.0, + 39.0, + 356.0, + 297.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 34.0, + 60.0, + 234.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 486.0, + 160.0, + 959.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1218.0, + 328.0, + 1279.0, + 411.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 272.0, + 77.0, + 369.0, + 329.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00205", + "00220", + "00240", + "00255" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8604bb2b75" + }, + "860745b042": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 436.0, + 235.0, + 959.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 254.0, + 365.0, + 632.0, + 706.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 400.0, + 225.0, + 839.0, + 612.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 34.0, + 398.0, + 452.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 464.0, + 227.0, + 647.0, + 463.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 312.0, + 202.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 372.0, + 230.0, + 735.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 254.0, + 212.0, + 576.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/860745b042" + }, + "863b4049d7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 628.0, + 192.0, + 726.0, + 429.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 843.0, + 106.0, + 1115.0, + 377.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 587.0, + 200.0, + 897.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1075.0, + 141.0, + 1249.0, + 355.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 759.0, + 200.0, + 976.0, + 425.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1061.0, + 175.0, + 1173.0, + 340.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 981.0, + 193.0, + 1092.0, + 389.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1073.0, + 207.0, + 1127.0, + 344.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/863b4049d7" + }, + "8643de22d0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 395.0, + 168.0, + 638.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 600.0, + 297.0, + 919.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 378.0, + 214.0, + 652.0, + 503.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 581.0, + 334.0, + 903.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 378.0, + 215.0, + 644.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 581.0, + 348.0, + 926.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 400.0, + 220.0, + 686.0, + 510.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 608.0, + 346.0, + 939.0, + 619.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8643de22d0" + }, + "864ffce4fe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 464.0, + 155.0, + 1056.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 447.0, + 181.0, + 1039.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 422.0, + 125.0, + 1019.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 440.0, + 115.0, + 1035.0, + 583.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/864ffce4fe" + }, + "8662d9441a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 355.0, + 128.0, + 1068.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 346.0, + 127.0, + 1062.0, + 653.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 327.0, + 121.0, + 1049.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 144.0, + 558.0, + 445.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8662d9441a" + }, + "8666521b13": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 58.0, + 70.0, + 835.0, + 231.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1200.0, + 256.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 59.0, + 907.0, + 216.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 255.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 23.0, + 976.0, + 287.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 278.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 23.0, + 962.0, + 360.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 345.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8666521b13" + }, + "868d6a0685": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 324.0, + 248.0, + 975.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 312.0, + 146.0, + 965.0, + 372.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 338.0, + 143.0, + 1026.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 294.0, + 133.0, + 1035.0, + 395.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/868d6a0685" + }, + "869fa45998": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 245.0, + 543.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 736.0, + 269.0, + 874.0, + 547.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 558.0, + 173.0, + 677.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 428.0, + 244.0, + 532.0, + 557.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 733.0, + 272.0, + 877.0, + 550.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 551.0, + 177.0, + 674.0, + 430.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 406.0, + 250.0, + 524.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 715.0, + 279.0, + 833.0, + 564.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 520.0, + 178.0, + 648.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 327.0, + 248.0, + 456.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 666.0, + 276.0, + 779.0, + 579.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 474.0, + 173.0, + 612.0, + 449.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/869fa45998" + }, + "86a40b655d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 652.0, + 247.0, + 770.0, + 347.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 548.0, + 155.0, + 775.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 140.0, + 114.0, + 590.0, + 402.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 724.0, + 291.0, + 766.0, + 318.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/86a40b655d" + }, + "86a8ae4223": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 439.0, + 140.0, + 555.0, + 303.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 421.0, + 174.0, + 545.0, + 387.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 339.0, + 255.0, + 587.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 427.0, + 354.0, + 671.0, + 624.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/86a8ae4223" + }, + "86b2180703": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 680.0, + 330.0, + 1020.0, + 607.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 630.0, + 326.0, + 1078.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 533.0, + 345.0, + 1043.0, + 645.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 572.0, + 398.0, + 1054.0, + 649.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/86b2180703" + }, + "86c85d27df": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 321.0, + 629.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 355.0, + 525.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 348.0, + 678.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 53.0, + 421.0, + 702.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/86c85d27df" + }, + "86d3755680": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 122.0, + 857.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 516.0, + 0.0, + 1279.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 142.0, + 785.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 490.0, + 0.0, + 1217.0, + 516.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 947.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 227.0, + 977.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 1141.0, + 0.0, + 1279.0, + 311.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00075", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/86d3755680" + }, + "86e61829a1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 243.0, + 249.0, + 1214.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 245.0, + 223.0, + 1182.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 246.0, + 238.0, + 1185.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 322.0, + 203.0, + 1223.0, + 504.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/86e61829a1" + }, + "871015806c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 296.0, + 421.0, + 593.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 366.0, + 555.0, + 502.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 636.0, + 45.0, + 1279.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 295.0, + 375.0, + 572.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 363.0, + 550.0, + 501.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 608.0, + 41.0, + 1279.0, + 364.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 341.0, + 367.0, + 591.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 404.0, + 547.0, + 542.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 614.0, + 36.0, + 1279.0, + 357.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 406.0, + 342.0, + 630.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 470.0, + 526.0, + 599.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 625.0, + 11.0, + 1279.0, + 343.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/871015806c" + }, + "871e409c5c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 609.0, + 199.0, + 944.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 598.0, + 181.0, + 951.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 612.0, + 184.0, + 949.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 596.0, + 169.0, + 945.0, + 500.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/871e409c5c" + }, + "8744b861ce": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 781.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 785.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 60.0, + 105.0, + 881.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 136.0, + 136.0, + 939.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8744b861ce" + }, + "8749369ba0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 326.0, + 99.0, + 999.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 957.0, + 265.0, + 1279.0, + 668.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 826.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 115.0, + 1063.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 955.0, + 304.0, + 1279.0, + 653.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 700.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 585.0, + 303.0, + 938.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 550.0, + 206.0, + 1005.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8749369ba0" + }, + "878a299541": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 415.0, + 355.0, + 557.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 415.0, + 363.0, + 558.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 413.0, + 356.0, + 561.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 414.0, + 353.0, + 580.0, + 633.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/878a299541" + }, + "8792c193a0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 282.0, + 304.0, + 824.0, + 692.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 751.0, + 277.0, + 1009.0, + 378.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 734.0, + 340.0, + 976.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 427.0, + 254.0, + 861.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 739.0, + 284.0, + 1001.0, + 383.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 719.0, + 350.0, + 964.0, + 426.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 420.0, + 262.0, + 853.0, + 564.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 731.0, + 287.0, + 995.0, + 387.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 712.0, + 353.0, + 957.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 448.0, + 343.0, + 869.0, + 637.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 739.0, + 356.0, + 1030.0, + 459.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 730.0, + 426.0, + 992.0, + 504.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8792c193a0" + }, + "8799ab0118": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 178.0, + 237.0, + 418.0, + 387.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 610.0, + 322.0, + 765.0, + 434.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 893.0, + 74.0, + 1079.0, + 191.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 177.0, + 246.0, + 393.0, + 398.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 560.0, + 331.0, + 748.0, + 446.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 864.0, + 93.0, + 1143.0, + 199.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 37.0, + 262.0, + 235.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 545.0, + 344.0, + 869.0, + 513.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 5.0, + 265.0, + 236.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 580.0, + 347.0, + 879.0, + 520.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8799ab0118" + }, + "87d1f7d741": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 329.0, + 48.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 321.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 391.0, + 101.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 203.0, + 76.0, + 1154.0, + 696.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/87d1f7d741" + }, + "882b9e4500": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 633.0, + 256.0, + 892.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 607.0, + 257.0, + 854.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 629.0, + 166.0, + 844.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 646.0, + 123.0, + 880.0, + 382.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/882b9e4500" + }, + "885673ea17": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 653.0, + 141.0, + 947.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 768.0, + 442.0, + 919.0, + 492.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 691.0, + 158.0, + 860.0, + 509.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 706.0, + 476.0, + 851.0, + 522.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 433.0, + 259.0, + 581.0, + 592.0 + ], + "valid": 1 + }, + "5": { + "category_name": "skateboard", + "bbox": [ + 462.0, + 577.0, + 601.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 520.0, + 135.0, + 738.0, + 478.0 + ], + "valid": 1 + }, + "5": { + "category_name": "skateboard", + "bbox": [ + 642.0, + 407.0, + 776.0, + 482.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/885673ea17" + }, + "8859dedf41": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 254.0, + 101.0, + 713.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 520.0, + 116.0, + 961.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 474.0, + 171.0, + 935.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 751.0, + 156.0, + 1177.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8859dedf41" + }, + "8873ab2806": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 290.0, + 194.0, + 478.0, + 370.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 95.0, + 291.0, + 853.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 250.0, + 195.0, + 454.0, + 358.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 68.0, + 287.0, + 867.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 217.0, + 180.0, + 447.0, + 339.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 48.0, + 270.0, + 895.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 195.0, + 179.0, + 441.0, + 337.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 36.0, + 270.0, + 913.0, + 436.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00075", + "00090", + "00105", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8873ab2806" + }, + "887a93b198": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 264.0, + 0.0, + 801.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 1109.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 514.0, + 0.0, + 1279.0, + 702.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 178.0, + 0.0, + 1005.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/887a93b198" + }, + "8883e991a9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 1054.0, + 204.0, + 1263.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 984.0, + 184.0, + 1251.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 955.0, + 170.0, + 1256.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 917.0, + 155.0, + 1254.0, + 505.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8883e991a9" + }, + "8891aa6dfa": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 825.0, + 315.0, + 1088.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 941.0, + 329.0, + 1247.0, + 701.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1004.0, + 383.0, + 1279.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 827.0, + 362.0, + 1011.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 719.0, + 382.0, + 881.0, + 672.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 1073.0, + 132.0, + 1277.0, + 402.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8891aa6dfa" + }, + "8899d8cbcd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 369.0, + 117.0, + 964.0, + 677.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 253.0, + 461.0, + 496.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 131.0, + 416.0, + 303.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 377.0, + 112.0, + 1004.0, + 693.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 245.0, + 414.0, + 463.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 131.0, + 394.0, + 278.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 353.0, + 96.0, + 1040.0, + 708.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 213.0, + 334.0, + 403.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 103.0, + 388.0, + 240.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 295.0, + 25.0, + 1096.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 109.0, + 258.0, + 345.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 9.0, + 349.0, + 129.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8899d8cbcd" + }, + "88b8274d67": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 142.0, + 268.0, + 1002.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 156.0, + 142.0, + 887.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 140.0, + 271.0, + 1014.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 189.0, + 132.0, + 873.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 144.0, + 271.0, + 1013.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 175.0, + 139.0, + 873.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 137.0, + 268.0, + 1009.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 200.0, + 133.0, + 885.0, + 453.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/88b8274d67" + }, + "88d3b80af6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 420.0, + 115.0, + 1000.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 446.0, + 119.0, + 1017.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 454.0, + 124.0, + 1037.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 444.0, + 136.0, + 1069.0, + 549.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/88d3b80af6" + }, + "88ede83da2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 581.0, + 118.0, + 1112.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 421.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 968.0, + 188.0, + 1218.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 149.0, + 0.0, + 1027.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 900.0, + 275.0, + 1279.0, + 627.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 11.0, + 0.0, + 1192.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 710.0, + 254.0, + 1180.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 810.0, + 648.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/88ede83da2" + }, + "88f345941b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 735.0, + 459.0, + 823.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 661.0, + 488.0, + 881.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 332.0, + 394.0, + 566.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 6.0, + 321.0, + 184.0, + 490.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/88f345941b" + }, + "890976d6da": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 110.0, + 0.0, + 855.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 68.0, + 34.0, + 869.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 84.0, + 835.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 833.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/890976d6da" + }, + "8909bde9ab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 225.0, + 0.0, + 1144.0, + 701.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 109.0, + 0.0, + 257.0, + 317.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 980.0, + 0.0, + 1068.0, + 205.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 273.0, + 0.0, + 1176.0, + 661.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 153.0, + 0.0, + 281.0, + 291.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 990.0, + 0.0, + 1080.0, + 192.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 232.0, + 0.0, + 1153.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 114.0, + 0.0, + 244.0, + 300.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 951.0, + 0.0, + 1029.0, + 188.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 200.0, + 0.0, + 1149.0, + 673.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 66.0, + 0.0, + 232.0, + 387.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 863.0, + 0.0, + 937.0, + 218.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8909bde9ab" + }, + "8929c7d5d9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 138.0, + 781.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 145.0, + 791.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 147.0, + 793.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 150.0, + 777.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8929c7d5d9" + }, + "89363acf76": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 426.0, + 156.0, + 831.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 592.0, + 411.0, + 834.0, + 599.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 412.0, + 335.0, + 565.0, + 564.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fox", + "bbox": [ + 408.0, + 442.0, + 1126.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 401.0, + 159.0, + 990.0, + 588.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 609.0, + 302.0, + 787.0, + 607.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 447.0, + 290.0, + 591.0, + 553.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fox", + "bbox": [ + 378.0, + 341.0, + 460.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 468.0, + 152.0, + 1018.0, + 564.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 583.0, + 364.0, + 832.0, + 605.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 427.0, + 290.0, + 666.0, + 561.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fox", + "bbox": [ + 343.0, + 271.0, + 533.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 469.0, + 63.0, + 1114.0, + 384.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 560.0, + 384.0, + 738.0, + 427.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 620.0, + 360.0, + 962.0, + 599.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fox", + "bbox": [ + 466.0, + 400.0, + 694.0, + 568.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89363acf76" + }, + "89379487e0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 56.0, + 69.0, + 539.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 80.0, + 96.0, + 539.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 81.0, + 108.0, + 539.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 88.0, + 63.0, + 539.0, + 335.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89379487e0" + }, + "8939db6354": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 147.0, + 802.0, + 705.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 146.0, + 907.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 146.0, + 935.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 139.0, + 937.0, + 697.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8939db6354" + }, + "893f658345": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 605.0, + 0.0, + 1279.0, + 668.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 337.0, + 978.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 609.0, + 0.0, + 1279.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 359.0, + 973.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 413.0, + 49.0, + 1092.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 577.0, + 593.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 411.0, + 51.0, + 1048.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 616.0, + 577.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/893f658345" + }, + "8953138465": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 565.0, + 335.0, + 907.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 793.0, + 235.0, + 1005.0, + 499.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 532.0, + 292.0, + 865.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 880.0, + 209.0, + 944.0, + 425.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 486.0, + 242.0, + 818.0, + 644.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 771.0, + 142.0, + 907.0, + 429.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8953138465" + }, + "895c96d671": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 653.0, + 218.0, + 1227.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 390.0, + 335.0, + 678.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 651.0, + 221.0, + 1231.0, + 617.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 385.0, + 334.0, + 646.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 643.0, + 216.0, + 1233.0, + 628.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 336.0, + 301.0, + 620.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 653.0, + 223.0, + 1229.0, + 619.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 337.0, + 301.0, + 619.0, + 542.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/895c96d671" + }, + "895cbf96f9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 46.0, + 21.0, + 594.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 476.0, + 293.0, + 811.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 733.0, + 0.0, + 1279.0, + 139.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 661.0, + 94.0, + 1055.0, + 687.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 300.0, + 193.0, + 705.0, + 580.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 783.0, + 0.0, + 1279.0, + 76.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 121.0, + 580.0, + 497.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 673.0, + 0.0, + 911.0, + 141.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 1097.0, + 0.0, + 1279.0, + 115.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/895cbf96f9" + }, + "895e8b29a7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 943.0, + 109.0, + 1161.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 789.0, + 115.0, + 974.0, + 515.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 671.0, + 144.0, + 789.0, + 430.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 932.0, + 96.0, + 1191.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 705.0, + 101.0, + 901.0, + 552.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 598.0, + 141.0, + 715.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 955.0, + 130.0, + 1214.0, + 652.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 620.0, + 141.0, + 828.0, + 570.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 473.0, + 178.0, + 620.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 900.0, + 188.0, + 1080.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 659.0, + 194.0, + 805.0, + 508.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 534.0, + 230.0, + 647.0, + 478.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/895e8b29a7" + }, + "898fa256c8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 20 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 224.0, + 62.0, + 1153.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 33.0, + 256.0, + 662.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 639.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 49.0, + 0.0, + 890.0, + 621.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00105", + "00135", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/898fa256c8" + }, + "89986c60be": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 23 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 562.0, + 435.0, + 635.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 448.0, + 158.0, + 579.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 935.0, + 471.0, + 1017.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 770.0, + 186.0, + 954.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1080.0, + 474.0, + 1154.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 872.0, + 224.0, + 1101.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1249.0, + 515.0, + 1279.0, + 539.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 1070.0, + 200.0, + 1270.0, + 586.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00070", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89986c60be" + }, + "89b874547b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 355.0, + 324.0, + 1028.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 88.0, + 267.0, + 452.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 447.0, + 221.0, + 1118.0, + 478.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 172.0, + 158.0, + 547.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 469.0, + 238.0, + 1146.0, + 485.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 202.0, + 176.0, + 552.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 441.0, + 204.0, + 1182.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 210.0, + 149.0, + 615.0, + 539.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89b874547b" + }, + "89bdb021d5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 344.0, + 156.0, + 1053.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 239.0, + 208.0, + 1006.0, + 635.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 340.0, + 200.0, + 1075.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 298.0, + 185.0, + 1013.0, + 599.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89bdb021d5" + }, + "89c802ff9c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 499.0, + 339.0, + 537.0, + 355.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 545.0, + 343.0, + 586.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 490.0, + 338.0, + 530.0, + 357.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 538.0, + 343.0, + 580.0, + 364.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 547.0, + 292.0, + 577.0, + 312.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 594.0, + 296.0, + 629.0, + 316.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 453.0, + 316.0, + 486.0, + 340.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 501.0, + 322.0, + 535.0, + 344.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89c802ff9c" + }, + "89d6336c2b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 415.0, + 145.0, + 456.0, + 162.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 745.0, + 29.0, + 775.0, + 43.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89d6336c2b" + }, + "89ebb27334": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 614.0, + 110.0, + 839.0, + 235.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 412.0, + 447.0, + 1517.0, + 1000.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 601.0, + 102.0, + 826.0, + 235.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 254.0, + 444.0, + 1327.0, + 1004.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 578.0, + 106.0, + 835.0, + 232.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 200.0, + 450.0, + 1283.0, + 1017.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 561.0, + 108.0, + 806.0, + 233.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 97.0, + 437.0, + 1133.0, + 1012.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/89ebb27334" + }, + "8a27e2407c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 705.0, + 249.0, + 729.0, + 356.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bike", + "bbox": [ + 516.0, + 167.0, + 598.0, + 342.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 543.0, + 158.0, + 624.0, + 311.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bike", + "bbox": [ + 581.0, + 244.0, + 618.0, + 362.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 573.0, + 232.0, + 608.0, + 360.0 + ], + "valid": 1 + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8a27e2407c" + }, + "8a31f7bca5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 57.0, + 595.0, + 259.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 421.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 522.0, + 207.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 36.0, + 0.0, + 1279.0, + 330.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 342.0, + 701.0, + 373.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 258.0, + 82.0, + 1279.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 175.0, + 51.0, + 1279.0, + 501.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8a31f7bca5" + }, + "8a4a2fc105": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 835.0, + 18.0, + 1279.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 825.0, + 33.0, + 1279.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 715.0, + 155.0, + 1279.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 671.0, + 154.0, + 1279.0, + 584.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8a4a2fc105" + }, + "8a5d6c619c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 352.0, + 275.0, + 446.0, + 321.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 632.0, + 238.0, + 718.0, + 266.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 342.0, + 275.0, + 428.0, + 316.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 705.0, + 252.0, + 748.0, + 274.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 509.0, + 263.0, + 636.0, + 312.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 729.0, + 234.0, + 803.0, + 260.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 657.0, + 263.0, + 762.0, + 307.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 727.0, + 222.0, + 771.0, + 237.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8a5d6c619c" + }, + "8a75ad7924": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 170.0, + 151.0, + 356.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 366.0, + 93.0, + 655.0, + 572.0 + ], + "valid": 1 + }, + "3": { + "category_name": "whale", + "bbox": [ + 651.0, + 32.0, + 929.0, + 400.0 + ], + "valid": 1 + }, + "4": { + "category_name": "whale", + "bbox": [ + 941.0, + 0.0, + 1101.0, + 129.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 82.0, + 207.0, + 250.0, + 702.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 274.0, + 145.0, + 524.0, + 665.0 + ], + "valid": 1 + }, + "3": { + "category_name": "whale", + "bbox": [ + 582.0, + 58.0, + 857.0, + 484.0 + ], + "valid": 1 + }, + "4": { + "category_name": "whale", + "bbox": [ + 893.0, + 0.0, + 1109.0, + 173.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 38.0, + 239.0, + 184.0, + 701.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 214.0, + 150.0, + 454.0, + 670.0 + ], + "valid": 1 + }, + "3": { + "category_name": "whale", + "bbox": [ + 518.0, + 54.0, + 787.0, + 490.0 + ], + "valid": 1 + }, + "4": { + "category_name": "whale", + "bbox": [ + 861.0, + 0.0, + 1065.0, + 169.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 263.0, + 88.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 112.0, + 202.0, + 316.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "whale", + "bbox": [ + 436.0, + 85.0, + 679.0, + 569.0 + ], + "valid": 1 + }, + "4": { + "category_name": "whale", + "bbox": [ + 815.0, + 0.0, + 1051.0, + 211.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8a75ad7924" + }, + "8aa817e4ed": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 293.0, + 178.0, + 1237.0, + 457.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 116.0, + 338.0, + 1006.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 82.0, + 282.0, + 1005.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 125.0, + 289.0, + 1007.0, + 586.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8aa817e4ed" + }, + "8aad0591eb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 457.0, + 205.0, + 1140.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 447.0, + 279.0, + 1080.0, + 660.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 593.0, + 577.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 720.0, + 387.0, + 1279.0, + 710.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8aad0591eb" + }, + "8aca214360": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 599.0, + 212.0, + 668.0, + 295.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 605.0, + 311.0, + 679.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 529.0, + 241.0, + 648.0, + 353.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 474.0, + 200.0, + 802.0, + 587.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8aca214360" + }, + "8ae168c71b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 403.0, + 8.0, + 1003.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 401.0, + 1.0, + 1021.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 363.0, + 0.0, + 1115.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 262.0, + 0.0, + 1163.0, + 715.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ae168c71b" + }, + "8b3645d826": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 797.0, + 0.0, + 1279.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 90.0, + 137.0, + 1159.0, + 382.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 789.0, + 0.0, + 1279.0, + 400.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 88.0, + 124.0, + 1167.0, + 374.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 799.0, + 0.0, + 1279.0, + 315.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 198.0, + 89.0, + 1054.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 598.0, + 19.0, + 1279.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 290.0, + 195.0, + 982.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b3645d826" + }, + "8b3805dbd4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 32.0, + 156.0, + 576.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 959.0, + 227.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 56.0, + 94.0, + 544.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 1003.0, + 223.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 93.0, + 366.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 949.0, + 251.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 98.0, + 392.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 999.0, + 248.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b3805dbd4" + }, + "8b473f0f5d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 476.0, + 146.0, + 853.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 492.0, + 118.0, + 905.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 584.0, + 32.0, + 865.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 524.0, + 133.0, + 883.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b473f0f5d" + }, + "8b4f6d1186": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 152.0, + 256.0, + 501.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 682.0, + 248.0, + 919.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 155.0, + 258.0, + 511.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 684.0, + 247.0, + 921.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 154.0, + 324.0, + 482.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 683.0, + 248.0, + 921.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 156.0, + 336.0, + 455.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 684.0, + 250.0, + 917.0, + 571.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b4f6d1186" + }, + "8b4fb018b7": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 384.0, + 218.0, + 567.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 421.0, + 205.0, + 562.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 448.0, + 82.0, + 632.0, + 327.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 472.0, + 110.0, + 645.0, + 260.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b4fb018b7" + }, + "8b518ee936": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 745.0, + 255.0, + 1022.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 752.0, + 122.0, + 1000.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 771.0, + 210.0, + 990.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 733.0, + 221.0, + 969.0, + 573.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b518ee936" + }, + "8b523bdfd6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 774.0, + 334.0, + 991.0, + 456.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 823.0, + 1.0, + 1046.0, + 431.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 430.0, + 92.0, + 470.0, + 189.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 651.0, + 249.0, + 721.0, + 380.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 579.0, + 0.0, + 754.0, + 357.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 778.0, + 183.0, + 858.0, + 386.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 606.0, + 361.0, + 713.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 0.0, + 752.0, + 371.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 931.0, + 166.0, + 1055.0, + 446.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 656.0, + 312.0, + 784.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 648.0, + 0.0, + 826.0, + 402.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b523bdfd6" + }, + "8b52fb5fba": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1030.0, + 97.0, + 1266.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 806.0, + 146.0, + 1056.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1016.0, + 98.0, + 1258.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 786.0, + 152.0, + 1051.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1002.0, + 128.0, + 1258.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 810.0, + 173.0, + 1069.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 980.0, + 147.0, + 1242.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 784.0, + 207.0, + 1039.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b52fb5fba" + }, + "8b91036e5c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1193.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1124.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1107.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 1123.0, + 687.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b91036e5c" + }, + "8b99a77ac5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 811.0, + 335.0, + 928.0, + 688.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "tennis_racket", + "bbox": [ + 865.0, + 350.0, + 1040.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 469.0, + 83.0, + 490.0, + 140.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 855.0, + 326.0, + 971.0, + 665.0 + ], + "valid": 1 + }, + "4": { + "category_name": "tennis_racket", + "bbox": [ + 484.0, + 82.0, + 506.0, + 141.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 934.0, + 508.0, + 956.0, + 540.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 514.0, + 87.0, + 534.0, + 148.0 + ], + "valid": 1 + }, + "4": { + "category_name": "tennis_racket", + "bbox": [ + 892.0, + 437.0, + 941.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00020", + "00070", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8b99a77ac5" + }, + "8ba04b1e7b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 869.0, + 54.0, + 1279.0, + 388.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 90.0, + 177.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 292.0, + 0.0, + 887.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 467.0, + 0.0, + 497.0, + 52.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 17.0, + 160.0, + 209.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 337.0, + 165.0, + 1215.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 677.0, + 151.0, + 710.0, + 182.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 201.0, + 278.0, + 390.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 228.0, + 113.0, + 1003.0, + 504.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 767.0, + 66.0, + 808.0, + 126.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 155.0, + 190.0, + 328.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00070", + "00075", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ba04b1e7b" + }, + "8ba782192f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 140.0, + 42.0, + 789.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 802.0, + 287.0, + 1079.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 128.0, + 60.0, + 791.0, + 679.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 816.0, + 306.0, + 1076.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 131.0, + 57.0, + 786.0, + 677.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 815.0, + 315.0, + 1074.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 124.0, + 45.0, + 798.0, + 677.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 830.0, + 314.0, + 1097.0, + 612.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ba782192f" + }, + "8bbeaad78b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 106.0, + 0.0, + 985.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 794.0, + 215.0, + 1279.0, + 412.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 86.0, + 0.0, + 947.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 737.0, + 194.0, + 1279.0, + 389.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 116.0, + 0.0, + 927.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 794.0, + 109.0, + 1279.0, + 343.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 110.0, + 0.0, + 933.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 802.0, + 64.0, + 1279.0, + 298.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8bbeaad78b" + }, + "8bd1b45776": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 600.0, + 273.0, + 920.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 466.0, + 405.0, + 740.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 329.0, + 358.0, + 880.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 204.0, + 426.0, + 733.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 239.0, + 300.0, + 869.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 162.0, + 560.0, + 259.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 321.0, + 443.0, + 701.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 242.0, + 633.0, + 656.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8bd1b45776" + }, + "8bd7a2dda6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 394.0, + 0.0, + 1100.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 522.0, + 468.0, + 687.0, + 674.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 377.0, + 0.0, + 1196.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 527.0, + 402.0, + 753.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 382.0, + 0.0, + 1205.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 517.0, + 432.0, + 759.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 319.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 476.0, + 405.0, + 795.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8bd7a2dda6" + }, + "8bdb091ccf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 425.0, + 498.0, + 680.0, + 673.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 275.0, + 358.0, + 569.0, + 572.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 395.0, + 269.0, + 658.0, + 497.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 543.0, + 127.0, + 876.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 439.0, + 533.0, + 607.0, + 653.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 425.0, + 448.0, + 513.0, + 542.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 451.0, + 278.0, + 609.0, + 513.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 548.0, + 208.0, + 834.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 277.0, + 548.0, + 385.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 243.0, + 403.0, + 331.0, + 569.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 235.0, + 350.0, + 321.0, + 454.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 529.0, + 222.0, + 738.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 271.0, + 589.0, + 451.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 217.0, + 390.0, + 457.0, + 616.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 227.0, + 335.0, + 465.0, + 486.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8bdb091ccf" + }, + "8be56f165d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 627.0, + 306.0, + 815.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 512.0, + 150.0, + 579.0, + 180.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 509.0, + 180.0, + 626.0, + 420.0 + ], + "valid": 1 + }, + "4": { + "category_name": "horse", + "bbox": [ + 342.0, + 229.0, + 743.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 534.0, + 268.0, + 682.0, + 639.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 602.0, + 129.0, + 661.0, + 155.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 582.0, + 147.0, + 704.0, + 406.0 + ], + "valid": 1 + }, + "4": { + "category_name": "horse", + "bbox": [ + 408.0, + 207.0, + 814.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 485.0, + 321.0, + 682.0, + 684.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 845.0, + 205.0, + 895.0, + 236.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 842.0, + 224.0, + 966.0, + 489.0 + ], + "valid": 1 + }, + "4": { + "category_name": "horse", + "bbox": [ + 727.0, + 278.0, + 1114.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 491.0, + 325.0, + 673.0, + 680.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 869.0, + 203.0, + 917.0, + 224.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 864.0, + 224.0, + 981.0, + 486.0 + ], + "valid": 1 + }, + "4": { + "category_name": "horse", + "bbox": [ + 755.0, + 277.0, + 1124.0, + 637.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00070", + "00105", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8be56f165d" + }, + "8be950d00f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 440.0, + 97.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 457.0, + 65.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 472.0, + 40.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 472.0, + 15.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8be950d00f" + }, + "8bf84e7d45": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 68.0, + 27.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 68.0, + 52.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 91.0, + 40.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 203.0, + 114.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8bf84e7d45" + }, + "8bffc4374b": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 316.0, + 192.0, + 748.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 257.0, + 200.0, + 777.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 145.0, + 223.0, + 785.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 236.0, + 202.0, + 638.0, + 487.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8bffc4374b" + }, + "8bfff50747": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 537.0, + 169.0, + 738.0, + 695.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 606.0, + 324.0, + 746.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 535.0, + 158.0, + 725.0, + 685.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 608.0, + 316.0, + 759.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 541.0, + 158.0, + 746.0, + 687.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 594.0, + 403.0, + 760.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 557.0, + 206.0, + 735.0, + 699.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 552.0, + 478.0, + 604.0, + 660.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8bfff50747" + }, + "8c09867481": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 563.0, + 394.0, + 944.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 584.0, + 394.0, + 989.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 564.0, + 425.0, + 958.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 517.0, + 364.0, + 825.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8c09867481" + }, + "8c0a3251c3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 373.0, + 121.0, + 818.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 348.0, + 99.0, + 861.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 472.0, + 136.0, + 888.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 543.0, + 192.0, + 835.0, + 528.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8c0a3251c3" + }, + "8c3015cccb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 490.0, + 140.0, + 872.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 514.0, + 272.0, + 767.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 509.0, + 242.0, + 770.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 492.0, + 238.0, + 801.0, + 594.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8c3015cccb" + }, + "8c469815cf": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 45.0, + 245.0, + 527.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 499.0, + 52.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 17.0, + 68.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 500.0, + 22.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 33.0, + 48.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 497.0, + 40.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 47.0, + 76.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 497.0, + 47.0, + 598.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8c469815cf" + }, + "8c9ccfedc7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 373.0, + 142.0, + 450.0, + 226.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 548.0, + 124.0, + 646.0, + 247.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 751.0, + 173.0, + 824.0, + 244.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 387.0, + 130.0, + 458.0, + 213.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 556.0, + 114.0, + 660.0, + 236.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 764.0, + 169.0, + 835.0, + 238.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 405.0, + 113.0, + 475.0, + 200.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 574.0, + 97.0, + 671.0, + 221.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 785.0, + 152.0, + 851.0, + 220.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 403.0, + 132.0, + 469.0, + 218.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 571.0, + 112.0, + 665.0, + 238.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 781.0, + 164.0, + 849.0, + 234.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8c9ccfedc7" + }, + "8ca1af9f3c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 717.0, + 419.0, + 972.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 715.0, + 418.0, + 972.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 718.0, + 411.0, + 972.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 718.0, + 417.0, + 972.0, + 567.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ca1af9f3c" + }, + "8ca3f6e6c1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 641.0, + 281.0, + 730.0, + 525.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 494.0, + 308.0, + 856.0, + 611.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 804.0, + 317.0, + 953.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 695.0, + 273.0, + 786.0, + 494.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 516.0, + 308.0, + 883.0, + 619.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 767.0, + 318.0, + 937.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 700.0, + 251.0, + 777.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 536.0, + 287.0, + 888.0, + 614.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 549.0, + 290.0, + 709.0, + 371.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 713.0, + 248.0, + 786.0, + 494.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 517.0, + 277.0, + 915.0, + 623.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 367.0, + 299.0, + 540.0, + 384.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ca3f6e6c1" + }, + "8ca6a4f60f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 214.0, + 49.0, + 472.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 228.0, + 60.0, + 502.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 284.0, + 93.0, + 554.0, + 670.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 327.0, + 142.0, + 636.0, + 685.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ca6a4f60f" + }, + "8cac6900fe": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 922.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 869.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 977.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 1039.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8cac6900fe" + }, + "8cba221a1e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 500.0, + 54.0, + 1265.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 547.0, + 331.0, + 919.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 537.0, + 0.0, + 1267.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 548.0, + 333.0, + 921.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 533.0, + 0.0, + 1267.0, + 511.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 545.0, + 329.0, + 918.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 530.0, + 23.0, + 1269.0, + 511.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 543.0, + 326.0, + 924.0, + 570.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8cba221a1e" + }, + "8cbbe62ccd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 312.0, + 115.0, + 616.0, + 475.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 603.0, + 0.0, + 975.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 316.0, + 79.0, + 630.0, + 455.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 605.0, + 134.0, + 939.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 332.0, + 69.0, + 663.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 608.0, + 231.0, + 919.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 322.0, + 260.0, + 649.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 621.0, + 280.0, + 909.0, + 494.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8cbbe62ccd" + }, + "8d064b29e2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1133.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1127.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1131.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1143.0, + 442.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8d064b29e2" + }, + "8d167e7c08": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 430.0, + 164.0, + 965.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 425.0, + 148.0, + 1077.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 424.0, + 134.0, + 1127.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 400.0, + 94.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8d167e7c08" + }, + "8d4ab94e1c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 286.0, + 0.0, + 1231.0, + 652.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 750.0, + 556.0, + 1151.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 194.0, + 0.0, + 1189.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 819.0, + 588.0, + 1177.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 975.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 551.0, + 462.0, + 929.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 969.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 577.0, + 540.0, + 939.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8d4ab94e1c" + }, + "8d81f6f899": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 217.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 215.0, + 0.0, + 1279.0, + 670.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 319.0, + 1166.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 233.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 299.0, + 1126.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 165.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 322.0, + 1078.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 165.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8d81f6f899" + }, + "8d87897d66": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 407.0, + 163.0, + 634.0, + 275.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 1076.0, + 179.0, + 1171.0, + 312.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 1196.0, + 277.0, + 1279.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 464.0, + 131.0, + 688.0, + 240.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 1139.0, + 151.0, + 1235.0, + 285.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 1258.0, + 287.0, + 1279.0, + 367.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 501.0, + 96.0, + 728.0, + 209.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 1180.0, + 123.0, + 1279.0, + 259.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 579.0, + 73.0, + 810.0, + 185.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 1255.0, + 166.0, + 1275.0, + 244.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8d87897d66" + }, + "8dcccd2bd2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 34.0, + 76.0, + 1050.0, + 619.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 40.0, + 52.0, + 960.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 31.0, + 34.0, + 937.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 31.0, + 3.0, + 949.0, + 540.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8dcccd2bd2" + }, + "8dcfb878a8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 504.0, + 283.0, + 830.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 546.0, + 290.0, + 865.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 518.0, + 332.0, + 847.0, + 549.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 628.0, + 299.0, + 970.0, + 529.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8dcfb878a8" + }, + "8dd3ab71b9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 366.0, + 259.0, + 661.0, + 714.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 165.0, + 199.0, + 316.0, + 483.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 444.0, + 263.0, + 693.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 247.0, + 214.0, + 391.0, + 458.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 577.0, + 281.0, + 759.0, + 626.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 448.0, + 257.0, + 555.0, + 480.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 618.0, + 185.0, + 742.0, + 277.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 643.0, + 259.0, + 808.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 549.0, + 249.0, + 646.0, + 459.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 790.0, + 195.0, + 958.0, + 323.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8dd3ab71b9" + }, + "8dda6bf10f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 43.0, + 100.0, + 835.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 86.0, + 88.0, + 921.0, + 353.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 41.0, + 83.0, + 925.0, + 357.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 50.0, + 73.0, + 972.0, + 361.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8dda6bf10f" + }, + "8ddd51ca94": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 518.0, + 60.0, + 895.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 518.0, + 149.0, + 880.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 447.0, + 108.0, + 824.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 431.0, + 151.0, + 904.0, + 642.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ddd51ca94" + }, + "8dea22c533": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 83.0, + 229.0, + 1150.0, + 553.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 228.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 103.0, + 108.0, + 1197.0, + 547.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 270.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 106.0, + 73.0, + 1199.0, + 509.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 252.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 162.0, + 97.0, + 1190.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 313.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8dea22c533" + }, + "8def5bd3bf": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 458.0, + 48.0, + 968.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 750.0, + 0.0, + 911.0, + 178.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1041.0, + 309.0, + 1133.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 501.0, + 8.0, + 1004.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 774.0, + 0.0, + 931.0, + 132.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1047.0, + 280.0, + 1146.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 431.0, + 0.0, + 992.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 773.0, + 0.0, + 915.0, + 129.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 966.0, + 304.0, + 1014.0, + 409.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 483.0, + 0.0, + 1040.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 854.0, + 0.0, + 950.0, + 36.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 904.0, + 233.0, + 956.0, + 344.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8def5bd3bf" + }, + "8e1848197c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 767.0, + 0.0, + 1125.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 545.0, + 169.0, + 776.0, + 328.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 369.0, + 16.0, + 787.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 733.0, + 0.0, + 1060.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 551.0, + 246.0, + 769.0, + 392.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 415.0, + 2.0, + 768.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 738.0, + 0.0, + 1079.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 540.0, + 241.0, + 762.0, + 374.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 416.0, + 0.0, + 756.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 753.0, + 0.0, + 1096.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 526.0, + 206.0, + 759.0, + 330.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 407.0, + 0.0, + 741.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00110", + "00130", + "00145", + "00180" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8e1848197c" + }, + "8e3a83cf2d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 578.0, + 216.0, + 889.0, + 494.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 992.0, + 521.0, + 1275.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 562.0, + 68.0, + 701.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 950.0, + 603.0, + 1192.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 570.0, + 132.0, + 709.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 725.0, + 560.0, + 909.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 374.0, + 169.0, + 557.0, + 488.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8e3a83cf2d" + }, + "8e478e73f3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 432.0, + 226.0, + 761.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 388.0, + 228.0, + 721.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 382.0, + 237.0, + 705.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 665.0, + 202.0, + 879.0, + 452.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8e478e73f3" + }, + "8e98ae3c84": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 235.0, + 220.0, + 555.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 235.0, + 217.0, + 550.0, + 674.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 222.0, + 248.0, + 552.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 213.0, + 245.0, + 672.0, + 674.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8e98ae3c84" + }, + "8ea6687ab0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 559.0, + 176.0, + 1196.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 348.0, + 724.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 573.0, + 158.0, + 1189.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 357.0, + 718.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 560.0, + 151.0, + 1214.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 352.0, + 731.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 707.0, + 156.0, + 1252.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 319.0, + 746.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00085", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ea6687ab0" + }, + "8eb0d315c1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 691.0, + 116.0, + 1138.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 691.0, + 426.0, + 788.0, + 465.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 690.0, + 116.0, + 1140.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 695.0, + 429.0, + 802.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 696.0, + 226.0, + 1220.0, + 594.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 739.0, + 529.0, + 849.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 543.0, + 224.0, + 1246.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 583.0, + 616.0, + 758.0, + 692.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8eb0d315c1" + }, + "8ec10891f9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 458.0, + 94.0, + 1132.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1026.0, + 0.0, + 1279.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 616.0, + 288.0, + 1015.0, + 612.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 675.0, + 66.0, + 1050.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 824.0, + 315.0, + 1049.0, + 703.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 636.0, + 56.0, + 994.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 772.0, + 282.0, + 968.0, + 688.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 710.0, + 140.0, + 997.0, + 616.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ec10891f9" + }, + "8ec3065ec2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 233.0, + 268.0, + 810.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 527.0, + 195.0, + 798.0, + 424.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 369.0, + 390.0, + 583.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 533.0, + 448.0, + 784.0, + 562.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ec3065ec2" + }, + "8ecf51a971": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 717.0, + 249.0, + 749.0, + 288.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 711.0, + 278.0, + 735.0, + 295.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1137.0, + 292.0, + 1279.0, + 428.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 535.0, + 369.0, + 588.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 525.0, + 403.0, + 576.0, + 425.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 559.0, + 374.0, + 612.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 675.0, + 104.0, + 870.0, + 345.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 627.0, + 284.0, + 747.0, + 331.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ecf51a971" + }, + "8eddbab9f7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 536.0, + 220.0, + 928.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 293.0, + 501.0, + 862.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 564.0, + 204.0, + 951.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 365.0, + 460.0, + 972.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 529.0, + 203.0, + 867.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 446.0, + 442.0, + 1036.0, + 713.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 557.0, + 301.0, + 852.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 544.0, + 424.0, + 1179.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8eddbab9f7" + }, + "8ee198467a": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 330.0, + 163.0, + 837.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 298.0, + 14.0, + 888.0, + 704.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 309.0, + 56.0, + 894.0, + 702.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 319.0, + 34.0, + 898.0, + 682.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ee198467a" + }, + "8ee2368f40": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 54.0, + 160.0, + 405.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 26.0, + 162.0, + 402.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 110.0, + 141.0, + 401.0, + 426.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 140.0, + 152.0, + 400.0, + 408.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ee2368f40" + }, + "8ef595ce82": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 520.0, + 232.0, + 1279.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 400.0, + 259.0, + 1279.0, + 646.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 358.0, + 281.0, + 1265.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 484.0, + 227.0, + 1271.0, + 661.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ef595ce82" + }, + "8f0a653ad7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 210.0, + 127.0, + 1279.0, + 670.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 278.0, + 142.0, + 1279.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 292.0, + 143.0, + 1279.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 306.0, + 143.0, + 1279.0, + 668.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f0a653ad7" + }, + "8f1204a732": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 211.0, + 109.0, + 1050.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 195.0, + 85.0, + 1046.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 259.0, + 59.0, + 1082.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 227.0, + 52.0, + 1000.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f1204a732" + }, + "8f1600f7f6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 1170.0, + 543.0, + 1233.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 1031.0, + 376.0, + 1075.0, + 481.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 1206.0, + 612.0, + 1275.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1162.0, + 559.0, + 1225.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 1023.0, + 394.0, + 1066.0, + 497.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 1199.0, + 624.0, + 1264.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1146.0, + 564.0, + 1207.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 981.0, + 399.0, + 1054.0, + 501.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 1184.0, + 621.0, + 1257.0, + 692.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1107.0, + 540.0, + 1162.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 968.0, + 377.0, + 1012.0, + 486.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 1138.0, + 611.0, + 1204.0, + 678.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f1600f7f6" + }, + "8f16366707": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 342.0, + 0.0, + 559.0, + 346.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 317.0, + 334.0, + 544.0, + 382.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 331.0, + 35.0, + 513.0, + 345.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 309.0, + 332.0, + 490.0, + 366.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 400.0, + 99.0, + 558.0, + 327.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 381.0, + 319.0, + 528.0, + 344.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 161.0, + 782.0, + 397.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 632.0, + 387.0, + 797.0, + 418.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f16366707" + }, + "8f1ce0a411": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 387.0, + 293.0, + 1231.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 373.0, + 284.0, + 1232.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 257.0, + 293.0, + 1143.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 241.0, + 284.0, + 1061.0, + 552.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f1ce0a411" + }, + "8f2e05e814": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 840.0, + 293.0, + 1019.0, + 397.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 684.0, + 316.0, + 838.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 851.0, + 295.0, + 1021.0, + 397.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 675.0, + 316.0, + 831.0, + 412.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 851.0, + 293.0, + 1015.0, + 393.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 671.0, + 315.0, + 825.0, + 410.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 852.0, + 292.0, + 1018.0, + 386.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 663.0, + 315.0, + 812.0, + 407.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f2e05e814" + }, + "8f320d0e09": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 243.0, + 211.0, + 1279.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 255.0, + 245.0, + 1279.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 331.0, + 254.0, + 1279.0, + 647.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 329.0, + 249.0, + 1279.0, + 644.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f320d0e09" + }, + "8f3b4a84ad": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 266.0, + 97.0, + 849.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 711.0, + 0.0, + 951.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 252.0, + 96.0, + 921.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 754.0, + 0.0, + 1001.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 318.0, + 99.0, + 953.0, + 535.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 827.0, + 0.0, + 1071.0, + 516.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 354.0, + 104.0, + 1082.0, + 564.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 935.0, + 0.0, + 1267.0, + 562.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f3b4a84ad" + }, + "8f3fdad3da": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 896.0, + 378.0, + 977.0, + 505.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 923.0, + 360.0, + 1066.0, + 497.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 657.0, + 478.0, + 1180.0, + 543.0 + ], + "valid": 1 + }, + "4": { + "category_name": "boat", + "bbox": [ + 312.0, + 529.0, + 957.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 803.0, + 352.0, + 982.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 874.0, + 372.0, + 1036.0, + 522.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 589.0, + 488.0, + 1155.0, + 565.0 + ], + "valid": 1 + }, + "4": { + "category_name": "boat", + "bbox": [ + 309.0, + 530.0, + 951.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 835.0, + 324.0, + 955.0, + 588.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 883.0, + 373.0, + 1033.0, + 528.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 564.0, + 486.0, + 1156.0, + 564.0 + ], + "valid": 1 + }, + "4": { + "category_name": "boat", + "bbox": [ + 312.0, + 533.0, + 962.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 814.0, + 349.0, + 1071.0, + 628.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 865.0, + 376.0, + 1059.0, + 542.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 537.0, + 502.0, + 1204.0, + 590.0 + ], + "valid": 1 + }, + "4": { + "category_name": "boat", + "bbox": [ + 309.0, + 538.0, + 950.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f3fdad3da" + }, + "8f5d3622d8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 228.0, + 570.0, + 677.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 69.0, + 24.0, + 266.0, + 285.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 439.0, + 1.0, + 534.0, + 267.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 228.0, + 589.0, + 660.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 145.0, + 34.0, + 306.0, + 260.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 422.0, + 18.0, + 529.0, + 328.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 248.0, + 608.0, + 637.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 247.0, + 70.0, + 365.0, + 300.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 440.0, + 71.0, + 552.0, + 369.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 236.0, + 584.0, + 660.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 276.0, + 31.0, + 378.0, + 214.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 451.0, + 24.0, + 573.0, + 364.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f5d3622d8" + }, + "8f62a2c633": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 386.0, + 48.0, + 884.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 358.0, + 25.0, + 863.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 313.0, + 49.0, + 827.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 304.0, + 77.0, + 830.0, + 643.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f62a2c633" + }, + "8f81c9405a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 108.0, + 119.0, + 1029.0, + 704.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 132.0, + 137.0, + 1058.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 212.0, + 117.0, + 1119.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 337.0, + 120.0, + 785.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f81c9405a" + }, + "8f8c974d53": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 22 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 335.0, + 221.0, + 1010.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 539.0, + 194.0, + 998.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 467.0, + 195.0, + 1004.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 601.0, + 149.0, + 1128.0, + 624.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00060", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f8c974d53" + }, + "8f918598b6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 671.0, + 296.0, + 885.0, + 574.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 146.0, + 183.0, + 416.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 680.0, + 297.0, + 887.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 109.0, + 180.0, + 413.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 555.0, + 420.0, + 793.0, + 692.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 295.0, + 276.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 545.0, + 448.0, + 774.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 283.0, + 174.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8f918598b6" + }, + "8ff61619f6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 822.0, + 358.0, + 876.0, + 482.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1170.0, + 382.0, + 1222.0, + 495.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1123.0, + 349.0, + 1150.0, + 427.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 752.0, + 359.0, + 801.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1067.0, + 393.0, + 1118.0, + 502.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 928.0, + 332.0, + 965.0, + 443.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 745.0, + 356.0, + 798.0, + 490.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1049.0, + 384.0, + 1093.0, + 494.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 799.0, + 313.0, + 831.0, + 447.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 715.0, + 358.0, + 770.0, + 492.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1016.0, + 386.0, + 1068.0, + 494.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 678.0, + 303.0, + 718.0, + 459.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00190", + "00220", + "00245", + "00260" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/8ff61619f6" + }, + "9002761b41": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 752.0, + 305.0, + 828.0, + 446.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 728.0, + 357.0, + 828.0, + 514.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 612.0, + 297.0, + 666.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 798.0, + 306.0, + 888.0, + 454.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 785.0, + 341.0, + 881.0, + 526.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 645.0, + 298.0, + 692.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 870.0, + 301.0, + 942.0, + 457.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 847.0, + 346.0, + 968.0, + 536.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 694.0, + 290.0, + 746.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1061.0, + 311.0, + 1126.0, + 472.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 1019.0, + 355.0, + 1168.0, + 550.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 845.0, + 302.0, + 901.0, + 441.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9002761b41" + }, + "90107941f3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 561.0, + 0.0, + 804.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 565.0, + 0.0, + 774.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 565.0, + 0.0, + 788.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 563.0, + 0.0, + 788.0, + 542.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/90107941f3" + }, + "90118a42ee": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 421.0, + 278.0, + 800.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 1122.0, + 156.0, + 1279.0, + 377.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 573.0, + 115.0, + 1030.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 345.0, + 0.0, + 786.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 333.0, + 55.0, + 699.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/90118a42ee" + }, + "902bc16b37": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 2.0, + 104.0, + 973.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 49.0, + 97.0, + 1003.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 72.0, + 104.0, + 1012.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 90.0, + 103.0, + 1021.0, + 477.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/902bc16b37" + }, + "903e87e0d6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 998.0, + 165.0, + 1144.0, + 232.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 990.0, + 157.0, + 1132.0, + 224.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 1020.0, + 192.0, + 1128.0, + 266.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 1117.0, + 213.0, + 1229.0, + 272.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/903e87e0d6" + }, + "9041a0f489": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 33.0, + 1073.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1127.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1219.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1255.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00095", + "00115", + "00135", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9041a0f489" + }, + "9047bf3222": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 1013.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 8.0, + 0.0, + 1133.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 150.0, + 0.0, + 1255.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 284.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00200", + "00205", + "00210", + "00215" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9047bf3222" + }, + "9057bfa502": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 481.0, + 186.0, + 660.0, + 427.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 461.0, + 160.0, + 688.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 437.0, + 123.0, + 774.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 435.0, + 104.0, + 746.0, + 563.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9057bfa502" + }, + "90617b0954": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 126.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 112.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 135.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/90617b0954" + }, + "9076f4b6db": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 407.0, + 101.0, + 1034.0, + 649.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 507.0, + 105.0, + 1122.0, + 642.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 323.0, + 175.0, + 1058.0, + 714.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 361.0, + 91.0, + 1088.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9076f4b6db" + }, + "9077e69b08": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 589.0, + 379.0, + 672.0, + 438.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 577.0, + 154.0, + 772.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 657.0, + 365.0, + 749.0, + 416.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 600.0, + 146.0, + 778.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 647.0, + 371.0, + 737.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 595.0, + 154.0, + 782.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 661.0, + 364.0, + 756.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 609.0, + 154.0, + 783.0, + 494.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9077e69b08" + }, + "909655b4a6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 713.0, + 150.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 272.0, + 0.0, + 785.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1001.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 317.0, + 0.0, + 848.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 933.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 306.0, + 37.0, + 869.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1003.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 332.0, + 477.0, + 855.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/909655b4a6" + }, + "909c2eca88": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 520.0, + 174.0, + 954.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 321.0, + 290.0, + 549.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 328.0, + 185.0, + 657.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 224.0, + 306.0, + 599.0, + 645.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/909c2eca88" + }, + "909dbd1b76": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 103.0, + 987.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 125.0, + 1011.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 2.0, + 126.0, + 1029.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 4.0, + 148.0, + 1037.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/909dbd1b76" + }, + "90bc4a319a": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 78.0, + 959.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 76.0, + 959.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 85.0, + 959.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 78.0, + 959.0, + 640.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00110", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/90bc4a319a" + }, + "90c7a87887": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "skateboard", + "bbox": [ + 444.0, + 6.0, + 805.0, + 644.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 418.0, + 4.0, + 703.0, + 56.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 777.0, + 470.0, + 870.0, + 685.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "skateboard", + "bbox": [ + 465.0, + 5.0, + 964.0, + 217.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 747.0, + 379.0, + 927.0, + 487.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 511.0, + 4.0, + 782.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "skateboard", + "bbox": [ + 405.0, + 300.0, + 732.0, + 472.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 407.0, + 7.0, + 728.0, + 691.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 180.0, + 6.0, + 660.0, + 309.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/90c7a87887" + }, + "90cc785ddd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 516.0, + 0.0, + 915.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 490.0, + 129.0, + 879.0, + 475.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 622.0, + 437.0, + 817.0, + 563.0 + ], + "valid": 1 + }, + "4": { + "category_name": "boat", + "bbox": [ + 320.0, + 444.0, + 995.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 320.0, + 244.0, + 528.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 404.0, + 57.0, + 813.0, + 456.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 604.0, + 439.0, + 800.0, + 563.0 + ], + "valid": 1 + }, + "4": { + "category_name": "boat", + "bbox": [ + 309.0, + 443.0, + 982.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 300.0, + 0.0, + 1175.0, + 480.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 396.0, + 15.0, + 779.0, + 440.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 622.0, + 411.0, + 811.0, + 538.0 + ], + "valid": 1 + }, + "4": { + "category_name": "boat", + "bbox": [ + 300.0, + 420.0, + 1009.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 38.0, + 59.0, + 1015.0, + 527.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 502.0, + 30.0, + 937.0, + 439.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 624.0, + 418.0, + 813.0, + 548.0 + ], + "valid": 1 + }, + "4": { + "category_name": "boat", + "bbox": [ + 318.0, + 433.0, + 1011.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/90cc785ddd" + }, + "90d300f09b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1180.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1066.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 1.0, + 948.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 973.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/90d300f09b" + }, + "9101ea9b1b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 684.0, + 78.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 287.0, + 0.0, + 1279.0, + 664.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 0.0, + 1050.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 129.0, + 0.0, + 987.0, + 391.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00070", + "00090", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9101ea9b1b" + }, + "9108130458": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 819.0, + 258.0, + 1189.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 789.0, + 259.0, + 1039.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 723.0, + 256.0, + 973.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 520.0, + 235.0, + 907.0, + 513.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9108130458" + }, + "911ac9979b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 121.0, + 805.0, + 684.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 58.0, + 112.0, + 826.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 420.0, + 17.0, + 1188.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 492.0, + 24.0, + 1202.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/911ac9979b" + }, + "9151cad9b5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 547.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 559.0, + 383.0, + 627.0, + 471.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 536.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 392.0, + 576.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 543.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "truck", + "bbox": [ + 584.0, + 400.0, + 640.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 545.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "truck", + "bbox": [ + 457.0, + 342.0, + 603.0, + 481.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9151cad9b5" + }, + "9153762797": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 659.0, + 193.0, + 903.0, + 422.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 711.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 666.0, + 272.0, + 1156.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 369.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 454.0, + 216.0, + 1004.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 229.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 381.0, + 253.0, + 1027.0, + 553.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 131.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9153762797" + }, + "91634ee0c9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 977.0, + 336.0, + 1134.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 1036.0, + 357.0, + 1193.0, + 536.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 65.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 861.0, + 263.0, + 1007.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 579.0, + 294.0, + 724.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91634ee0c9" + }, + "916942666f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 567.0, + 18.0, + 831.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 543.0, + 36.0, + 820.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 106.0, + 351.0, + 554.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 40.0, + 483.0, + 419.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/916942666f" + }, + "9198cfb4ea": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 76.0, + 612.0, + 1002.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 37.0, + 504.0, + 778.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 214.0, + 293.0, + 790.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 405.0, + 255.0, + 488.0, + 496.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9198cfb4ea" + }, + "919ac864d6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 446.0, + 24.0, + 903.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 420.0, + 43.0, + 909.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 410.0, + 25.0, + 899.0, + 626.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 420.0, + 29.0, + 887.0, + 625.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/919ac864d6" + }, + "91b67d58d4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 176.0, + 474.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 323.0, + 698.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 325.0, + 686.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 320.0, + 663.0, + 680.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91b67d58d4" + }, + "91bb8df281": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 387.0, + 187.0, + 612.0, + 396.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 587.0, + 316.0, + 878.0, + 456.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 190.0, + 387.0, + 952.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 412.0, + 233.0, + 623.0, + 400.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 625.0, + 261.0, + 920.0, + 407.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 50.0, + 407.0, + 761.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 370.0, + 218.0, + 682.0, + 370.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 639.0, + 190.0, + 920.0, + 322.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 433.0, + 472.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 407.0, + 233.0, + 591.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 655.0, + 314.0, + 909.0, + 420.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 606.0, + 0.0, + 1279.0, + 331.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91bb8df281" + }, + "91be106477": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 162.0, + 115.0, + 388.0, + 239.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 252.0, + 117.0, + 383.0, + 239.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 248.0, + 96.0, + 476.0, + 289.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 77.0, + 75.0, + 415.0, + 208.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91be106477" + }, + "91c33b4290": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 81.0, + 0.0, + 1268.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 121.0, + 0.0, + 1116.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 267.0, + 0.0, + 1107.0, + 665.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 283.0, + 0.0, + 1096.0, + 676.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91c33b4290" + }, + "91ca7dd9f3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 494.0, + 95.0, + 891.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1273.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 458.0, + 94.0, + 895.0, + 499.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1276.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 494.0, + 74.0, + 897.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1269.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 500.0, + 75.0, + 903.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91ca7dd9f3" + }, + "91d095f869": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 638.0, + 234.0, + 1100.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 672.0, + 165.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 623.0, + 340.0, + 1226.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 681.0, + 254.0, + 1279.0, + 695.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 628.0, + 302.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 677.0, + 262.0, + 1279.0, + 654.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 636.0, + 281.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 677.0, + 243.0, + 1279.0, + 629.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91d095f869" + }, + "91f107082e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 171.0, + 199.0, + 576.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 117.0, + 233.0, + 621.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 155.0, + 191.0, + 580.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 146.0, + 170.0, + 535.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/91f107082e" + }, + "920329dd5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 1049.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 991.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 957.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 929.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/920329dd5e" + }, + "920c959958": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 736.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 127.0, + 156.0, + 696.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 137.0, + 166.0, + 652.0, + 428.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 447.0, + 295.0, + 746.0, + 461.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/920c959958" + }, + "92128fbf4b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 517.0, + 193.0, + 1227.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 713.0, + 246.0, + 1199.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 518.0, + 138.0, + 955.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 476.0, + 213.0, + 995.0, + 629.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/92128fbf4b" + }, + "9223dacb40": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 184.0, + 121.0, + 870.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 296.0, + 0.0, + 782.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 371.0, + 0.0, + 805.0, + 641.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 422.0, + 8.0, + 847.0, + 718.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9223dacb40" + }, + "923137bb7f": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 523.0, + 189.0, + 1076.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 103.0, + 1279.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 481.0, + 268.0, + 1022.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 193.0, + 1279.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 443.0, + 283.0, + 934.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 196.0, + 1279.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 447.0, + 350.0, + 992.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00020", + "00030", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/923137bb7f" + }, + "9268e1f88a": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 494.0, + 210.0, + 831.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 749.0, + 616.0, + 859.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 390.0, + 279.0, + 777.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 350.0, + 234.0, + 697.0, + 718.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 380.0, + 241.0, + 771.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9268e1f88a" + }, + "927647fe08": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 755.0, + 251.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 310.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 935.0, + 302.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 550.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 905.0, + 397.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 538.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 685.0, + 310.0, + 1049.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 578.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/927647fe08" + }, + "9276f5ba47": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 256.0, + 184.0, + 1089.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 260.0, + 245.0, + 931.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 466.0, + 155.0, + 1023.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 180.0, + 208.0, + 919.0, + 671.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00070", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9276f5ba47" + }, + "92a28cd233": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 665.0, + 294.0, + 760.0, + 366.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1029.0, + 281.0, + 1092.0, + 348.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 562.0, + 289.0, + 607.0, + 355.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 815.0, + 272.0, + 924.0, + 346.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 481.0, + 293.0, + 523.0, + 378.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 712.0, + 271.0, + 781.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 106.0, + 291.0, + 175.0, + 354.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 238.0, + 276.0, + 345.0, + 354.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/92a28cd233" + }, + "92b5c1fc6d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 199.0, + 1125.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 366.0, + 147.0, + 870.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 132.0, + 1159.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 592.0, + 183.0, + 1001.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 192.0, + 1155.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 482.0, + 200.0, + 927.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 38.0, + 1121.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 337.0, + 73.0, + 751.0, + 513.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/92b5c1fc6d" + }, + "92c46be756": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 637.0, + 161.0, + 798.0, + 329.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 306.0, + 222.0, + 597.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 406.0, + 247.0, + 716.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 478.0, + 28.0, + 642.0, + 433.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/92c46be756" + }, + "92dabbe3a0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 757.0, + 132.0, + 1279.0, + 694.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 218.0, + 268.0, + 473.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1071.0, + 357.0, + 1279.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 209.0, + 334.0, + 467.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 983.0, + 182.0, + 1279.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 163.0, + 256.0, + 430.0, + 454.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 898.0, + 207.0, + 1279.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 305.0, + 266.0, + 511.0, + 423.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00200", + "00225", + "00245", + "00255" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/92dabbe3a0" + }, + "92e3159361": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 5.0, + 145.0, + 477.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 3.0, + 53.0, + 473.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 132.0, + 224.0, + 585.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 80.0, + 229.0, + 537.0, + 528.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/92e3159361" + }, + "92ebab216a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 354.0, + 245.0, + 1133.0, + 697.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 480.0, + 116.0, + 1099.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 392.0, + 301.0, + 1169.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 494.0, + 177.0, + 1137.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 418.0, + 122.0, + 1031.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 582.0, + 5.0, + 1049.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 428.0, + 167.0, + 1257.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 628.0, + 0.0, + 1279.0, + 357.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/92ebab216a" + }, + "934bdc2893": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 36.0, + 773.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 623.0, + 406.0, + 716.0, + 439.0 + ], + "valid": 1 + }, + "3": {}, + "4": { + "category_name": "skateboard", + "bbox": [ + 568.0, + 249.0, + 616.0, + 282.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sedan", + "bbox": [ + 582.0, + 87.0, + 744.0, + 372.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 573.0, + 357.0, + 705.0, + 391.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": {}, + "4": { + "category_name": "skateboard", + "bbox": [ + 653.0, + 210.0, + 789.0, + 403.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sedan", + "bbox": [ + 727.0, + 394.0, + 792.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 566.0, + 287.0, + 692.0, + 348.0 + ], + "valid": 1 + }, + "3": {}, + "4": { + "category_name": "skateboard", + "bbox": [ + 636.0, + 390.0, + 693.0, + 425.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 177.0, + 474.0 + ], + "valid": 1 + }, + "2": {}, + "3": {}, + "4": {}, + "5": {} + } + ], + "frame_names": [ + "00015", + "00075", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/934bdc2893" + }, + "9359174efc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 321.0, + 40.0, + 648.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 341.0, + 153.0, + 657.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 358.0, + 150.0, + 657.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 416.0, + 221.0, + 711.0, + 624.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9359174efc" + }, + "935d97dd2f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 8.0, + 703.0, + 699.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 691.0, + 0.0, + 1253.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 687.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 649.0, + 0.0, + 1271.0, + 461.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 628.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 604.0, + 0.0, + 1279.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 677.0, + 636.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 670.0, + 0.0, + 1279.0, + 546.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/935d97dd2f" + }, + "935feaba1b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 757.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 264.0, + 213.0, + 516.0, + 438.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 539.0, + 375.0, + 607.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 761.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 270.0, + 212.0, + 504.0, + 430.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 532.0, + 356.0, + 613.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 799.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 310.0, + 202.0, + 552.0, + 432.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 568.0, + 338.0, + 649.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 805.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 310.0, + 206.0, + 556.0, + 422.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 569.0, + 335.0, + 654.0, + 459.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/935feaba1b" + }, + "93901858ee": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 144.0, + 138.0, + 735.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 146.0, + 72.0, + 779.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 148.0, + 197.0, + 665.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 148.0, + 175.0, + 805.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93901858ee" + }, + "939378f6d6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 961.0, + 312.0, + 1032.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 819.0, + 132.0, + 946.0, + 231.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 739.0, + 170.0, + 879.0, + 317.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 671.0, + 434.0, + 760.0, + 517.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/939378f6d6" + }, + "939bdf742e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 747.0, + 1.0, + 935.0, + 342.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 761.0, + 236.0, + 956.0, + 442.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 320.0, + 424.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "cow", + "bbox": [ + 447.0, + 374.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1151.0, + 0.0, + 1279.0, + 228.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1153.0, + 226.0, + 1279.0, + 429.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 286.0, + 305.0, + 771.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "cow", + "bbox": [ + 806.0, + 359.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1010.0, + 0.0, + 1204.0, + 221.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1024.0, + 77.0, + 1236.0, + 302.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 212.0, + 196.0, + 632.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "cow", + "bbox": [ + 676.0, + 245.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 774.0, + 167.0, + 955.0, + 514.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 794.0, + 367.0, + 992.0, + 600.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 10.0, + 517.0, + 406.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "cow", + "bbox": [ + 455.0, + 554.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00105", + "00135", + "00155", + "00175" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/939bdf742e" + }, + "93a22bee7e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 542.0, + 199.0, + 935.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 576.0, + 187.0, + 964.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 644.0, + 196.0, + 1009.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 683.0, + 188.0, + 1054.0, + 568.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93a22bee7e" + }, + "93da9aeddf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 551.0, + 230.0, + 608.0, + 364.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 500.0, + 270.0, + 700.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 554.0, + 226.0, + 611.0, + 365.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 504.0, + 264.0, + 698.0, + 457.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 573.0, + 234.0, + 632.0, + 371.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 530.0, + 271.0, + 693.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 585.0, + 224.0, + 653.0, + 362.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 547.0, + 275.0, + 718.0, + 467.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93da9aeddf" + }, + "93e2feacce": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 155.0, + 0.0, + 1013.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 153.0, + 0.0, + 1000.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 216.0, + 0.0, + 1063.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 321.0, + 0.0, + 1208.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93e2feacce" + }, + "93e6f1fdf9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 228.0, + 352.0, + 497.0, + 455.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 822.0, + 452.0, + 922.0, + 578.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 730.0, + 40.0, + 930.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 213.0, + 347.0, + 558.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 791.0, + 444.0, + 889.0, + 582.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 710.0, + 42.0, + 908.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 276.0, + 323.0, + 610.0, + 447.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 783.0, + 416.0, + 893.0, + 561.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 738.0, + 25.0, + 941.0, + 296.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 277.0, + 292.0, + 613.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 709.0, + 401.0, + 826.0, + 535.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 725.0, + 6.0, + 926.0, + 286.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93e6f1fdf9" + }, + "93e811e393": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 657.0, + 224.0, + 978.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 692.0, + 208.0, + 959.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 692.0, + 214.0, + 951.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 696.0, + 211.0, + 942.0, + 480.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93e811e393" + }, + "93e85d8fd3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 358.0, + 281.0, + 971.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 332.0, + 308.0, + 975.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 374.0, + 322.0, + 985.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 344.0, + 311.0, + 973.0, + 665.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93e85d8fd3" + }, + "93f623d716": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 508.0, + 136.0, + 918.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 557.0, + 100.0, + 1067.0, + 659.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 456.0, + 0.0, + 961.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 327.0, + 0.0, + 837.0, + 431.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00085", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/93f623d716" + }, + "94031f12f2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 799.0, + 193.0, + 864.0, + 238.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 883.0, + 133.0, + 976.0, + 189.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 810.0, + 445.0, + 849.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 800.0, + 192.0, + 863.0, + 237.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 891.0, + 130.0, + 983.0, + 188.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 813.0, + 443.0, + 850.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 796.0, + 195.0, + 859.0, + 238.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 892.0, + 131.0, + 983.0, + 190.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 814.0, + 444.0, + 850.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 797.0, + 196.0, + 858.0, + 240.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 890.0, + 132.0, + 984.0, + 189.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 815.0, + 445.0, + 853.0, + 505.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00135", + "00155", + "00180", + "00190" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94031f12f2" + }, + "94091a4873": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 370.0, + 0.0, + 543.0, + 261.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 662.0, + 211.0, + 970.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 383.0, + 0.0, + 540.0, + 264.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 664.0, + 210.0, + 969.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 375.0, + 41.0, + 548.0, + 264.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 668.0, + 210.0, + 970.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 373.0, + 22.0, + 531.0, + 263.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 671.0, + 210.0, + 970.0, + 510.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94091a4873" + }, + "94125907e3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 248.0, + 16.0, + 863.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 178.0, + 0.0, + 923.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 897.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 921.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94125907e3" + }, + "9418653742": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 955.0, + 414.0, + 1279.0, + 702.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 371.0, + 118.0, + 888.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 975.0, + 398.0, + 1279.0, + 687.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 301.0, + 113.0, + 848.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 1126.0, + 416.0, + 1279.0, + 674.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 381.0, + 64.0, + 950.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 1189.0, + 467.0, + 1279.0, + 672.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 476.0, + 90.0, + 1055.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9418653742" + }, + "941c870569": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 1156.0, + 268.0, + 1209.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 253.0, + 70.0, + 956.0, + 686.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 126.0, + 2.0, + 203.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 1134.0, + 253.0, + 1183.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 261.0, + 67.0, + 927.0, + 665.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 102.0, + 0.0, + 180.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 1130.0, + 255.0, + 1181.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 259.0, + 68.0, + 929.0, + 669.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 99.0, + 2.0, + 177.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 1171.0, + 274.0, + 1222.0, + 498.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 309.0, + 82.0, + 955.0, + 682.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 142.0, + 0.0, + 220.0, + 568.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00105", + "00125", + "00130", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/941c870569" + }, + "94209c86f0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 565.0, + 228.0, + 968.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 526.0, + 449.0, + 851.0, + 621.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 663.0, + 0.0, + 739.0, + 232.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 566.0, + 233.0, + 970.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 524.0, + 444.0, + 853.0, + 622.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 667.0, + 0.0, + 743.0, + 231.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 563.0, + 230.0, + 975.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 528.0, + 445.0, + 846.0, + 624.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 665.0, + 0.0, + 745.0, + 229.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 563.0, + 230.0, + 971.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 523.0, + 448.0, + 853.0, + 620.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 663.0, + 0.0, + 751.0, + 234.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94209c86f0" + }, + "9437c715eb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 446.0, + 203.0, + 1043.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 568.0, + 197.0, + 991.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 691.0, + 198.0, + 1017.0, + 676.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 532.0, + 141.0, + 953.0, + 676.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9437c715eb" + }, + "9445c3eca2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 549.0, + 0.0, + 740.0, + 162.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 509.0, + 0.0, + 802.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 551.0, + 0.0, + 738.0, + 136.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 517.0, + 0.0, + 824.0, + 265.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9445c3eca2" + }, + "9467c8617c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 797.0, + 322.0, + 924.0, + 510.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 885.0, + 192.0, + 944.0, + 315.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 318.0, + 272.0, + 574.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 800.0, + 256.0, + 900.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 897.0, + 133.0, + 959.0, + 257.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 361.0, + 196.0, + 583.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 734.0, + 300.0, + 837.0, + 476.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 868.0, + 183.0, + 924.0, + 295.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 324.0, + 250.0, + 550.0, + 705.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 711.0, + 366.0, + 782.0, + 536.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 889.0, + 244.0, + 940.0, + 374.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 336.0, + 341.0, + 550.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00045", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9467c8617c" + }, + "946d71fb5d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 178.0, + 164.0, + 304.0, + 438.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 168.0, + 283.0, + 402.0, + 630.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 678.0, + 318.0, + 752.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 294.0, + 115.0, + 428.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 123.0, + 279.0, + 680.0, + 709.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 627.0, + 349.0, + 677.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 398.0, + 117.0, + 514.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 59.0, + 289.0, + 810.0, + 715.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 581.0, + 482.0, + 605.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 678.0, + 135.0, + 847.0, + 463.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 434.0, + 298.0, + 887.0, + 703.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 270.0, + 302.0, + 333.0, + 533.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/946d71fb5d" + }, + "948f3ae6fb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 230.0, + 154.0, + 819.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 594.0, + 161.0, + 1055.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 458.0, + 171.0, + 1115.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 540.0, + 209.0, + 923.0, + 510.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/948f3ae6fb" + }, + "9498baa359": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 621.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 624.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 437.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 513.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9498baa359" + }, + "94a33abeab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 585.0, + 313.0, + 696.0, + 644.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 681.0, + 323.0, + 808.0, + 628.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 867.0, + 329.0, + 961.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 305.0, + 669.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 690.0, + 320.0, + 820.0, + 628.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 872.0, + 327.0, + 976.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 478.0, + 304.0, + 624.0, + 659.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 718.0, + 322.0, + 832.0, + 629.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 898.0, + 328.0, + 984.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 508.0, + 316.0, + 626.0, + 683.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 703.0, + 330.0, + 837.0, + 639.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 898.0, + 337.0, + 986.0, + 599.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94a33abeab" + }, + "94bf1af5e3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 519.0, + 0.0, + 964.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 533.0, + 0.0, + 952.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 487.0, + 9.0, + 944.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 501.0, + 10.0, + 946.0, + 514.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94bf1af5e3" + }, + "94cf3a8025": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 431.0, + 39.0, + 862.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 197.0, + 416.0, + 528.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 847.0, + 360.0, + 988.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 346.0, + 51.0, + 741.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "boat", + "bbox": [ + 712.0, + 336.0, + 949.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 340.0, + 0.0, + 695.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 627.0, + 58.0, + 853.0, + 587.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 724.0, + 219.0, + 1081.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 295.0, + 0.0, + 633.0, + 695.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 700.0, + 0.0, + 966.0, + 422.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 574.0, + 90.0, + 1180.0, + 343.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94cf3a8025" + }, + "94db712ac8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 572.0, + 72.0, + 857.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 577.0, + 528.0, + 802.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 620.0, + 0.0, + 857.0, + 436.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 679.0, + 368.0, + 859.0, + 445.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 714.0, + 0.0, + 897.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 836.0, + 373.0, + 909.0, + 490.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 534.0, + 35.0, + 961.0, + 680.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 695.0, + 516.0, + 927.0, + 709.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94db712ac8" + }, + "94e4b66cff": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 426.0, + 129.0, + 761.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 585.0, + 0.0, + 816.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 419.0, + 121.0, + 692.0, + 588.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 559.0, + 1.0, + 789.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 401.0, + 124.0, + 679.0, + 588.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 541.0, + 1.0, + 796.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 385.0, + 114.0, + 662.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 454.0, + 0.0, + 721.0, + 576.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94e4b66cff" + }, + "94e76cbaf6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 403.0, + 195.0, + 681.0, + 444.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 353.0, + 191.0, + 691.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 262.0, + 193.0, + 657.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 276.0, + 199.0, + 662.0, + 419.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/94e76cbaf6" + }, + "950be91db1": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 24 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 570.0, + 364.0, + 740.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 567.0, + 419.0, + 626.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 613.0, + 388.0, + 810.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 607.0, + 429.0, + 672.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 445.0, + 400.0, + 606.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 438.0, + 446.0, + 524.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 578.0, + 408.0, + 713.0, + 466.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 540.0, + 453.0, + 625.0, + 468.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/950be91db1" + }, + "952058e2d0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 20.0, + 155.0, + 1039.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 8.0, + 294.0, + 166.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 57.0, + 157.0, + 1074.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 7.0, + 293.0, + 203.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 79.0, + 145.0, + 1096.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 291.0, + 218.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 46.0, + 128.0, + 1073.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 274.0, + 169.0, + 419.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/952058e2d0" + }, + "952633c37f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 466.0, + 320.0, + 622.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 431.0, + 1255.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 465.0, + 282.0, + 614.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 435.0, + 1261.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 464.0, + 335.0, + 621.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 434.0, + 1264.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 465.0, + 329.0, + 620.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 431.0, + 1262.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00120", + "00130", + "00160", + "00175" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/952633c37f" + }, + "952ec313fe": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 508.0, + 209.0, + 666.0, + 447.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 541.0, + 211.0, + 744.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 510.0, + 269.0, + 715.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 505.0, + 115.0, + 705.0, + 368.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/952ec313fe" + }, + "9533fc037c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 528.0, + 475.0, + 739.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 691.0, + 226.0, + 752.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 283.0, + 476.0, + 480.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1003.0, + 221.0, + 1066.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 311.0, + 432.0, + 387.0, + 503.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 264.0, + 370.0, + 350.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9533fc037c" + }, + "9574b81269": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 529.0, + 256.0, + 845.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 545.0, + 247.0, + 839.0, + 626.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 541.0, + 258.0, + 836.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 553.0, + 261.0, + 841.0, + 622.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9574b81269" + }, + "9579b73761": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 34.0, + 871.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 838.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 686.0, + 696.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 677.0, + 702.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9579b73761" + }, + "957f7bc48b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 528.0, + 121.0, + 1075.0, + 667.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 567.0, + 243.0, + 887.0, + 538.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 90.0, + 252.0, + 289.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 605.0, + 248.0, + 924.0, + 553.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 268.0, + 219.0, + 405.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 684.0, + 277.0, + 969.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 591.0, + 235.0, + 742.0, + 508.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/957f7bc48b" + }, + "958073d2b0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 668.0, + 319.0, + 742.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 645.0, + 466.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 618.0, + 493.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 584.0, + 448.0, + 945.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/958073d2b0" + }, + "9582e0eb33": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 101.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 196.0, + 0.0, + 954.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00065", + "00080", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9582e0eb33" + }, + "9584092d0b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 310.0, + 79.0, + 631.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 343.0, + 31.0, + 649.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 350.0, + 91.0, + 660.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 374.0, + 94.0, + 686.0, + 577.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9584092d0b" + }, + "95b58b8004": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 469.0, + 57.0, + 1169.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 456.0, + 0.0, + 1204.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 393.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 194.0, + 0.0, + 1032.0, + 505.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/95b58b8004" + }, + "95bd88da55": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 341.0, + 217.0, + 1279.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 411.0, + 230.0, + 1279.0, + 619.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 231.0, + 57.0, + 1279.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 305.0, + 0.0, + 1279.0, + 590.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/95bd88da55" + }, + "95f74a9959": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 681.0, + 346.0, + 1033.0, + 670.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 300.0, + 0.0, + 480.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 655.0, + 325.0, + 1005.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 249.0, + 0.0, + 452.0, + 426.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 625.0, + 307.0, + 952.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 280.0, + 0.0, + 385.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 584.0, + 306.0, + 901.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 402.0, + 0.0, + 580.0, + 348.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/95f74a9959" + }, + "962781c601": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 13.0, + 102.0, + 637.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 799.0, + 500.0, + 1254.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 107.0, + 637.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 751.0, + 496.0, + 1194.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 174.0, + 497.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 795.0, + 343.0, + 1279.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 177.0, + 139.0, + 499.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 1076.0, + 77.0, + 1279.0, + 237.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/962781c601" + }, + "962f045bf5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 243.0, + 161.0, + 434.0, + 240.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 208.0, + 159.0, + 402.0, + 237.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 203.0, + 162.0, + 393.0, + 243.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 206.0, + 119.0, + 328.0, + 248.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/962f045bf5" + }, + "964ad23b44": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 118.0, + 0.0, + 1279.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 70.0, + 0.0, + 1279.0, + 689.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 164.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 190.0, + 0.0, + 1261.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/964ad23b44" + }, + "967b90590e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 546.0, + 95.0, + 1279.0, + 460.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 484.0, + 151.0, + 1111.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 466.0, + 158.0, + 1059.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 410.0, + 158.0, + 987.0, + 525.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/967b90590e" + }, + "967bffe201": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 579.0, + 544.0, + 624.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 748.0, + 534.0, + 787.0, + 575.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 1120.0, + 539.0, + 1161.0, + 580.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 428.0, + 471.0, + 499.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 666.0, + 514.0, + 731.0, + 553.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 965.0, + 389.0, + 1059.0, + 445.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 285.0, + 375.0, + 355.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 596.0, + 451.0, + 698.0, + 504.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 909.0, + 331.0, + 972.0, + 390.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 89.0, + 325.0, + 212.0, + 365.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 555.0, + 277.0, + 651.0, + 367.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 932.0, + 211.0, + 997.0, + 304.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/967bffe201" + }, + "96825c4714": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 517.0, + 512.0, + 669.0, + 585.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 716.0, + 512.0, + 867.0, + 581.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 888.0, + 511.0, + 1008.0, + 580.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 349.0, + 504.0, + 500.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 534.0, + 502.0, + 670.0, + 567.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 618.0, + 504.0, + 725.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 367.0, + 501.0, + 506.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 553.0, + 503.0, + 685.0, + 566.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 608.0, + 511.0, + 717.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 528.0, + 483.0, + 660.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 761.0, + 481.0, + 877.0, + 555.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 652.0, + 477.0, + 783.0, + 557.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96825c4714" + }, + "968492136a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 611.0, + 91.0, + 751.0, + 304.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 555.0, + 205.0, + 827.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 632.0, + 105.0, + 772.0, + 316.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 200.0, + 801.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 607.0, + 79.0, + 754.0, + 292.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 556.0, + 193.0, + 784.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 607.0, + 76.0, + 752.0, + 281.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 554.0, + 194.0, + 788.0, + 581.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00215", + "00235", + "00260", + "00280" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/968492136a" + }, + "9684ef9d64": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 611.0, + 641.0, + 928.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 611.0, + 610.0, + 948.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 663.0, + 550.0, + 1039.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 669.0, + 462.0, + 1033.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00215", + "00220", + "00240", + "00255" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9684ef9d64" + }, + "968c41829e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 475.0, + 231.0, + 564.0, + 341.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 417.0, + 306.0, + 728.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 307.0, + 376.0, + 776.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 536.0, + 235.0, + 634.0, + 328.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 522.0, + 286.0, + 793.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 408.0, + 382.0, + 871.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 637.0, + 246.0, + 742.0, + 353.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 643.0, + 297.0, + 879.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 518.0, + 391.0, + 961.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 545.0, + 220.0, + 647.0, + 324.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 564.0, + 264.0, + 759.0, + 691.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 396.0, + 356.0, + 839.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00185", + "00210", + "00220", + "00255" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/968c41829e" + }, + "96a856ef9a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 118.0, + 0.0, + 1022.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 73.0, + 0.0, + 1118.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 361.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 274.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96a856ef9a" + }, + "96dfc49961": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 237.0, + 71.0, + 748.0, + 625.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 41.0, + 91.0, + 591.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 65.0, + 131.0, + 648.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 77.0, + 141.0, + 692.0, + 609.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96dfc49961" + }, + "96e1a5b4f8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 314.0, + 168.0, + 443.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 358.0, + 125.0, + 674.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 347.0, + 165.0, + 552.0, + 328.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 474.0, + 252.0, + 832.0, + 471.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96e1a5b4f8" + }, + "96e6ff0917": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 376.0, + 12.0, + 1279.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 320.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 407.0, + 22.0, + 1279.0, + 646.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 365.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 403.0, + 42.0, + 1279.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 154.0, + 368.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 465.0, + 29.0, + 1279.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96e6ff0917" + }, + "96fb88e9d7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 84.0, + 0.0, + 933.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 989.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 985.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1003.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00135", + "00145", + "00165", + "00190" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96fb88e9d7" + }, + "96fbe5fc23": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 525.0, + 58.0, + 792.0, + 449.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 496.0, + 366.0, + 689.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 508.0, + 182.0, + 712.0, + 673.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 534.0, + 652.0, + 694.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 451.0, + 107.0, + 702.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96fbe5fc23" + }, + "96fc924050": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 490.0, + 32.0, + 1189.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 294.0, + 245.0, + 535.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 505.0, + 32.0, + 1172.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 302.0, + 244.0, + 594.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 459.0, + 37.0, + 1177.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 291.0, + 259.0, + 561.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 457.0, + 26.0, + 1204.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 259.0, + 281.0, + 466.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/96fc924050" + }, + "9715cc83dc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 447.0, + 210.0, + 550.0, + 405.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 493.0, + 381.0, + 563.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 428.0, + 241.0, + 547.0, + 441.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 384.0, + 410.0, + 536.0, + 460.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 507.0, + 351.0, + 647.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 529.0, + 476.0, + 695.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 400.0, + 329.0, + 496.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 398.0, + 467.0, + 505.0, + 495.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9715cc83dc" + }, + "9720eff40f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 380.0, + 342.0, + 741.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 399.0, + 319.0, + 750.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 403.0, + 353.0, + 738.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 397.0, + 314.0, + 738.0, + 611.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9720eff40f" + }, + "972c187c0d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 217.0, + 234.0, + 942.0, + 686.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 411.0, + 192.0, + 906.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 409.0, + 198.0, + 844.0, + 472.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 355.0, + 211.0, + 772.0, + 463.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/972c187c0d" + }, + "97476eb38d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 625.0, + 200.0, + 850.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 256.0, + 0.0, + 700.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 646.0, + 230.0, + 814.0, + 374.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 201.0, + 19.0, + 660.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 648.0, + 224.0, + 818.0, + 363.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 188.0, + 5.0, + 657.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 656.0, + 259.0, + 838.0, + 387.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 198.0, + 16.0, + 624.0, + 397.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97476eb38d" + }, + "97659ed431": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 557.0, + 303.0, + 740.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 528.0, + 458.0, + 670.0, + 471.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 750.0, + 349.0, + 839.0, + 414.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 752.0, + 411.0, + 814.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 817.0, + 271.0, + 908.0, + 447.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 822.0, + 445.0, + 917.0, + 458.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97659ed431" + }, + "9773492949": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 677.0, + 160.0, + 740.0, + 396.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 672.0, + 194.0, + 821.0, + 461.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 833.0, + 230.0, + 932.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 668.0, + 181.0, + 735.0, + 361.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 623.0, + 215.0, + 735.0, + 487.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 816.0, + 267.0, + 944.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 628.0, + 191.0, + 681.0, + 275.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 589.0, + 238.0, + 705.0, + 523.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 779.0, + 266.0, + 927.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 566.0, + 194.0, + 632.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 582.0, + 230.0, + 706.0, + 528.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 789.0, + 269.0, + 909.0, + 559.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9773492949" + }, + "97756b264f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 486.0, + 326.0, + 1036.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 169.0, + 0.0, + 1279.0, + 639.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 457.0, + 294.0, + 951.0, + 466.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 136.0, + 0.0, + 1279.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 465.0, + 291.0, + 961.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 824.0, + 184.0, + 1279.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 468.0, + 304.0, + 959.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 108.0, + 0.0, + 1279.0, + 623.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00170", + "00190", + "00205", + "00225" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97756b264f" + }, + "977bff0d10": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 500.0, + 322.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 20.0, + 167.0, + 422.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 383.0, + 694.0, + 485.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 462.0, + 308.0, + 856.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 387.0, + 670.0, + 528.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 474.0, + 374.0, + 805.0, + 693.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 81.0, + 407.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 121.0, + 102.0, + 1279.0, + 311.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/977bff0d10" + }, + "97ab569ff3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 259.0, + 0.0, + 461.0, + 363.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 246.0, + 346.0, + 408.0, + 382.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 152.0, + 0.0, + 316.0, + 256.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 163.0, + 222.0, + 320.0, + 273.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 90.0, + 0.0, + 252.0, + 211.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 156.0, + 169.0, + 291.0, + 208.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 112.0, + 0.0, + 367.0, + 303.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 219.0, + 235.0, + 413.0, + 283.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00050", + "00060", + "00085", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97ab569ff3" + }, + "97ba838008": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 392.0, + 259.0, + 778.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 244.0, + 366.0, + 590.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 376.0, + 133.0, + 715.0, + 610.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97ba838008" + }, + "97d9d008c7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 679.0, + 179.0, + 797.0, + 302.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 675.0, + 282.0, + 761.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 722.0, + 262.0, + 858.0, + 387.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 721.0, + 389.0, + 858.0, + 429.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 870.0, + 153.0, + 1022.0, + 269.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 903.0, + 138.0, + 987.0, + 324.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 906.0, + 378.0, + 934.0, + 391.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97d9d008c7" + }, + "97e59f09fa": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 192.0, + 1279.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 234.0, + 244.0, + 1279.0, + 655.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 1214.0, + 124.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 456.0, + 225.0, + 1249.0, + 611.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 1213.0, + 429.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 352.0, + 949.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 131.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 1172.0, + 207.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00050", + "00060", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97e59f09fa" + }, + "97eb642e56": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 145.0, + 86.0, + 531.0, + 349.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 627.0, + 4.0, + 953.0, + 361.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 128.0, + 156.0, + 556.0, + 413.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 622.0, + 54.0, + 957.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 161.0, + 145.0, + 560.0, + 449.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 612.0, + 57.0, + 961.0, + 416.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 347.0, + 134.0, + 667.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 662.0, + 116.0, + 1006.0, + 486.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00090", + "00110", + "00130", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/97eb642e56" + }, + "98043e2d14": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 742.0, + 102.0, + 859.0, + 335.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1219.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 678.0, + 0.0, + 815.0, + 236.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1166.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 652.0, + 55.0, + 790.0, + 311.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1096.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 669.0, + 8.0, + 813.0, + 273.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 1148.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98043e2d14" + }, + "981ff580cf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 617.0, + 345.0, + 840.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 547.0, + 221.0, + 807.0, + 351.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 701.0, + 260.0, + 900.0, + 407.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 397.0, + 182.0, + 644.0, + 298.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 593.0, + 237.0, + 849.0, + 357.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 2.0, + 361.0, + 272.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 637.0, + 270.0, + 739.0, + 367.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 59.0, + 222.0, + 184.0, + 323.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/981ff580cf" + }, + "983e66cbfc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 182.0, + 277.0, + 779.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 219.0, + 221.0, + 796.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 246.0, + 217.0, + 709.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 290.0, + 181.0, + 789.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00070", + "00085", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/983e66cbfc" + }, + "984f0f1c36": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 583.0, + 565.0, + 729.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 532.0, + 236.0, + 772.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 443.0, + 543.0, + 569.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 471.0, + 275.0, + 609.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 514.0, + 509.0, + 608.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 535.0, + 268.0, + 631.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 504.0, + 597.0, + 593.0, + 635.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 472.0, + 175.0, + 756.0, + 604.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/984f0f1c36" + }, + "98595f2bb4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 431.0, + 223.0, + 603.0, + 709.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 121.0, + 249.0, + 322.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 407.0, + 225.0, + 589.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 107.0, + 256.0, + 297.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 449.0, + 268.0, + 625.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 125.0, + 304.0, + 322.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 550.0, + 314.0, + 749.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98595f2bb4" + }, + "985c3be474": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 791.0, + 95.0, + 1072.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 790.0, + 117.0, + 1058.0, + 439.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 885.0, + 32.0, + 1074.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 815.0, + 0.0, + 897.0, + 89.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/985c3be474" + }, + "9869a12362": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 319.0, + 510.0, + 479.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 378.0, + 89.0, + 715.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 332.0, + 481.0, + 486.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 357.0, + 88.0, + 709.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 287.0, + 498.0, + 485.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 332.0, + 101.0, + 676.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 286.0, + 489.0, + 466.0, + 594.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 332.0, + 88.0, + 673.0, + 550.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9869a12362" + }, + "986b5a5e18": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 352.0, + 2.0, + 737.0, + 625.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 322.0, + 0.0, + 793.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 362.0, + 77.0, + 855.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 394.0, + 69.0, + 975.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/986b5a5e18" + }, + "9877af5063": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 441.0, + 169.0, + 849.0, + 557.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 440.0, + 0.0, + 675.0, + 74.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 419.0, + 165.0, + 815.0, + 558.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 420.0, + 0.0, + 604.0, + 80.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 412.0, + 141.0, + 811.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 432.0, + 0.0, + 566.0, + 58.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 407.0, + 195.0, + 839.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 430.0, + 0.0, + 574.0, + 96.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00055", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9877af5063" + }, + "98911292da": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 96.0, + 157.0, + 937.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 103.0, + 152.0, + 779.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 410.0, + 161.0, + 605.0, + 287.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 40.0, + 310.0, + 769.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 278.0, + 85.0, + 657.0, + 357.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 220.0, + 168.0, + 960.0, + 682.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 354.0, + 0.0, + 741.0, + 359.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98911292da" + }, + "9893a3cf77": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 276.0, + 149.0, + 931.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 575.0, + 190.0, + 1265.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 64.0, + 255.0, + 425.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 629.0, + 192.0, + 1273.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 64.0, + 316.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 692.0, + 173.0, + 1018.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 44.0, + 38.0, + 326.0, + 449.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9893a3cf77" + }, + "9893d9202d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 381.0, + 35.0, + 986.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 207.0, + 685.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 30.0, + 270.0, + 1097.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 224.0, + 278.0, + 1271.0, + 619.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9893d9202d" + }, + "98a8b06e7f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 325.0, + 412.0, + 587.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 323.0, + 335.0, + 581.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 330.0, + 278.0, + 589.0, + 647.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98a8b06e7f" + }, + "98ac6f93d9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 587.0, + 284.0, + 874.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 415.0, + 373.0, + 603.0, + 461.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 653.0, + 274.0, + 871.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 414.0, + 378.0, + 611.0, + 457.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 727.0, + 242.0, + 1125.0, + 454.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 506.0, + 219.0, + 754.0, + 461.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 504.0, + 319.0, + 781.0, + 513.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 350.0, + 277.0, + 631.0, + 517.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98ac6f93d9" + }, + "98b6974d12": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 510.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 508.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 560.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 526.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98b6974d12" + }, + "98ba3c9417": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 867.0, + 148.0, + 1279.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 39.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 45.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 741.0, + 223.0, + 1227.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 764.0, + 255.0, + 1250.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98ba3c9417" + }, + "98c7c00a19": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 501.0, + 363.0, + 608.0, + 415.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 607.0, + 346.0, + 660.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 497.0, + 369.0, + 596.0, + 410.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 613.0, + 371.0, + 672.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 484.0, + 373.0, + 601.0, + 429.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 613.0, + 357.0, + 720.0, + 432.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 478.0, + 389.0, + 588.0, + 431.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 607.0, + 358.0, + 729.0, + 431.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00185", + "00210", + "00240", + "00255" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98c7c00a19" + }, + "98d044f206": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 282.0, + 15.0, + 1077.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 254.0, + 13.0, + 1093.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 312.0, + 56.0, + 1117.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 388.0, + 37.0, + 1161.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98d044f206" + }, + "98e909f9d1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 1007.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 1117.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 1083.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 1147.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98e909f9d1" + }, + "98fe7f0410": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 579.0, + 126.0, + 838.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 497.0, + 179.0, + 779.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 528.0, + 104.0, + 792.0, + 385.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 528.0, + 98.0, + 794.0, + 387.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/98fe7f0410" + }, + "990f2742c7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 22.0, + 135.0, + 1277.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 30.0, + 145.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 26.0, + 145.0, + 1273.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 30.0, + 140.0, + 1277.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/990f2742c7" + }, + "992bd0779a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 321.0, + 0.0, + 704.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 230.0, + 0.0, + 805.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 300.0, + 0.0, + 721.0, + 369.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 296.0, + 0.0, + 979.0, + 481.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/992bd0779a" + }, + "994b9b47ba": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 234.0, + 57.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 206.0, + 32.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 202.0, + 44.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 190.0, + 58.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/994b9b47ba" + }, + "9955b76bf5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 453.0, + 155.0, + 930.0, + 527.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 815.0, + 302.0, + 889.0, + 607.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 475.0, + 165.0, + 980.0, + 535.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 857.0, + 306.0, + 928.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 466.0, + 167.0, + 957.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 862.0, + 310.0, + 934.0, + 619.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 438.0, + 172.0, + 932.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 854.0, + 322.0, + 928.0, + 644.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9955b76bf5" + }, + "997117a654": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 549.0, + 279.0, + 588.0, + 321.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 536.0, + 136.0, + 586.0, + 305.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 555.0, + 367.0, + 601.0, + 402.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 525.0, + 162.0, + 607.0, + 385.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 540.0, + 176.0, + 639.0, + 384.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 532.0, + 623.0, + 708.0, + 697.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 547.0, + 408.0, + 684.0, + 676.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/997117a654" + }, + "999d53d841": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 753.0, + 357.0, + 792.0, + 376.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 722.0, + 260.0, + 787.0, + 362.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 798.0, + 433.0, + 856.0, + 461.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 756.0, + 296.0, + 844.0, + 443.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 730.0, + 431.0, + 801.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 694.0, + 284.0, + 789.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/999d53d841" + }, + "99c04108d3": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 251.0, + 51.0, + 813.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 52.0, + 153.0, + 907.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 174.0, + 742.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 194.0, + 126.0, + 693.0, + 498.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/99c04108d3" + }, + "99c4277aee": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 542.0, + 349.0, + 1042.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 625.0, + 382.0, + 932.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 734.0, + 366.0, + 1020.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 660.0, + 309.0, + 960.0, + 446.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/99c4277aee" + }, + "99c6b1acf2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 386.0, + 340.0, + 855.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 507.0, + 356.0, + 908.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 718.0, + 385.0, + 972.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 845.0, + 375.0, + 1018.0, + 493.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00095", + "00105", + "00135", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/99c6b1acf2" + }, + "99dc8bb20b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 651.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 995.0, + 474.0, + 1245.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 747.0, + 417.0, + 1021.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 412.0, + 324.0, + 527.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 8.0, + 693.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 781.0, + 512.0, + 1160.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 712.0, + 464.0, + 954.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 374.0, + 363.0, + 474.0, + 458.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 643.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 1059.0, + 475.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 632.0, + 505.0, + 1073.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 416.0, + 360.0, + 492.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 524.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 923.0, + 417.0, + 1237.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 645.0, + 370.0, + 1016.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 341.0, + 344.0, + 418.0, + 393.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/99dc8bb20b" + }, + "99fcba71e5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 610.0, + 378.0, + 785.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 612.0, + 562.0, + 779.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 515.0, + 263.0, + 624.0, + 362.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 528.0, + 359.0, + 610.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 575.0, + 205.0, + 657.0, + 304.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 557.0, + 280.0, + 678.0, + 330.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 509.0, + 255.0, + 638.0, + 342.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 473.0, + 235.0, + 540.0, + 364.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/99fcba71e5" + }, + "99fecd4efb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 272.0, + 201.0, + 829.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 833.0, + 394.0, + 1121.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 261.0, + 224.0, + 863.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 843.0, + 396.0, + 1121.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 322.0, + 240.0, + 856.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 899.0, + 412.0, + 1119.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 331.0, + 231.0, + 905.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 855.0, + 361.0, + 1119.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/99fecd4efb" + }, + "9a02c70ba2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 519.0, + 430.0, + 658.0, + 652.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 379.0, + 332.0, + 560.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 510.0, + 425.0, + 673.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 379.0, + 332.0, + 592.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 562.0, + 394.0, + 689.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 363.0, + 333.0, + 614.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 607.0, + 380.0, + 691.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 432.0, + 341.0, + 629.0, + 576.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a02c70ba2" + }, + "9a08e7a6f8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 927.0, + 29.0, + 1143.0, + 485.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 394.0, + 81.0, + 578.0, + 313.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 687.0, + 20.0, + 913.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 157.0, + 36.0, + 342.0, + 271.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 645.0, + 58.0, + 847.0, + 527.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 231.0, + 59.0, + 378.0, + 215.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 608.0, + 205.0, + 679.0, + 353.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 497.0, + 238.0, + 518.0, + 266.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a08e7a6f8" + }, + "9a2f2c0f86": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 82.0, + 138.0, + 533.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 125.0, + 150.0, + 609.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 77.0, + 126.0, + 569.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 97.0, + 59.0, + 728.0, + 651.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a2f2c0f86" + }, + "9a3254a76e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 6.0, + 513.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 474.0, + 145.0, + 754.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 19.0, + 148.0, + 437.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 708.0, + 125.0, + 1062.0, + 656.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a3254a76e" + }, + "9a3570a020": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 808.0, + 201.0, + 1020.0, + 356.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 798.0, + 205.0, + 1002.0, + 363.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 730.0, + 204.0, + 926.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 718.0, + 205.0, + 914.0, + 370.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a3570a020" + }, + "9a39112493": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 257.0, + 41.0, + 585.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 531.0, + 36.0, + 826.0, + 469.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 431.0, + 138.0, + 660.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 399.0, + 224.0, + 643.0, + 612.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a39112493" + }, + "9a4e9fd399": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 343.0, + 31.0, + 619.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 361.0, + 63.0, + 639.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 345.0, + 57.0, + 619.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 341.0, + 65.0, + 603.0, + 575.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a4e9fd399" + }, + "9a50af4bfb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 659.0, + 290.0, + 783.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 602.0, + 387.0, + 759.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 600.0, + 386.0, + 756.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 605.0, + 426.0, + 742.0, + 553.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a50af4bfb" + }, + "9a68631d24": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 533.0, + 138.0, + 958.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 513.0, + 402.0, + 771.0, + 609.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 347.0, + 430.0, + 559.0, + 594.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 41.0, + 169.0, + 447.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 535.0, + 143.0, + 966.0, + 622.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 528.0, + 409.0, + 773.0, + 613.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 347.0, + 417.0, + 557.0, + 594.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 44.0, + 169.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 503.0, + 145.0, + 918.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 481.0, + 410.0, + 736.0, + 616.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 309.0, + 351.0, + 497.0, + 590.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 52.0, + 141.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 475.0, + 153.0, + 898.0, + 627.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 495.0, + 414.0, + 716.0, + 620.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 341.0, + 423.0, + 583.0, + 614.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 75.0, + 141.0, + 458.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a68631d24" + }, + "9a72318dbf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 476.0, + 468.0, + 823.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 394.0, + 458.0, + 737.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 338.0, + 382.0, + 682.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 322.0, + 341.0, + 626.0, + 594.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a72318dbf" + }, + "9a767493b7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 257.0, + 317.0, + 466.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 197.0, + 331.0, + 451.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 132.0, + 320.0, + 445.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 354.0, + 292.0, + 591.0, + 448.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a767493b7" + }, + "9a7fc1548b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 343.0, + 340.0, + 819.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 222.0, + 345.0, + 706.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 230.0, + 340.0, + 706.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 250.0, + 351.0, + 739.0, + 490.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a7fc1548b" + }, + "9a84ccf6a7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 604.0, + 167.0, + 1137.0, + 710.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 280.0, + 11.0, + 713.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 713.0, + 251.0, + 1177.0, + 711.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 438.0, + 95.0, + 817.0, + 713.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 789.0, + 285.0, + 1220.0, + 709.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 530.0, + 130.0, + 891.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 857.0, + 291.0, + 1269.0, + 708.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 592.0, + 129.0, + 955.0, + 709.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a84ccf6a7" + }, + "9a9c0e15b7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 684.0, + 251.0, + 758.0, + 338.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 548.0, + 126.0, + 617.0, + 185.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 636.0, + 308.0, + 729.0, + 360.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 507.0, + 123.0, + 561.0, + 169.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 651.0, + 295.0, + 740.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 449.0, + 73.0, + 507.0, + 117.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 657.0, + 269.0, + 759.0, + 339.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 444.0, + 40.0, + 490.0, + 83.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00080", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9a9c0e15b7" + }, + "9adf06d89b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 274.0, + 155.0, + 568.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 506.0, + 279.0, + 1149.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 336.0, + 125.0, + 626.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 578.0, + 227.0, + 1035.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 317.0, + 98.0, + 615.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 562.0, + 181.0, + 1002.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 313.0, + 126.0, + 539.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 514.0, + 207.0, + 992.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9adf06d89b" + }, + "9b22b54ee4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 161.0, + 300.0, + 258.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 431.0, + 447.0, + 550.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 488.0, + 365.0, + 659.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 486.0, + 338.0, + 732.0, + 600.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00050", + "00065", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9b22b54ee4" + }, + "9b473fc8fe": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 846.0, + 136.0, + 890.0, + 163.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 773.0, + 159.0, + 969.0, + 325.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 813.0, + 146.0, + 848.0, + 184.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 691.0, + 161.0, + 872.0, + 322.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 749.0, + 232.0, + 784.0, + 272.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 492.0, + 215.0, + 682.0, + 383.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 705.0, + 383.0, + 753.0, + 421.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 312.0, + 298.0, + 462.0, + 369.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9b473fc8fe" + }, + "9b4f081782": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 399.0, + 0.0, + 956.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 421.0, + 0.0, + 942.0, + 446.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 455.0, + 0.0, + 894.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 233.0, + 0.0, + 778.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9b4f081782" + }, + "9b997664ba": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 390.0, + 32.0, + 686.0, + 299.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 255.0, + 94.0, + 894.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 354.0, + 0.0, + 670.0, + 221.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 216.0, + 7.0, + 864.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 462.0, + 45.0, + 724.0, + 257.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 333.0, + 0.0, + 796.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 307.0, + 89.0, + 563.0, + 293.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 282.0, + 63.0, + 624.0, + 627.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9b997664ba" + }, + "9bc454e109": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 382.0, + 0.0, + 1183.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 430.0, + 0.0, + 1205.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 653.0, + 0.0, + 1279.0, + 685.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 626.0, + 31.0, + 1245.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9bc454e109" + }, + "9bccfd04de": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 809.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 726.0, + 171.0, + 886.0, + 670.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1007.0, + 191.0, + 1166.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 783.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 762.0, + 155.0, + 890.0, + 646.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1005.0, + 170.0, + 1165.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 859.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 740.0, + 188.0, + 873.0, + 636.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1009.0, + 164.0, + 1173.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 813.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 740.0, + 158.0, + 873.0, + 631.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1015.0, + 166.0, + 1175.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9bccfd04de" + }, + "9bce4583a2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 260.0, + 507.0, + 905.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 104.0, + 0.0, + 1263.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 210.0, + 511.0, + 917.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 206.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 244.0, + 432.0, + 893.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 224.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 226.0, + 398.0, + 921.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 174.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00060", + "00085", + "00110", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9bce4583a2" + }, + "9bebf1b87f": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 293.0, + 146.0, + 849.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 296.0, + 149.0, + 853.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 261.0, + 209.0, + 820.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 272.0, + 205.0, + 828.0, + 487.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9bebf1b87f" + }, + "9bfc50d261": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 313.0, + 0.0, + 896.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 341.0, + 0.0, + 956.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 355.0, + 82.0, + 960.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 367.0, + 145.0, + 990.0, + 695.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9bfc50d261" + }, + "9c166c86ff": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 426.0, + 79.0, + 1084.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 528.0, + 123.0, + 818.0, + 380.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 542.0, + 192.0, + 1059.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 255.0, + 260.0, + 863.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 527.0, + 125.0, + 777.0, + 391.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 536.0, + 188.0, + 1054.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 214.0, + 263.0, + 977.0, + 304.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 522.0, + 126.0, + 799.0, + 387.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 538.0, + 188.0, + 1055.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 195.0, + 207.0, + 895.0, + 509.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 499.0, + 132.0, + 753.0, + 389.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 533.0, + 190.0, + 1053.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c166c86ff" + }, + "9c293ef4d7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 415.0, + 125.0, + 922.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 412.0, + 73.0, + 1267.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 385.0, + 102.0, + 1249.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 360.0, + 104.0, + 1227.0, + 716.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c293ef4d7" + }, + "9c29c047b0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 210.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 78.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 292.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 556.0, + 310.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c29c047b0" + }, + "9c3bc2e2a7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 0.0, + 0.0, + 1048.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 0.0, + 0.0, + 1220.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 31.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 135.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c3bc2e2a7" + }, + "9c3ce23bd1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 839.0, + 155.0, + 944.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 892.0, + 254.0, + 959.0, + 434.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 973.0, + 277.0, + 1087.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 791.0, + 167.0, + 881.0, + 349.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 854.0, + 252.0, + 959.0, + 424.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1015.0, + 261.0, + 1148.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 739.0, + 184.0, + 795.0, + 367.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 808.0, + 255.0, + 894.0, + 415.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1067.0, + 249.0, + 1196.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 591.0, + 201.0, + 675.0, + 366.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 772.0, + 248.0, + 893.0, + 396.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1103.0, + 223.0, + 1224.0, + 400.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00180", + "00200", + "00220", + "00235" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c3ce23bd1" + }, + "9c404cac0c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 353.0, + 243.0, + 470.0, + 348.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 277.0, + 0.0, + 684.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 370.0, + 268.0, + 432.0, + 355.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 276.0, + 0.0, + 683.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 384.0, + 263.0, + 504.0, + 412.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 275.0, + 0.0, + 683.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 382.0, + 303.0, + 493.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 276.0, + 0.0, + 684.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c404cac0c" + }, + "9c5180d23a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 820.0, + 384.0, + 1148.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 825.0, + 380.0, + 1151.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 488.0, + 371.0, + 918.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 723.0, + 383.0, + 1079.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c5180d23a" + }, + "9c7feca6e4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 322.0, + 104.0, + 881.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 2.0, + 574.0, + 1057.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 396.0, + 0.0, + 881.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 534.0, + 1147.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 328.0, + 53.0, + 891.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 64.0, + 577.0, + 1097.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 410.0, + 23.0, + 829.0, + 637.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 34.0, + 570.0, + 1067.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9c7feca6e4" + }, + "9caa49d3ff": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 318.0, + 186.0, + 923.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 334.0, + 231.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 303.0, + 212.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 328.0, + 212.0, + 985.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9caa49d3ff" + }, + "9cb2f1b646": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 26.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9cb2f1b646" + }, + "9ce6f765c3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 840.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 273.0, + 121.0, + 329.0, + 166.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 882.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 224.0, + 141.0, + 291.0, + 199.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 599.0, + 111.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 135.0, + 137.0, + 240.0, + 222.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 517.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9ce6f765c3" + }, + "9cfee34031": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 517.0, + 125.0, + 780.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 527.0, + 128.0, + 788.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 525.0, + 134.0, + 790.0, + 647.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 517.0, + 141.0, + 770.0, + 644.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9cfee34031" + }, + "9d01f08ec6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 127.0, + 882.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 137.0, + 876.0, + 664.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 146.0, + 900.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 157.0, + 886.0, + 677.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9d01f08ec6" + }, + "9d04c280b8": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 719.0, + 95.0, + 794.0, + 319.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 743.0, + 79.0, + 854.0, + 335.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 394.0, + 385.0, + 667.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 685.0, + 102.0, + 740.0, + 317.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 698.0, + 94.0, + 820.0, + 331.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 350.0, + 381.0, + 665.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 613.0, + 118.0, + 682.0, + 320.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 655.0, + 109.0, + 756.0, + 310.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 332.0, + 377.0, + 665.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 557.0, + 144.0, + 618.0, + 379.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 590.0, + 123.0, + 685.0, + 330.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 346.0, + 377.0, + 654.0, + 713.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9d04c280b8" + }, + "9d12ceaddc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 599.0, + 269.0, + 1269.0, + 641.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 340.0, + 329.0, + 633.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 601.0, + 275.0, + 1269.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 337.0, + 324.0, + 627.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 592.0, + 277.0, + 1266.0, + 641.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 340.0, + 324.0, + 634.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 584.0, + 236.0, + 1274.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 349.0, + 324.0, + 633.0, + 517.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9d12ceaddc" + }, + "9d15f8cb3c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 422.0, + 335.0, + 619.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 433.0, + 342.0, + 658.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 438.0, + 343.0, + 676.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 458.0, + 350.0, + 658.0, + 474.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9d15f8cb3c" + }, + "9d2101e9bf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 252.0, + 296.0, + 881.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 308.0, + 208.0, + 975.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 342.0, + 188.0, + 799.0, + 532.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 368.0, + 236.0, + 659.0, + 492.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9d2101e9bf" + }, + "9d407c3aeb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 485.0, + 123.0, + 792.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 507.0, + 267.0, + 687.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 504.0, + 273.0, + 679.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 712.0, + 302.0, + 988.0, + 585.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 637.0, + 203.0, + 832.0, + 391.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9d407c3aeb" + }, + "9ddefc6165": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 240.0, + 0.0, + 943.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 204.0, + 0.0, + 1031.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 216.0, + 0.0, + 1035.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 210.0, + 0.0, + 991.0, + 481.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9ddefc6165" + }, + "9df0b1e298": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 58.0, + 436.0, + 618.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 821.0, + 461.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 72.0, + 445.0, + 627.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 823.0, + 474.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 76.0, + 434.0, + 636.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 830.0, + 471.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 72.0, + 418.0, + 636.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 829.0, + 454.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9df0b1e298" + }, + "9e16f115d8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 17.0, + 73.0, + 1176.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 40.0, + 71.0, + 1190.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 61.0, + 60.0, + 1173.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 45.0, + 60.0, + 1131.0, + 611.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e16f115d8" + }, + "9e249b4982": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 553.0, + 192.0, + 594.0, + 211.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 255.0, + 202.0, + 451.0, + 289.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e249b4982" + }, + "9e29b1982c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 629.0, + 148.0, + 1049.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 132.0, + 1129.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 444.0, + 175.0, + 1085.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 550.0, + 191.0, + 1009.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e29b1982c" + }, + "9e493e4773": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 597.0, + 172.0, + 859.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 850.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 640.0, + 244.0, + 1055.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 846.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 725.0, + 74.0, + 898.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 55.0, + 917.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 488.0, + 105.0, + 801.0, + 342.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 902.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e493e4773" + }, + "9e4c752cd0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 389.0, + 0.0, + 864.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 720.0, + 376.0, + 1084.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 395.0, + 0.0, + 894.0, + 535.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 748.0, + 371.0, + 1078.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 417.0, + 0.0, + 904.0, + 544.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 762.0, + 376.0, + 1090.0, + 661.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 443.0, + 0.0, + 1100.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 816.0, + 351.0, + 1090.0, + 642.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e4c752cd0" + }, + "9e4de40671": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 695.0, + 250.0, + 772.0, + 386.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 608.0, + 217.0, + 661.0, + 286.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 429.0, + 284.0, + 465.0, + 332.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 726.0, + 242.0, + 817.0, + 387.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 635.0, + 207.0, + 696.0, + 282.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 439.0, + 279.0, + 477.0, + 331.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "hat", + "bbox": [ + 1015.0, + 97.0, + 1139.0, + 229.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 488.0, + 279.0, + 535.0, + 346.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "hat", + "bbox": [ + 460.0, + 191.0, + 519.0, + 273.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e4de40671" + }, + "9e6319faeb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 779.0, + 96.0, + 899.0, + 234.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 371.0, + 91.0, + 465.0, + 222.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 306.0, + 141.0, + 594.0, + 229.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 910.0, + 98.0, + 1067.0, + 264.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 537.0, + 118.0, + 629.0, + 240.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 507.0, + 168.0, + 701.0, + 246.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1032.0, + 88.0, + 1205.0, + 254.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 624.0, + 114.0, + 718.0, + 248.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 687.0, + 166.0, + 800.0, + 249.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1255.0, + 142.0, + 1279.0, + 181.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 779.0, + 102.0, + 868.0, + 241.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 859.0, + 159.0, + 971.0, + 243.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e6319faeb" + }, + "9e6ddbb52d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 512.0, + 330.0, + 743.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 669.0, + 513.0, + 1024.0, + 761.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 96.0, + 504.0, + 426.0, + 737.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 765.0, + 495.0, + 1098.0, + 754.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 200.0, + 499.0, + 519.0, + 737.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 826.0, + 519.0, + 1184.0, + 750.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 543.0, + 527.0, + 878.0, + 725.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1124.0, + 495.0, + 1447.0, + 726.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9e6ddbb52d" + }, + "9eadcea74f": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 31 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 488.0, + 392.0, + 791.0, + 601.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 622.0, + 317.0, + 840.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 582.0, + 341.0, + 861.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 965.0, + 175.0, + 1279.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 557.0, + 271.0, + 849.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 403.0, + 0.0, + 944.0, + 140.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9eadcea74f" + }, + "9efb47b595": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 611.0, + 57.0, + 793.0, + 627.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 624.0, + 295.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 565.0, + 80.0, + 784.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 654.0, + 253.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 569.0, + 83.0, + 762.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 657.0, + 282.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 667.0, + 47.0, + 868.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 624.0, + 297.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9efb47b595" + }, + "9f30bfe61e": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 374.0, + 137.0, + 586.0, + 467.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 379.0, + 242.0, + 537.0, + 594.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 148.0, + 332.0, + 276.0, + 516.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 443.0, + 128.0, + 657.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 423.0, + 212.0, + 629.0, + 628.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 73.0, + 341.0, + 191.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 766.0, + 67.0, + 921.0, + 446.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 554.0, + 171.0, + 1073.0, + 671.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 19.0, + 380.0, + 126.0, + 646.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 927.0, + 69.0, + 1089.0, + 497.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 655.0, + 159.0, + 1262.0, + 681.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 388.0, + 192.0, + 644.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00020", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9f30bfe61e" + }, + "9f3734c3a4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 825.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 841.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 836.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 843.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9f3734c3a4" + }, + "9f5b858101": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 698.0, + 406.0, + 945.0, + 532.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 610.0, + 337.0, + 992.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 448.0, + 326.0, + 948.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 583.0, + 308.0, + 942.0, + 650.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9f5b858101" + }, + "9f66640cda": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 29 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 331.0, + 223.0, + 362.0, + 300.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 352.0, + 221.0, + 370.0, + 278.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 333.0, + 208.0, + 367.0, + 277.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 353.0, + 241.0, + 366.0, + 295.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 323.0, + 224.0, + 370.0, + 295.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 341.0, + 219.0, + 354.0, + 239.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 704.0, + 310.0, + 721.0, + 327.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 352.0, + 228.0, + 377.0, + 277.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 328.0, + 220.0, + 354.0, + 277.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9f66640cda" + }, + "9f913803e9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 194.0, + 162.0, + 909.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 250.0, + 197.0, + 935.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 254.0, + 213.0, + 921.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 234.0, + 213.0, + 905.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9f913803e9" + }, + "9f97bc74c8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 1254.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 73.0, + 1128.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 1006.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 15.0, + 1205.0, + 569.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9f97bc74c8" + }, + "9fbad86e20": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 409.0, + 477.0, + 700.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 477.0, + 484.0, + 639.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 400.0, + 516.0, + 705.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 386.0, + 468.0, + 684.0, + 632.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9fbad86e20" + }, + "9fc2bad316": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 155.0, + 224.0, + 1274.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 219.0, + 305.0, + 1279.0, + 580.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 249.0, + 218.0, + 1279.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 278.0, + 230.0, + 1279.0, + 506.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00055", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9fc2bad316" + }, + "9fc5c3af78": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 425.0, + 377.0, + 678.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 431.0, + 336.0, + 676.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 413.0, + 344.0, + 686.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 425.0, + 382.0, + 692.0, + 622.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9fc5c3af78" + }, + "9fcb310255": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 275.0, + 13.0, + 776.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 626.0, + 19.0, + 1279.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 270.0, + 4.0, + 765.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 645.0, + 15.0, + 1279.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 272.0, + 82.0, + 779.0, + 513.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 640.0, + 17.0, + 1279.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 283.0, + 78.0, + 771.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 640.0, + 11.0, + 1279.0, + 485.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9fcb310255" + }, + "9fcc256871": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 238.0, + 287.0, + 671.0, + 683.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 667.0, + 82.0, + 1059.0, + 494.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 347.0, + 268.0, + 718.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 191.0, + 289.0, + 655.0, + 712.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 677.0, + 66.0, + 1065.0, + 519.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 347.0, + 271.0, + 760.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 100.0, + 285.0, + 528.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 679.0, + 64.0, + 1103.0, + 494.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 485.0, + 225.0, + 832.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 328.0, + 446.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 710.0, + 59.0, + 1131.0, + 475.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 414.0, + 250.0, + 805.0, + 623.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9fcc256871" + }, + "9fd2fd4d47": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 475.0, + 275.0, + 712.0, + 372.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 430.0, + 245.0, + 703.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 264.0, + 207.0, + 751.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 274.0, + 290.0, + 891.0, + 525.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/9fd2fd4d47" + }, + "a0071ae316": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 160.0, + 204.0, + 845.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 104.0, + 218.0, + 830.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 180.0, + 279.0, + 797.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 152.0, + 283.0, + 834.0, + 584.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a0071ae316" + }, + "a023141022": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 733.0, + 58.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 314.0, + 80.0, + 1094.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 151.0, + 166.0, + 708.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 582.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 176.0, + 25.0, + 990.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 100.0, + 143.0, + 650.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 582.0, + 11.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 232.0, + 8.0, + 1000.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 150.0, + 104.0, + 686.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 475.0, + 0.0, + 1222.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 199.0, + 14.0, + 920.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 203.0, + 12.0, + 675.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a023141022" + }, + "a046399a74": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 545.0, + 308.0, + 615.0, + 367.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 629.0, + 280.0, + 705.0, + 342.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 705.0, + 311.0, + 774.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 485.0, + 266.0, + 563.0, + 343.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 579.0, + 233.0, + 657.0, + 308.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 654.0, + 269.0, + 731.0, + 345.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 537.0, + 239.0, + 622.0, + 333.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 642.0, + 201.0, + 724.0, + 293.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 719.0, + 249.0, + 801.0, + 343.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 487.0, + 178.0, + 576.0, + 292.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 591.0, + 141.0, + 675.0, + 251.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 676.0, + 195.0, + 764.0, + 308.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a046399a74" + }, + "a066e739c1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 614.0, + 131.0, + 1023.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 608.0, + 202.0, + 1064.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 520.0, + 119.0, + 1008.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 485.0, + 37.0, + 979.0, + 351.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a066e739c1" + }, + "a06722ba82": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bucket", + "bbox": [ + 120.0, + 0.0, + 550.0, + 485.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 471.0, + 0.0, + 1061.0, + 678.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 955.0, + 571.0, + 1153.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 68.0, + 0.0, + 508.0, + 455.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 449.0, + 0.0, + 1199.0, + 634.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 959.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 0.0, + 189.0, + 352.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 156.0, + 0.0, + 1005.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bucket", + "bbox": [ + 0.0, + 148.0, + 370.0, + 707.0 + ], + "valid": 1 + }, + "2": { + "category_name": "toilet", + "bbox": [ + 192.0, + 0.0, + 815.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a06722ba82" + }, + "a07a15dd64": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 596.0, + 0.0, + 997.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 495.0, + 558.0, + 832.0, + 641.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 466.0, + 0.0, + 973.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 400.0, + 456.0, + 997.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 424.0, + 0.0, + 947.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 386.0, + 519.0, + 957.0, + 643.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 518.0, + 19.0, + 857.0, + 589.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 721.0, + 505.0, + 907.0, + 604.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a07a15dd64" + }, + "a07b47f694": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 1064.0, + 254.0, + 1279.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1111.0, + 259.0, + 1279.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1013.0, + 271.0, + 1279.0, + 607.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 1018.0, + 232.0, + 1279.0, + 556.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a07b47f694" + }, + "a09c39472e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 611.0, + 0.0, + 1124.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 483.0, + 329.0, + 624.0, + 511.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 261.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 637.0, + 0.0, + 1147.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 534.0, + 309.0, + 669.0, + 494.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 287.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 622.0, + 0.0, + 1152.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 517.0, + 294.0, + 657.0, + 491.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 285.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 632.0, + 0.0, + 1154.0, + 527.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 508.0, + 293.0, + 661.0, + 480.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 288.0, + 518.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a09c39472e" + }, + "a0b208fe2e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 268.0, + 102.0, + 1137.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 30.0, + 0.0, + 677.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 371.0, + 169.0, + 1106.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 43.0, + 0.0, + 660.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 461.0, + 53.0, + 1022.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 583.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 605.0, + 224.0, + 1158.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 97.0, + 0.0, + 714.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a0b208fe2e" + }, + "a0b61c959e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 498.0, + 529.0, + 606.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 604.0, + 695.0, + 622.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 544.0, + 487.0, + 649.0, + 711.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 607.0, + 651.0, + 660.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 193.0, + 145.0, + 317.0, + 376.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 269.0, + 293.0, + 329.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 291.0, + 348.0, + 411.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 351.0, + 498.0, + 418.0, + 598.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00060", + "00080", + "00110", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a0b61c959e" + }, + "a0bc6c611d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 471.0, + 288.0, + 1100.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 323.0, + 147.0, + 910.0, + 427.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 694.0, + 245.0, + 822.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 642.0, + 230.0, + 802.0, + 479.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a0bc6c611d" + }, + "a0e6da5ba2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 659.0, + 285.0, + 811.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 608.0, + 244.0, + 796.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 678.0, + 286.0, + 843.0, + 588.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 510.0, + 238.0, + 782.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 625.0, + 274.0, + 849.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 491.0, + 216.0, + 777.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 638.0, + 191.0, + 821.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 500.0, + 241.0, + 670.0, + 515.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a0e6da5ba2" + }, + "a1193d6490": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 397.0, + 340.0, + 914.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 547.0, + 377.0, + 1106.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 520.0, + 386.0, + 1086.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 443.0, + 402.0, + 1057.0, + 610.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a1193d6490" + }, + "a14ef483ff": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 319.0, + 127.0, + 449.0, + 248.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 172.0, + 261.0, + 291.0, + 608.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 338.0, + 171.0, + 762.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 275.0, + 115.0, + 411.0, + 236.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 165.0, + 263.0, + 292.0, + 634.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 326.0, + 157.0, + 739.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 251.0, + 114.0, + 404.0, + 241.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 170.0, + 279.0, + 301.0, + 668.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 338.0, + 159.0, + 786.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 256.0, + 123.0, + 408.0, + 251.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 193.0, + 304.0, + 346.0, + 704.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 386.0, + 33.0, + 793.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a14ef483ff" + }, + "a14f709908": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 424.0, + 206.0, + 516.0, + 379.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 419.0, + 221.0, + 518.0, + 383.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 419.0, + 223.0, + 514.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 419.0, + 227.0, + 516.0, + 382.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a14f709908" + }, + "a15ccc5658": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 540.0, + 192.0, + 576.0, + 214.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 541.0, + 214.0, + 594.0, + 402.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 480.0, + 279.0, + 692.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 543.0, + 196.0, + 577.0, + 220.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 529.0, + 219.0, + 617.0, + 441.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 482.0, + 304.0, + 662.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 544.0, + 167.0, + 584.0, + 193.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 537.0, + 194.0, + 680.0, + 421.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 536.0, + 277.0, + 663.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 648.0, + 126.0, + 704.0, + 163.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 655.0, + 160.0, + 820.0, + 430.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 581.0, + 266.0, + 815.0, + 653.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a15ccc5658" + }, + "a16062456f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 218.0, + 295.0, + 504.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 668.0, + 315.0, + 875.0, + 475.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 850.0, + 272.0, + 1214.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 234.0, + 276.0, + 462.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 251.0, + 306.0, + 482.0, + 452.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 348.0, + 231.0, + 685.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 259.0, + 166.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 83.0, + 335.0, + 256.0, + 477.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 161.0, + 259.0, + 538.0, + 479.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 303.0, + 314.0, + 531.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a16062456f" + }, + "a174e8d989": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 373.0, + 0.0, + 905.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 354.0, + 0.0, + 853.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 300.0, + 0.0, + 983.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 292.0, + 0.0, + 1050.0, + 714.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a174e8d989" + }, + "a177c2733c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 90.0, + 125.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 129.0, + 84.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 117.0, + 89.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 103.0, + 89.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a177c2733c" + }, + "a17c62e764": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 301.0, + 293.0, + 714.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 761.0, + 207.0, + 888.0, + 389.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1050.0, + 180.0, + 1196.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 274.0, + 245.0, + 748.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 755.0, + 163.0, + 885.0, + 352.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1052.0, + 141.0, + 1210.0, + 383.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 205.0, + 270.0, + 638.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 686.0, + 193.0, + 814.0, + 385.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 988.0, + 168.0, + 1151.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 300.0, + 252.0, + 538.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 796.0, + 181.0, + 940.0, + 382.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1149.0, + 149.0, + 1279.0, + 427.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a17c62e764" + }, + "a18ad065fc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 222.0, + 0.0, + 1279.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 210.0, + 214.0, + 1279.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 369.0, + 216.0, + 1279.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 328.0, + 41.0, + 1279.0, + 542.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a18ad065fc" + }, + "a1aaf63216": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 905.0, + 292.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 1042.0, + 261.0, + 1239.0, + 462.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 562.0, + 318.0, + 1043.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 725.0, + 265.0, + 989.0, + 480.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 1021.0, + 229.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 316.0, + 197.0, + 755.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 559.0, + 135.0, + 845.0, + 348.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 822.0, + 89.0, + 1215.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 206.0, + 328.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 334.0, + 145.0, + 618.0, + 352.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 432.0, + 97.0, + 879.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a1aaf63216" + }, + "a1bb65fb91": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 220.0, + 381.0, + 639.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 246.0, + 389.0, + 646.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 315.0, + 433.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 338.0, + 477.0, + 655.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a1bb65fb91" + }, + "a1bd8e5349": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 285.0, + 73.0, + 442.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 614.0, + 138.0, + 741.0, + 360.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 334.0, + 110.0, + 457.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 594.0, + 183.0, + 687.0, + 370.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 735.0, + 121.0, + 959.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 444.0, + 100.0, + 580.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 640.0, + 172.0, + 727.0, + 346.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 770.0, + 93.0, + 959.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 493.0, + 128.0, + 625.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 607.0, + 212.0, + 653.0, + 322.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 759.0, + 77.0, + 959.0, + 528.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a1bd8e5349" + }, + "a1dfdd0cac": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 68.0, + 179.0, + 1091.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a1dfdd0cac" + }, + "a2052e4f6c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 764.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 635.0, + 0.0, + 1224.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 350.0, + 0.0, + 709.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 758.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 635.0, + 0.0, + 1186.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 362.0, + 0.0, + 695.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 702.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 579.0, + 0.0, + 1058.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 342.0, + 0.0, + 669.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 690.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 581.0, + 0.0, + 1044.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 330.0, + 0.0, + 651.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2052e4f6c" + }, + "a20fd34693": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 344.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 92.0, + 297.0, + 781.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 380.0, + 0.0, + 1279.0, + 701.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 36.0, + 331.0, + 717.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 378.0, + 0.0, + 1279.0, + 694.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 128.0, + 336.0, + 825.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 302.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 280.0, + 305.0, + 823.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a20fd34693" + }, + "a21ffe4d81": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 168.0, + 161.0, + 643.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 154.0, + 0.0, + 891.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 0.0, + 909.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 0.0, + 1031.0, + 633.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a21ffe4d81" + }, + "a22349e647": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 412.0, + 260.0, + 921.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 363.0, + 233.0, + 929.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 456.0, + 192.0, + 966.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 468.0, + 242.0, + 1013.0, + 532.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a22349e647" + }, + "a235d01ec1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 621.0, + 237.0, + 1279.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 605.0, + 117.0, + 1279.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 660.0, + 39.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 772.0, + 33.0, + 1279.0, + 716.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a235d01ec1" + }, + "a24f63e8a2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 1232.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 297.0, + 176.0, + 1158.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 491.0, + 12.0, + 1072.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 611.0, + 116.0, + 1076.0, + 632.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a24f63e8a2" + }, + "a263ce8a87": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 310.0, + 382.0, + 345.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 113.0, + 300.0, + 146.0, + 405.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 340.0, + 248.0, + 435.0, + 395.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 338.0, + 379.0, + 396.0, + 406.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 111.0, + 290.0, + 149.0, + 387.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 263.0, + 4.0, + 581.0, + 539.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 379.0, + 487.0, + 641.0, + 566.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 525.0, + 133.0, + 652.0, + 384.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 557.0, + 349.0, + 635.0, + 404.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a263ce8a87" + }, + "a29bfc29ec": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 890.0, + 395.0, + 1074.0, + 490.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 866.0, + 390.0, + 1049.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 666.0, + 372.0, + 837.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 644.0, + 354.0, + 809.0, + 443.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a29bfc29ec" + }, + "a2a80072d4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 554.0, + 242.0, + 857.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 552.0, + 160.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 830.0, + 198.0, + 1033.0, + 338.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 136.0, + 375.0, + 483.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 748.0, + 223.0, + 1029.0, + 384.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 186.0, + 370.0, + 522.0, + 567.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 369.0, + 264.0, + 675.0, + 431.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 174.0, + 385.0, + 496.0, + 579.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2a80072d4" + }, + "a2a800ab63": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 1026.0, + 402.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 30.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 593.0, + 394.0, + 1276.0, + 684.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 591.0, + 368.0, + 1142.0, + 699.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2a800ab63" + }, + "a2bcd10a33": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 241.0, + 162.0, + 966.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 149.0, + 197.0, + 922.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 170.0, + 145.0, + 922.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 239.0, + 100.0, + 998.0, + 549.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00085", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2bcd10a33" + }, + "a2bdaff3b0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 727.0, + 112.0, + 1279.0, + 253.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 813.0, + 141.0, + 1279.0, + 252.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 665.0, + 154.0, + 1238.0, + 248.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 468.0, + 166.0, + 1029.0, + 348.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2bdaff3b0" + }, + "a2c146ab0d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 89.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 72.0, + 99.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 248.0, + 78.0, + 1279.0, + 669.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 261.0, + 39.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 278.0, + 76.0, + 1279.0, + 667.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2c146ab0d" + }, + "a2c996e429": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 467.0, + 283.0, + 752.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 452.0, + 244.0, + 765.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1130.0, + 572.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 426.0, + 394.0, + 465.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 461.0, + 233.0, + 806.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 977.0, + 480.0, + 1279.0, + 686.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 385.0, + 399.0, + 475.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 429.0, + 244.0, + 813.0, + 653.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 842.0, + 476.0, + 1044.0, + 590.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 107.0, + 434.0, + 358.0, + 606.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00220", + "00235", + "00255", + "00285" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2c996e429" + }, + "a2dc51ebe8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 45.0, + 173.0, + 120.0, + 337.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 169.0, + 125.0, + 338.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 168.0, + 122.0, + 337.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 121.0, + 179.0, + 213.0, + 344.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2dc51ebe8" + }, + "a2e6608bfa": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 163.0, + 44.0, + 1279.0, + 691.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 117.0, + 259.0, + 460.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 175.0, + 80.0, + 1279.0, + 678.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 93.0, + 246.0, + 440.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 397.0, + 164.0, + 1279.0, + 640.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 161.0, + 239.0, + 528.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 277.0, + 55.0, + 1279.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 189.0, + 169.0, + 566.0, + 538.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2e6608bfa" + }, + "a2f2a55f01": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 717.0, + 325.0, + 811.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 641.0, + 333.0, + 879.0, + 427.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 801.0, + 387.0, + 824.0, + 393.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 715.0, + 320.0, + 855.0, + 397.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 616.0, + 334.0, + 939.0, + 418.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 732.0, + 333.0, + 839.0, + 382.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 677.0, + 308.0, + 752.0, + 365.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 586.0, + 320.0, + 853.0, + 402.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 656.0, + 300.0, + 785.0, + 371.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 599.0, + 294.0, + 659.0, + 338.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 518.0, + 303.0, + 760.0, + 371.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00100", + "00125", + "00130", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a2f2a55f01" + }, + "a301869dea": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 317.0, + 49.0, + 527.0, + 179.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 207.0, + 109.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 233.0, + 199.0, + 559.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 115.0, + 151.0, + 651.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 49.0, + 81.0, + 718.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a301869dea" + }, + "a31fccd2cc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 102.0, + 0.0, + 945.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 584.0, + 335.0, + 877.0, + 439.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 412.0, + 94.0, + 704.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 555.0, + 368.0, + 665.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 46.0, + 694.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 564.0, + 425.0, + 649.0, + 454.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 469.0, + 69.0, + 700.0, + 413.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 567.0, + 404.0, + 661.0, + 438.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a31fccd2cc" + }, + "a34f440f33": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 349.0, + 368.0, + 730.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 517.0, + 0.0, + 872.0, + 628.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 671.0, + 173.0, + 846.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 285.0, + 449.0, + 646.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 519.0, + 9.0, + 882.0, + 681.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 732.0, + 260.0, + 888.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 151.0, + 451.0, + 491.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 604.0, + 134.0, + 1009.0, + 621.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 813.0, + 419.0, + 996.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 139.0, + 394.0, + 497.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 599.0, + 110.0, + 1019.0, + 584.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 818.0, + 399.0, + 1028.0, + 644.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a34f440f33" + }, + "a35e0206da": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 201.0, + 32.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 233.0, + 44.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 359.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 205.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a35e0206da" + }, + "a36bdc4cab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 428.0, + 533.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 211.0, + 37.0, + 540.0, + 338.0 + ], + "valid": 1 + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 734.0, + 42.0, + 1262.0, + 256.0 + ], + "valid": 1 + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 442.0, + 198.0, + 1279.0, + 601.0 + ], + "valid": 1 + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 681.0, + 419.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 374.0, + 536.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 204.0, + 43.0, + 570.0, + 339.0 + ], + "valid": 1 + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 695.0, + 44.0, + 1279.0, + 254.0 + ], + "valid": 1 + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 443.0, + 203.0, + 1279.0, + 601.0 + ], + "valid": 1 + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 671.0, + 486.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 331.0, + 615.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 218.0, + 3.0, + 619.0, + 315.0 + ], + "valid": 1 + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 701.0, + 20.0, + 1279.0, + 226.0 + ], + "valid": 1 + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 434.0, + 213.0, + 1279.0, + 570.0 + ], + "valid": 1 + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 622.0, + 524.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 238.0, + 851.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 314.0, + 0.0, + 741.0, + 271.0 + ], + "valid": 1 + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 797.0, + 0.0, + 1278.0, + 148.0 + ], + "valid": 1 + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 519.0, + 154.0, + 1279.0, + 477.0 + ], + "valid": 1 + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 687.0, + 438.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a36bdc4cab" + }, + "a36e8c79d8": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 382.0, + 152.0, + 660.0, + 218.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 306.0, + 330.0, + 374.0, + 375.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 249.0, + 368.0, + 458.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 386.0, + 144.0, + 661.0, + 214.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 353.0, + 314.0, + 409.0, + 353.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 287.0, + 349.0, + 496.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 366.0, + 162.0, + 656.0, + 234.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 450.0, + 313.0, + 504.0, + 341.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 387.0, + 341.0, + 587.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 380.0, + 241.0, + 756.0, + 335.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 787.0, + 317.0, + 850.0, + 357.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 723.0, + 354.0, + 940.0, + 709.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00155", + "00160", + "00170", + "00200" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a36e8c79d8" + }, + "a378053b20": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 188.0, + 189.0, + 477.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 780.0, + 66.0, + 1132.0, + 608.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 198.0, + 139.0, + 479.0, + 645.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 781.0, + 64.0, + 1131.0, + 609.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 198.0, + 135.0, + 478.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 784.0, + 96.0, + 1140.0, + 612.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 196.0, + 144.0, + 474.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 783.0, + 106.0, + 1138.0, + 612.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a378053b20" + }, + "a37db3a2b3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 300.0, + 246.0, + 621.0, + 553.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 778.0, + 284.0, + 1001.0, + 537.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 578.0, + 285.0, + 631.0, + 346.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 250.0, + 303.0, + 629.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 796.0, + 287.0, + 1026.0, + 536.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 577.0, + 296.0, + 672.0, + 351.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 216.0, + 275.0, + 651.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 830.0, + 285.0, + 1034.0, + 538.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 577.0, + 292.0, + 691.0, + 355.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 291.0, + 337.0, + 686.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 880.0, + 303.0, + 1084.0, + 547.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 632.0, + 298.0, + 737.0, + 361.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a37db3a2b3" + }, + "a38950ebc2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 435.0, + 160.0, + 621.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 477.0, + 196.0, + 666.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 473.0, + 220.0, + 720.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 367.0, + 225.0, + 703.0, + 591.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a38950ebc2" + }, + "a39a0eb433": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 491.0, + 251.0, + 846.0, + 446.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 510.0, + 240.0, + 875.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 516.0, + 153.0, + 918.0, + 373.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 488.0, + 138.0, + 1063.0, + 425.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a39a0eb433" + }, + "a39c9bca52": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 240.0, + 159.0, + 1031.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 95.0, + 1129.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 206.0, + 11.0, + 1077.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1181.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 138.0, + 62.0, + 1011.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1234.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 813.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 74.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a39c9bca52" + }, + "a3a945dc8c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 424.0, + 146.0, + 636.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 587.0, + 272.0, + 683.0, + 513.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 855.0, + 212.0, + 1043.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 365.0, + 133.0, + 579.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 575.0, + 272.0, + 666.0, + 507.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 801.0, + 220.0, + 992.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 377.0, + 136.0, + 577.0, + 602.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 570.0, + 268.0, + 665.0, + 523.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 799.0, + 206.0, + 977.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 322.0, + 110.0, + 566.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 557.0, + 258.0, + 658.0, + 505.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 770.0, + 182.0, + 949.0, + 559.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a3a945dc8c" + }, + "a3b40a0c1e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 276.0, + 133.0, + 957.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 268.0, + 365.0, + 415.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 306.0, + 152.0, + 967.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 286.0, + 379.0, + 409.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 352.0, + 235.0, + 997.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 320.0, + 419.0, + 451.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 378.0, + 139.0, + 1025.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 346.0, + 393.0, + 481.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a3b40a0c1e" + }, + "a3b8588550": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 430.0, + 257.0, + 552.0, + 582.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 889.0, + 175.0, + 995.0, + 453.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1122.0, + 52.0, + 1200.0, + 286.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 532.0, + 275.0, + 649.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 888.0, + 185.0, + 1015.0, + 453.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1141.0, + 57.0, + 1223.0, + 296.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 572.0, + 277.0, + 674.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 888.0, + 190.0, + 1014.0, + 469.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1150.0, + 62.0, + 1225.0, + 295.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 788.0, + 302.0, + 902.0, + 639.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 866.0, + 212.0, + 967.0, + 488.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1158.0, + 68.0, + 1231.0, + 309.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a3b8588550" + }, + "a3c502bec3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 1066.0, + 183.0, + 1270.0, + 391.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 909.0, + 179.0, + 1077.0, + 269.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 582.0, + 436.0, + 1041.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 723.0, + 690.0, + 777.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 578.0, + 354.0, + 911.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 741.0, + 692.0, + 825.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 576.0, + 259.0, + 909.0, + 622.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 723.0, + 591.0, + 811.0, + 627.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a3c502bec3" + }, + "a3f2878017": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 222.0, + 184.0, + 1029.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 105.0, + 156.0, + 971.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 103.0, + 133.0, + 944.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 78.0, + 158.0, + 917.0, + 660.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00085", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a3f2878017" + }, + "a3f4d58010": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 463.0, + 355.0, + 747.0, + 581.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 34.0, + 159.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 501.0, + 261.0, + 843.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 226.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 479.0, + 242.0, + 914.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 203.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 465.0, + 207.0, + 795.0, + 522.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 164.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a3f4d58010" + }, + "a3f51855c3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 633.0, + 167.0, + 716.0, + 268.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 647.0, + 54.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 631.0, + 95.0, + 782.0, + 252.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 30.0, + 619.0, + 154.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 713.0, + 52.0, + 891.0, + 190.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 20.0, + 511.0, + 439.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 645.0, + 135.0, + 845.0, + 269.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 12.0, + 567.0, + 379.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a3f51855c3" + }, + "a4065a7eda": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 701.0, + 169.0, + 1063.0, + 389.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 478.0, + 77.0, + 775.0, + 316.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 6.0, + 84.0, + 408.0, + 361.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 714.0, + 200.0, + 1068.0, + 422.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 479.0, + 96.0, + 788.0, + 337.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 11.0, + 103.0, + 405.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 720.0, + 228.0, + 1092.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 485.0, + 104.0, + 802.0, + 363.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 95.0, + 417.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 702.0, + 234.0, + 1060.0, + 510.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 451.0, + 124.0, + 770.0, + 388.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 120.0, + 389.0, + 414.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a4065a7eda" + }, + "a412bb2fef": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 244.0, + 69.0, + 695.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 224.0, + 91.0, + 665.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 132.0, + 102.0, + 588.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 7.0, + 47.0, + 534.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a412bb2fef" + }, + "a416b56b53": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 540.0, + 80.0, + 911.0, + 289.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 703.0, + 251.0, + 896.0, + 454.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 537.0, + 622.0, + 584.0, + 654.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 535.0, + 77.0, + 919.0, + 314.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 667.0, + 240.0, + 896.0, + 449.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 490.0, + 617.0, + 529.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 604.0, + 115.0, + 974.0, + 365.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 726.0, + 289.0, + 974.0, + 446.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 545.0, + 95.0, + 962.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "umbrella", + "bbox": [ + 787.0, + 312.0, + 1027.0, + 474.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 537.0, + 691.0, + 550.0, + 714.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a416b56b53" + }, + "a41ec95906": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 223.0, + 703.0, + 480.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 706.0, + 236.0, + 761.0, + 473.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 725.0, + 189.0, + 994.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 644.0, + 222.0, + 713.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 734.0, + 235.0, + 782.0, + 297.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 693.0, + 188.0, + 987.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 675.0, + 225.0, + 731.0, + 302.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 571.0, + 173.0, + 964.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 766.0, + 221.0, + 800.0, + 285.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 809.0, + 227.0, + 841.0, + 293.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 436.0, + 150.0, + 942.0, + 672.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a41ec95906" + }, + "a43299e362": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 507.0, + 107.0, + 688.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 660.0, + 94.0, + 723.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 533.0, + 126.0, + 662.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 517.0, + 80.0, + 666.0, + 562.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a43299e362" + }, + "a4757bd7af": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 832.0, + 204.0, + 869.0, + 247.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 800.0, + 226.0, + 886.0, + 397.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 698.0, + 274.0, + 1091.0, + 528.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 905.0, + 206.0, + 939.0, + 243.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 847.0, + 223.0, + 948.0, + 392.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 767.0, + 254.0, + 1122.0, + 515.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 632.0, + 190.0, + 668.0, + 224.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 574.0, + 208.0, + 676.0, + 378.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 524.0, + 234.0, + 841.0, + 494.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 474.0, + 247.0, + 501.0, + 280.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 432.0, + 275.0, + 505.0, + 362.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 351.0, + 287.0, + 652.0, + 526.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a4757bd7af" + }, + "a48c53c454": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 126.0, + 724.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 488.0, + 17.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 111.0, + 730.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 502.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 66.0, + 726.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 523.0, + 1.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 738.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 558.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a48c53c454" + }, + "a49dcf9ad5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 171.0, + 137.0, + 556.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 765.0, + 323.0, + 847.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 167.0, + 183.0, + 553.0, + 517.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 844.0, + 382.0, + 914.0, + 461.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 168.0, + 209.0, + 552.0, + 548.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 919.0, + 440.0, + 1014.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 169.0, + 212.0, + 551.0, + 550.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 921.0, + 439.0, + 1021.0, + 556.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a49dcf9ad5" + }, + "a4a506521f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 143.0, + 206.0, + 274.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 145.0, + 203.0, + 273.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 133.0, + 270.0, + 255.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 135.0, + 302.0, + 265.0, + 442.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a4a506521f" + }, + "a4ba7753d9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 521.0, + 216.0, + 861.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 444.0, + 218.0, + 908.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 366.0, + 203.0, + 1032.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 358.0, + 271.0, + 1031.0, + 668.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a4ba7753d9" + }, + "a4bac06849": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 461.0, + 101.0, + 736.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 682.0, + 194.0, + 849.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 490.0, + 82.0, + 781.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 493.0, + 159.0, + 714.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 457.0, + 100.0, + 772.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 459.0, + 178.0, + 683.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 498.0, + 96.0, + 797.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 485.0, + 177.0, + 629.0, + 519.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a4bac06849" + }, + "a4f05d681c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 493.0, + 225.0, + 625.0, + 482.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 604.0, + 261.0, + 805.0, + 639.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 776.0, + 244.0, + 935.0, + 570.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 139.0, + 135.0, + 225.0, + 190.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 519.0, + 191.0, + 640.0, + 472.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 578.0, + 229.0, + 780.0, + 633.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 779.0, + 221.0, + 965.0, + 585.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 216.0, + 113.0, + 297.0, + 168.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 554.0, + 201.0, + 663.0, + 413.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 590.0, + 235.0, + 780.0, + 620.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 816.0, + 240.0, + 1009.0, + 662.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 275.0, + 118.0, + 357.0, + 168.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 593.0, + 243.0, + 706.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 625.0, + 273.0, + 799.0, + 711.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 836.0, + 274.0, + 1036.0, + 633.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 399.0, + 169.0, + 478.0, + 222.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a4f05d681c" + }, + "a50c10060f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 531.0, + 0.0, + 989.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 572.0, + 0.0, + 1069.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 577.0, + 0.0, + 1166.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 470.0, + 0.0, + 1248.0, + 485.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a50c10060f" + }, + "a50eb5a0ea": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 257.0, + 242.0, + 1113.0, + 663.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 392.0, + 297.0, + 788.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 51.0, + 322.0, + 754.0, + 697.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 659.0, + 443.0, + 838.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 184.0, + 338.0, + 806.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 489.0, + 519.0, + 518.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 158.0, + 256.0, + 509.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 131.0, + 331.0, + 310.0, + 530.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a50eb5a0ea" + }, + "a5122c6ec6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 411.0, + 99.0, + 716.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 635.0, + 271.0, + 723.0, + 463.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 240.0, + 27.0, + 310.0, + 295.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 436.0, + 112.0, + 760.0, + 589.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 656.0, + 208.0, + 743.0, + 438.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 276.0, + 49.0, + 344.0, + 314.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 432.0, + 122.0, + 760.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 615.0, + 118.0, + 710.0, + 275.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 279.0, + 51.0, + 348.0, + 317.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 460.0, + 147.0, + 799.0, + 673.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 452.0, + 157.0, + 660.0, + 357.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 283.0, + 130.0, + 352.0, + 422.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5122c6ec6" + }, + "a522b1aa79": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 624.0, + 54.0, + 1073.0, + 322.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 558.0, + 136.0, + 1183.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 596.0, + 105.0, + 1191.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 626.0, + 45.0, + 1117.0, + 357.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a522b1aa79" + }, + "a590915345": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 378.0, + 314.0, + 738.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 450.0, + 366.0, + 726.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 562.0, + 307.0, + 787.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 469.0, + 293.0, + 740.0, + 448.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a590915345" + }, + "a5b5b59139": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 364.0, + 0.0, + 625.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 384.0, + 465.0, + 625.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 455.0, + 0.0, + 710.0, + 560.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 479.0, + 471.0, + 719.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 466.0, + 0.0, + 721.0, + 557.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 489.0, + 470.0, + 727.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 462.0, + 0.0, + 720.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 483.0, + 470.0, + 726.0, + 618.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5b5b59139" + }, + "a5b77abe43": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 335.0, + 0.0, + 1009.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 324.0, + 0.0, + 1030.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 328.0, + 1.0, + 1042.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 338.0, + 4.0, + 1098.0, + 718.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5b77abe43" + }, + "a5c2b2c3e1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 495.0, + 0.0, + 705.0, + 390.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 465.0, + 378.0, + 619.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 472.0, + 0.0, + 792.0, + 482.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 478.0, + 395.0, + 655.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 600.0, + 0.0, + 825.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 497.0, + 400.0, + 812.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 380.0, + 0.0, + 564.0, + 418.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 319.0, + 386.0, + 696.0, + 474.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00080", + "00090", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5c2b2c3e1" + }, + "a5cd17bb11": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 567.0, + 220.0, + 640.0, + 364.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 561.0, + 341.0, + 631.0, + 378.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 567.0, + 397.0, + 643.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 595.0, + 543.0, + 627.0, + 561.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 614.0, + 151.0, + 781.0, + 298.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 656.0, + 250.0, + 785.0, + 338.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5cd17bb11" + }, + "a5da03aef1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 337.0, + 124.0, + 606.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 433.0, + 190.0, + 843.0, + 416.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 412.0, + 197.0, + 847.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 379.0, + 210.0, + 850.0, + 509.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5da03aef1" + }, + "a5dd11de0d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 596.0, + 173.0, + 1127.0, + 548.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 574.0, + 320.0, + 1193.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 837.0, + 46.0, + 1263.0, + 422.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 859.0, + 183.0, + 1279.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 364.0, + 182.0, + 1060.0, + 490.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 420.0, + 297.0, + 1109.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 290.0, + 54.0, + 1211.0, + 498.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 418.0, + 142.0, + 1189.0, + 621.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5dd11de0d" + }, + "a5ea2b93b6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 454.0, + 169.0, + 801.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 412.0, + 446.0, + 562.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 490.0, + 109.0, + 765.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 518.0, + 426.0, + 675.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 372.0, + 279.0, + 600.0, + 480.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 564.0, + 378.0, + 620.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5ea2b93b6" + }, + "a5eaeac80b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 742.0, + 217.0, + 894.0, + 378.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 749.0, + 371.0, + 882.0, + 384.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 713.0, + 310.0, + 825.0, + 410.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 615.0, + 388.0, + 800.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 785.0, + 209.0, + 924.0, + 352.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 793.0, + 348.0, + 930.0, + 372.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 931.0, + 192.0, + 1097.0, + 396.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 934.0, + 394.0, + 1037.0, + 421.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5eaeac80b" + }, + "a5ec5b0265": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 641.0, + 335.0, + 1279.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 297.0, + 500.0, + 480.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 934.0, + 155.0, + 1147.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 685.0, + 398.0, + 1279.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 313.0, + 640.0, + 523.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 839.0, + 216.0, + 1158.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 1125.0, + 205.0, + 1279.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 320.0, + 767.0, + 608.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 1107.0, + 202.0, + 1279.0, + 292.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 747.0, + 241.0, + 1141.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 995.0, + 175.0, + 1279.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 318.0, + 767.0, + 603.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 969.0, + 193.0, + 1211.0, + 330.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 694.0, + 247.0, + 1080.0, + 645.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5ec5b0265" + }, + "a5f350a87e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 281.0, + 325.0, + 822.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 753.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 351.0, + 319.0, + 910.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 915.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 337.0, + 328.0, + 904.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 910.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 359.0, + 354.0, + 878.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 896.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5f350a87e" + }, + "a5f472caf4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 665.0, + 283.0, + 1011.0, + 676.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 1000.0, + 244.0, + 1279.0, + 670.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 476.0, + 276.0, + 787.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 782.0, + 245.0, + 1111.0, + 616.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 438.0, + 478.0, + 1011.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 528.0, + 276.0, + 853.0, + 635.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 834.0, + 247.0, + 1179.0, + 627.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 430.0, + 428.0, + 1019.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 949.0, + 206.0, + 1279.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 336.0, + 1173.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00175", + "00195", + "00200", + "00225" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a5f472caf4" + }, + "a6027a53cf": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 138.0, + 4.0, + 885.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 660.0, + 377.0, + 1123.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 860.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 815.0, + 85.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 868.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 808.0, + 5.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 75.0, + 15.0, + 934.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 805.0, + 77.0, + 1279.0, + 645.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a6027a53cf" + }, + "a61715bb1b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 553.0, + 213.0, + 909.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 359.0, + 319.0, + 1210.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 326.0, + 276.0, + 899.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 135.0, + 229.0, + 762.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a61715bb1b" + }, + "a61cf4389d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 356.0, + 0.0, + 1107.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 200.0, + 0.0, + 1077.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 342.0, + 289.0, + 1105.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 260.0, + 417.0, + 895.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a61cf4389d" + }, + "a61d9bbd9b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 30.0, + 1098.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 43.0, + 1076.0, + 706.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 6.0, + 1100.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 42.0, + 1058.0, + 695.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a61d9bbd9b" + }, + "a6470dbbf5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 64.0, + 195.0, + 1279.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 125.0, + 197.0, + 1279.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 271.0, + 179.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 108.0, + 248.0, + 1244.0, + 553.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a6470dbbf5" + }, + "a64a40f3eb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 301.0, + 174.0, + 431.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 479.0, + 198.0, + 643.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 335.0, + 146.0, + 503.0, + 697.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 487.0, + 165.0, + 692.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 225.0, + 142.0, + 403.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 403.0, + 174.0, + 625.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 5.0, + 127.0, + 207.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 186.0, + 154.0, + 463.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00200", + "00205", + "00225", + "00240" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a64a40f3eb" + }, + "a653d5c23b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 564.0, + 117.0, + 753.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 569.0, + 395.0, + 633.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 654.0, + 137.0, + 769.0, + 380.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 707.0, + 377.0, + 750.0, + 394.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 647.0, + 142.0, + 766.0, + 361.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 678.0, + 337.0, + 769.0, + 377.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 618.0, + 85.0, + 733.0, + 366.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 635.0, + 346.0, + 751.0, + 387.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a653d5c23b" + }, + "a65bd23cb5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 190.0, + 43.0, + 908.0, + 685.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 193.0, + 36.0, + 913.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 225.0, + 43.0, + 984.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 286.0, + 77.0, + 1070.0, + 715.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a65bd23cb5" + }, + "a66e0b7ad4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 314.0, + 285.0, + 861.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 159.0, + 87.0, + 407.0, + 165.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 312.0, + 312.0, + 877.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 182.0, + 97.0, + 427.0, + 183.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 394.0, + 397.0, + 875.0, + 536.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 242.0, + 189.0, + 481.0, + 273.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 382.0, + 378.0, + 889.0, + 522.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 229.0, + 178.0, + 470.0, + 275.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a66e0b7ad4" + }, + "a66fc5053c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 286.0, + 210.0, + 935.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 757.0, + 270.0, + 937.0, + 468.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 1033.0, + 258.0, + 1214.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 198.0, + 839.0, + 622.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 774.0, + 226.0, + 975.0, + 529.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 1096.0, + 239.0, + 1279.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 190.0, + 664.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 756.0, + 237.0, + 972.0, + 550.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 1098.0, + 252.0, + 1279.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 167.0, + 113.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 601.0, + 227.0, + 841.0, + 581.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 973.0, + 241.0, + 1226.0, + 520.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00155", + "00180", + "00190", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a66fc5053c" + }, + "a68259572b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 707.0, + 140.0, + 936.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 724.0, + 318.0, + 761.0, + 343.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 207.0, + 312.0, + 228.0, + 344.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 345.0, + 173.0, + 528.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 468.0, + 349.0, + 503.0, + 384.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 373.0, + 148.0, + 578.0, + 605.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 563.0, + 336.0, + 593.0, + 376.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 506.0, + 267.0, + 696.0, + 612.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 666.0, + 326.0, + 687.0, + 342.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 255.0, + 320.0, + 285.0, + 341.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a68259572b" + }, + "a6a810a92c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 119.0, + 527.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 494.0, + 178.0, + 997.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 567.0, + 19.0, + 796.0, + 352.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 339.0, + 180.0, + 648.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 501.0, + 156.0, + 752.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 244.0, + 275.0, + 544.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 475.0, + 308.0, + 712.0, + 553.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 606.0, + 336.0, + 824.0, + 619.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a6a810a92c" + }, + "a6bc36937f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 237.0, + 73.0, + 1266.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 216.0, + 56.0, + 1251.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 196.0, + 40.0, + 1235.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 135.0, + 67.0, + 1213.0, + 635.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a6bc36937f" + }, + "a6c3a374e9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 404.0, + 221.0, + 1045.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 428.0, + 236.0, + 1075.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 434.0, + 226.0, + 1109.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 448.0, + 237.0, + 1143.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a6c3a374e9" + }, + "a6d8a4228d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 882.0, + 257.0, + 1273.0, + 421.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 1099.0, + 391.0, + 1273.0, + 442.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 790.0, + 257.0, + 1273.0, + 417.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 1131.0, + 388.0, + 1273.0, + 431.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 841.0, + 348.0, + 1279.0, + 466.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 458.0, + 1279.0, + 590.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 230.0, + 430.0, + 500.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 444.0, + 333.0, + 681.0, + 462.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 606.0, + 439.0, + 871.0, + 487.0 + ], + "valid": 1 + }, + "3": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 501.0, + 1279.0, + 715.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 165.0, + 1073.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a6d8a4228d" + }, + "a6f4e0817f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 638.0, + 387.0, + 1270.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 389.0, + 498.0, + 918.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 150.0, + 299.0, + 457.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 191.0, + 57.0, + 246.0 + ], + "valid": 1 + }, + "5": { + "category_name": "deer", + "bbox": [ + 0.0, + 454.0, + 399.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 622.0, + 440.0, + 1221.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 408.0, + 498.0, + 909.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 4.0, + 175.0, + 340.0, + 440.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 185.0, + 68.0, + 354.0 + ], + "valid": 1 + }, + "5": { + "category_name": "deer", + "bbox": [ + 0.0, + 479.0, + 408.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 616.0, + 484.0, + 1085.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 490.0, + 490.0, + 893.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 42.0, + 186.0, + 364.0, + 429.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 142.0, + 104.0, + 376.0 + ], + "valid": 1 + }, + "5": { + "category_name": "deer", + "bbox": [ + 0.0, + 443.0, + 422.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 610.0, + 418.0, + 1217.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 454.0, + 509.0, + 871.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 6.0, + 187.0, + 364.0, + 448.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 224.0, + 154.0, + 487.0 + ], + "valid": 1 + }, + "5": { + "category_name": "deer", + "bbox": [ + 0.0, + 453.0, + 420.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a6f4e0817f" + }, + "a71e0481f5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 510.0, + 131.0, + 1213.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 300.0, + 487.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 341.0, + 106.0, + 1130.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 441.0, + 245.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 388.0, + 203.0, + 1224.0, + 694.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 268.0, + 99.0, + 1185.0, + 683.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00195", + "00205", + "00220", + "00240" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a71e0481f5" + }, + "a7203deb2d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 1051.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 1041.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 1063.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 8.0, + 1059.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a7203deb2d" + }, + "a7392d4438": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 634.0, + 242.0, + 1204.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 621.0, + 229.0, + 1262.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 615.0, + 231.0, + 1276.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 582.0, + 218.0, + 1279.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a7392d4438" + }, + "a73d3c3902": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parachute", + "bbox": [ + 948.0, + 333.0, + 1010.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 831.0, + 698.0, + 913.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 812.0, + 62.0, + 851.0, + 97.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 512.0, + 386.0, + 606.0, + 427.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 628.0, + 504.0, + 706.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 877.0, + 0.0, + 889.0, + 8.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 487.0, + 333.0, + 589.0, + 375.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 597.0, + 472.0, + 692.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 755.0, + 377.0, + 804.0, + 410.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a73d3c3902" + }, + "a7491f1578": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 682.0, + 236.0, + 810.0, + 350.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 794.0, + 235.0, + 928.0, + 331.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 936.0, + 253.0, + 1001.0, + 376.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 808.0, + 276.0, + 949.0, + 373.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 699.0, + 231.0, + 832.0, + 351.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 804.0, + 237.0, + 938.0, + 325.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 929.0, + 261.0, + 1007.0, + 372.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 803.0, + 275.0, + 953.0, + 374.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 747.0, + 222.0, + 888.0, + 341.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 856.0, + 223.0, + 1007.0, + 295.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 952.0, + 246.0, + 1009.0, + 369.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 806.0, + 268.0, + 961.0, + 372.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 879.0, + 242.0, + 1011.0, + 294.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1034.0, + 246.0, + 1183.0, + 345.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 946.0, + 264.0, + 1092.0, + 385.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 818.0, + 279.0, + 950.0, + 349.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a7491f1578" + }, + "a74b9ca19c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 490.0, + 272.0, + 811.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 583.0, + 293.0, + 745.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 437.0, + 181.0, + 965.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 478.0, + 375.0, + 831.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 578.0, + 135.0, + 1131.0, + 417.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 605.0, + 351.0, + 1164.0, + 706.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 661.0, + 117.0, + 1174.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 789.0, + 374.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a74b9ca19c" + }, + "a77b7a91df": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 313.0, + 303.0, + 632.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 368.0, + 327.0, + 700.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 437.0, + 347.0, + 759.0, + 549.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 366.0, + 416.0, + 634.0, + 589.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a77b7a91df" + }, + "a78195a5f5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 740.0, + 375.0, + 837.0, + 493.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 509.0, + 375.0, + 659.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 625.0, + 381.0, + 764.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 472.0, + 362.0, + 636.0, + 471.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 625.0, + 356.0, + 700.0, + 431.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 538.0, + 364.0, + 624.0, + 426.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 634.0, + 360.0, + 696.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 561.0, + 366.0, + 624.0, + 438.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a78195a5f5" + }, + "a78758d4ce": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 290.0, + 315.0, + 755.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 388.0, + 22.0, + 945.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 614.0, + 179.0, + 1001.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 414.0, + 204.0, + 1107.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a78758d4ce" + }, + "a7e6d6c29a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 447.0, + 8.0, + 953.0, + 649.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 451.0, + 238.0, + 558.0, + 313.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 473.0, + 29.0, + 974.0, + 676.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 468.0, + 252.0, + 576.0, + 338.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 466.0, + 26.0, + 971.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 475.0, + 241.0, + 579.0, + 330.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 475.0, + 5.0, + 994.0, + 664.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 484.0, + 195.0, + 590.0, + 297.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a7e6d6c29a" + }, + "a800d85e88": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 238.0, + 748.0, + 655.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1229.0, + 551.0, + 1279.0, + 670.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 162.0, + 794.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1227.0, + 463.0, + 1279.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 162.0, + 831.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1220.0, + 484.0, + 1279.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 157.0, + 935.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1173.0, + 489.0, + 1279.0, + 620.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00035" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a800d85e88" + }, + "a832fa8790": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 471.0, + 378.0, + 693.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 604.0, + 140.0, + 737.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 474.0, + 200.0, + 680.0, + 320.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 365.0, + 209.0, + 576.0, + 319.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a832fa8790" + }, + "a83d06410d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 695.0, + 108.0, + 986.0, + 484.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 158.0, + 386.0, + 362.0, + 546.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 377.0, + 486.0, + 797.0, + 558.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fox", + "bbox": [ + 851.0, + 361.0, + 1096.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 688.0, + 110.0, + 1070.0, + 467.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 149.0, + 394.0, + 393.0, + 552.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 375.0, + 497.0, + 768.0, + 564.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fox", + "bbox": [ + 730.0, + 364.0, + 986.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 721.0, + 213.0, + 1072.0, + 411.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 205.0, + 477.0, + 490.0, + 553.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 478.0, + 489.0, + 799.0, + 560.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fox", + "bbox": [ + 711.0, + 361.0, + 998.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 693.0, + 224.0, + 1004.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 207.0, + 481.0, + 474.0, + 555.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 473.0, + 494.0, + 799.0, + 558.0 + ], + "valid": 1 + }, + "4": { + "category_name": "fox", + "bbox": [ + 774.0, + 372.0, + 913.0, + 522.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a83d06410d" + }, + "a8999af004": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 342.0, + 317.0, + 865.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 13.0, + 228.0, + 361.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 584.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 329.0, + 340.0, + 854.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 202.0, + 380.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 551.0, + 7.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 330.0, + 341.0, + 847.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 309.0, + 170.0, + 655.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 565.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 323.0, + 354.0, + 858.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "dog", + "bbox": [ + 506.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a8999af004" + }, + "a8f78125b9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 550.0, + 291.0, + 716.0, + 462.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 443.0, + 177.0, + 691.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 542.0, + 292.0, + 715.0, + 454.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 469.0, + 179.0, + 684.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 541.0, + 316.0, + 718.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 465.0, + 174.0, + 671.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 560.0, + 311.0, + 735.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 488.0, + 178.0, + 684.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a8f78125b9" + }, + "a907b18df1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 113.0, + 182.0, + 1248.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 8.0, + 192.0, + 1248.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 0.0, + 117.0, + 1196.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 0.0, + 45.0, + 1220.0, + 711.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a907b18df1" + }, + "a919392446": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 425.0, + 182.0, + 700.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 318.0, + 654.0, + 582.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 604.0, + 575.0, + 629.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 601.0, + 520.0, + 613.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 592.0, + 523.0, + 602.0, + 535.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a919392446" + }, + "a965504e88": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 408.0, + 579.0, + 647.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 751.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 79.0, + 528.0, + 413.0, + 682.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 37.0, + 0.0, + 812.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 200.0, + 505.0, + 519.0, + 659.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 758.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 464.0, + 499.0, + 710.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 821.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a965504e88" + }, + "a96b84b8d2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 88.0, + 252.0, + 1051.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 78.0, + 1004.0, + 443.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 154.0, + 382.0, + 1100.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 69.0, + 236.0, + 1097.0, + 487.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00135", + "00155", + "00175", + "00195" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a96b84b8d2" + }, + "a973f239cd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 432.0, + 224.0, + 730.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 435.0, + 222.0, + 733.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 436.0, + 202.0, + 733.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 436.0, + 196.0, + 750.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 730.0, + 116.0, + 761.0, + 144.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 772.0, + 533.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a973f239cd" + }, + "a977126596": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 153.0, + 10.0, + 646.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 588.0, + 94.0, + 947.0, + 499.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 817.0, + 0.0, + 1063.0, + 384.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 197.0, + 0.0, + 720.0, + 497.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 678.0, + 94.0, + 1019.0, + 527.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 940.0, + 0.0, + 1188.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 157.0, + 0.0, + 748.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 559.0, + 12.0, + 1052.0, + 510.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 968.0, + 34.0, + 1228.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 87.0, + 96.0, + 437.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 417.0, + 0.0, + 904.0, + 186.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 858.0, + 29.0, + 1137.0, + 502.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a977126596" + }, + "a9804f2a08": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 180.0, + 125.0, + 291.0, + 248.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 6.0, + 142.0, + 279.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 166.0, + 113.0, + 275.0, + 218.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 198.0, + 204.0, + 422.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 166.0, + 88.0, + 276.0, + 204.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 158.0, + 154.0, + 225.0, + 214.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 229.0, + 193.0, + 414.0, + 336.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a9804f2a08" + }, + "a984e56893": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 251.0, + 216.0, + 534.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 495.0, + 330.0, + 896.0, + 677.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 495.0, + 61.0, + 1180.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 224.0, + 188.0, + 525.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 484.0, + 284.0, + 898.0, + 670.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 475.0, + 27.0, + 1192.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 223.0, + 173.0, + 524.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 511.0, + 225.0, + 899.0, + 660.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 475.0, + 7.0, + 1200.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 205.0, + 183.0, + 517.0, + 601.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 458.0, + 323.0, + 899.0, + 684.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 467.0, + 19.0, + 1208.0, + 525.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a984e56893" + }, + "a99738f24c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 262.0, + 179.0, + 339.0, + 413.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 359.0, + 201.0, + 458.0, + 370.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 291.0, + 178.0, + 356.0, + 408.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 344.0, + 201.0, + 440.0, + 371.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 644.0, + 144.0, + 740.0, + 284.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 308.0, + 172.0, + 375.0, + 404.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 353.0, + 200.0, + 447.0, + 370.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 643.0, + 140.0, + 688.0, + 232.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 379.0, + 160.0, + 444.0, + 351.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 352.0, + 197.0, + 451.0, + 368.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 517.0, + 152.0, + 562.0, + 329.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00090", + "00105", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a99738f24c" + }, + "a99bdd0079": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 294.0, + 64.0, + 761.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 306.0, + 71.0, + 801.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 312.0, + 75.0, + 803.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 304.0, + 88.0, + 809.0, + 519.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a99bdd0079" + }, + "a9c9c1517e": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 512.0, + 338.0, + 719.0, + 461.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 937.0, + 350.0, + 1057.0, + 425.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 1223.0, + 343.0, + 1279.0, + 423.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 1133.0, + 317.0, + 1279.0, + 417.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 368.0, + 373.0, + 601.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 830.0, + 372.0, + 952.0, + 445.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 1091.0, + 347.0, + 1279.0, + 478.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 985.0, + 329.0, + 1160.0, + 436.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 361.0, + 98.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 442.0, + 317.0, + 577.0, + 396.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 667.0, + 272.0, + 911.0, + 408.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 505.0, + 265.0, + 686.0, + 374.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 800.0, + 334.0, + 945.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 104.0, + 391.0, + 259.0, + 482.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 345.0, + 326.0, + 590.0, + 473.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 113.0, + 340.0, + 357.0, + 444.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 447.0, + 400.0, + 617.0, + 507.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a9c9c1517e" + }, + "a9cbf9c41b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 1092.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 1092.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 1068.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 1074.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a9cbf9c41b" + }, + "a9e42e3c0c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 436.0, + 455.0, + 711.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 432.0, + 452.0, + 705.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 408.0, + 425.0, + 697.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 402.0, + 419.0, + 697.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/a9e42e3c0c" + }, + "aa07b7c1c0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 350.0, + 344.0, + 578.0, + 472.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 314.0, + 403.0, + 509.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 410.0, + 466.0, + 526.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 556.0, + 484.0, + 651.0, + 563.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa07b7c1c0" + }, + "aa175e5ec7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 1025.0, + 191.0, + 1589.0, + 792.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 911.0, + 225.0, + 1562.0, + 788.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 550.0, + 296.0, + 1314.0, + 797.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 368.0, + 335.0, + 1083.0, + 810.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa175e5ec7" + }, + "aa1a338630": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 39.0, + 271.0, + 476.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 240.0, + 129.0, + 445.0, + 248.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 3.0, + 304.0, + 247.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 268.0, + 134.0, + 467.0, + 249.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 320.0, + 228.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 285.0, + 134.0, + 448.0, + 223.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 386.0, + 233.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00075", + "00085", + "00115", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa1a338630" + }, + "aa27d7b868": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "hat", + "bbox": [ + 625.0, + 64.0, + 1049.0, + 494.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 760.0, + 0.0, + 833.0, + 54.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "hat", + "bbox": [ + 722.0, + 42.0, + 790.0, + 109.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 575.0, + 57.0, + 1089.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "hat", + "bbox": [ + 590.0, + 0.0, + 1014.0, + 242.0 + ], + "valid": 1 + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00210", + "00225", + "00235", + "00265" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa27d7b868" + }, + "aa45f1caaf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 562.0, + 228.0, + 834.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 1182.0, + 300.0, + 1279.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 784.0, + 365.0, + 1005.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 686.0, + 391.0, + 777.0, + 465.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa45f1caaf" + }, + "aa49e46432": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 22.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 264.0, + 85.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 332.0, + 96.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 274.0, + 137.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa49e46432" + }, + "aa51934e1b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 424.0, + 116.0, + 533.0, + 240.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 673.0, + 112.0, + 785.0, + 334.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 530.0, + 101.0, + 695.0, + 225.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 703.0, + 79.0, + 902.0, + 303.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 90.0, + 79.0, + 462.0, + 309.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 628.0, + 134.0, + 806.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 24.0, + 492.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 725.0, + 75.0, + 1215.0, + 648.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa51934e1b" + }, + "aa6287bb6c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 368.0, + 145.0, + 894.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 941.0, + 183.0, + 1010.0, + 252.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 127.0, + 366.0, + 374.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 370.0, + 173.0, + 813.0, + 492.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 842.0, + 171.0, + 910.0, + 239.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 280.0, + 365.0, + 374.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 445.0, + 186.0, + 824.0, + 463.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 840.0, + 172.0, + 913.0, + 245.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 477.0, + 268.0, + 815.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 824.0, + 229.0, + 898.0, + 303.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00050", + "00065", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa6287bb6c" + }, + "aa6d999971": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 607.0, + 0.0, + 1068.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 407.0, + 473.0, + 966.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 350.0, + 32.0, + 1003.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 453.0, + 67.0, + 897.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 39.0, + 904.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa6d999971" + }, + "aa85278334": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 272.0, + 326.0, + 337.0, + 557.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 60.0, + 74.0, + 304.0, + 146.0 + ], + "valid": 1 + }, + "3": { + "category_name": "train", + "bbox": [ + 242.0, + 154.0, + 843.0, + 690.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 231.0, + 306.0, + 286.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 13.0, + 57.0, + 259.0, + 129.0 + ], + "valid": 1 + }, + "3": { + "category_name": "train", + "bbox": [ + 197.0, + 112.0, + 908.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 209.0, + 289.0, + 261.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 0.0, + 41.0, + 233.0, + 112.0 + ], + "valid": 1 + }, + "3": { + "category_name": "train", + "bbox": [ + 170.0, + 68.0, + 986.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 180.0, + 286.0, + 235.0, + 525.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 0.0, + 39.0, + 203.0, + 110.0 + ], + "valid": 1 + }, + "3": { + "category_name": "train", + "bbox": [ + 138.0, + 45.0, + 1044.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aa85278334" + }, + "aab33f0e2a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 481.0, + 163.0, + 807.0, + 520.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 524.0, + 379.0, + 777.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 517.0, + 168.0, + 838.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 675.0, + 321.0, + 824.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 516.0, + 149.0, + 832.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 671.0, + 317.0, + 820.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 434.0, + 164.0, + 759.0, + 574.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 745.0, + 316.0, + 876.0, + 596.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aab33f0e2a" + }, + "aaba004362": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 180.0, + 739.0, + 693.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 787.0, + 125.0, + 1176.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 131.0, + 737.0, + 665.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 727.0, + 111.0, + 1174.0, + 689.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 145.0, + 644.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 628.0, + 185.0, + 1200.0, + 690.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 176.0, + 643.0, + 682.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 631.0, + 223.0, + 1244.0, + 680.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aaba004362" + }, + "aade4cf385": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 612.0, + 74.0, + 933.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 19.0, + 189.0, + 300.0, + 677.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 289.0, + 310.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 630.0, + 148.0, + 961.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 251.0, + 263.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 348.0, + 123.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 499.0, + 247.0, + 887.0, + 652.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 15.0, + 358.0, + 248.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 305.0, + 142.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 400.0, + 282.0, + 968.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 388.0, + 267.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 316.0, + 156.0, + 560.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aade4cf385" + }, + "aae78feda4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 490.0, + 259.0, + 734.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 497.0, + 260.0, + 746.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 511.0, + 250.0, + 759.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 491.0, + 264.0, + 742.0, + 633.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aae78feda4" + }, + "aaed233bf3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 35.0, + 179.0, + 1010.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 557.0, + 0.0, + 1243.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 35.0, + 107.0, + 946.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 562.0, + 0.0, + 1242.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 97.0, + 75.0, + 956.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 615.0, + 0.0, + 1250.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 97.0, + 90.0, + 986.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 614.0, + 0.0, + 1253.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aaed233bf3" + }, + "aaff16c2db": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 122.0, + 134.0, + 330.0, + 261.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 137.0, + 140.0, + 315.0, + 271.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 139.0, + 146.0, + 264.0, + 280.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 112.0, + 156.0, + 197.0, + 285.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aaff16c2db" + }, + "ab199e8dfb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 964.0, + 165.0, + 1019.0, + 215.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 914.0, + 209.0, + 1044.0, + 435.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 903.0, + 278.0, + 1090.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 915.0, + 173.0, + 964.0, + 220.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 867.0, + 214.0, + 992.0, + 423.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 856.0, + 277.0, + 1025.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 897.0, + 154.0, + 945.0, + 198.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 850.0, + 192.0, + 976.0, + 416.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 840.0, + 262.0, + 994.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 925.0, + 135.0, + 980.0, + 188.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 869.0, + 179.0, + 1015.0, + 440.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 854.0, + 262.0, + 1038.0, + 519.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ab199e8dfb" + }, + "ab23b78715": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 399.0, + 158.0, + 1038.0, + 528.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 300.0, + 213.0, + 908.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 271.0, + 157.0, + 852.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 311.0, + 141.0, + 887.0, + 528.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ab23b78715" + }, + "ab2e1b5577": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 352.0, + 71.0, + 875.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 763.0, + 383.0, + 1279.0, + 617.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 891.0, + 98.0, + 1279.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 354.0, + 96.0, + 893.0, + 548.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 772.0, + 408.0, + 1279.0, + 637.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 837.0, + 226.0, + 1279.0, + 421.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 234.0, + 148.0, + 785.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 641.0, + 440.0, + 1279.0, + 667.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 763.0, + 148.0, + 1249.0, + 460.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 422.0, + 157.0, + 936.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 809.0, + 423.0, + 1279.0, + 657.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 855.0, + 154.0, + 1279.0, + 438.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ab2e1b5577" + }, + "ab33a18ded": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 603.0, + 44.0, + 787.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 403.0, + 427.0, + 441.0, + 473.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 196.0, + 336.0, + 309.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 612.0, + 291.0, + 768.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 426.0, + 627.0, + 467.0, + 675.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 197.0, + 544.0, + 311.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 542.0, + 208.0, + 685.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 375.0, + 625.0, + 415.0, + 670.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 138.0, + 535.0, + 252.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 576.0, + 223.0, + 721.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 432.0, + 628.0, + 469.0, + 675.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 193.0, + 532.0, + 305.0, + 626.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ab33a18ded" + }, + "ab45078265": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 447.0, + 239.0, + 712.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 446.0, + 371.0, + 713.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 554.0, + 203.0, + 809.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 465.0, + 125.0, + 807.0, + 502.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ab45078265" + }, + "ab56201494": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 609.0, + 86.0, + 1068.0, + 306.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 623.0, + 2.0, + 1054.0, + 246.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 575.0, + 547.0, + 876.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 599.0, + 249.0, + 956.0, + 670.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ab56201494" + }, + "ab90f0d24b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 661.0, + 212.0, + 920.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 669.0, + 164.0, + 928.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 348.0, + 380.0, + 725.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 358.0, + 102.0, + 725.0, + 553.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ab90f0d24b" + }, + "abab2e6c20": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 562.0, + 148.0, + 744.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 736.0, + 110.0, + 842.0, + 238.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 553.0, + 145.0, + 696.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 480.0, + 202.0, + 569.0, + 277.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 486.0, + 153.0, + 649.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 526.0, + 173.0, + 619.0, + 300.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 511.0, + 146.0, + 669.0, + 619.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 601.0, + 247.0, + 727.0, + 353.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/abab2e6c20" + }, + "abb50c8697": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 479.0, + 402.0, + 574.0, + 548.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 515.0, + 168.0, + 611.0, + 265.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 507.0, + 361.0, + 609.0, + 513.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 420.0, + 177.0, + 597.0, + 240.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 470.0, + 282.0, + 647.0, + 436.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 293.0, + 64.0, + 437.0, + 201.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 447.0, + 259.0, + 636.0, + 406.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 254.0, + 42.0, + 384.0, + 167.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/abb50c8697" + }, + "abbe2d15a0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 290.0, + 172.0, + 973.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 453.0, + 418.0, + 606.0, + 512.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 351.0, + 94.0, + 601.0, + 470.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 455.0, + 376.0, + 577.0, + 457.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 291.0, + 178.0, + 967.0, + 646.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 453.0, + 421.0, + 594.0, + 528.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 352.0, + 101.0, + 592.0, + 482.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 457.0, + 379.0, + 585.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 289.0, + 180.0, + 964.0, + 653.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 443.0, + 426.0, + 613.0, + 529.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 348.0, + 100.0, + 591.0, + 481.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 449.0, + 383.0, + 580.0, + 460.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 297.0, + 184.0, + 976.0, + 645.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 444.0, + 429.0, + 612.0, + 533.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 344.0, + 107.0, + 604.0, + 479.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 465.0, + 386.0, + 581.0, + 454.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/abbe2d15a0" + }, + "abbe73cd21": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 291.0, + 0.0, + 922.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 319.0, + 0.0, + 888.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 417.0, + 0.0, + 788.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 305.0, + 0.0, + 1002.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/abbe73cd21" + }, + "abe61a11bb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 455.0, + 252.0, + 531.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 646.0, + 297.0, + 742.0, + 410.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": {} + }, + { + "1": {}, + "2": {} + } + ], + "frame_names": [ + "00035", + "00085", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/abe61a11bb" + }, + "abeae8ce21": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 539.0, + 3.0, + 1164.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 557.0, + 19.0, + 1164.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 597.0, + 82.0, + 1158.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 599.0, + 152.0, + 1008.0, + 512.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/abeae8ce21" + }, + "ac2b431d5f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 122.0, + 395.0, + 877.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 216.0, + 403.0, + 517.0, + 491.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 28.0, + 348.0, + 164.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 382.0, + 382.0, + 693.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 250.0, + 355.0, + 630.0, + 509.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 333.0, + 264.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 357.0, + 433.0, + 740.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 402.0, + 383.0, + 623.0, + 483.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 24.0, + 376.0, + 441.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 427.0, + 448.0, + 738.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 429.0, + 365.0, + 493.0, + 415.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 227.0, + 382.0, + 617.0, + 553.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ac2b431d5f" + }, + "ac2cb1b9eb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 381.0, + 321.0, + 455.0, + 388.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 394.0, + 382.0, + 470.0, + 407.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 379.0, + 328.0, + 470.0, + 461.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 394.0, + 456.0, + 512.0, + 490.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 350.0, + 169.0, + 444.0, + 395.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 447.0, + 414.0, + 603.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 298.0, + 171.0, + 412.0, + 416.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 397.0, + 419.0, + 559.0, + 434.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ac2cb1b9eb" + }, + "ac31fcd6d0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 685.0, + 359.0, + 843.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 476.0, + 2.0, + 550.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 589.0, + 360.0, + 747.0, + 461.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 375.0, + 3.0, + 450.0, + 461.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 476.0, + 359.0, + 634.0, + 462.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 253.0, + 7.0, + 332.0, + 465.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 259.0, + 355.0, + 427.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 12.0, + 3.0, + 106.0, + 469.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00155", + "00165", + "00175", + "00190" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ac31fcd6d0" + }, + "ac3d3a126d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 444.0, + 134.0, + 931.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 438.0, + 120.0, + 891.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 360.0, + 106.0, + 875.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 338.0, + 95.0, + 881.0, + 635.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ac3d3a126d" + }, + "ac46bd8087": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 546.0, + 79.0, + 793.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 610.0, + 484.0, + 784.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 562.0, + 222.0, + 744.0, + 659.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 608.0, + 541.0, + 670.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 553.0, + 141.0, + 676.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 573.0, + 491.0, + 644.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 468.0, + 110.0, + 651.0, + 560.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 545.0, + 487.0, + 624.0, + 586.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ac46bd8087" + }, + "ac783ef388": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 851.0, + 226.0, + 1226.0, + 371.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 578.0, + 304.0, + 992.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 581.0, + 321.0, + 992.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 568.0, + 419.0, + 1134.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ac783ef388" + }, + "acb73e4297": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 838.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 872.0, + 660.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 928.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 876.0, + 678.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/acb73e4297" + }, + "acbf581760": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 304.0, + 0.0, + 1163.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 248.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 242.0, + 0.0, + 1175.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 204.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 226.0, + 0.0, + 1147.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 230.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 226.0, + 0.0, + 1165.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 178.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00040", + "00045", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/acbf581760" + }, + "accafc3531": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 586.0, + 0.0, + 1279.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 649.0, + 0.0, + 1279.0, + 601.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 643.0, + 33.0, + 1145.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1104.0, + 212.0, + 1222.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 647.0, + 23.0, + 1065.0, + 407.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1028.0, + 155.0, + 1116.0, + 366.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/accafc3531" + }, + "acf2c4b745": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 598.0, + 300.0, + 679.0, + 439.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 608.0, + 424.0, + 691.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 607.0, + 293.0, + 679.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 609.0, + 403.0, + 679.0, + 444.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 281.0, + 682.0, + 494.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 583.0, + 467.0, + 703.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 532.0, + 302.0, + 702.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 549.0, + 427.0, + 673.0, + 469.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00050", + "00065", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/acf2c4b745" + }, + "acf44293a2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 552.0, + 291.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 526.0, + 342.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 24.0, + 1001.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 286.0, + 276.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 538.0, + 20.0, + 967.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 114.0, + 166.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/acf44293a2" + }, + "acf736a27b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 446.0, + 255.0, + 727.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 441.0, + 256.0, + 734.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 440.0, + 258.0, + 731.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 429.0, + 228.0, + 734.0, + 602.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/acf736a27b" + }, + "acff336758": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 203.0, + 164.0, + 602.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 174.0, + 216.0, + 532.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 418.0, + 0.0, + 979.0, + 301.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 569.0, + 38.0, + 1010.0, + 371.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 787.0, + 251.0, + 1091.0, + 554.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/acff336758" + }, + "ad1fe56886": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 205.0, + 372.0, + 303.0, + 532.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 206.0, + 376.0, + 302.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 211.0, + 377.0, + 304.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 166.0, + 360.0, + 291.0, + 493.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad1fe56886" + }, + "ad28f9b9d9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 23.0, + 170.0, + 382.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 424.0, + 218.0, + 768.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 737.0, + 236.0, + 1169.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 103.0, + 366.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 487.0, + 161.0, + 754.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 701.0, + 149.0, + 1135.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 100.0, + 364.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 438.0, + 150.0, + 775.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 795.0, + 170.0, + 1237.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 111.0, + 292.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 460.0, + 189.0, + 709.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 644.0, + 144.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad28f9b9d9" + }, + "ad2de9f80e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 677.0, + 398.0, + 954.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 650.0, + 383.0, + 955.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 733.0, + 400.0, + 954.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 695.0, + 379.0, + 954.0, + 549.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad2de9f80e" + }, + "ad397527b2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 338.0, + 0.0, + 1253.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 376.0, + 0.0, + 1279.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 164.0, + 0.0, + 1057.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 254.0, + 0.0, + 1093.0, + 648.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad397527b2" + }, + "ad3d1cfbcb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 923.0, + 217.0, + 1279.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 527.0, + 161.0, + 1186.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 803.0, + 220.0, + 1279.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 397.0, + 141.0, + 1113.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 685.0, + 190.0, + 1279.0, + 685.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 257.0, + 111.0, + 1094.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 242.0, + 197.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad3d1cfbcb" + }, + "ad3fada9d9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 932.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 942.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 937.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1008.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad3fada9d9" + }, + "ad4108ee8e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 231.0, + 209.0, + 564.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 805.0, + 202.0, + 939.0, + 362.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 330.0, + 211.0, + 518.0, + 384.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1152.0, + 171.0, + 1277.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 341.0, + 196.0, + 598.0, + 366.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 951.0, + 175.0, + 1151.0, + 413.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 512.0, + 225.0, + 828.0, + 404.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 533.0, + 197.0, + 723.0, + 477.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad4108ee8e" + }, + "ad54468654": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 588.0, + 7.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 745.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 588.0, + 7.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 739.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 585.0, + 8.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 764.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 607.0, + 7.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 775.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00190", + "00195", + "00210", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad54468654" + }, + "ad573f7d31": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 488.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 520.0, + 565.0, + 1125.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 276.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 188.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 118.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad573f7d31" + }, + "ad6255bc29": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 390.0, + 260.0, + 511.0, + 494.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 375.0, + 466.0, + 468.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 753.0, + 375.0, + 888.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 816.0, + 563.0, + 934.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 855.0, + 330.0, + 975.0, + 520.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 910.0, + 511.0, + 980.0, + 528.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 796.0, + 274.0, + 871.0, + 454.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 822.0, + 432.0, + 886.0, + 461.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad6255bc29" + }, + "ad65ebaa07": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 274.0, + 146.0, + 957.0, + 704.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 320.0, + 126.0, + 853.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 168.0, + 185.0, + 807.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 254.0, + 136.0, + 843.0, + 716.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad65ebaa07" + }, + "ad97cc064a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 451.0, + 271.0, + 778.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 410.0, + 33.0, + 811.0, + 692.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 62.0, + 255.0, + 340.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 448.0, + 284.0, + 778.0, + 602.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 407.0, + 33.0, + 811.0, + 699.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 68.0, + 257.0, + 350.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 446.0, + 283.0, + 775.0, + 602.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 406.0, + 31.0, + 810.0, + 695.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 62.0, + 259.0, + 346.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 464.0, + 95.0, + 781.0, + 411.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 403.0, + 31.0, + 807.0, + 694.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 60.0, + 255.0, + 344.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00175", + "00195", + "00205", + "00235" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ad97cc064a" + }, + "adabbd1cc4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 104.0, + 133.0, + 959.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 91.0, + 959.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 292.0, + 910.0, + 429.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 270.0, + 661.0, + 420.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/adabbd1cc4" + }, + "adb0b5a270": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 502.0, + 119.0, + 869.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 326.0, + 74.0, + 863.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 411.0, + 26.0, + 1038.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 630.0, + 0.0, + 1202.0, + 522.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/adb0b5a270" + }, + "adc648f890": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 526.0, + 465.0, + 643.0, + 667.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 566.0, + 221.0, + 1042.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 516.0, + 488.0, + 661.0, + 684.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 573.0, + 229.0, + 1039.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 488.0, + 499.0, + 669.0, + 699.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 579.0, + 220.0, + 1029.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 505.0, + 470.0, + 766.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 663.0, + 98.0, + 1119.0, + 536.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/adc648f890" + }, + "add21ee467": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 837.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 8.0, + 0.0, + 757.0, + 384.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 4.0, + 0.0, + 642.0, + 390.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 272.0, + 0.0, + 875.0, + 519.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/add21ee467" + }, + "adfd15ceef": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 211.0, + 62.0, + 752.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 214.0, + 75.0, + 761.0, + 441.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 220.0, + 79.0, + 759.0, + 444.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 241.0, + 149.0, + 777.0, + 453.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/adfd15ceef" + }, + "adfdd52eac": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 289.0, + 256.0, + 868.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 152.0, + 19.0, + 637.0, + 556.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 554.0, + 227.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 143.0, + 458.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 685.0, + 0.0, + 1279.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 635.0, + 579.0, + 881.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 508.0, + 529.0, + 1045.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dog", + "bbox": [ + 0.0, + 271.0, + 1050.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/adfdd52eac" + }, + "ae01cdab63": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 491.0, + 127.0, + 580.0, + 381.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 454.0, + 220.0, + 709.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 383.0, + 440.0, + 483.0, + 664.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 562.0, + 250.0, + 704.0, + 381.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 340.0, + 112.0, + 503.0, + 443.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 228.0, + 260.0, + 403.0, + 396.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 410.0, + 85.0, + 670.0, + 464.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae01cdab63" + }, + "ae0b50ff4f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 329.0, + 1129.0, + 685.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 317.0, + 1277.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 671.0, + 293.0, + 849.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 732.0, + 262.0, + 940.0, + 438.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae0b50ff4f" + }, + "ae13ee3d70": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 646.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 681.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 0.0, + 1190.0, + 690.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00110", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae13ee3d70" + }, + "ae1bcbd423": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 277.0, + 416.0, + 526.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 309.0, + 383.0, + 947.0, + 558.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 211.0, + 140.0, + 1271.0, + 554.0 + ], + "valid": 1 + }, + "4": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "person", + "bbox": [ + 572.0, + 0.0, + 866.0, + 194.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 275.0, + 431.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 190.0, + 275.0, + 1274.0, + 673.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1274.0, + 458.0 + ], + "valid": 1 + }, + "4": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "person", + "bbox": [ + 736.0, + 0.0, + 1009.0, + 223.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 535.0, + 71.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1274.0, + 622.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "shark", + "bbox": [ + 403.0, + 107.0, + 830.0, + 259.0 + ], + "valid": 1 + }, + "5": { + "category_name": "person", + "bbox": [ + 1113.0, + 0.0, + 1279.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 39.0, + 1105.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "shark", + "bbox": [ + 507.0, + 49.0, + 1047.0, + 309.0 + ], + "valid": 1 + }, + "5": { + "category_name": "person", + "bbox": [ + 1143.0, + 0.0, + 1279.0, + 412.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae1bcbd423" + }, + "ae20d09dea": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 328.0, + 333.0, + 1270.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 363.0, + 275.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 382.0, + 281.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 373.0, + 303.0, + 1259.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae20d09dea" + }, + "ae2cecf5f6": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 346.0, + 0.0, + 1107.0, + 504.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 836.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 246.0, + 0.0, + 1007.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 724.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 156.0, + 0.0, + 1043.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 90.0, + 294.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 356.0, + 0.0, + 1239.0, + 691.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 733.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00165", + "00170", + "00180", + "00190" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae2cecf5f6" + }, + "ae3bc4a0ef": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 199.0, + 103.0, + 1100.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 129.0, + 24.0, + 1110.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 153.0, + 0.0, + 1118.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 191.0, + 0.0, + 1110.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae3bc4a0ef" + }, + "ae499c7514": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 565.0, + 384.0, + 690.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 814.0, + 306.0, + 1052.0, + 483.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 715.0, + 349.0, + 827.0, + 438.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 546.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 524.0, + 384.0, + 649.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 778.0, + 303.0, + 1014.0, + 484.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 672.0, + 348.0, + 788.0, + 438.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 311.0, + 404.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 516.0, + 354.0, + 640.0, + 435.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 784.0, + 265.0, + 994.0, + 463.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 682.0, + 318.0, + 798.0, + 413.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 518.0, + 344.0, + 646.0, + 423.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 808.0, + 248.0, + 1001.0, + 454.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 695.0, + 305.0, + 813.0, + 404.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae499c7514" + }, + "ae628f2cd4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 139.0, + 86.0, + 739.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 146.0, + 103.0, + 746.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 134.0, + 140.0, + 735.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 143.0, + 131.0, + 614.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae628f2cd4" + }, + "ae8545d581": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 395.0, + 189.0, + 597.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 514.0, + 382.0, + 584.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 401.0, + 34.0, + 614.0, + 364.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 449.0, + 182.0, + 739.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 423.0, + 111.0, + 626.0, + 359.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 418.0, + 222.0, + 707.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 421.0, + 136.0, + 629.0, + 391.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 407.0, + 283.0, + 672.0, + 503.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae8545d581" + }, + "ae93214fe6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 651.0, + 73.0, + 713.0, + 305.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 374.0, + 399.0, + 652.0, + 500.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 503.0, + 24.0, + 569.0, + 224.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 189.0, + 401.0, + 539.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 511.0, + 370.0, + 641.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 206.0, + 0.0, + 564.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00070", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ae93214fe6" + }, + "aeba9ac967": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 558.0, + 153.0, + 867.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 543.0, + 128.0, + 967.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 448.0, + 50.0, + 1020.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 394.0, + 61.0, + 1027.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aeba9ac967" + }, + "aebb242b5c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 481.0, + 229.0, + 723.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 475.0, + 225.0, + 728.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 482.0, + 223.0, + 742.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 500.0, + 222.0, + 734.0, + 495.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aebb242b5c" + }, + "aed4e0b4c4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 418.0, + 157.0, + 804.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 388.0, + 170.0, + 809.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 380.0, + 173.0, + 769.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 337.0, + 179.0, + 760.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aed4e0b4c4" + }, + "aedd71f125": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 461.0, + 315.0, + 1024.0, + 643.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 431.0, + 324.0, + 890.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 398.0, + 334.0, + 835.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 407.0, + 345.0, + 886.0, + 624.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aedd71f125" + }, + "aef3e2cb0e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 460.0, + 95.0, + 623.0, + 395.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 478.0, + 338.0, + 633.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 427.0, + 94.0, + 552.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 359.0, + 467.0, + 568.0, + 528.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 279.0, + 52.0, + 551.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 364.0, + 518.0, + 589.0, + 643.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 524.0, + 10.0, + 740.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 569.0, + 519.0, + 769.0, + 653.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/aef3e2cb0e" + }, + "af0b54cee3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 816.0, + 72.0, + 1254.0, + 289.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 430.0, + 169.0, + 700.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 1156.0, + 284.0, + 1261.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 819.0, + 87.0, + 1146.0, + 295.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 436.0, + 205.0, + 609.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 1063.0, + 299.0, + 1182.0, + 432.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 939.0, + 1.0, + 1268.0, + 162.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 438.0, + 62.0, + 704.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 1170.0, + 163.0, + 1277.0, + 296.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 846.0, + 130.0, + 1223.0, + 284.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 402.0, + 179.0, + 688.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 1158.0, + 291.0, + 1223.0, + 327.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/af0b54cee3" + }, + "af3de54c7a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 356.0, + 246.0, + 765.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 351.0, + 246.0, + 765.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 363.0, + 232.0, + 756.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 363.0, + 300.0, + 734.0, + 560.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/af3de54c7a" + }, + "af5fd24a36": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 452.0, + 360.0, + 987.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 460.0, + 373.0, + 973.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 458.0, + 371.0, + 974.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 458.0, + 368.0, + 936.0, + 584.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/af5fd24a36" + }, + "af8826d084": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 307.0, + 127.0, + 439.0, + 473.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 330.0, + 239.0, + 548.0, + 615.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 691.0, + 188.0, + 780.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 369.0, + 160.0, + 513.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 366.0, + 252.0, + 560.0, + 533.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 641.0, + 225.0, + 715.0, + 395.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 387.0, + 166.0, + 524.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 378.0, + 245.0, + 563.0, + 512.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 630.0, + 229.0, + 692.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 429.0, + 204.0, + 543.0, + 411.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 421.0, + 271.0, + 585.0, + 496.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 616.0, + 255.0, + 659.0, + 365.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/af8826d084" + }, + "af8ad72057": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 580.0, + 138.0, + 810.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 686.0, + 443.0, + 778.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 567.0, + 185.0, + 723.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 506.0, + 457.0, + 682.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 549.0, + 283.0, + 724.0, + 527.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 518.0, + 560.0, + 535.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 247.0, + 717.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 449.0, + 570.0, + 771.0, + 633.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/af8ad72057" + }, + "afb71e22c5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 760.0, + 273.0, + 889.0, + 335.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 97.0, + 373.0, + 261.0, + 447.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 864.0, + 607.0, + 992.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 777.0, + 242.0, + 888.0, + 310.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 117.0, + 352.0, + 277.0, + 420.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 886.0, + 588.0, + 1038.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 828.0, + 210.0, + 878.0, + 274.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 325.0, + 284.0, + 424.0, + 338.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 958.0, + 485.0, + 1049.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 823.0, + 191.0, + 927.0, + 288.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 470.0, + 241.0, + 544.0, + 315.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 878.0, + 348.0, + 958.0, + 459.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/afb71e22c5" + }, + "afcb331e1f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 464.0, + 336.0, + 800.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 261.0, + 404.0, + 353.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 463.0, + 326.0, + 820.0, + 589.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 262.0, + 407.0, + 350.0, + 500.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 463.0, + 310.0, + 870.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 261.0, + 405.0, + 360.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 465.0, + 309.0, + 880.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 256.0, + 405.0, + 358.0, + 501.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/afcb331e1f" + }, + "afe1a35c1e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 714.0, + 238.0, + 951.0, + 421.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 784.0, + 412.0, + 984.0, + 477.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 338.0, + 458.0, + 505.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 691.0, + 228.0, + 972.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 772.0, + 414.0, + 984.0, + 481.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 345.0, + 461.0, + 511.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 733.0, + 225.0, + 1002.0, + 435.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 791.0, + 404.0, + 997.0, + 474.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 235.0, + 440.0, + 441.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 730.0, + 224.0, + 998.0, + 445.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 805.0, + 413.0, + 997.0, + 480.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 305.0, + 404.0, + 528.0, + 526.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/afe1a35c1e" + }, + "b01080b5d3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 362.0, + 35.0, + 888.0, + 706.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 319.0, + 0.0, + 860.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 152.0, + 0.0, + 766.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 0.0, + 0.0, + 869.0, + 711.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b01080b5d3" + }, + "b05ad0d345": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 357.0, + 546.0, + 503.0, + 705.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "hand", + "bbox": [ + 481.0, + 683.0, + 607.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 1142.0, + 686.0, + 1274.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 954.0, + 596.0, + 1160.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 1080.0, + 626.0, + 1256.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 760.0, + 442.0, + 1096.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 121.0, + 524.0, + 321.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 1044.0, + 541.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 315.0, + 683.0, + 451.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b05ad0d345" + }, + "b0623a6232": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 568.0, + 218.0, + 1005.0, + 393.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 618.0, + 225.0, + 931.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 562.0, + 210.0, + 801.0, + 306.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 432.0, + 197.0, + 622.0, + 272.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0623a6232" + }, + "b064dbd4b7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "umbrella", + "bbox": [ + 709.0, + 160.0, + 1052.0, + 344.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 791.0, + 294.0, + 1026.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1053.0, + 94.0, + 1194.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 707.0, + 183.0, + 1048.0, + 373.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 791.0, + 309.0, + 1014.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1049.0, + 135.0, + 1190.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 703.0, + 188.0, + 1035.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 780.0, + 315.0, + 996.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1030.0, + 149.0, + 1168.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "umbrella", + "bbox": [ + 732.0, + 157.0, + 1069.0, + 393.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 781.0, + 314.0, + 971.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1050.0, + 159.0, + 1167.0, + 567.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b064dbd4b7" + }, + "b06ed37831": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 446.0, + 210.0, + 504.0, + 277.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 431.0, + 198.0, + 607.0, + 409.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 525.0, + 77.0, + 597.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 488.0, + 227.0, + 548.0, + 294.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 482.0, + 257.0, + 639.0, + 435.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 568.0, + 144.0, + 670.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 636.0, + 222.0, + 685.0, + 276.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 636.0, + 172.0, + 740.0, + 380.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 627.0, + 45.0, + 671.0, + 223.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 638.0, + 278.0, + 673.0, + 313.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 626.0, + 260.0, + 701.0, + 387.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 583.0, + 187.0, + 724.0, + 368.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00220", + "00230", + "00250", + "00285" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b06ed37831" + }, + "b06f5888e6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 635.0, + 152.0, + 1025.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 270.0, + 212.0, + 614.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 627.0, + 145.0, + 1039.0, + 437.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 168.0, + 190.0, + 468.0, + 432.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 596.0, + 210.0, + 1029.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 3.0, + 143.0, + 311.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 532.0, + 161.0, + 1006.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 0.0, + 0.0, + 277.0, + 404.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b06f5888e6" + }, + "b08dcc490e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 446.0, + 52.0, + 593.0, + 258.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 683.0, + 290.0, + 896.0, + 478.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 800.0, + 361.0, + 1262.0, + 702.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 504.0, + 80.0, + 647.0, + 271.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 696.0, + 309.0, + 936.0, + 510.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 1001.0, + 419.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 428.0, + 71.0, + 556.0, + 280.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 630.0, + 290.0, + 821.0, + 526.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 886.0, + 465.0, + 1242.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 241.0, + 0.0, + 347.0, + 211.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 372.0, + 165.0, + 632.0, + 405.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 764.0, + 346.0, + 1087.0, + 649.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b08dcc490e" + }, + "b0a68228dc": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 364.0, + 62.0, + 774.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 906.0, + 215.0, + 980.0, + 457.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1009.0, + 202.0, + 1099.0, + 428.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 434.0, + 67.0, + 767.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 901.0, + 226.0, + 973.0, + 467.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 988.0, + 209.0, + 1080.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 386.0, + 56.0, + 778.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 891.0, + 223.0, + 967.0, + 467.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 964.0, + 207.0, + 1047.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 403.0, + 57.0, + 781.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 905.0, + 228.0, + 983.0, + 471.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 975.0, + 212.0, + 1043.0, + 433.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0a68228dc" + }, + "b0aece727f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 500.0, + 66.0, + 714.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 591.0, + 447.0, + 691.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 589.0, + 116.0, + 747.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 591.0, + 499.0, + 736.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 602.0, + 106.0, + 839.0, + 550.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 625.0, + 504.0, + 729.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 638.0, + 149.0, + 772.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 599.0, + 441.0, + 733.0, + 518.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00070", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0aece727f" + }, + "b0b0731606": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 73.0, + 152.0, + 370.0, + 309.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 64.0, + 138.0, + 382.0, + 305.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 139.0, + 147.0, + 439.0, + 321.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 171.0, + 144.0, + 503.0, + 312.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0b0731606" + }, + "b0c7f11f9f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 218.0, + 32.0, + 525.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 736.0, + 202.0, + 1249.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 219.0, + 31.0, + 507.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 722.0, + 208.0, + 1230.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 221.0, + 30.0, + 526.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 726.0, + 207.0, + 1245.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 196.0, + 17.0, + 530.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 727.0, + 201.0, + 1242.0, + 521.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0c7f11f9f" + }, + "b0cca8b830": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 995.0, + 449.0, + 1108.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 967.0, + 146.0, + 1101.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 630.0, + 419.0, + 766.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 528.0, + 111.0, + 878.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 444.0, + 542.0, + 798.0, + 699.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 525.0, + 1.0, + 778.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 538.0, + 398.0, + 661.0, + 641.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 525.0, + 0.0, + 797.0, + 500.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0cca8b830" + }, + "b0dd580a89": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 631.0, + 334.0, + 762.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 624.0, + 329.0, + 758.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 616.0, + 305.0, + 753.0, + 639.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 627.0, + 315.0, + 766.0, + 652.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0dd580a89" + }, + "b0de66ca08": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 237.0, + 227.0, + 1211.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 29.0, + 0.0, + 567.0, + 518.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 477.0, + 177.0, + 1276.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 175.0, + 166.0, + 1169.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 5.0, + 4.0, + 565.0, + 467.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 475.0, + 188.0, + 1274.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 89.0, + 28.0, + 1032.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 205.0, + 478.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 472.0, + 189.0, + 1275.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 144.0, + 42.0, + 1028.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 279.0, + 526.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 468.0, + 194.0, + 1273.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0de66ca08" + }, + "b0df7c5c5c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 76.0, + 265.0, + 134.0, + 305.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 420.0, + 0.0, + 639.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 86.0, + 273.0, + 143.0, + 311.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 424.0, + 17.0, + 639.0, + 332.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 91.0, + 267.0, + 148.0, + 305.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 372.0, + 5.0, + 639.0, + 302.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 106.0, + 266.0, + 161.0, + 304.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 303.0, + 2.0, + 639.0, + 299.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0df7c5c5c" + }, + "b0f5295608": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 394.0, + 507.0, + 534.0, + 717.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "bird", + "bbox": [ + 443.0, + 365.0, + 656.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 527.0, + 580.0, + 706.0, + 701.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "bird", + "bbox": [ + 531.0, + 376.0, + 697.0, + 532.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 511.0, + 299.0, + 673.0, + 412.0 + ], + "valid": 1 + }, + "2": {}, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00080", + "00085", + "00120", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b0f5295608" + }, + "b11099eb09": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 512.0, + 219.0, + 913.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 380.0, + 152.0, + 825.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 382.0, + 133.0, + 821.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 278.0, + 102.0, + 977.0, + 639.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b11099eb09" + }, + "b132a53086": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 404.0, + 0.0, + 999.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 404.0, + 0.0, + 993.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 418.0, + 0.0, + 999.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 392.0, + 0.0, + 999.0, + 516.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b132a53086" + }, + "b1399fac64": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 139.0, + 122.0, + 459.0, + 668.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 664.0, + 315.0, + 1034.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 0.0, + 78.0, + 291.0, + 659.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 589.0, + 241.0, + 900.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 145.0, + 100.0, + 519.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 593.0, + 218.0, + 892.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 65.0, + 3.0, + 441.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 561.0, + 269.0, + 854.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1399fac64" + }, + "b13abc0c69": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 507.0, + 182.0, + 733.0, + 508.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 722.0, + 278.0, + 972.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 518.0, + 189.0, + 753.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 729.0, + 276.0, + 979.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 594.0, + 196.0, + 780.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 786.0, + 282.0, + 1021.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 644.0, + 185.0, + 845.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 797.0, + 283.0, + 1031.0, + 517.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b13abc0c69" + }, + "b1457e3b5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 405.0, + 227.0, + 675.0, + 484.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 633.0, + 331.0, + 976.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 408.0, + 215.0, + 662.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 637.0, + 334.0, + 988.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 373.0, + 198.0, + 647.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 614.0, + 313.0, + 965.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 387.0, + 167.0, + 660.0, + 438.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 644.0, + 282.0, + 969.0, + 533.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1457e3b5e" + }, + "b15bf4453b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 689.0, + 446.0, + 827.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 456.0, + 279.0, + 647.0, + 580.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 493.0, + 603.0, + 746.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 701.0, + 448.0, + 844.0, + 552.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 463.0, + 277.0, + 657.0, + 586.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 501.0, + 604.0, + 754.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 724.0, + 458.0, + 878.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 469.0, + 273.0, + 679.0, + 605.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 512.0, + 618.0, + 765.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 731.0, + 467.0, + 891.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 457.0, + 270.0, + 679.0, + 622.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 500.0, + 637.0, + 757.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b15bf4453b" + }, + "b179c4a82d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 566.0, + 155.0, + 730.0, + 330.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 698.0, + 178.0, + 806.0, + 337.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 654.0, + 197.0, + 763.0, + 390.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 611.0, + 224.0, + 869.0, + 391.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 592.0, + 244.0, + 756.0, + 416.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 647.0, + 235.0, + 815.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 637.0, + 228.0, + 780.0, + 435.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 702.0, + 239.0, + 853.0, + 437.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b179c4a82d" + }, + "b17ee70e8c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 222.0, + 244.0, + 440.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 85.0, + 484.0, + 288.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 365.0, + 146.0, + 539.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 260.0, + 361.0, + 341.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 251.0, + 303.0, + 427.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 127.0, + 517.0, + 283.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 292.0, + 167.0, + 409.0, + 324.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 147.0, + 313.0, + 280.0, + 355.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b17ee70e8c" + }, + "b190b1aa65": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 559.0, + 272.0, + 623.0, + 417.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 555.0, + 416.0, + 611.0, + 426.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 573.0, + 161.0, + 664.0, + 416.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 557.0, + 328.0, + 622.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 504.0, + 101.0, + 664.0, + 385.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 516.0, + 380.0, + 558.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 515.0, + 151.0, + 689.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 548.0, + 451.0, + 701.0, + 493.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b190b1aa65" + }, + "b19b3e22c0": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 135.0, + 1001.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 162.0, + 86.0, + 1276.0, + 640.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 368.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 498.0, + 161.0, + 1279.0, + 588.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 414.0, + 91.0, + 1279.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00085", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b19b3e22c0" + }, + "b19c561fab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 388.0, + 216.0, + 1072.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 323.0, + 215.0, + 1029.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 359.0, + 214.0, + 1022.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 384.0, + 219.0, + 1024.0, + 605.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b19c561fab" + }, + "b1d1cd2e6e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 465.0, + 2.0, + 1157.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 712.0, + 225.0, + 1150.0, + 509.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 325.0, + 77.0, + 721.0, + 313.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 436.0, + 1.0, + 1223.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 786.0, + 198.0, + 1213.0, + 545.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 280.0, + 43.0, + 470.0, + 305.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 429.0, + 2.0, + 1209.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 766.0, + 184.0, + 1200.0, + 536.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 279.0, + 54.0, + 460.0, + 314.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 448.0, + 18.0, + 1083.0, + 706.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 358.0, + 103.0, + 738.0, + 339.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1d1cd2e6e" + }, + "b1d7c03927": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 656.0, + 61.0, + 834.0, + 499.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 763.0, + 310.0, + 1021.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 606.0, + 52.0, + 857.0, + 482.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 778.0, + 325.0, + 1088.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 501.0, + 52.0, + 862.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 646.0, + 352.0, + 956.0, + 549.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dog", + "bbox": [ + 742.0, + 174.0, + 1202.0, + 486.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1d7c03927" + }, + "b1d7fe2753": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 131.0, + 81.0, + 756.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 293.0, + 0.0, + 786.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 357.0, + 97.0, + 1078.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 776.0, + 115.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1d7fe2753" + }, + "b1f540a4bd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 175.0, + 61.0, + 842.0, + 697.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 809.0, + 353.0, + 882.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 160.0, + 64.0, + 931.0, + 707.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 841.0, + 301.0, + 960.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 160.0, + 39.0, + 1007.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 1045.0, + 279.0, + 1129.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 22.0, + 4.0, + 841.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 1024.0, + 285.0, + 1139.0, + 521.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00070", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1f540a4bd" + }, + "b1fc9c64e1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 336.0, + 173.0, + 707.0, + 611.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 841.0, + 81.0, + 923.0, + 288.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 326.0, + 184.0, + 697.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 828.0, + 91.0, + 940.0, + 329.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 324.0, + 191.0, + 692.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 842.0, + 17.0, + 963.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 317.0, + 214.0, + 688.0, + 639.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 858.0, + 29.0, + 1029.0, + 390.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1fc9c64e1" + }, + "b1fcbb3ced": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 445.0, + 73.0, + 840.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 446.0, + 26.0, + 795.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 460.0, + 34.0, + 799.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 35.0, + 787.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b1fcbb3ced" + }, + "b220939e93": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 588.0, + 392.0, + 800.0, + 448.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 808.0, + 323.0, + 1029.0, + 463.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 697.0, + 218.0, + 803.0, + 267.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 376.0, + 187.0, + 534.0, + 318.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 605.0, + 388.0, + 780.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 749.0, + 338.0, + 913.0, + 489.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 672.0, + 215.0, + 787.0, + 272.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 395.0, + 181.0, + 509.0, + 303.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 620.0, + 372.0, + 764.0, + 454.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 725.0, + 360.0, + 867.0, + 496.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 665.0, + 205.0, + 788.0, + 259.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 416.0, + 180.0, + 498.0, + 301.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 623.0, + 372.0, + 755.0, + 447.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 720.0, + 356.0, + 852.0, + 504.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 659.0, + 209.0, + 784.0, + 255.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 423.0, + 180.0, + 500.0, + 301.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b220939e93" + }, + "b22099b419": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 396.0, + 130.0, + 775.0, + 611.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 29.0, + 792.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 400.0, + 127.0, + 809.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 17.0, + 820.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 350.0, + 160.0, + 879.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 810.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 480.0, + 0.0, + 1137.0, + 398.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1074.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b22099b419" + }, + "b241e95235": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 438.0, + 210.0, + 800.0, + 463.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 764.0, + 213.0, + 1027.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 266.0, + 284.0, + 584.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 630.0, + 266.0, + 821.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 329.0, + 289.0, + 689.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 738.0, + 233.0, + 939.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 421.0, + 267.0, + 790.0, + 509.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 855.0, + 179.0, + 1008.0, + 514.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b241e95235" + }, + "b2432ae86d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 342.0, + 318.0, + 810.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 228.0, + 169.0, + 722.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 212.0, + 179.0, + 700.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 271.0, + 0.0, + 582.0, + 535.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2432ae86d" + }, + "b2456267df": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 448.0, + 245.0, + 720.0, + 691.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 506.0, + 629.0, + 671.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 350.0, + 61.0, + 698.0, + 641.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 339.0, + 545.0, + 569.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 649.0, + 361.0, + 781.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 697.0, + 550.0, + 746.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 726.0, + 329.0, + 910.0, + 611.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 739.0, + 595.0, + 821.0, + 630.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2456267df" + }, + "b247940d01": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 118.0, + 322.0, + 871.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 78.0, + 243.0, + 1001.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 981.0, + 262.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 637.0, + 221.0, + 925.0, + 526.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b247940d01" + }, + "b24af1c35c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 85.0, + 982.0, + 713.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 100.0, + 962.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 38.0, + 110.0, + 968.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 145.0, + 143.0, + 970.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b24af1c35c" + }, + "b24f600420": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 144.0, + 184.0, + 927.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 170.0, + 175.0, + 969.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 164.0, + 181.0, + 963.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 160.0, + 208.0, + 1019.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b24f600420" + }, + "b24fe36b2a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 665.0, + 97.0, + 800.0, + 381.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 729.0, + 322.0, + 862.0, + 379.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 629.0, + 112.0, + 774.0, + 379.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 571.0, + 347.0, + 769.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 735.0, + 225.0, + 886.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 758.0, + 449.0, + 848.0, + 479.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 801.0, + 193.0, + 973.0, + 423.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 835.0, + 392.0, + 1020.0, + 479.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b24fe36b2a" + }, + "b258fb0b7d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 274.0, + 255.0, + 657.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 271.0, + 226.0, + 653.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 288.0, + 196.0, + 672.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 307.0, + 208.0, + 604.0, + 476.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b258fb0b7d" + }, + "b26b219919": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 180.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 104.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1198.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b26b219919" + }, + "b26d9904de": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 606.0, + 113.0, + 660.0, + 168.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 534.0, + 156.0, + 787.0, + 551.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 578.0, + 219.0, + 782.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 648.0, + 184.0, + 726.0, + 234.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 574.0, + 231.0, + 872.0, + 641.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 627.0, + 250.0, + 831.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 645.0, + 92.0, + 722.0, + 149.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 652.0, + 142.0, + 912.0, + 543.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 518.0, + 266.0, + 1011.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 703.0, + 0.0, + 781.0, + 32.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 715.0, + 21.0, + 897.0, + 448.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 504.0, + 154.0, + 1249.0, + 714.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00220", + "00230", + "00260", + "00275" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b26d9904de" + }, + "b274456ce1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 576.0, + 306.0, + 894.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 369.0, + 174.0, + 832.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 678.0, + 169.0, + 1018.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 619.0, + 155.0, + 1124.0, + 582.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b274456ce1" + }, + "b27b28d581": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 382.0, + 451.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 525.0, + 270.0, + 1279.0, + 527.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 377.0, + 521.0, + 628.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 441.0, + 267.0, + 1279.0, + 520.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 356.0, + 692.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 375.0, + 254.0, + 1279.0, + 506.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 297.0, + 335.0, + 1006.0, + 599.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 171.0, + 233.0, + 1126.0, + 475.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1100.0, + 331.0, + 1220.0, + 650.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00020", + "00035", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b27b28d581" + }, + "b2a26bc912": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 996.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 15.0, + 1009.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 80.0, + 0.0, + 1279.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 82.0, + 0.0, + 1279.0, + 617.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2a26bc912" + }, + "b2a9c51e1b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 400.0, + 181.0, + 893.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 377.0, + 158.0, + 879.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 358.0, + 96.0, + 896.0, + 471.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 539.0, + 29.0, + 893.0, + 439.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2a9c51e1b" + }, + "b2b0baf470": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 528.0, + 113.0, + 797.0, + 275.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 495.0, + 40.0, + 722.0, + 233.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 457.0, + 14.0, + 675.0, + 205.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 705.0, + 142.0, + 991.0, + 339.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2b0baf470" + }, + "b2b2756fe7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 432.0, + 110.0, + 727.0, + 522.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 56.0, + 0.0, + 278.0, + 667.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 884.0, + 319.0, + 1191.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 405.0, + 60.0, + 669.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 158.0, + 154.0, + 642.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 888.0, + 279.0, + 1166.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 269.0, + 150.0, + 496.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 239.0, + 160.0, + 462.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2b2756fe7" + }, + "b2ce7699e3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 540.0, + 351.0, + 828.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 179.0, + 287.0, + 462.0, + 372.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 662.0, + 21.0, + 780.0, + 160.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 411.0, + 233.0, + 731.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 521.0, + 232.0, + 708.0, + 309.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 365.0, + 195.0, + 644.0, + 335.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 1079.0, + 70.0, + 1279.0, + 190.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 721.0, + 431.0, + 944.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 279.0, + 311.0, + 578.0, + 430.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 292.0, + 414.0, + 517.0, + 553.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2ce7699e3" + }, + "b2edc76bd2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 26.0, + 0.0, + 770.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 207.0, + 683.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 586.0, + 76.0, + 913.0, + 488.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 736.0, + 431.0, + 997.0, + 719.0 + ], + "valid": 1 + }, + "5": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "monkey", + "bbox": [ + 669.0, + 268.0, + 905.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "monkey", + "bbox": [ + 663.0, + 257.0, + 905.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "monkey", + "bbox": [ + 667.0, + 237.0, + 944.0, + 557.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2edc76bd2" + }, + "b2f6b52100": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 397.0, + 330.0, + 654.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 128.0, + 71.0, + 614.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 201.0, + 318.0, + 527.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 111.0, + 410.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 237.0, + 240.0, + 553.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 108.0, + 514.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 277.0, + 261.0, + 611.0, + 544.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 29.0, + 127.0, + 546.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b2f6b52100" + }, + "b30bf47bcd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 677.0, + 318.0, + 745.0, + 452.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 676.0, + 435.0, + 724.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 604.0, + 315.0, + 688.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 595.0, + 443.0, + 661.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 615.0, + 326.0, + 706.0, + 484.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 596.0, + 455.0, + 744.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 678.0, + 193.0, + 827.0, + 393.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 684.0, + 387.0, + 716.0, + 402.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b30bf47bcd" + }, + "b34105a4e9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 144.0, + 244.0, + 739.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 160.0, + 134.0, + 751.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 220.0, + 174.0, + 823.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 164.0, + 133.0, + 791.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b34105a4e9" + }, + "b372a82edf": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 418.0, + 250.0, + 829.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 587.0, + 269.0, + 899.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 537.0, + 243.0, + 968.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 432.0, + 164.0, + 954.0, + 572.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b372a82edf" + }, + "b3779a1962": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 131.0, + 183.0, + 618.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 187.0, + 187.0, + 724.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 145.0, + 186.0, + 685.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 138.0, + 172.0, + 670.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00105", + "00125", + "00145", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b3779a1962" + }, + "b37a1d69e3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 139.0, + 964.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 126.0, + 976.0, + 528.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 123.0, + 878.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 61.0, + 958.0, + 520.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b37a1d69e3" + }, + "b37c01396e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 410.0, + 285.0, + 691.0, + 427.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 432.0, + 270.0, + 715.0, + 409.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 430.0, + 273.0, + 711.0, + 407.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 406.0, + 364.0, + 685.0, + 527.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b37c01396e" + }, + "b382b09e25": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 469.0, + 116.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 427.0, + 100.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 421.0, + 117.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 425.0, + 100.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b382b09e25" + }, + "b3996e4ba5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 50.0, + 172.0, + 434.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 707.0, + 288.0, + 1183.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 153.0, + 288.0, + 544.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 743.0, + 302.0, + 1183.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 20.0, + 209.0, + 518.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 747.0, + 228.0, + 1279.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 180.0, + 437.0, + 670.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 741.0, + 218.0, + 1279.0, + 640.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b3996e4ba5" + }, + "b3d9ca2aee": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 185.0, + 239.0, + 393.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 163.0, + 204.0, + 375.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 167.0, + 181.0, + 379.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 175.0, + 204.0, + 377.0, + 546.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b3d9ca2aee" + }, + "b3dde1e1e9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 162.0, + 1076.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 227.0, + 1016.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 138.0, + 1134.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 199.0, + 934.0, + 470.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b3dde1e1e9" + }, + "b3eb7f05eb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 653.0, + 346.0, + 816.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 675.0, + 339.0, + 861.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 691.0, + 352.0, + 878.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 701.0, + 349.0, + 902.0, + 545.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b3eb7f05eb" + }, + "b40b25055c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 222.0, + 54.0, + 386.0, + 259.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 60.0, + 162.0, + 224.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 214.0, + 100.0, + 303.0, + 260.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 97.0, + 46.0, + 220.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 211.0, + 74.0, + 355.0, + 261.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 1.0, + 64.0, + 150.0, + 227.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 179.0, + 30.0, + 284.0, + 263.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 1.0, + 33.0, + 196.0, + 172.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b40b25055c" + }, + "b41e0f1f19": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 760.0, + 331.0, + 840.0, + 492.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 772.0, + 372.0, + 823.0, + 565.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 567.0, + 311.0, + 654.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 770.0, + 338.0, + 850.0, + 504.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 785.0, + 374.0, + 832.0, + 584.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 605.0, + 313.0, + 710.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 785.0, + 344.0, + 858.0, + 511.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 802.0, + 381.0, + 845.0, + 591.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 636.0, + 323.0, + 733.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 806.0, + 320.0, + 868.0, + 404.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 830.0, + 361.0, + 876.0, + 403.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 696.0, + 281.0, + 815.0, + 571.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00180", + "00195", + "00200", + "00225" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b41e0f1f19" + }, + "b44e32a42b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 998.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 587.0, + 281.0, + 906.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 954.0, + 353.0, + 1215.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 789.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 541.0, + 248.0, + 835.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 923.0, + 420.0, + 1122.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 1.0, + 0.0, + 792.0, + 454.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 506.0, + 214.0, + 786.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 810.0, + 367.0, + 1063.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 747.0, + 380.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 412.0, + 272.0, + 632.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 693.0, + 237.0, + 985.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b44e32a42b" + }, + "b4807569a5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 857.0, + 0.0, + 1279.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 735.0, + 100.0, + 924.0, + 404.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 88.0, + 232.0, + 228.0, + 374.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1123.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 1079.0, + 85.0, + 1279.0, + 510.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 869.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 1080.0, + 45.0, + 1279.0, + 530.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b4807569a5" + }, + "b48efceb3e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 532.0, + 150.0, + 864.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 558.0, + 137.0, + 787.0, + 532.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 532.0, + 164.0, + 830.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 538.0, + 135.0, + 932.0, + 410.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b48efceb3e" + }, + "b493c25c7f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 318.0, + 332.0, + 619.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 346.0, + 269.0, + 612.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 358.0, + 249.0, + 537.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 145.0, + 375.0, + 462.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b493c25c7f" + }, + "b4b565aba1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 330.0, + 47.0, + 1134.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 283.0, + 0.0, + 1113.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 293.0, + 0.0, + 1127.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 288.0, + 3.0, + 1141.0, + 567.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00070", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b4b565aba1" + }, + "b4b715a15b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 669.0, + 227.0, + 903.0, + 383.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 861.0, + 193.0, + 1026.0, + 380.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 549.0, + 357.0, + 779.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 831.0, + 233.0, + 998.0, + 387.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 615.0, + 283.0, + 838.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 863.0, + 183.0, + 1004.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 671.0, + 352.0, + 873.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 855.0, + 167.0, + 1010.0, + 414.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b4b715a15b" + }, + "b4d0c90bf4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 301.0, + 38.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 306.0, + 40.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 301.0, + 42.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 299.0, + 132.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b4d0c90bf4" + }, + "b4d84bc371": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 953.0, + 239.0, + 1279.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 889.0, + 155.0, + 1235.0, + 403.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 440.0, + 216.0, + 960.0, + 589.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 218.0, + 86.0, + 514.0, + 417.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 460.0, + 73.0, + 841.0, + 250.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 973.0, + 229.0, + 1279.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 937.0, + 142.0, + 1279.0, + 390.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 518.0, + 220.0, + 982.0, + 579.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 120.0, + 127.0, + 470.0, + 454.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 445.0, + 102.0, + 845.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 985.0, + 354.0, + 1279.0, + 653.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 875.0, + 260.0, + 1191.0, + 417.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 476.0, + 345.0, + 841.0, + 627.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 66.0, + 330.0, + 424.0, + 622.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 667.0, + 316.0, + 1001.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1201.0, + 320.0, + 1279.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1067.0, + 193.0, + 1279.0, + 422.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 711.0, + 244.0, + 912.0, + 570.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 200.0, + 223.0, + 521.0, + 499.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 903.0, + 226.0, + 1176.0, + 531.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b4d84bc371" + }, + "b4e5ad97aa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 714.0, + 539.0, + 866.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 754.0, + 328.0, + 891.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 505.0, + 618.0, + 644.0, + 694.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 493.0, + 199.0, + 708.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b4e5ad97aa" + }, + "b4eaea9e6b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 465.0, + 85.0, + 700.0, + 585.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 577.0, + 546.0, + 692.0, + 619.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 485.0, + 291.0, + 686.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 487.0, + 522.0, + 619.0, + 606.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 538.0, + 289.0, + 643.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 619.0, + 491.0, + 634.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 494.0, + 284.0, + 572.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 534.0, + 498.0, + 580.0, + 533.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b4eaea9e6b" + }, + "b50f4b90d5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 567.0, + 0.0, + 1045.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 575.0, + 48.0, + 922.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 520.0, + 79.0, + 968.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 305.0, + 201.0, + 922.0, + 588.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b50f4b90d5" + }, + "b53f675641": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 413.0, + 404.0, + 525.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 404.0, + 414.0, + 513.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 516.0, + 410.0, + 634.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 491.0, + 420.0, + 604.0, + 529.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b53f675641" + }, + "b54278cd43": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 397.0, + 175.0, + 874.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 395.0, + 202.0, + 878.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 417.0, + 163.0, + 776.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 459.0, + 165.0, + 720.0, + 523.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b54278cd43" + }, + "b554843889": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 699.0, + 114.0, + 1091.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 697.0, + 122.0, + 1117.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 755.0, + 130.0, + 1165.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 779.0, + 119.0, + 1187.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b554843889" + }, + "b573c0677a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 3.0, + 289.0, + 750.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 10.0, + 281.0, + 913.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 323.0, + 843.0, + 659.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 201.0, + 915.0, + 550.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b573c0677a" + }, + "b58d853734": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 493.0, + 293.0, + 674.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 488.0, + 292.0, + 681.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 484.0, + 276.0, + 657.0, + 469.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 487.0, + 281.0, + 654.0, + 467.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b58d853734" + }, + "b5943b18ab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 345.0, + 0.0, + 1279.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 321.0, + 0.0, + 1279.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 245.0, + 0.0, + 1279.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5943b18ab" + }, + "b5a09a83f3": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 217.0, + 150.0, + 422.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 763.0, + 95.0, + 998.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 211.0, + 176.0, + 415.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 762.0, + 85.0, + 998.0, + 409.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 21.0, + 205.0, + 208.0, + 408.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 759.0, + 83.0, + 997.0, + 409.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 56.0, + 207.0, + 247.0, + 400.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 762.0, + 79.0, + 998.0, + 406.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00075", + "00085", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5a09a83f3" + }, + "b5aae1fe25": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 301.0, + 167.0, + 962.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 316.0, + 109.0, + 973.0, + 679.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 0.0, + 339.0, + 292.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 1097.0, + 350.0, + 1279.0, + 429.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "bird", + "bbox": [ + 114.0, + 143.0, + 701.0, + 669.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5aae1fe25" + }, + "b5b9da5364": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 396.0, + 51.0, + 842.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 463.0, + 274.0, + 699.0, + 410.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 426.0, + 270.0, + 660.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 366.0, + 272.0, + 622.0, + 414.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5b9da5364" + }, + "b5eb64d419": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 20.0, + 11.0, + 1051.0, + 654.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 50.0, + 27.0, + 1065.0, + 670.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 72.0, + 43.0, + 1059.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 154.0, + 50.0, + 1089.0, + 662.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5eb64d419" + }, + "b5ebb1d000": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 620.0, + 97.0, + 876.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 498.0, + 165.0, + 1098.0, + 566.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 66.0, + 222.0, + 266.0, + 458.0 + ], + "valid": 1 + }, + "4": { + "category_name": "horse", + "bbox": [ + 0.0, + 261.0, + 86.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 619.0, + 149.0, + 865.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 491.0, + 213.0, + 1090.0, + 608.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 56.0, + 264.0, + 258.0, + 500.0 + ], + "valid": 1 + }, + "4": { + "category_name": "horse", + "bbox": [ + 15.0, + 303.0, + 85.0, + 439.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 612.0, + 278.0, + 858.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 490.0, + 329.0, + 1083.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 51.0, + 381.0, + 242.0, + 620.0 + ], + "valid": 1 + }, + "4": { + "category_name": "horse", + "bbox": [ + 10.0, + 404.0, + 73.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 624.0, + 245.0, + 867.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 531.0, + 288.0, + 1098.0, + 704.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 65.0, + 342.0, + 249.0, + 584.0 + ], + "valid": 1 + }, + "4": { + "category_name": "horse", + "bbox": [ + 8.0, + 371.0, + 82.0, + 544.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5ebb1d000" + }, + "b5f1c0c96a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1063.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1065.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1079.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1109.0, + 516.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5f1c0c96a" + }, + "b5f7fece90": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 460.0, + 86.0, + 1079.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 249.0, + 0.0, + 680.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 525.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 41.0, + 0.0, + 762.0, + 630.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b5f7fece90" + }, + "b6070de1bb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 379.0, + 221.0, + 599.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 404.0, + 296.0, + 528.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 407.0, + 264.0, + 606.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 409.0, + 213.0, + 563.0, + 372.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 331.0, + 250.0, + 610.0, + 581.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 372.0, + 252.0, + 550.0, + 376.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 335.0, + 226.0, + 545.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 322.0, + 206.0, + 539.0, + 335.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6070de1bb" + }, + "b60a76fe73": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 539.0, + 234.0, + 671.0, + 655.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 456.0, + 538.0, + 607.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 718.0, + 102.0, + 872.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 728.0, + 465.0, + 886.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 631.0, + 94.0, + 779.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 607.0, + 456.0, + 860.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 353.0, + 6.0, + 520.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 392.0, + 385.0, + 641.0, + 631.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00215", + "00235", + "00250", + "00275" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b60a76fe73" + }, + "b61f998772": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 715.0, + 603.0, + 925.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 142.0, + 926.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 725.0, + 612.0, + 925.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 176.0, + 924.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 759.0, + 610.0, + 969.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 163.0, + 970.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 765.0, + 612.0, + 961.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 120.0, + 993.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00065", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b61f998772" + }, + "b62c943664": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 439.0, + 528.0, + 594.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 666.0, + 321.0, + 1158.0, + 550.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 721.0, + 484.0, + 868.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 386.0, + 535.0, + 549.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 651.0, + 322.0, + 1157.0, + 545.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 729.0, + 484.0, + 877.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 137.0, + 571.0, + 321.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 614.0, + 315.0, + 1152.0, + 562.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 741.0, + 489.0, + 890.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 64.0, + 553.0, + 227.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 594.0, + 316.0, + 1148.0, + 563.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 748.0, + 490.0, + 894.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b62c943664" + }, + "b63094ba0c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 298.0, + 126.0, + 738.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 360.0, + 145.0, + 820.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 348.0, + 124.0, + 817.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 354.0, + 125.0, + 812.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b63094ba0c" + }, + "b64fca8100": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 278.0, + 403.0, + 311.0, + 494.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 544.0, + 376.0, + 580.0, + 435.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 445.0, + 315.0, + 669.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 252.0, + 395.0, + 284.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 528.0, + 366.0, + 573.0, + 430.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 417.0, + 302.0, + 667.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 210.0, + 402.0, + 245.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 522.0, + 366.0, + 568.0, + 438.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 384.0, + 297.0, + 674.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 204.0, + 402.0, + 237.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 520.0, + 361.0, + 569.0, + 434.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 376.0, + 293.0, + 674.0, + 536.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00140", + "00160", + "00185", + "00190" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b64fca8100" + }, + "b673e7dcfb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 614.0, + 81.0, + 828.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 661.0, + 17.0, + 888.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 681.0, + 62.0, + 897.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 613.0, + 75.0, + 962.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b673e7dcfb" + }, + "b678b7db00": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 551.0, + 113.0, + 839.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 711.0, + 501.0, + 827.0, + 551.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 647.0, + 93.0, + 858.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 789.0, + 297.0, + 865.0, + 483.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 637.0, + 148.0, + 833.0, + 485.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 781.0, + 314.0, + 837.0, + 455.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 226.0, + 127.0, + 608.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 759.0, + 123.0, + 869.0, + 416.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 830.0, + 289.0, + 870.0, + 423.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 852.0, + 104.0, + 990.0, + 523.0 + ], + "valid": 1 + }, + "4": { + "category_name": "skateboard", + "bbox": [ + 903.0, + 447.0, + 974.0, + 510.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b678b7db00" + }, + "b68fc1b217": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 92.0, + 247.0, + 638.0, + 567.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 96.0, + 245.0, + 636.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 94.0, + 244.0, + 653.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 110.0, + 244.0, + 638.0, + 563.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b68fc1b217" + }, + "b69926d9fa": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1139.0, + 442.0, + 1279.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1027.0, + 407.0, + 1279.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1121.0, + 437.0, + 1279.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 941.0, + 419.0, + 1279.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 964.0, + 408.0, + 1061.0, + 583.0 + ], + "valid": 1 + }, + "4": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1090.0, + 414.0, + 1279.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 687.0, + 384.0, + 1034.0, + 668.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 942.0, + 387.0, + 1102.0, + 673.0 + ], + "valid": 1 + }, + "4": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1059.0, + 410.0, + 1182.0, + 682.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 584.0, + 375.0, + 949.0, + 654.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 918.0, + 383.0, + 1081.0, + 665.0 + ], + "valid": 1 + }, + "4": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b69926d9fa" + }, + "b6a1df3764": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 306.0, + 305.0, + 1179.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 364.0, + 510.0, + 376.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 358.0, + 229.0, + 1201.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 328.0, + 399.0, + 509.0, + 532.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 552.0, + 212.0, + 1279.0, + 669.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 329.0, + 334.0, + 614.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 554.0, + 213.0, + 1279.0, + 658.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 341.0, + 321.0, + 607.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6a1df3764" + }, + "b6a4859528": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 2.0, + 0.0, + 382.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 38.0, + 8.0, + 222.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 514.0, + 58.0, + 801.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 416.0, + 104.0, + 593.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 6.0, + 0.0, + 378.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 52.0, + 5.0, + 235.0, + 689.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 506.0, + 53.0, + 787.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 386.0, + 95.0, + 573.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 92.0, + 5.0, + 416.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 66.0, + 7.0, + 246.0, + 714.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 500.0, + 60.0, + 785.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 381.0, + 150.0, + 495.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 62.0, + 0.0, + 418.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 38.0, + 10.0, + 222.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 484.0, + 45.0, + 767.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 371.0, + 175.0, + 401.0, + 572.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6a4859528" + }, + "b6b4738b78": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 309.0, + 284.0, + 713.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 651.0, + 153.0, + 906.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 953.0, + 414.0, + 1072.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 354.0, + 245.0, + 762.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 674.0, + 127.0, + 897.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 950.0, + 391.0, + 1070.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 314.0, + 235.0, + 744.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 598.0, + 126.0, + 915.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 932.0, + 381.0, + 1052.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 308.0, + 233.0, + 766.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 582.0, + 127.0, + 899.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 929.0, + 374.0, + 1048.0, + 619.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6b4738b78" + }, + "b6b4f847b7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 972.0, + 196.0, + 1088.0, + 357.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 966.0, + 213.0, + 1084.0, + 369.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 972.0, + 228.0, + 1090.0, + 382.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 984.0, + 237.0, + 1096.0, + 392.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6b4f847b7" + }, + "b6b8d502d4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 108.0, + 870.0, + 672.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 825.0, + 29.0, + 1279.0, + 577.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 125.0, + 408.0, + 684.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 15.0, + 69.0, + 508.0, + 658.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 640.0, + 124.0, + 1279.0, + 645.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 97.0, + 438.0, + 699.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 145.0, + 72.0, + 627.0, + 669.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 717.0, + 154.0, + 1279.0, + 653.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 93.0, + 324.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 540.0, + 158.0, + 924.0, + 656.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 974.0, + 268.0, + 1279.0, + 558.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6b8d502d4" + }, + "b6bb00e366": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 404.0, + 531.0, + 546.0, + 646.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 515.0, + 501.0, + 595.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 277.0, + 649.0, + 343.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fish", + "bbox": [ + 550.0, + 293.0, + 743.0, + 430.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6bb00e366" + }, + "b6d65a9eef": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 393.0, + 175.0, + 861.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 369.0, + 219.0, + 850.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 372.0, + 124.0, + 805.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 404.0, + 153.0, + 835.0, + 486.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6d65a9eef" + }, + "b6d79a0845": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 658.0, + 150.0, + 966.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 911.0, + 603.0, + 955.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 467.0, + 180.0, + 898.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 839.0, + 375.0, + 916.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 473.0, + 289.0, + 802.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 751.0, + 39.0, + 792.0, + 177.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 383.0, + 217.0, + 651.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6d79a0845" + }, + "b6e9ec577f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 684.0, + 200.0, + 830.0, + 492.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 208.0, + 273.0, + 377.0, + 424.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 545.0, + 265.0, + 1002.0, + 682.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 522.0, + 173.0, + 695.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 206.0, + 257.0, + 371.0, + 417.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 464.0, + 239.0, + 831.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 408.0, + 179.0, + 590.0, + 422.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 296.0, + 264.0, + 439.0, + 410.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 371.0, + 228.0, + 693.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 151.0, + 547.0, + 391.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 657.0, + 248.0, + 770.0, + 393.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 342.0, + 221.0, + 685.0, + 468.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6e9ec577f" + }, + "b6ec609f7b": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 31 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 317.0, + 234.0, + 724.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 304.0, + 220.0, + 719.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 310.0, + 231.0, + 721.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 314.0, + 211.0, + 715.0, + 518.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6ec609f7b" + }, + "b6f92a308d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 408.0, + 139.0, + 739.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 459.0, + 188.0, + 754.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 461.0, + 168.0, + 750.0, + 516.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 460.0, + 167.0, + 754.0, + 508.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b6f92a308d" + }, + "b70a5a0d50": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 472.0, + 252.0, + 738.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 403.0, + 577.0, + 656.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 222.0, + 461.0, + 575.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 231.0, + 691.0, + 413.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 303.0, + 485.0, + 638.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 486.0, + 593.0, + 595.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 274.0, + 524.0, + 782.0, + 700.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 699.0, + 581.0, + 901.0, + 696.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b70a5a0d50" + }, + "b70c052f2f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 726.0, + 329.0, + 1098.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 817.0, + 340.0, + 1018.0, + 695.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 578.0, + 300.0, + 934.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 587.0, + 238.0, + 1090.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b70c052f2f" + }, + "b70d231781": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 527.0, + 141.0, + 892.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 578.0, + 111.0, + 964.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 572.0, + 84.0, + 959.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 681.0, + 65.0, + 1107.0, + 524.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b70d231781" + }, + "b72ac6e10b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 513.0, + 261.0, + 574.0, + 314.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 580.0, + 374.0, + 822.0, + 613.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 522.0, + 143.0, + 722.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 317.0, + 218.0, + 385.0, + 268.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 319.0, + 191.0, + 442.0, + 483.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 352.0, + 170.0, + 520.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 799.0, + 389.0, + 845.0, + 448.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 447.0, + 479.0, + 703.0, + 605.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 649.0, + 172.0, + 853.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dog", + "bbox": [ + 830.0, + 425.0, + 1013.0, + 552.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b72ac6e10b" + }, + "b7302d8226": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 524.0, + 205.0, + 737.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 566.0, + 280.0, + 789.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 662.0, + 280.0, + 890.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 773.0, + 241.0, + 999.0, + 554.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b7302d8226" + }, + "b73867d769": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 242.0, + 0.0, + 917.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 228.0, + 6.0, + 918.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 238.0, + 1.0, + 918.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 240.0, + 14.0, + 936.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b73867d769" + }, + "b751e767f2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 178.0, + 67.0, + 807.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 78.0, + 124.0, + 809.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 56.0, + 176.0, + 805.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 68.0, + 206.0, + 831.0, + 585.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b751e767f2" + }, + "b76df6e059": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1082.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 241.0, + 345.0, + 906.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1218.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 106.0, + 323.0, + 1024.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 122.0, + 416.0, + 1131.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 38.0, + 419.0, + 1078.0, + 630.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00060", + "00075", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b76df6e059" + }, + "b77e5eddef": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 138.0, + 288.0, + 436.0, + 680.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 842.0, + 186.0, + 1015.0, + 567.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 128.0, + 307.0, + 443.0, + 688.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 851.0, + 192.0, + 1038.0, + 578.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 399.0, + 429.0, + 447.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 147.0, + 318.0, + 460.0, + 675.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 869.0, + 164.0, + 1044.0, + 557.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 354.0, + 327.0, + 464.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 82.0, + 298.0, + 289.0, + 714.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 842.0, + 183.0, + 1014.0, + 562.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 299.0, + 299.0, + 462.0, + 659.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b77e5eddef" + }, + "b7a2c2c83c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 301.0, + 29.0, + 818.0, + 504.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 1090.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 836.0, + 130.0, + 1038.0, + 368.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 268.0, + 13.0, + 939.0, + 594.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "plant", + "bbox": [ + 1051.0, + 96.0, + 1279.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 246.0, + 0.0, + 981.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "plant", + "bbox": [ + 1174.0, + 130.0, + 1279.0, + 400.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 202.0, + 0.0, + 975.0, + 611.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "plant", + "bbox": [ + 1207.0, + 128.0, + 1279.0, + 370.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b7a2c2c83c" + }, + "b7bcbe6466": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 227.0, + 0.0, + 888.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 320.0, + 292.0, + 876.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 211.0, + 0.0, + 852.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 280.0, + 256.0, + 777.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 169.0, + 0.0, + 924.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 216.0, + 349.0, + 572.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 95.0, + 0.0, + 942.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 235.0, + 300.0, + 655.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b7bcbe6466" + }, + "b7c2a469c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 665.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 813.0, + 267.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 394.0, + 30.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 340.0, + 104.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b7c2a469c4" + }, + "b7d69da8f0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 326.0, + 234.0, + 1072.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 335.0, + 257.0, + 1158.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 511.0, + 25.0, + 1210.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 777.0, + 85.0, + 1074.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b7d69da8f0" + }, + "b7f31b7c36": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 61.0, + 309.0, + 275.0, + 666.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 480.0, + 146.0, + 736.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 3.0, + 598.0, + 68.0, + 693.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 286.0, + 165.0, + 534.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 31.0, + 137.0, + 294.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 506.0, + 248.0, + 906.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b7f31b7c36" + }, + "b7fb871660": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 711.0, + 551.0, + 897.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 840.0, + 288.0, + 975.0, + 381.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 751.0, + 350.0, + 1027.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 759.0, + 568.0, + 933.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 868.0, + 297.0, + 1008.0, + 392.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 783.0, + 362.0, + 1065.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 785.0, + 589.0, + 963.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 887.0, + 314.0, + 1026.0, + 413.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 793.0, + 379.0, + 1091.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 845.0, + 599.0, + 1013.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 931.0, + 325.0, + 1075.0, + 422.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 845.0, + 391.0, + 1147.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00025" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b7fb871660" + }, + "b82e5ad1c9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 600.0, + 184.0, + 884.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 585.0, + 172.0, + 867.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 551.0, + 168.0, + 771.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 530.0, + 225.0, + 761.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b82e5ad1c9" + }, + "b841cfb932": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 813.0, + 287.0, + 1057.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 786.0, + 167.0, + 1102.0, + 325.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 790.0, + 250.0, + 1133.0, + 371.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 778.0, + 205.0, + 1144.0, + 292.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00070", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b841cfb932" + }, + "b84b8ae665": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 639.0, + 326.0, + 845.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 1022.0, + 0.0, + 1279.0, + 240.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 695.0, + 402.0, + 1182.0, + 701.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 900.0, + 431.0, + 1233.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 615.0, + 287.0, + 905.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 1041.0, + 0.0, + 1233.0, + 55.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b84b8ae665" + }, + "b85b78ac2b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 366.0, + 194.0, + 464.0, + 364.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 857.0, + 494.0, + 949.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 375.0, + 194.0, + 464.0, + 364.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 860.0, + 493.0, + 948.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 375.0, + 192.0, + 462.0, + 364.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 864.0, + 492.0, + 947.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 374.0, + 194.0, + 462.0, + 365.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 863.0, + 493.0, + 948.0, + 622.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b85b78ac2b" + }, + "b86c17caa6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 250.0, + 0.0, + 1037.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 258.0, + 0.0, + 1043.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 274.0, + 0.0, + 1035.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 300.0, + 0.0, + 1065.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b86c17caa6" + }, + "b86e50d82d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 838.0, + 201.0, + 930.0, + 247.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 975.0, + 219.0, + 1064.0, + 285.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1079.0, + 286.0, + 1134.0, + 329.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 847.0, + 206.0, + 932.0, + 247.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 973.0, + 221.0, + 1051.0, + 281.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1111.0, + 261.0, + 1182.0, + 301.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 913.0, + 172.0, + 989.0, + 211.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 1022.0, + 188.0, + 1097.0, + 243.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1072.0, + 253.0, + 1111.0, + 284.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 1117.0, + 174.0, + 1186.0, + 209.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 1214.0, + 188.0, + 1279.0, + 239.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 875.0, + 244.0, + 946.0, + 276.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00150", + "00175", + "00195", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b86e50d82d" + }, + "b871db031a": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 595.0, + 511.0, + 861.0, + 617.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 765.0, + 398.0, + 959.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 618.0, + 424.0, + 792.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 846.0, + 396.0, + 1002.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 584.0, + 413.0, + 750.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 918.0, + 415.0, + 1016.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 490.0, + 419.0, + 676.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 903.0, + 443.0, + 1017.0, + 547.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b871db031a" + }, + "b87d56925a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 446.0, + 18.0, + 1127.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 378.0, + 160.0, + 1173.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 374.0, + 120.0, + 1083.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 442.0, + 104.0, + 1055.0, + 678.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b87d56925a" + }, + "b8aaa59b75": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 903.0, + 383.0, + 1090.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 1083.0, + 582.0, + 1196.0, + 656.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 62.0, + 679.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 91.0, + 0.0, + 263.0, + 89.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 1057.0, + 352.0, + 1275.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 1235.0, + 559.0, + 1279.0, + 630.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 138.0, + 3.0, + 707.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 459.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 303.0, + 0.0, + 742.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 93.0, + 0.0, + 569.0, + 702.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "bucket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 671.0, + 59.0, + 731.0, + 716.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 296.0, + 0.0, + 458.0, + 654.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b8aaa59b75" + }, + "b8c03d1091": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 418.0, + 47.0, + 689.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 400.0, + 0.0, + 1025.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 883.0, + 532.0, + 987.0, + 677.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 600.0, + 252.0, + 905.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 827.0, + 605.0, + 863.0, + 679.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 657.0, + 264.0, + 886.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 790.0, + 39.0, + 860.0, + 360.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 701.0, + 228.0, + 863.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b8c03d1091" + }, + "b8e16df00b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 523.0, + 235.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 801.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 565.0, + 207.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 792.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 549.0, + 155.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 751.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 365.0, + 196.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 741.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b8e16df00b" + }, + "b8f34cf72e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 535.0, + 162.0, + 832.0, + 461.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 487.0, + 308.0, + 616.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 524.0, + 145.0, + 819.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 431.0, + 372.0, + 606.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 469.0, + 109.0, + 760.0, + 404.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 374.0, + 360.0, + 551.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 280.0, + 15.0, + 743.0, + 624.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b8f34cf72e" + }, + "b8fb75864e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 207.0, + 94.0, + 908.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 205.0, + 147.0, + 838.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 201.0, + 160.0, + 822.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 579.0, + 212.0, + 1210.0, + 458.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00070", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b8fb75864e" + }, + "b9004db86c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 29 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 710.0, + 100.0, + 1032.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 788.0, + 153.0, + 1062.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 884.0, + 165.0, + 1228.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 868.0, + 265.0, + 1172.0, + 718.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b9004db86c" + }, + "b9166cbae9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 419.0, + 239.0, + 624.0, + 457.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 308.0, + 305.0, + 412.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 454.0, + 230.0, + 669.0, + 457.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 338.0, + 302.0, + 444.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 475.0, + 235.0, + 705.0, + 475.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 354.0, + 312.0, + 467.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 492.0, + 242.0, + 762.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 359.0, + 332.0, + 480.0, + 449.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b9166cbae9" + }, + "b920b256a6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 347.0, + 208.0, + 545.0, + 550.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 621.0, + 274.0, + 830.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 337.0, + 190.0, + 545.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 595.0, + 273.0, + 824.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 323.0, + 178.0, + 539.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 603.0, + 266.0, + 816.0, + 626.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 285.0, + 164.0, + 533.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 593.0, + 237.0, + 810.0, + 621.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b920b256a6" + }, + "b93963f214": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 298.0, + 0.0, + 1279.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 428.0, + 0.0, + 1279.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 404.0, + 0.0, + 1279.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 216.0, + 0.0, + 1279.0, + 532.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b93963f214" + }, + "b941aef1a0": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 400.0, + 339.0, + 929.0, + 639.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 384.0, + 377.0, + 928.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 375.0, + 379.0, + 926.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 487.0, + 384.0, + 832.0, + 625.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b941aef1a0" + }, + "b94d34d14e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 701.0, + 290.0, + 955.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 939.0, + 285.0, + 997.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 616.0, + 260.0, + 943.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 938.0, + 274.0, + 1032.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 232.0, + 235.0, + 878.0, + 694.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 851.0, + 251.0, + 1098.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 232.0, + 774.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 690.0, + 257.0, + 1064.0, + 599.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00075", + "00100", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b94d34d14e" + }, + "b964c57da4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "hat", + "bbox": [ + 900.0, + 115.0, + 969.0, + 187.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 513.0, + 91.0, + 575.0, + 162.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "hat", + "bbox": [ + 502.0, + 107.0, + 560.0, + 162.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 867.0, + 106.0, + 936.0, + 176.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "hat", + "bbox": [ + 850.0, + 106.0, + 899.0, + 174.0 + ], + "valid": 1 + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00080", + "00110", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b964c57da4" + }, + "b96a95bc7a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 265.0, + 395.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 162.0, + 206.0, + 308.0 + ], + "valid": 1 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 744.0, + 0.0, + 1279.0, + 325.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 367.0, + 166.0, + 661.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 511.0, + 0.0, + 1075.0, + 366.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 431.0, + 119.0, + 711.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 447.0, + 0.0, + 1025.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 503.0, + 47.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 430.0, + 40.0, + 518.0 + ], + "valid": 1 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 398.0, + 30.0, + 958.0, + 503.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b96a95bc7a" + }, + "b96c57d2c7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 87.0, + 400.0, + 856.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 151.0, + 0.0, + 844.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 400.0, + 364.0, + 946.0, + 538.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 117.0, + 0.0, + 795.0, + 379.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 321.0, + 321.0, + 947.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 89.0, + 0.0, + 746.0, + 353.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 482.0, + 365.0, + 876.0, + 522.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 115.0, + 0.0, + 720.0, + 356.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b96c57d2c7" + }, + "b9b6bdde0c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 280.0, + 298.0, + 807.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 302.0, + 214.0, + 863.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 258.0, + 223.0, + 741.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 342.0, + 280.0, + 839.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b9b6bdde0c" + }, + "b9bcb3e0f2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 854.0, + 139.0, + 951.0, + 195.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 849.0, + 169.0, + 970.0, + 547.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 638.0, + 147.0, + 726.0, + 198.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 847.0, + 230.0, + 938.0, + 294.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 847.0, + 261.0, + 964.0, + 641.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 637.0, + 240.0, + 727.0, + 291.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 845.0, + 260.0, + 939.0, + 320.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 844.0, + 292.0, + 964.0, + 669.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 645.0, + 272.0, + 733.0, + 319.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 847.0, + 254.0, + 937.0, + 317.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 848.0, + 285.0, + 965.0, + 668.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 657.0, + 277.0, + 723.0, + 340.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b9bcb3e0f2" + }, + "b9d3b92169": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 757.0, + 480.0, + 802.0, + 548.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 550.0, + 681.0, + 600.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 707.0, + 606.0, + 742.0, + 669.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 615.0, + 324.0, + 890.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 684.0, + 433.0, + 718.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 588.0, + 599.0, + 827.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 687.0, + 383.0, + 725.0, + 448.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 534.0, + 597.0, + 757.0, + 714.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b9d3b92169" + }, + "b9dd4b306c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 420.0, + 259.0, + 670.0, + 421.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 277.0, + 86.0, + 556.0, + 294.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 494.0, + 260.0, + 694.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 356.0, + 111.0, + 595.0, + 304.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 571.0, + 290.0, + 722.0, + 447.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 403.0, + 116.0, + 642.0, + 358.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 541.0, + 300.0, + 773.0, + 456.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 438.0, + 171.0, + 678.0, + 382.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b9dd4b306c" + }, + "b9f43ef41e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 479.0, + 349.0, + 675.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 285.0, + 309.0, + 800.0, + 595.0 + ], + "valid": 1 + }, + "3": { + "category_name": "train", + "bbox": [ + 806.0, + 354.0, + 1279.0, + 550.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 795.0, + 432.0, + 803.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 497.0, + 415.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 295.0, + 350.0, + 730.0, + 597.0 + ], + "valid": 1 + }, + "3": { + "category_name": "train", + "bbox": [ + 866.0, + 377.0, + 1279.0, + 567.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 729.0, + 418.0, + 872.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 33.0, + 504.0, + 439.0, + 692.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 313.0, + 363.0, + 726.0, + 592.0 + ], + "valid": 1 + }, + "3": { + "category_name": "train", + "bbox": [ + 900.0, + 383.0, + 1279.0, + 571.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 715.0, + 420.0, + 900.0, + 580.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 87.0, + 489.0, + 479.0, + 675.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 329.0, + 361.0, + 678.0, + 569.0 + ], + "valid": 1 + }, + "3": { + "category_name": "train", + "bbox": [ + 936.0, + 366.0, + 1279.0, + 544.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 677.0, + 401.0, + 941.0, + 560.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/b9f43ef41e" + }, + "ba1f03c811": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 320.0, + 319.0, + 799.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 625.0, + 291.0, + 881.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 769.0, + 294.0, + 955.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 258.0, + 396.0, + 703.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 531.0, + 350.0, + 757.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 659.0, + 364.0, + 839.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 98.0, + 512.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 323.0, + 289.0, + 608.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 534.0, + 293.0, + 725.0, + 635.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 17.0, + 516.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 315.0, + 261.0, + 642.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 570.0, + 272.0, + 757.0, + 618.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00210", + "00235", + "00260", + "00265" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba1f03c811" + }, + "ba3a775d7b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 436.0, + 0.0, + 833.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 423.0, + 0.0, + 828.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 409.0, + 0.0, + 808.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 394.0, + 0.0, + 809.0, + 591.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba3a775d7b" + }, + "ba3c7f2a31": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 437.0, + 11.0, + 894.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 499.0, + 88.0, + 986.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 333.0, + 63.0, + 1254.0, + 697.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 6.0, + 1054.0, + 602.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba3c7f2a31" + }, + "ba3fcd417d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 175.0, + 187.0, + 992.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 113.0, + 122.0, + 954.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 121.0, + 107.0, + 964.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 121.0, + 912.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba3fcd417d" + }, + "ba5e1f4faa": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 117.0, + 122.0, + 1026.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 365.0, + 0.0, + 962.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 235.0, + 201.0, + 840.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 394.0, + 0.0, + 924.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 277.0, + 196.0, + 818.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 402.0, + 0.0, + 841.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 352.0, + 219.0, + 990.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 448.0, + 114.0, + 915.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba5e1f4faa" + }, + "ba795f3089": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 386.0, + 0.0, + 1035.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 413.0, + 0.0, + 1036.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 409.0, + 0.0, + 1036.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 495.0, + 0.0, + 1206.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba795f3089" + }, + "ba8a291e6a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 216.0, + 464.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 186.0, + 41.0, + 560.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 153.0, + 0.0, + 581.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 60.0, + 0.0, + 514.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba8a291e6a" + }, + "ba98512f97": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 775.0, + 332.0, + 1078.0, + 460.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 548.0, + 355.0, + 835.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 535.0, + 261.0, + 829.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 477.0, + 240.0, + 852.0, + 610.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ba98512f97" + }, + "bac9db04f5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 73.0, + 745.0, + 212.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 617.0, + 134.0, + 727.0, + 244.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 715.0, + 130.0, + 806.0, + 282.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 691.0, + 254.0, + 797.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 643.0, + 304.0, + 749.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 557.0, + 554.0, + 856.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 532.0, + 340.0, + 633.0, + 535.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 519.0, + 511.0, + 605.0, + 545.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bac9db04f5" + }, + "baedae3442": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 516.0, + 407.0, + 821.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 398.0, + 0.0, + 789.0, + 516.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 610.0, + 528.0, + 788.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 522.0, + 165.0, + 826.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 755.0, + 562.0, + 1014.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 607.0, + 31.0, + 989.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 804.0, + 568.0, + 1000.0, + 612.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 404.0, + 0.0, + 999.0, + 590.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/baedae3442" + }, + "baff40d29d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 512.0, + 230.0, + 851.0, + 493.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 163.0, + 246.0, + 546.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 524.0, + 241.0, + 861.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 165.0, + 261.0, + 548.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 546.0, + 246.0, + 875.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 182.0, + 267.0, + 416.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 649.0, + 110.0, + 861.0, + 360.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 28.0, + 305.0, + 528.0, + 678.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/baff40d29d" + }, + "bb04e28695": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 389.0, + 125.0, + 459.0, + 165.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 341.0, + 143.0, + 470.0, + 452.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 632.0, + 150.0, + 664.0, + 181.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 329.0, + 92.0, + 413.0, + 140.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 290.0, + 116.0, + 432.0, + 459.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 607.0, + 107.0, + 679.0, + 145.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 389.0, + 64.0, + 485.0, + 119.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 349.0, + 90.0, + 521.0, + 524.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 681.0, + 89.0, + 769.0, + 135.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 877.0, + 96.0, + 1018.0, + 171.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 871.0, + 135.0, + 1075.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1169.0, + 124.0, + 1279.0, + 186.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb04e28695" + }, + "bb1b0ee89f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 426.0, + 101.0, + 657.0, + 286.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 650.0, + 71.0, + 782.0, + 308.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 193.0, + 192.0, + 961.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 414.0, + 215.0, + 630.0, + 395.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 617.0, + 184.0, + 746.0, + 424.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 248.0, + 299.0, + 897.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 489.0, + 232.0, + 686.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 666.0, + 223.0, + 785.0, + 433.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 351.0, + 313.0, + 921.0, + 489.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 607.0, + 245.0, + 800.0, + 406.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 765.0, + 234.0, + 875.0, + 424.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 484.0, + 317.0, + 999.0, + 479.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb1b0ee89f" + }, + "bb1c770fe7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 305.0, + 178.0, + 567.0, + 324.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 261.0, + 368.0, + 817.0, + 585.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lion", + "bbox": [ + 601.0, + 418.0, + 754.0, + 457.0 + ], + "valid": 1 + }, + "4": { + "category_name": "lion", + "bbox": [ + 961.0, + 159.0, + 1212.0, + 525.0 + ], + "valid": 1 + }, + "5": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 310.0, + 174.0, + 570.0, + 300.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 256.0, + 363.0, + 801.0, + 583.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lion", + "bbox": [ + 463.0, + 415.0, + 815.0, + 530.0 + ], + "valid": 1 + }, + "4": { + "category_name": "lion", + "bbox": [ + 1025.0, + 187.0, + 1226.0, + 523.0 + ], + "valid": 1 + }, + "5": { + "category_name": "lion", + "bbox": [ + 532.0, + 297.0, + 631.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 307.0, + 171.0, + 581.0, + 301.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 254.0, + 365.0, + 808.0, + 580.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lion", + "bbox": [ + 557.0, + 409.0, + 817.0, + 531.0 + ], + "valid": 1 + }, + "4": { + "category_name": "lion", + "bbox": [ + 1143.0, + 265.0, + 1228.0, + 529.0 + ], + "valid": 1 + }, + "5": { + "category_name": "lion", + "bbox": [ + 538.0, + 294.0, + 705.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 366.0, + 171.0, + 628.0, + 321.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 322.0, + 366.0, + 860.0, + 584.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lion", + "bbox": [ + 471.0, + 368.0, + 873.0, + 533.0 + ], + "valid": 1 + }, + "4": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "lion", + "bbox": [ + 594.0, + 281.0, + 862.0, + 415.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb1c770fe7" + }, + "bb1fc34f99": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 509.0, + 230.0, + 833.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 588.0, + 516.0, + 785.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 504.0, + 129.0, + 785.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 604.0, + 489.0, + 836.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 413.0, + 46.0, + 646.0, + 497.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 508.0, + 473.0, + 703.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 635.0, + 112.0, + 897.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 817.0, + 495.0, + 895.0, + 529.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb1fc34f99" + }, + "bb2d220506": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 625.0, + 106.0, + 729.0, + 260.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 663.0, + 81.0, + 756.0, + 246.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 657.0, + 212.0, + 744.0, + 260.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 742.0, + 56.0, + 811.0, + 205.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 696.0, + 64.0, + 790.0, + 212.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 703.0, + 209.0, + 734.0, + 219.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb2d220506" + }, + "bb334e5cdb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 295.0, + 111.0, + 789.0, + 525.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 455.0, + 81.0, + 971.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 454.0, + 142.0, + 977.0, + 503.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 348.0, + 122.0, + 564.0, + 383.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 549.0, + 132.0, + 792.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 309.0, + 223.0, + 498.0, + 371.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb334e5cdb" + }, + "bb337f9830": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 635.0, + 327.0, + 1279.0, + 693.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 606.0, + 0.0, + 1279.0, + 498.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 576.0, + 0.0, + 1279.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 777.0, + 201.0, + 1279.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb337f9830" + }, + "bb721eb9aa": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 679.0, + 421.0, + 729.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 753.0, + 462.0, + 832.0, + 507.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 806.0, + 409.0, + 882.0, + 465.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 642.0, + 398.0, + 693.0, + 462.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 713.0, + 438.0, + 794.0, + 491.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 765.0, + 388.0, + 812.0, + 443.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 606.0, + 392.0, + 664.0, + 457.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 678.0, + 431.0, + 756.0, + 484.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 729.0, + 382.0, + 759.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 189.0, + 382.0, + 247.0, + 448.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 264.0, + 415.0, + 344.0, + 471.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 311.0, + 367.0, + 359.0, + 421.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00105", + "00120", + "00130", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb721eb9aa" + }, + "bb87ff58bd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 117.0, + 174.0, + 162.0, + 217.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 20.0, + 197.0, + 251.0, + 369.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 59.0, + 349.0, + 205.0, + 390.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 135.0, + 142.0, + 183.0, + 183.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 102.0, + 164.0, + 255.0, + 379.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 103.0, + 372.0, + 246.0, + 405.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 253.0, + 87.0, + 433.0, + 394.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 233.0, + 379.0, + 412.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 370.0, + 89.0, + 548.0, + 360.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 386.0, + 339.0, + 523.0, + 382.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb87ff58bd" + }, + "bb89a6b18a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1045.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 137.0, + 215.0, + 411.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 240.0, + 130.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1021.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 215.0, + 244.0, + 452.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 250.0, + 205.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 997.0, + 11.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 255.0, + 242.0, + 477.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 4.0, + 249.0, + 251.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 983.0, + 54.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 340.0, + 254.0, + 533.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 111.0, + 269.0, + 338.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bb89a6b18a" + }, + "bbaa9a036a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 715.0, + 510.0, + 1075.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 791.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 679.0, + 508.0, + 1041.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 729.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 679.0, + 486.0, + 1069.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 773.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 699.0, + 491.0, + 1085.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 788.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bbaa9a036a" + }, + "bbb4302dda": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 229.0, + 1159.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 6.0, + 249.0, + 935.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 221.0, + 671.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bbb4302dda" + }, + "bbd31510cf": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 42.0, + 199.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 453.0, + 267.0, + 479.0, + 297.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 24.0, + 187.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 458.0, + 278.0, + 503.0, + 294.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 20.0, + 205.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 555.0, + 226.0, + 632.0, + 274.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 29.0, + 231.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 657.0, + 205.0, + 747.0, + 255.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00150", + "00165", + "00200", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bbd31510cf" + }, + "bbe0256a75": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 278.0, + 15.0, + 400.0, + 253.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 954.0, + 545.0, + 1084.0, + 702.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 817.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 272.0, + 116.0, + 415.0, + 253.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 950.0, + 546.0, + 1082.0, + 702.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 826.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 289.0, + 64.0, + 464.0, + 249.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 945.0, + 537.0, + 1106.0, + 701.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 861.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 290.0, + 71.0, + 523.0, + 250.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 746.0, + 311.0, + 921.0, + 498.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 767.0, + 0.0, + 1279.0, + 601.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bbe0256a75" + }, + "bc141b9ad5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 239.0, + 249.0, + 781.0, + 695.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 83.0, + 86.0, + 673.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 324.0, + 274.0, + 961.0, + 649.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 61.0, + 62.0, + 641.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 322.0, + 203.0, + 946.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 5.0, + 27.0, + 501.0, + 549.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 332.0, + 190.0, + 929.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 7.0, + 23.0, + 473.0, + 536.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc141b9ad5" + }, + "bc17ab8a99": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 597.0, + 548.0, + 1164.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 162.0, + 814.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 379.0, + 0.0, + 1279.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 79.0, + 0.0, + 580.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 505.0, + 232.0, + 1279.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 100.0, + 0.0, + 702.0, + 430.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 467.0, + 299.0, + 1279.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 554.0, + 672.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc17ab8a99" + }, + "bc318160de": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 173.0, + 108.0, + 559.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 155.0, + 139.0, + 581.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 25.0, + 422.0, + 770.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 52.0, + 421.0, + 593.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc318160de" + }, + "bc3b9ee033": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 359.0, + 1183.0, + 523.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 349.0, + 1237.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 1.0, + 353.0, + 1259.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 356.0, + 1273.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "train", + "bbox": [ + 937.0, + 460.0, + 1279.0, + 644.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc3b9ee033" + }, + "bc4240b43c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 494.0, + 169.0, + 691.0, + 523.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 296.0, + 366.0, + 501.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 474.0, + 146.0, + 723.0, + 637.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 175.0, + 419.0, + 477.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 484.0, + 106.0, + 744.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 72.0, + 421.0, + 432.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 429.0, + 92.0, + 751.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 16.0, + 490.0, + 347.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc4240b43c" + }, + "bc4ce49105": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 375.0, + 59.0, + 895.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 288.0, + 56.0, + 880.0, + 665.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 287.0, + 52.0, + 929.0, + 656.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 323.0, + 45.0, + 988.0, + 644.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc4ce49105" + }, + "bc4f71372d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 507.0, + 31.0, + 1094.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 438.0, + 248.0, + 594.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 476.0, + 32.0, + 1096.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 396.0, + 291.0, + 560.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 471.0, + 29.0, + 1081.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 473.0, + 266.0, + 623.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 508.0, + 31.0, + 1084.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 397.0, + 319.0, + 564.0, + 540.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc4f71372d" + }, + "bc6b8d6371": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 432.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 442.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 414.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 524.0, + 604.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bc6b8d6371" + }, + "bcaad44ad7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 220.0, + 402.0, + 758.0, + 478.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 196.0, + 256.0, + 528.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 944.0, + 256.0, + 1073.0, + 309.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 224.0, + 227.0, + 575.0, + 456.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 915.0, + 237.0, + 1090.0, + 288.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 325.0, + 235.0, + 630.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 792.0, + 253.0, + 1026.0, + 306.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bcaad44ad7" + }, + "bcc241b081": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 239.0, + 123.0, + 1114.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 113.0, + 278.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 369.0, + 146.0, + 1082.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 113.0, + 395.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 397.0, + 156.0, + 1076.0, + 482.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 116.0, + 436.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 565.0, + 185.0, + 1067.0, + 452.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 117.0, + 525.0, + 472.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bcc241b081" + }, + "bcc5d8095e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 860.0, + 71.0, + 1095.0, + 455.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 804.0, + 117.0, + 1066.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 824.0, + 116.0, + 1090.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 876.0, + 186.0, + 1021.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 746.0, + 178.0, + 948.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 805.0, + 224.0, + 905.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 744.0, + 189.0, + 930.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 797.0, + 224.0, + 899.0, + 604.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bcc5d8095e" + }, + "bcd1d39afb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 354.0, + 556.0, + 388.0, + 708.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 392.0, + 2.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 344.0, + 558.0, + 380.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 428.0, + 88.0, + 1175.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 338.0, + 561.0, + 374.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 449.0, + 131.0, + 1108.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 315.0, + 568.0, + 357.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 491.0, + 207.0, + 991.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bcd1d39afb" + }, + "bd0d849da4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 113.0, + 1048.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 441.0, + 72.0, + 992.0, + 307.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 89.0, + 978.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 319.0, + 52.0, + 934.0, + 312.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 84.0, + 940.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 249.0, + 50.0, + 918.0, + 322.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 89.0, + 850.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 177.0, + 30.0, + 898.0, + 422.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bd0d849da4" + }, + "bd0e9ed437": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 338.0, + 180.0, + 925.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 439.0, + 159.0, + 907.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 493.0, + 199.0, + 904.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 475.0, + 234.0, + 905.0, + 435.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bd0e9ed437" + }, + "bd2c94730f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 640.0, + 126.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 224.0, + 416.0, + 445.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 509.0, + 77.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 259.0, + 392.0, + 467.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 567.0, + 144.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 301.0, + 362.0, + 564.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 467.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 299.0, + 314.0, + 534.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bd2c94730f" + }, + "bd321d2be6": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 146.0, + 0.0, + 1279.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 186.0, + 0.0, + 1279.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 212.0, + 0.0, + 1279.0, + 689.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 162.0, + 6.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bd321d2be6" + }, + "bd3ec46511": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 94.0, + 1089.0, + 659.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 69.0, + 1103.0, + 635.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 25.0, + 33.0, + 1117.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 0.0, + 43.0, + 995.0, + 497.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bd3ec46511" + }, + "bd7e02b139": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 717.0, + 222.0, + 789.0, + 397.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 652.0, + 285.0, + 879.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 643.0, + 215.0, + 719.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 567.0, + 262.0, + 803.0, + 439.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 640.0, + 223.0, + 719.0, + 390.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 568.0, + 266.0, + 801.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 625.0, + 218.0, + 703.0, + 388.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 549.0, + 262.0, + 801.0, + 440.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bd7e02b139" + }, + "bd96f9943a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 218.0, + 537.0, + 286.0, + 605.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 589.0, + 348.0, + 773.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 184.0, + 483.0, + 262.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 625.0, + 277.0, + 745.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 173.0, + 375.0, + 247.0, + 442.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 616.0, + 185.0, + 732.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 174.0, + 402.0, + 246.0, + 447.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 619.0, + 194.0, + 734.0, + 546.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bd96f9943a" + }, + "bda224cb25": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 346.0, + 238.0, + 620.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 304.0, + 221.0, + 627.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 180.0, + 295.0, + 743.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 60.0, + 207.0, + 663.0, + 715.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bda224cb25" + }, + "bda4a82837": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 349.0, + 260.0, + 758.0, + 707.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 720.0, + 94.0, + 1030.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 364.0, + 239.0, + 837.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 713.0, + 101.0, + 1016.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 419.0, + 184.0, + 873.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 691.0, + 122.0, + 1028.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 330.0, + 120.0, + 793.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 412.0, + 139.0, + 1023.0, + 353.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bda4a82837" + }, + "bdb74e333f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 46.0, + 162.0, + 616.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 159.0, + 993.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 316.0, + 985.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 225.0, + 1023.0, + 692.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bdb74e333f" + }, + "bdccd69dde": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 112.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 119.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 116.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 116.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bdccd69dde" + }, + "bddcc15521": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 515.0, + 296.0, + 691.0, + 706.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 563.0, + 373.0, + 806.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 614.0, + 371.0, + 1085.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 772.0, + 420.0, + 1197.0, + 715.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bddcc15521" + }, + "be116aab29": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 383.0, + 137.0, + 882.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 485.0, + 191.0, + 1007.0, + 692.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 586.0, + 321.0, + 967.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 448.0, + 206.0, + 975.0, + 486.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be116aab29" + }, + "be15e18f1e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 602.0, + 152.0, + 718.0, + 402.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 600.0, + 126.0, + 715.0, + 386.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 576.0, + 178.0, + 725.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 572.0, + 186.0, + 732.0, + 357.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be15e18f1e" + }, + "be1a284edb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 179.0, + 438.0, + 417.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 528.0, + 389.0, + 736.0, + 588.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 114.0, + 266.0, + 399.0, + 389.0 + ], + "valid": 1 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 751.0, + 166.0, + 909.0, + 261.0 + ], + "valid": 1 + }, + "5": { + "category_name": "penguin", + "bbox": [ + 361.0, + 66.0, + 523.0, + 153.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 130.0, + 413.0, + 315.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 431.0, + 408.0, + 690.0, + 589.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 65.0, + 270.0, + 352.0, + 392.0 + ], + "valid": 1 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 703.0, + 163.0, + 859.0, + 257.0 + ], + "valid": 1 + }, + "5": { + "category_name": "penguin", + "bbox": [ + 293.0, + 56.0, + 458.0, + 149.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 133.0, + 425.0, + 380.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 439.0, + 411.0, + 691.0, + 586.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 69.0, + 267.0, + 354.0, + 393.0 + ], + "valid": 1 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 707.0, + 163.0, + 862.0, + 257.0 + ], + "valid": 1 + }, + "5": { + "category_name": "penguin", + "bbox": [ + 302.0, + 44.0, + 460.0, + 148.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 199.0, + 388.0, + 490.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 520.0, + 367.0, + 753.0, + 547.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 129.0, + 229.0, + 416.0, + 352.0 + ], + "valid": 1 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 765.0, + 118.0, + 922.0, + 216.0 + ], + "valid": 1 + }, + "5": { + "category_name": "penguin", + "bbox": [ + 341.0, + 11.0, + 521.0, + 111.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be1a284edb" + }, + "be2a367a7b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 447.0, + 284.0, + 1279.0, + 718.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1261.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 479.0, + 315.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 489.0, + 287.0, + 1279.0, + 714.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 531.0, + 0.0, + 1279.0, + 361.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 467.0, + 324.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 581.0, + 0.0, + 1279.0, + 386.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be2a367a7b" + }, + "be376082d0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 353.0, + 121.0, + 1002.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 419.0, + 97.0, + 738.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 421.0, + 128.0, + 686.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be376082d0" + }, + "be3e3cffbd": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 534.0, + 60.0, + 751.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 935.0, + 60.0, + 1279.0, + 471.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 544.0, + 59.0, + 763.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 947.0, + 59.0, + 1273.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 541.0, + 52.0, + 764.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 948.0, + 54.0, + 1275.0, + 439.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 545.0, + 144.0, + 724.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 894.0, + 139.0, + 1273.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00035" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be3e3cffbd" + }, + "be5d1d89a0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 513.0, + 0.0, + 627.0, + 236.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 489.0, + 163.0, + 796.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 535.0, + 0.0, + 639.0, + 204.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 535.0, + 173.0, + 810.0, + 444.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 339.0, + 0.0, + 607.0, + 176.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 487.0, + 178.0, + 782.0, + 429.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 333.0, + 0.0, + 601.0, + 254.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 391.0, + 191.0, + 796.0, + 480.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be5d1d89a0" + }, + "be8b72fe37": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 749.0, + 87.0, + 943.0, + 552.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 731.0, + 419.0, + 879.0, + 690.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 504.0, + 282.0, + 711.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 510.0, + 221.0, + 651.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 556.0, + 583.0, + 701.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 532.0, + 179.0, + 665.0, + 520.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 498.0, + 439.0, + 701.0, + 616.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be8b72fe37" + }, + "be9b29e08e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 707.0, + 146.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 679.0, + 148.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 748.0, + 242.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 721.0, + 266.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/be9b29e08e" + }, + "bea1f6e62c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 618.0, + 300.0, + 905.0, + 635.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 584.0, + 179.0, + 887.0, + 516.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 560.0, + 204.0, + 997.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 552.0, + 209.0, + 1008.0, + 563.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bea1f6e62c" + }, + "bea83281b5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 7.0, + 333.0, + 182.0, + 484.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 196.0, + 325.0, + 357.0, + 477.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 416.0, + 324.0, + 666.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 3.0, + 329.0, + 164.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 207.0, + 331.0, + 446.0, + 483.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 509.0, + 320.0, + 704.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 1.0, + 302.0, + 100.0, + 498.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 163.0, + 294.0, + 380.0, + 457.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 530.0, + 278.0, + 657.0, + 472.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 28.0, + 310.0, + 419.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 279.0, + 313.0, + 402.0, + 497.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 572.0, + 297.0, + 746.0, + 509.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bea83281b5" + }, + "beb921a4c9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 386.0, + 130.0, + 841.0, + 416.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 389.0, + 125.0, + 853.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 28.0, + 222.0, + 85.0, + 293.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 353.0, + 128.0, + 828.0, + 424.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 189.0, + 119.0, + 297.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 254.0, + 125.0, + 762.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 214.0, + 38.0, + 305.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00080", + "00095", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/beb921a4c9" + }, + "bec5e9edcd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 667.0, + 354.0, + 829.0, + 547.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 625.0, + 527.0, + 859.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 459.0, + 407.0, + 595.0, + 589.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 454.0, + 566.0, + 491.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 514.0, + 423.0, + 581.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 497.0, + 501.0, + 573.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 449.0, + 491.0, + 495.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 435.0, + 587.0, + 462.0, + 598.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bec5e9edcd" + }, + "beeb8a3f92": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 437.0, + 263.0, + 700.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 417.0, + 353.0, + 561.0, + 514.0 + ], + "valid": 1 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 295.0, + 221.0, + 530.0, + 380.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 518.0, + 294.0, + 790.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 515.0, + 394.0, + 659.0, + 554.0 + ], + "valid": 1 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 394.0, + 262.0, + 609.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 528.0, + 335.0, + 794.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 541.0, + 459.0, + 683.0, + 635.0 + ], + "valid": 1 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 425.0, + 339.0, + 594.0, + 465.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 526.0, + 335.0, + 790.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 544.0, + 470.0, + 683.0, + 647.0 + ], + "valid": 1 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 426.0, + 350.0, + 599.0, + 479.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/beeb8a3f92" + }, + "bf2232b58d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 198.0, + 708.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 388.0, + 0.0, + 903.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 692.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 616.0, + 0.0, + 1012.0, + 376.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 644.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 558.0, + 0.0, + 907.0, + 345.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 394.0, + 368.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 908.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf2232b58d" + }, + "bf28751739": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 567.0, + 225.0, + 1077.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 616.0, + 229.0, + 1087.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 610.0, + 267.0, + 1185.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 653.0, + 312.0, + 1177.0, + 640.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf28751739" + }, + "bf443804e8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 398.0, + 490.0, + 804.0, + 701.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 258.0, + 133.0, + 532.0, + 481.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 478.0, + 338.0, + 731.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 520.0, + 408.0, + 934.0, + 628.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 375.0, + 198.0, + 656.0, + 400.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 634.0, + 259.0, + 864.0, + 391.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 503.0, + 533.0, + 922.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 368.0, + 138.0, + 609.0, + 516.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 592.0, + 404.0, + 843.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 462.0, + 483.0, + 867.0, + 704.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 310.0, + 95.0, + 568.0, + 473.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 555.0, + 342.0, + 815.0, + 480.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf443804e8" + }, + "bf461df850": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 500.0, + 163.0, + 825.0, + 412.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 771.0, + 188.0, + 1207.0, + 350.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 217.0, + 269.0, + 588.0, + 492.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 566.0, + 250.0, + 868.0, + 384.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 729.0, + 204.0, + 1075.0, + 327.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 280.0, + 255.0, + 543.0, + 425.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 486.0, + 288.0, + 573.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 730.0, + 196.0, + 1075.0, + 327.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 266.0, + 247.0, + 536.0, + 422.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 502.0, + 268.0, + 572.0, + 411.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf461df850" + }, + "bf5374f122": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 224.0, + 264.0, + 812.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 220.0, + 145.0, + 824.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 267.0, + 152.0, + 842.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 253.0, + 175.0, + 835.0, + 550.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf5374f122" + }, + "bf551a6f60": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 454.0, + 280.0, + 578.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 413.0, + 522.0, + 633.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 533.0, + 285.0, + 635.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 492.0, + 500.0, + 675.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 489.0, + 349.0, + 603.0, + 637.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 455.0, + 572.0, + 633.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 534.0, + 201.0, + 676.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 558.0, + 566.0, + 667.0, + 667.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf551a6f60" + }, + "bf8d0f5ada": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 238.0, + 265.0, + 642.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 880.0, + 323.0, + 1041.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 208.0, + 239.0, + 621.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 848.0, + 297.0, + 1012.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 194.0, + 267.0, + 632.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 866.0, + 329.0, + 1014.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 229.0, + 265.0, + 658.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 894.0, + 331.0, + 1046.0, + 551.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00125", + "00150", + "00170", + "00180" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf8d0f5ada" + }, + "bf961167a6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 356.0, + 89.0, + 1143.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 323.0, + 130.0, + 1099.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 404.0, + 117.0, + 1112.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 351.0, + 144.0, + 1100.0, + 615.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bf961167a6" + }, + "bfab1ad8f9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 447.0, + 223.0, + 1027.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 437.0, + 210.0, + 581.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 477.0, + 274.0, + 1017.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 443.0, + 224.0, + 604.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 460.0, + 190.0, + 1017.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 446.0, + 225.0, + 607.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 458.0, + 295.0, + 1015.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 446.0, + 235.0, + 610.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bfab1ad8f9" + }, + "bfcb05d88d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 894.0, + 93.0, + 1242.0, + 341.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 111.0, + 399.0, + 704.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 799.0, + 154.0, + 1097.0, + 347.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 372.0, + 305.0, + 769.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 901.0, + 162.0, + 1149.0, + 342.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 637.0, + 285.0, + 986.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 973.0, + 135.0, + 1187.0, + 310.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 667.0, + 285.0, + 921.0, + 643.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00075", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bfcb05d88d" + }, + "bfd8f6e6c9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 457.0, + 222.0, + 768.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 574.0, + 378.0, + 916.0, + 456.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 679.0, + 328.0, + 871.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 478.0, + 225.0, + 768.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 621.0, + 290.0, + 909.0, + 463.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 245.0, + 209.0, + 722.0, + 469.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 443.0, + 96.0, + 777.0, + 389.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 369.0, + 1.0, + 765.0, + 471.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 663.0, + 182.0, + 931.0, + 471.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 782.0, + 244.0, + 947.0, + 449.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 757.0, + 143.0, + 1058.0, + 331.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 510.0, + 95.0, + 803.0, + 471.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bfd8f6e6c9" + }, + "bfd91d0742": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 387.0, + 166.0, + 1234.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 393.0, + 161.0, + 1250.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 429.0, + 155.0, + 1266.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 405.0, + 135.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bfd91d0742" + }, + "bfe262322f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 39.0, + 827.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 69.0, + 857.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 91.0, + 841.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 19.0, + 829.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/bfe262322f" + }, + "c013f42ed7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 587.0, + 179.0, + 947.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 594.0, + 169.0, + 926.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 586.0, + 165.0, + 959.0, + 408.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 820.0, + 171.0, + 1190.0, + 488.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c013f42ed7" + }, + "c01878083f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 578.0, + 108.0, + 1039.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 579.0, + 103.0, + 1058.0, + 619.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 581.0, + 97.0, + 1052.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 615.0, + 70.0, + 1060.0, + 582.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c01878083f" + }, + "c01faff1ed": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 667.0, + 276.0, + 719.0, + 395.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 455.0, + 197.0, + 677.0, + 593.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 873.0, + 168.0, + 910.0, + 233.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 402.0, + 331.0, + 412.0, + 357.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 320.0, + 228.0, + 594.0, + 665.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 827.0, + 167.0, + 875.0, + 248.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 497.0, + 76.0, + 538.0, + 173.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 334.0, + 151.0, + 522.0, + 526.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 867.0, + 160.0, + 904.0, + 242.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 623.0, + 265.0, + 648.0, + 301.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 599.0, + 187.0, + 741.0, + 437.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 690.0, + 181.0, + 722.0, + 250.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c01faff1ed" + }, + "c046fd0edb": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 24 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 754.0, + 150.0, + 1263.0, + 441.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 732.0, + 161.0, + 1222.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 141.0, + 235.0, + 734.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 188.0, + 185.0, + 448.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00035", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c046fd0edb" + }, + "c053e35f97": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 665.0, + 177.0, + 1077.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 673.0, + 173.0, + 1123.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 658.0, + 193.0, + 1127.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 620.0, + 122.0, + 1154.0, + 542.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c053e35f97" + }, + "c079a6482d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 927.0, + 344.0, + 987.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 792.0, + 299.0, + 836.0, + 403.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 583.0, + 300.0, + 615.0, + 376.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 890.0, + 352.0, + 943.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 822.0, + 307.0, + 861.0, + 410.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 556.0, + 307.0, + 583.0, + 382.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 791.0, + 320.0, + 840.0, + 467.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 871.0, + 272.0, + 914.0, + 382.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 469.0, + 275.0, + 501.0, + 351.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 748.0, + 329.0, + 799.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 892.0, + 278.0, + 932.0, + 390.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 431.0, + 282.0, + 462.0, + 362.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c079a6482d" + }, + "c0847b521a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 750.0, + 335.0, + 1071.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 521.0, + 45.0, + 681.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 731.0, + 336.0, + 1062.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 494.0, + 36.0, + 657.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 698.0, + 368.0, + 1032.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 451.0, + 62.0, + 619.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 693.0, + 359.0, + 1043.0, + 601.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 431.0, + 35.0, + 605.0, + 481.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c0847b521a" + }, + "c0a1e06710": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 23 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 661.0, + 134.0, + 895.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 657.0, + 451.0, + 813.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 672.0, + 186.0, + 968.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 720.0, + 592.0, + 928.0, + 640.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 736.0, + 53.0, + 1002.0, + 642.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 728.0, + 575.0, + 1031.0, + 676.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 388.0, + 55.0, + 670.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 370.0, + 576.0, + 535.0, + 620.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c0a1e06710" + }, + "c0e8d4635c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 523.0, + 108.0, + 728.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 488.0, + 190.0, + 862.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 644.0, + 0.0, + 916.0, + 393.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 462.0, + 0.0, + 876.0, + 500.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c0e8d4635c" + }, + "c0e973ad85": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 476.0, + 0.0, + 1225.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1261.0, + 437.0, + 1279.0, + 656.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 490.0, + 0.0, + 1111.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1269.0, + 529.0, + 1279.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 450.0, + 0.0, + 1011.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1171.0, + 349.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 500.0, + 0.0, + 1025.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1163.0, + 65.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c0e973ad85" + }, + "c0f49c6579": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 624.0, + 247.0, + 720.0, + 432.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 687.0, + 172.0, + 791.0, + 367.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 780.0, + 288.0, + 877.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 899.0, + 182.0, + 990.0, + 369.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c0f49c6579" + }, + "c0f5b222d7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 600.0, + 478.0, + 699.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 585.0, + 476.0, + 709.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 569.0, + 471.0, + 736.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 566.0, + 469.0, + 749.0, + 511.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00155", + "00175", + "00205", + "00220" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c0f5b222d7" + }, + "c10d07c90d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 385.0, + 120.0, + 566.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 400.0, + 403.0, + 558.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 537.0, + 119.0, + 679.0, + 295.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 441.0, + 167.0, + 647.0, + 406.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 442.0, + 386.0, + 531.0, + 425.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 164.0, + 676.0, + 442.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 492.0, + 420.0, + 683.0, + 471.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c10d07c90d" + }, + "c1268d998c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 485.0, + 38.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 432.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 649.0, + 201.0, + 1222.0, + 571.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 310.0, + 307.0, + 626.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 770.0, + 109.0, + 1279.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 244.0, + 426.0, + 504.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c1268d998c" + }, + "c130c3fc0c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 524.0, + 322.0, + 623.0, + 377.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 402.0, + 232.0, + 782.0, + 640.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c130c3fc0c" + }, + "c14826ad5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 523.0, + 104.0, + 739.0, + 238.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 533.0, + 270.0, + 646.0, + 326.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 533.0, + 234.0, + 600.0, + 301.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 436.0, + 283.0, + 536.0, + 364.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c14826ad5e" + }, + "c15b922281": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 570.0, + 243.0, + 818.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 554.0, + 255.0, + 1189.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 521.0, + 223.0, + 781.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 573.0, + 258.0, + 809.0, + 551.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c15b922281" + }, + "c16f09cb63": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 341.0, + 151.0, + 1078.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 652.0, + 0.0, + 1279.0, + 208.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 315.0, + 241.0, + 1074.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 595.0, + 0.0, + 1279.0, + 206.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 141.0, + 212.0, + 1236.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 397.0, + 0.0, + 573.0, + 52.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 289.0, + 423.0, + 1238.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 409.0, + 0.0, + 652.0, + 128.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c16f09cb63" + }, + "c18e19d922": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 280.0, + 162.0, + 1279.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 376.0, + 213.0, + 1279.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 418.0, + 348.0, + 1199.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 526.0, + 437.0, + 1029.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c18e19d922" + }, + "c1c830a735": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 502.0, + 474.0, + 1063.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 132.0, + 577.0, + 296.0, + 673.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 364.0, + 643.0, + 853.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 516.0, + 465.0, + 1079.0, + 682.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 60.0, + 555.0, + 176.0, + 635.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 771.0, + 673.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 478.0, + 458.0, + 1043.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 19.0, + 552.0, + 113.0, + 614.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 504.0, + 518.0, + 1081.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 69.0, + 616.0, + 146.0, + 668.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c1c830a735" + }, + "c1e8aeea45": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 148.0, + 23.0, + 753.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 140.0, + 0.0, + 1279.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 275.0, + 41.0, + 735.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 223.0, + 0.0, + 1279.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 321.0, + 41.0, + 761.0, + 636.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 261.0, + 0.0, + 1279.0, + 606.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 339.0, + 41.0, + 804.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 273.0, + 0.0, + 1279.0, + 617.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c1e8aeea45" + }, + "c20a5ccc99": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 524.0, + 245.0, + 744.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 323.0, + 431.0, + 764.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 449.0, + 476.0, + 774.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 232.0, + 401.0, + 603.0, + 558.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c20a5ccc99" + }, + "c20fd5e597": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 892.0, + 135.0, + 1279.0, + 696.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 93.0, + 422.0, + 457.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 924.0, + 284.0, + 1279.0, + 682.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 79.0, + 653.0, + 263.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 856.0, + 397.0, + 1279.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 79.0, + 663.0, + 421.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 956.0, + 343.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 95.0, + 681.0, + 485.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c20fd5e597" + }, + "c219d6f8dc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 260.0, + 359.0, + 618.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 608.0, + 394.0, + 774.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 239.0, + 0.0, + 610.0, + 171.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 787.0, + 361.0, + 940.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 308.0, + 0.0, + 645.0, + 179.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 514.0, + 166.0, + 676.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "eagle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c219d6f8dc" + }, + "c2406ae462": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 241.0, + 89.0, + 750.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 647.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 267.0, + 125.0, + 913.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 699.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 257.0, + 186.0, + 888.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 715.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 193.0, + 209.0, + 844.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 690.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c2406ae462" + }, + "c26f7b5824": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 492.0, + 60.0, + 1191.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 472.0, + 92.0, + 1171.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 482.0, + 33.0, + 1147.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 328.0, + 0.0, + 1177.0, + 680.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c26f7b5824" + }, + "c279e641ee": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 685.0, + 285.0, + 802.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 912.0, + 243.0, + 1063.0, + 358.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 754.0, + 290.0, + 885.0, + 422.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 952.0, + 245.0, + 1102.0, + 360.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 738.0, + 277.0, + 952.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 926.0, + 205.0, + 1159.0, + 380.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 616.0, + 345.0, + 996.0, + 639.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 649.0, + 251.0, + 993.0, + 395.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c279e641ee" + }, + "c27adaeac5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 319.0, + 0.0, + 1279.0, + 429.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 731.0, + 0.0, + 1279.0, + 371.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 584.0, + 239.0, + 1036.0, + 444.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 579.0, + 0.0, + 1279.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 833.0, + 50.0, + 1279.0, + 478.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 822.0, + 370.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 457.0, + 0.0, + 1279.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 679.0, + 329.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 767.0, + 431.0, + 842.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 410.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 641.0, + 325.0, + 1238.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c27adaeac5" + }, + "c2a35c1cda": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 88.0, + 124.0, + 1279.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 168.0, + 190.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 134.0, + 1279.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 1015.0, + 137.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 92.0, + 153.0, + 1279.0, + 522.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 283.0, + 518.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 80.0, + 200.0, + 1279.0, + 581.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 268.0, + 568.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c2a35c1cda" + }, + "c2a9903b8b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 257.0, + 0.0, + 740.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 337.0, + 0.0, + 937.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 465.0, + 0.0, + 884.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 428.0, + 0.0, + 1029.0, + 625.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 471.0, + 0.0, + 944.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 504.0, + 0.0, + 1105.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 493.0, + 0.0, + 958.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 514.0, + 0.0, + 1114.0, + 627.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c2a9903b8b" + }, + "c2b62567c1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 0.0, + 748.0, + 119.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 449.0, + 96.0, + 766.0, + 160.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 514.0, + 0.0, + 737.0, + 135.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 457.0, + 99.0, + 698.0, + 163.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 407.0, + 0.0, + 559.0, + 103.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 417.0, + 86.0, + 611.0, + 133.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 392.0, + 0.0, + 473.0, + 134.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 391.0, + 114.0, + 481.0, + 157.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c2b62567c1" + }, + "c2b974ec8c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 242.0, + 32.0, + 1117.0, + 626.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 436.0, + 414.0, + 741.0, + 585.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 620.0, + 335.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 240.0, + 84.0, + 1269.0, + 539.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 558.0, + 442.0, + 869.0, + 620.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 715.0, + 323.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 226.0, + 121.0, + 1279.0, + 667.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 584.0, + 487.0, + 857.0, + 694.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 637.0, + 480.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 212.0, + 32.0, + 1279.0, + 446.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 590.0, + 383.0, + 1161.0, + 538.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 528.0, + 453.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c2b974ec8c" + }, + "c2baaff7bf": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 136.0, + 257.0, + 1058.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 476.0, + 195.0, + 901.0, + 371.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 218.0, + 225.0, + 955.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 362.0, + 187.0, + 706.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 263.0, + 237.0, + 964.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 367.0, + 185.0, + 977.0, + 425.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 247.0, + 224.0, + 984.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 387.0, + 208.0, + 1049.0, + 504.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c2baaff7bf" + }, + "c2be6900f2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 250.0, + 127.0, + 741.0, + 539.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 62.0, + 277.0, + 863.0, + 706.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 182.0, + 53.0, + 723.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 218.0, + 819.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 254.0, + 0.0, + 775.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 66.0, + 141.0, + 889.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 236.0, + 5.0, + 795.0, + 446.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 46.0, + 154.0, + 911.0, + 634.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c2be6900f2" + }, + "c304dd44d5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 20.0, + 278.0, + 470.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 435.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 236.0, + 96.0, + 745.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 272.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 242.0, + 159.0, + 701.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 537.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 232.0, + 224.0, + 659.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 371.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c304dd44d5" + }, + "c307f33da2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 336.0, + 186.0, + 990.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 375.0, + 308.0, + 700.0, + 612.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 315.0, + 200.0, + 977.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 361.0, + 321.0, + 686.0, + 613.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 219.0, + 237.0, + 946.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 515.0, + 389.0, + 697.0, + 604.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1160.0, + 252.0, + 1279.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 221.0, + 252.0, + 942.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 517.0, + 408.0, + 698.0, + 619.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1130.0, + 191.0, + 1279.0, + 407.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00225", + "00245", + "00265", + "00275" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c307f33da2" + }, + "c30a7b62c9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 887.0, + 256.0, + 1058.0, + 432.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 900.0, + 251.0, + 1073.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 938.0, + 228.0, + 1112.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 945.0, + 206.0, + 1124.0, + 408.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c30a7b62c9" + }, + "c3128733ee": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 30 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 7.0, + 844.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 46.0, + 750.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 11.0, + 910.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 6.0, + 908.0, + 716.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3128733ee" + }, + "c31fa6c598": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 131.0, + 150.0, + 410.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 582.0, + 145.0, + 1197.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 362.0, + 179.0, + 973.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 71.0, + 566.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 66.0, + 0.0, + 638.0, + 248.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c31fa6c598" + }, + "c325c8201e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 176.0, + 140.0, + 443.0, + 665.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 518.0, + 100.0, + 981.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 213.0, + 128.0, + 475.0, + 644.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 508.0, + 128.0, + 933.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 256.0, + 99.0, + 517.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 518.0, + 131.0, + 885.0, + 705.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 287.0, + 97.0, + 544.0, + 611.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 541.0, + 159.0, + 780.0, + 703.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c325c8201e" + }, + "c32d4aa5d1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 793.0, + 503.0, + 824.0, + 517.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 789.0, + 335.0, + 862.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 741.0, + 426.0, + 942.0, + 493.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 705.0, + 0.0, + 997.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 699.0, + 409.0, + 826.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 1026.0, + 425.0, + 1064.0, + 514.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c32d4aa5d1" + }, + "c33f28249a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 524.0, + 223.0, + 944.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 506.0, + 254.0, + 757.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 508.0, + 226.0, + 894.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 525.0, + 133.0, + 796.0, + 532.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c33f28249a" + }, + "c34365e2d7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 160.0, + 25.0, + 1279.0, + 676.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 44.0, + 0.0, + 1279.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 126.0, + 1279.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 29.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c34365e2d7" + }, + "c3457af795": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 142.0, + 85.0, + 1144.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 591.0, + 0.0, + 794.0, + 74.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 159.0, + 21.0, + 1107.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 613.0, + 0.0, + 808.0, + 29.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 116.0, + 82.0, + 1051.0, + 680.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 570.0, + 4.0, + 773.0, + 81.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 110.0, + 104.0, + 979.0, + 699.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 639.0, + 20.0, + 837.0, + 96.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3457af795" + }, + "c34d120a88": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 836.0, + 411.0, + 919.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 922.0, + 456.0, + 1025.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 1046.0, + 421.0, + 1092.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 1028.0, + 368.0, + 1074.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 843.0, + 495.0, + 879.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c34d120a88" + }, + "c3509e728d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 425.0, + 463.0, + 790.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 602.0, + 444.0, + 883.0, + 584.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 926.0, + 202.0, + 968.0, + 256.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 464.0, + 420.0, + 793.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 677.0, + 364.0, + 955.0, + 492.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1051.0, + 166.0, + 1092.0, + 220.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 498.0, + 409.0, + 720.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 746.0, + 407.0, + 917.0, + 542.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1176.0, + 129.0, + 1222.0, + 183.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 345.0, + 264.0, + 624.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 637.0, + 465.0, + 934.0, + 607.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1227.0, + 24.0, + 1279.0, + 96.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3509e728d" + }, + "c35e4fa6c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 331.0, + 929.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 2.0, + 285.0, + 952.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 483.0, + 193.0, + 961.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 380.0, + 129.0, + 964.0, + 522.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c35e4fa6c4" + }, + "c36240d96f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 402.0, + 281.0, + 627.0, + 648.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 428.0, + 315.0, + 606.0, + 691.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 575.0, + 264.0, + 910.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 343.0, + 179.0, + 446.0, + 287.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 447.0, + 341.0, + 702.0, + 649.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 243.0, + 259.0, + 380.0, + 381.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c36240d96f" + }, + "c3641dfc5a": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 1.0, + 291.0, + 895.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 51.0, + 277.0, + 823.0, + 693.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 53.0, + 167.0, + 863.0, + 664.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 193.0, + 3.0, + 862.0, + 660.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3641dfc5a" + }, + "c37b17a4a9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 742.0, + 214.0, + 1050.0, + 384.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 445.0, + 366.0, + 754.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 750.0, + 218.0, + 1050.0, + 383.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 443.0, + 367.0, + 753.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 732.0, + 252.0, + 1023.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 414.0, + 412.0, + 712.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 737.0, + 250.0, + 1033.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 478.0, + 483.0, + 643.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c37b17a4a9" + }, + "c39559ddf6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 395.0, + 244.0, + 811.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 423.0, + 240.0, + 825.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 393.0, + 269.0, + 855.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 414.0, + 239.0, + 877.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c39559ddf6" + }, + "c3b0c6e180": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 426.0, + 107.0, + 1013.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 367.0, + 116.0, + 978.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 362.0, + 126.0, + 1008.0, + 664.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 346.0, + 126.0, + 981.0, + 640.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3b0c6e180" + }, + "c3b3d82e6c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 230.0, + 1.0, + 557.0, + 325.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 378.0, + 551.0, + 741.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 220.0, + 0.0, + 555.0, + 309.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 386.0, + 537.0, + 793.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 30.0, + 0.0, + 332.0, + 36.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 172.0, + 184.0, + 665.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 182.0, + 39.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 128.0, + 37.0, + 799.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3b3d82e6c" + }, + "c3be369fdb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 142.0, + 415.0, + 563.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 919.0, + 69.0, + 1156.0, + 494.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 798.0, + 1.0, + 1009.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 88.0, + 465.0, + 492.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 812.0, + 177.0, + 961.0, + 542.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 731.0, + 1.0, + 1005.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 63.0, + 476.0, + 377.0, + 703.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 590.0, + 260.0, + 815.0, + 532.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 742.0, + 44.0, + 1044.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 362.0, + 504.0, + 467.0, + 670.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 254.0, + 257.0, + 447.0, + 484.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 887.0, + 142.0, + 992.0, + 480.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3be369fdb" + }, + "c3bf1e40c2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 413.0, + 296.0, + 528.0, + 612.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 558.0, + 338.0, + 655.0, + 607.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 680.0, + 362.0, + 773.0, + 607.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 404.0, + 316.0, + 523.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 541.0, + 286.0, + 656.0, + 610.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 667.0, + 335.0, + 753.0, + 606.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 403.0, + 308.0, + 518.0, + 617.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 553.0, + 301.0, + 643.0, + 609.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 675.0, + 352.0, + 740.0, + 607.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 386.0, + 293.0, + 516.0, + 619.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 540.0, + 335.0, + 635.0, + 614.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 667.0, + 367.0, + 744.0, + 610.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3bf1e40c2" + }, + "c3c760b015": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 529.0, + 135.0, + 602.0, + 207.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 523.0, + 173.0, + 588.0, + 243.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 527.0, + 182.0, + 590.0, + 249.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 562.0, + 183.0, + 638.0, + 258.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00065", + "00095", + "00105", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3c760b015" + }, + "c3dd38bf98": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 1276.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 292.0, + 1278.0, + 427.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 250.0, + 1276.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 283.0, + 1279.0, + 437.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3dd38bf98" + }, + "c3e4274614": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 511.0, + 1.0, + 659.0, + 75.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 1104.0, + 0.0, + 1277.0, + 76.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 1.0, + 215.0, + 290.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 584.0, + 172.0, + 795.0, + 291.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1135.0, + 98.0, + 1277.0, + 292.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 1106.0, + 38.0, + 1247.0, + 274.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 56.0, + 1.0, + 315.0, + 300.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 664.0, + 178.0, + 881.0, + 300.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 1212.0, + 98.0, + 1277.0, + 295.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 1190.0, + 43.0, + 1279.0, + 282.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 216.0, + 335.0, + 430.0, + 453.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 766.0, + 252.0, + 969.0, + 455.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giraffe", + "bbox": [ + 739.0, + 199.0, + 879.0, + 436.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3e4274614" + }, + "c3edc48cbd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 462.0, + 178.0, + 650.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 570.0, + 399.0, + 656.0, + 430.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 435.0, + 159.0, + 736.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 536.0, + 524.0, + 596.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 379.0, + 175.0, + 531.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 387.0, + 557.0, + 576.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 260.0, + 304.0, + 659.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 418.0, + 682.0, + 557.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c3edc48cbd" + }, + "c41e6587f5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 118.0, + 31.0, + 1107.0, + 685.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 532.0, + 88.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 158.0, + 70.0, + 1115.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 562.0, + 76.0, + 1279.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 136.0, + 237.0, + 841.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 663.0, + 191.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 200.0, + 245.0, + 921.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 731.0, + 195.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c41e6587f5" + }, + "c4272227b0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 568.0, + 380.0, + 1036.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 126.0, + 0.0, + 1005.0, + 690.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 506.0, + 323.0, + 1021.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 821.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 588.0, + 341.0, + 961.0, + 714.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 745.0, + 664.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 630.0, + 355.0, + 1001.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 765.0, + 683.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c4272227b0" + }, + "c42917fe82": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 470.0, + 0.0, + 964.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 493.0, + 247.0, + 624.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 443.0, + 0.0, + 1030.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 367.0, + 125.0, + 556.0, + 569.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 451.0, + 0.0, + 996.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 324.0, + 110.0, + 529.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 0.0, + 1008.0, + 679.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 157.0, + 0.0, + 465.0, + 413.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c42917fe82" + }, + "c438858117": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 644.0, + 366.0, + 698.0, + 411.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 643.0, + 405.0, + 679.0, + 421.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 626.0, + 347.0, + 679.0, + 392.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 623.0, + 385.0, + 660.0, + 405.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 665.0, + 295.0, + 716.0, + 379.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 654.0, + 354.0, + 735.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 781.0, + 327.0, + 852.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 752.0, + 401.0, + 790.0, + 419.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c438858117" + }, + "c44676563f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 573.0, + 561.0, + 976.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 385.0, + 107.0, + 826.0, + 378.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fox", + "bbox": [ + 16.0, + 0.0, + 1092.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fox", + "bbox": [ + 100.0, + 47.0, + 1155.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "fox", + "bbox": [ + 19.0, + 51.0, + 1187.0, + 602.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00085", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c44676563f" + }, + "c44beb7472": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 383.0, + 292.0, + 623.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 263.0, + 233.0, + 876.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 431.0, + 221.0, + 754.0, + 436.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 271.0, + 249.0, + 900.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 515.0, + 221.0, + 790.0, + 457.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 276.0, + 271.0, + 894.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 509.0, + 196.0, + 770.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 231.0, + 246.0, + 858.0, + 586.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c44beb7472" + }, + "c45411dacb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 488.0, + 248.0, + 860.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1206.0, + 345.0, + 1279.0, + 400.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 510.0, + 260.0, + 861.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1157.0, + 349.0, + 1279.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 492.0, + 305.0, + 831.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1051.0, + 386.0, + 1243.0, + 447.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 490.0, + 305.0, + 828.0, + 492.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1030.0, + 384.0, + 1220.0, + 444.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00070", + "00090", + "00110", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c45411dacb" + }, + "c4571bedc8": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 204.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 507.0, + 329.0, + 544.0, + 401.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 622.0, + 291.0, + 696.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 205.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 526.0, + 303.0, + 566.0, + 384.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 643.0, + 274.0, + 685.0, + 288.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 205.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 516.0, + 298.0, + 559.0, + 379.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 605.0, + 269.0, + 634.0, + 293.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 209.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 534.0, + 267.0, + 586.0, + 352.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 665.0, + 142.0, + 732.0, + 211.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00120", + "00135", + "00140", + "00180" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c4571bedc8" + }, + "c46deb2956": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 1039.0, + 210.0, + 1279.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 894.0, + 327.0, + 1279.0, + 712.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 936.0, + 267.0, + 1279.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 917.0, + 221.0, + 1279.0, + 655.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c46deb2956" + }, + "c479ee052e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 275.0, + 92.0, + 1004.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 119.0, + 120.0, + 854.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 65.0, + 140.0, + 770.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 247.0, + 77.0, + 898.0, + 706.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c479ee052e" + }, + "c47d551843": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 510.0, + 76.0, + 841.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 511.0, + 79.0, + 852.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 495.0, + 33.0, + 852.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 489.0, + 30.0, + 842.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c47d551843" + }, + "c49f07d46d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 667.0, + 0.0, + 1279.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 472.0, + 360.0, + 895.0, + 661.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 671.0, + 30.0, + 1279.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 472.0, + 359.0, + 894.0, + 659.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 505.0, + 149.0, + 1267.0, + 437.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 472.0, + 366.0, + 892.0, + 664.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 356.0, + 139.0, + 1276.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 471.0, + 374.0, + 894.0, + 668.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c49f07d46d" + }, + "c4cc40c1fc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 132.0, + 45.0, + 1129.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 46.0, + 0.0, + 1265.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 1253.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 134.0, + 827.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c4cc40c1fc" + }, + "c4f256f5d5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 546.0, + 211.0, + 1081.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 254.0, + 179.0, + 1027.0, + 468.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 573.0, + 209.0, + 1209.0, + 361.0 + ], + "valid": 1 + }, + "4": { + "category_name": "lizard", + "bbox": [ + 566.0, + 54.0, + 1230.0, + 253.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 434.0, + 458.0, + 1183.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 240.0, + 178.0, + 910.0, + 486.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 474.0, + 202.0, + 1144.0, + 364.0 + ], + "valid": 1 + }, + "4": { + "category_name": "lizard", + "bbox": [ + 528.0, + 85.0, + 1179.0, + 256.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 428.0, + 354.0, + 1110.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 209.0, + 168.0, + 897.0, + 515.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 460.0, + 233.0, + 1138.0, + 405.0 + ], + "valid": 1 + }, + "4": { + "category_name": "lizard", + "bbox": [ + 986.0, + 0.0, + 1244.0, + 223.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 371.0, + 355.0, + 1018.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 106.0, + 201.0, + 815.0, + 562.0 + ], + "valid": 1 + }, + "3": { + "category_name": "lizard", + "bbox": [ + 362.0, + 258.0, + 1049.0, + 408.0 + ], + "valid": 1 + }, + "4": { + "category_name": "lizard", + "bbox": [ + 490.0, + 115.0, + 1109.0, + 297.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c4f256f5d5" + }, + "c4f5b1ddcc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 535.0, + 119.0, + 1130.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 558.0, + 111.0, + 1130.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 721.0, + 88.0, + 1112.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 777.0, + 64.0, + 1130.0, + 484.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c4f5b1ddcc" + }, + "c4ff9b4885": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 50.0, + 39.0, + 707.0, + 325.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 686.0, + 126.0, + 1061.0, + 705.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frog", + "bbox": [ + 943.0, + 198.0, + 1211.0, + 709.0 + ], + "valid": 1 + }, + "4": { + "category_name": "frog", + "bbox": [ + 424.0, + 289.0, + 919.0, + 719.0 + ], + "valid": 1 + }, + "5": { + "category_name": "frog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "6": { + "category_name": "frog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 32.0, + 160.0, + 430.0, + 308.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 737.0, + 132.0, + 1047.0, + 711.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frog", + "bbox": [ + 981.0, + 276.0, + 1253.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "frog", + "bbox": [ + 264.0, + 42.0, + 913.0, + 717.0 + ], + "valid": 1 + }, + "5": { + "category_name": "frog", + "bbox": [ + 442.0, + 464.0, + 743.0, + 715.0 + ], + "valid": 1 + }, + "6": { + "category_name": "frog", + "bbox": [ + 865.0, + 82.0, + 1153.0, + 299.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 12.0, + 121.0, + 576.0, + 329.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 761.0, + 71.0, + 1047.0, + 673.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frog", + "bbox": [ + 941.0, + 235.0, + 1223.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "frog", + "bbox": [ + 338.0, + 0.0, + 1093.0, + 633.0 + ], + "valid": 1 + }, + "5": { + "category_name": "frog", + "bbox": [ + 416.0, + 436.0, + 857.0, + 713.0 + ], + "valid": 1 + }, + "6": { + "category_name": "frog", + "bbox": [ + 857.0, + 100.0, + 1165.0, + 308.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 10.0, + 42.0, + 669.0, + 352.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 631.0, + 131.0, + 1057.0, + 708.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frog", + "bbox": [ + 997.0, + 381.0, + 1225.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "frog", + "bbox": [ + 783.0, + 42.0, + 1207.0, + 416.0 + ], + "valid": 1 + }, + "5": { + "category_name": "frog", + "bbox": [ + 358.0, + 386.0, + 917.0, + 719.0 + ], + "valid": 1 + }, + "6": { + "category_name": "frog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c4ff9b4885" + }, + "c52bce43db": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 257.0, + 195.0, + 569.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 647.0, + 0.0, + 1042.0, + 616.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 749.0, + 438.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 227.0, + 201.0, + 571.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 649.0, + 0.0, + 1036.0, + 614.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 748.0, + 438.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 252.0, + 217.0, + 594.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 647.0, + 0.0, + 1052.0, + 620.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 747.0, + 442.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 199.0, + 227.0, + 539.0, + 435.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 616.0, + 0.0, + 1090.0, + 613.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 716.0, + 441.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00020", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c52bce43db" + }, + "c544da6854": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 525.0, + 167.0, + 692.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 553.0, + 258.0, + 660.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 603.0, + 130.0, + 720.0, + 363.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 637.0, + 286.0, + 707.0, + 428.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 594.0, + 94.0, + 720.0, + 324.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 611.0, + 190.0, + 705.0, + 407.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 540.0, + 56.0, + 683.0, + 271.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 545.0, + 147.0, + 699.0, + 381.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c544da6854" + }, + "c55784c766": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 636.0, + 263.0, + 762.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 671.0, + 452.0, + 730.0, + 471.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1246.0, + 265.0, + 1279.0, + 315.0 + ], + "valid": 1 + }, + "4": { + "category_name": "snowboard", + "bbox": [ + 1203.0, + 307.0, + 1279.0, + 341.0 + ], + "valid": 1 + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 436.0, + 118.0, + 597.0 + ], + "valid": 1 + }, + "6": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 660.0, + 244.0, + 779.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 713.0, + 436.0, + 772.0, + 459.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "person", + "bbox": [ + 124.0, + 411.0, + 260.0, + 538.0 + ], + "valid": 1 + }, + "6": { + "category_name": "snowboard", + "bbox": [ + 54.0, + 532.0, + 257.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 648.0, + 321.0, + 748.0, + 481.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 652.0, + 475.0, + 702.0, + 498.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "6": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "6": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c55784c766" + }, + "c557b69fbf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 1129.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 276.0, + 261.0, + 927.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 248.0, + 242.0, + 975.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 69.0, + 1169.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 72.0, + 116.0, + 347.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c557b69fbf" + }, + "c593a3f7ab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 39.0, + 239.0, + 293.0, + 392.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 48.0, + 231.0, + 300.0, + 387.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 58.0, + 243.0, + 314.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 21.0, + 278.0, + 287.0, + 436.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c593a3f7ab" + }, + "c598faa682": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 607.0, + 370.0, + 778.0, + 695.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 568.0, + 672.0, + 730.0, + 694.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 740.0, + 313.0, + 855.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 813.0, + 526.0, + 859.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 585.0, + 339.0, + 673.0, + 574.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 588.0, + 542.0, + 705.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 514.0, + 394.0, + 705.0, + 709.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 528.0, + 649.0, + 774.0, + 718.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c598faa682" + }, + "c5ab1f09c8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 33.0, + 0.0, + 1218.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 30.0, + 0.0, + 1195.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 28.0, + 0.0, + 1183.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 63.0, + 0.0, + 1202.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c5ab1f09c8" + }, + "c5b6da8602": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 890.0, + 273.0, + 926.0, + 385.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 779.0, + 269.0, + 822.0, + 359.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 715.0, + 274.0, + 759.0, + 385.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 850.0, + 255.0, + 886.0, + 339.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 740.0, + 251.0, + 780.0, + 360.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 675.0, + 256.0, + 719.0, + 368.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 864.0, + 240.0, + 897.0, + 346.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 755.0, + 235.0, + 793.0, + 352.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 688.0, + 241.0, + 733.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 937.0, + 278.0, + 973.0, + 389.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 832.0, + 273.0, + 868.0, + 391.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 765.0, + 277.0, + 808.0, + 388.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c5b6da8602" + }, + "c5b9128d94": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 491.0, + 0.0, + 1279.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 273.0, + 276.0, + 522.0, + 494.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 8.0, + 323.0, + 54.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 576.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 291.0, + 271.0, + 619.0, + 509.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 17.0, + 321.0, + 53.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 875.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 346.0, + 242.0, + 775.0, + 530.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 22.0, + 322.0, + 62.0, + 444.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 1131.0, + 0.0, + 1279.0, + 639.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 376.0, + 220.0, + 861.0, + 539.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 33.0, + 322.0, + 78.0, + 443.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c5b9128d94" + }, + "c5e845c6b7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 335.0, + 68.0, + 585.0, + 307.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 379.0, + 151.0, + 597.0, + 392.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 377.0, + 164.0, + 612.0, + 407.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 388.0, + 159.0, + 616.0, + 404.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c5e845c6b7" + }, + "c5fba7b341": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 73.0, + 131.0, + 1104.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 59.0, + 135.0, + 1082.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 47.0, + 151.0, + 1086.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 107.0, + 146.0, + 1142.0, + 554.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c5fba7b341" + }, + "c60897f093": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 330.0, + 229.0, + 534.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 510.0, + 114.0, + 758.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 424.0, + 252.0, + 634.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 590.0, + 129.0, + 834.0, + 641.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 463.0, + 213.0, + 648.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 601.0, + 96.0, + 835.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 445.0, + 193.0, + 617.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 569.0, + 77.0, + 802.0, + 590.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c60897f093" + }, + "c61fe6ed7c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 476.0, + 184.0, + 890.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 466.0, + 255.0, + 930.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 418.0, + 427.0, + 975.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 488.0, + 597.0, + 965.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00130", + "00140", + "00160", + "00190" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c61fe6ed7c" + }, + "c62188c536": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 599.0, + 405.0, + 816.0, + 672.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 357.0, + 418.0, + 448.0, + 534.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 436.0, + 442.0, + 484.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 461.0, + 429.0, + 673.0, + 705.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 320.0, + 435.0, + 402.0, + 552.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 390.0, + 468.0, + 441.0, + 580.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 559.0, + 445.0, + 750.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 516.0, + 456.0, + 593.0, + 571.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 580.0, + 556.0, + 609.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 487.0, + 441.0, + 662.0, + 703.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c62188c536" + }, + "c64035b2e2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 163.0, + 74.0, + 961.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 752.0, + 34.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 153.0, + 84.0, + 943.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 680.0, + 22.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 99.0, + 105.0, + 910.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 606.0, + 86.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 133.0, + 141.0, + 928.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 663.0, + 224.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c64035b2e2" + }, + "c69689f177": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 811.0, + 253.0, + 1194.0, + 473.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 779.0, + 290.0, + 1116.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 792.0, + 381.0, + 1047.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 717.0, + 418.0, + 987.0, + 589.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c69689f177" + }, + "c6a12c131f": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 310.0, + 0.0, + 1233.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 978.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 684.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 559.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 650.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00025" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c6a12c131f" + }, + "c6bb6d2d5c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 395.0, + 0.0, + 894.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 343.0, + 51.0, + 892.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 299.0, + 10.0, + 876.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 325.0, + 0.0, + 884.0, + 501.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c6bb6d2d5c" + }, + "c6c18e860f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 342.0, + 45.0, + 1262.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 920.0, + 360.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 360.0, + 70.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 1086.0, + 373.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 320.0, + 114.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 612.0, + 257.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 299.0, + 96.0, + 1167.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 590.0, + 348.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c6c18e860f" + }, + "c6d9526e0d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 89.0, + 420.0, + 416.0, + 658.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 564.0, + 396.0, + 779.0, + 611.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 679.0, + 283.0, + 956.0, + 520.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 1073.0, + 120.0, + 1277.0, + 413.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 81.0, + 394.0, + 409.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 539.0, + 386.0, + 822.0, + 586.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 634.0, + 291.0, + 935.0, + 501.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 1073.0, + 99.0, + 1279.0, + 367.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 37.0, + 353.0, + 367.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 652.0, + 264.0, + 917.0, + 498.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 700.0, + 195.0, + 978.0, + 410.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 1019.0, + 42.0, + 1273.0, + 328.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 347.0, + 328.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 625.0, + 190.0, + 878.0, + 460.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 681.0, + 158.0, + 940.0, + 414.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 985.0, + 37.0, + 1234.0, + 334.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c6d9526e0d" + }, + "c6e55c33f0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 56.0, + 0.0, + 718.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 82.0, + 0.0, + 778.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 172.0, + 0.0, + 807.0, + 408.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 103.0, + 0.0, + 718.0, + 654.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c6e55c33f0" + }, + "c7030b28bd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 536.0, + 300.0, + 728.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 309.0, + 230.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 578.0, + 272.0, + 803.0, + 521.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 307.0, + 290.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 597.0, + 255.0, + 879.0, + 558.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 308.0, + 321.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 598.0, + 224.0, + 934.0, + 562.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 2.0, + 299.0, + 332.0, + 556.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c7030b28bd" + }, + "c70682c7cc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 444.0, + 254.0, + 601.0, + 424.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 489.0, + 0.0, + 1215.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 425.0, + 287.0, + 600.0, + 478.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 372.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 446.0, + 252.0, + 634.0, + 476.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 365.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 432.0, + 32.0, + 709.0, + 374.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 289.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c70682c7cc" + }, + "c70f9be8c5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 3.0, + 212.0, + 168.0, + 335.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 244.0, + 388.0, + 379.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 222.0, + 262.0, + 414.0, + 373.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 75.0, + 293.0, + 629.0, + 421.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 328.0, + 304.0, + 530.0, + 405.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 171.0, + 348.0, + 744.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 145.0, + 142.0, + 369.0, + 260.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 3.0, + 199.0, + 579.0, + 298.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c70f9be8c5" + }, + "c71f30d7b6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 586.0, + 225.0, + 793.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 550.0, + 255.0, + 767.0, + 589.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 566.0, + 221.0, + 817.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 574.0, + 215.0, + 871.0, + 580.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00085", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c71f30d7b6" + }, + "c73c8e747f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 87.0, + 890.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 333.0, + 74.0, + 952.0, + 538.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 63.0, + 142.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 507.0, + 76.0, + 1230.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 0.0, + 90.0, + 546.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 139.0, + 0.0, + 1200.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 37.0, + 146.0, + 939.0, + 577.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c73c8e747f" + }, + "c760eeb8b3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 662.0, + 0.0, + 883.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 293.0, + 113.0, + 834.0, + 532.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 559.0, + 176.0, + 815.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 489.0, + 281.0, + 769.0, + 614.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c760eeb8b3" + }, + "c7637cab0a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 330.0, + 326.0, + 473.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 409.0, + 333.0, + 541.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 416.0, + 329.0, + 556.0, + 454.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 371.0, + 349.0, + 463.0, + 465.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c7637cab0a" + }, + "c7a1a17308": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 723.0, + 153.0, + 1257.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 555.0, + 146.0, + 980.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 590.0, + 199.0, + 784.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 615.0, + 205.0, + 818.0, + 530.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c7a1a17308" + }, + "c7bf937af5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 771.0, + 465.0, + 843.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 546.0, + 376.0, + 677.0, + 439.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 567.0, + 304.0, + 683.0, + 361.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 787.0, + 458.0, + 865.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 566.0, + 367.0, + 703.0, + 440.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 564.0, + 296.0, + 667.0, + 357.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 836.0, + 448.0, + 914.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 636.0, + 356.0, + 773.0, + 418.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 501.0, + 238.0, + 603.0, + 321.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 882.0, + 452.0, + 958.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 696.0, + 368.0, + 830.0, + 430.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 495.0, + 241.0, + 596.0, + 309.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c7bf937af5" + }, + "c7c2860db3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1005.0, + 461.0, + 1083.0, + 669.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 976.0, + 501.0, + 1014.0, + 527.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 601.0, + 55.0, + 622.0, + 120.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 717.0, + 450.0, + 809.0, + 649.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 715.0, + 491.0, + 752.0, + 497.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 620.0, + 72.0, + 657.0, + 120.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 582.0, + 470.0, + 670.0, + 670.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 565.0, + 519.0, + 584.0, + 539.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 676.0, + 72.0, + 705.0, + 131.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 798.0, + 584.0, + 1069.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 1057.0, + 607.0, + 1121.0, + 641.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 674.0, + 88.0, + 701.0, + 152.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c7c2860db3" + }, + "c7cef4aee2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 727.0, + 202.0, + 887.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 792.0, + 229.0, + 892.0, + 429.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 570.0, + 142.0, + 910.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 400.0, + 42.0, + 712.0, + 482.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c7cef4aee2" + }, + "c7ebfc5d57": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 157.0, + 145.0, + 760.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 229.0, + 211.0, + 770.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 77.0, + 157.0, + 651.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 285.0, + 198.0, + 674.0, + 484.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c7ebfc5d57" + }, + "c813dcf13c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 750.0, + 546.0, + 803.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 755.0, + 540.0, + 787.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 750.0, + 544.0, + 781.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 729.0, + 544.0, + 791.0, + 621.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c813dcf13c" + }, + "c82235a49a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 378.0, + 499.0, + 511.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 457.0, + 546.0, + 581.0, + 632.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 333.0, + 579.0, + 491.0, + 674.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 486.0, + 500.0, + 573.0, + 582.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 515.0, + 543.0, + 627.0, + 606.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 433.0, + 568.0, + 559.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 625.0, + 496.0, + 654.0, + 525.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 596.0, + 511.0, + 645.0, + 559.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 544.0, + 537.0, + 640.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 617.0, + 468.0, + 679.0, + 539.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 597.0, + 510.0, + 661.0, + 566.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 571.0, + 533.0, + 677.0, + 596.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00195", + "00215", + "00250", + "00270" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c82235a49a" + }, + "c82a7619a1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 393.0, + 250.0, + 1040.0, + 686.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 248.0, + 383.0, + 767.0, + 707.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 1142.0, + 375.0, + 1279.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 124.0, + 394.0, + 695.0, + 703.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 1004.0, + 293.0, + 1279.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 175.0, + 348.0, + 697.0, + 661.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 743.0, + 296.0, + 1276.0, + 522.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00100", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c82a7619a1" + }, + "c82ecb90cb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 158.0, + 355.0, + 348.0, + 509.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 301.0, + 314.0, + 430.0, + 455.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 412.0, + 394.0, + 586.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 170.0, + 373.0, + 418.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 300.0, + 348.0, + 439.0, + 463.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 419.0, + 421.0, + 583.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 158.0, + 350.0, + 397.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 300.0, + 315.0, + 430.0, + 445.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 413.0, + 398.0, + 576.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 163.0, + 333.0, + 310.0, + 499.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 291.0, + 311.0, + 436.0, + 455.0 + ], + "valid": 1 + }, + "3": { + "category_name": "earless_seal", + "bbox": [ + 393.0, + 379.0, + 558.0, + 467.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c82ecb90cb" + }, + "c844f03dc7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 335.0, + 149.0, + 383.0, + 295.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 270.0, + 191.0, + 473.0, + 345.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 343.0, + 154.0, + 384.0, + 300.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 270.0, + 191.0, + 455.0, + 361.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 420.0, + 105.0, + 472.0, + 280.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 359.0, + 144.0, + 517.0, + 342.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 338.0, + 88.0, + 460.0, + 287.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 351.0, + 138.0, + 468.0, + 383.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c844f03dc7" + }, + "c8557963f3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 415.0, + 170.0, + 965.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 135.0, + 476.0, + 327.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 472.0, + 171.0, + 1076.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 163.0, + 415.0, + 416.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 502.0, + 150.0, + 1079.0, + 455.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 167.0, + 400.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 796.0, + 169.0, + 1279.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 125.0, + 129.0, + 713.0, + 422.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8557963f3" + }, + "c89147e6e8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 424.0, + 0.0, + 1029.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 382.0, + 144.0, + 1163.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 266.0, + 156.0, + 845.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 368.0, + 109.0, + 759.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c89147e6e8" + }, + "c8a46ff0c8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 92.0, + 443.0, + 697.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 438.0, + 396.0, + 777.0, + 636.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 742.0, + 478.0, + 917.0, + 634.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 756.0, + 537.0, + 1153.0, + 650.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 929.0, + 413.0, + 1279.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 16.0, + 434.0, + 634.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 390.0, + 388.0, + 713.0, + 617.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 684.0, + 461.0, + 859.0, + 598.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 689.0, + 520.0, + 1087.0, + 633.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 865.0, + 397.0, + 1279.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 453.0, + 298.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 48.0, + 404.0, + 378.0, + 626.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 355.0, + 466.0, + 524.0, + 603.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 351.0, + 516.0, + 745.0, + 626.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 526.0, + 401.0, + 951.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 468.0, + 44.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 428.0, + 134.0, + 617.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 103.0, + 450.0, + 288.0, + 613.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 153.0, + 487.0, + 671.0, + 610.0 + ], + "valid": 1 + }, + "5": { + "category_name": "sheep", + "bbox": [ + 258.0, + 381.0, + 715.0, + 585.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8a46ff0c8" + }, + "c8ab107dd5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 103.0, + 311.0, + 331.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 134.0, + 271.0, + 345.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 172.0, + 245.0, + 343.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 185.0, + 275.0, + 347.0, + 600.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8ab107dd5" + }, + "c8b869a04a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 666.0, + 514.0, + 693.0, + 551.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 336.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 627.0, + 593.0, + 658.0, + 641.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 687.0, + 601.0, + 752.0, + 641.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "sedan", + "bbox": [ + 540.0, + 502.0, + 574.0, + 561.0 + ], + "valid": 1 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 684.0, + 473.0, + 789.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 345.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "sedan", + "bbox": [ + 759.0, + 531.0, + 959.0, + 654.0 + ], + "valid": 1 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 490.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00010", + "00040", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8b869a04a" + }, + "c8c7b306a6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 779.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 754.0, + 198.0, + 983.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 759.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 727.0, + 166.0, + 952.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 705.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 769.0, + 123.0, + 960.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 480.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 785.0, + 191.0, + 956.0, + 590.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8c7b306a6" + }, + "c8c8b28781": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 476.0, + 259.0, + 1113.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 396.0, + 0.0, + 923.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 398.0, + 184.0, + 1001.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 410.0, + 0.0, + 927.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 492.0, + 313.0, + 1083.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 414.0, + 0.0, + 965.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 546.0, + 356.0, + 1123.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 408.0, + 5.0, + 971.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8c8b28781" + }, + "c8d79e3163": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 587.0, + 50.0, + 1137.0, + 456.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 408.0, + 174.0, + 862.0, + 646.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 420.0, + 435.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 1202.0, + 501.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 672.0, + 24.0, + 1103.0, + 404.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 316.0, + 197.0, + 822.0, + 643.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 406.0, + 140.0, + 588.0, + 627.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 1206.0, + 402.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 702.0, + 29.0, + 1021.0, + 448.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 604.0, + 193.0, + 832.0, + 661.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 342.0, + 227.0, + 628.0, + 640.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 1143.0, + 518.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 711.0, + 140.0, + 1222.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 163.0, + 270.0, + 612.0, + 505.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 422.0, + 297.0, + 755.0, + 651.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 1150.0, + 486.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8d79e3163" + }, + "c8edab0415": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 551.0, + 27.0, + 1110.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 458.0, + 299.0, + 558.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 542.0, + 457.0, + 562.0, + 474.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 657.0, + 398.0, + 702.0, + 510.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 663.0, + 507.0, + 685.0, + 518.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 881.0, + 465.0, + 1013.0, + 661.0 + ], + "valid": 1 + }, + "4": { + "category_name": "others", + "bbox": [ + 878.0, + 508.0, + 902.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 693.0, + 410.0, + 735.0, + 522.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 706.0, + 521.0, + 745.0, + 533.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 852.0, + 477.0, + 979.0, + 677.0 + ], + "valid": 1 + }, + "4": { + "category_name": "others", + "bbox": [ + 851.0, + 571.0, + 878.0, + 600.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8edab0415" + }, + "c8f494f416": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 62.0, + 249.0, + 374.0, + 639.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 456.0, + 308.0, + 688.0, + 574.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 798.0, + 250.0, + 1002.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 30.0, + 257.0, + 345.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 432.0, + 310.0, + 650.0, + 576.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 783.0, + 255.0, + 992.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 91.0, + 230.0, + 375.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 508.0, + 292.0, + 759.0, + 553.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 907.0, + 240.0, + 1129.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 126.0, + 232.0, + 407.0, + 636.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 534.0, + 293.0, + 771.0, + 538.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 965.0, + 232.0, + 1178.0, + 584.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8f494f416" + }, + "c8f6cba9fd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 522.0, + 365.0, + 587.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 356.0, + 42.0, + 775.0, + 429.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 733.0, + 319.0, + 787.0, + 374.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 579.0, + 364.0, + 629.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 447.0, + 34.0, + 807.0, + 464.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 817.0, + 296.0, + 871.0, + 355.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 243.0, + 235.0, + 340.0, + 311.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 207.0, + 0.0, + 625.0, + 451.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 639.0, + 22.0, + 698.0, + 84.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 281.0, + 430.0, + 378.0, + 553.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 281.0, + 132.0, + 701.0, + 385.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 567.0, + 44.0, + 629.0, + 99.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c8f6cba9fd" + }, + "c909ceea97": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 317.0, + 247.0, + 533.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 572.0, + 277.0, + 730.0, + 490.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 585.0, + 210.0, + 854.0, + 446.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 350.0, + 254.0, + 544.0, + 526.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 596.0, + 285.0, + 755.0, + 492.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 611.0, + 217.0, + 883.0, + 457.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 225.0, + 269.0, + 503.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 608.0, + 271.0, + 758.0, + 481.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 622.0, + 207.0, + 885.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 216.0, + 256.0, + 548.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 641.0, + 291.0, + 793.0, + 489.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 622.0, + 219.0, + 927.0, + 473.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c909ceea97" + }, + "c9188f4980": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 44.0, + 378.0, + 683.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 330.0, + 651.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 358.0, + 298.0, + 831.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 157.0, + 865.0, + 543.0 + ], + "valid": 1 + }, + "5": { + "category_name": "deer", + "bbox": [ + 242.0, + 69.0, + 679.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 50.0, + 382.0, + 618.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 202.0, + 658.0, + 622.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 382.0, + 298.0, + 827.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 157.0, + 863.0, + 667.0 + ], + "valid": 1 + }, + "5": { + "category_name": "deer", + "bbox": [ + 250.0, + 109.0, + 693.0, + 324.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 30.0, + 361.0, + 592.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 320.0, + 318.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 384.0, + 277.0, + 887.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 149.0, + 873.0, + 506.0 + ], + "valid": 1 + }, + "5": { + "category_name": "deer", + "bbox": [ + 328.0, + 118.0, + 574.0, + 225.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 56.0, + 369.0, + 598.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 0.0, + 329.0, + 292.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "deer", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "deer", + "bbox": [ + 0.0, + 155.0, + 857.0, + 531.0 + ], + "valid": 1 + }, + "5": { + "category_name": "deer", + "bbox": [ + 308.0, + 135.0, + 823.0, + 649.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9188f4980" + }, + "c922365dd4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 241.0, + 1279.0, + 628.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 158.0, + 1279.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 282.0, + 555.0, + 472.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 173.0, + 1279.0, + 607.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 474.0, + 504.0, + 673.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 180.0, + 1279.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 544.0, + 504.0, + 737.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c922365dd4" + }, + "c92c8c3c75": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 737.0, + 271.0, + 767.0, + 378.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 764.0, + 263.0, + 781.0, + 339.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 764.0, + 321.0, + 813.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 715.0, + 216.0, + 752.0, + 278.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 693.0, + 203.0, + 722.0, + 283.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 701.0, + 263.0, + 742.0, + 357.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 728.0, + 207.0, + 769.0, + 312.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 694.0, + 188.0, + 724.0, + 270.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 700.0, + 249.0, + 741.0, + 344.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 725.0, + 215.0, + 764.0, + 323.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 685.0, + 197.0, + 714.0, + 278.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 691.0, + 257.0, + 729.0, + 350.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c92c8c3c75" + }, + "c937eb0b83": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 380.0, + 0.0, + 851.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 330.0, + 0.0, + 801.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 361.0, + 0.0, + 894.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 215.0, + 0.0, + 686.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c937eb0b83" + }, + "c94b31b5e5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 251.0, + 38.0, + 598.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 138.0, + 72.0, + 933.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 70.0, + 36.0, + 1033.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 340.0, + 0.0, + 1145.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c94b31b5e5" + }, + "c95cd17749": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 350.0, + 174.0, + 1107.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 358.0, + 161.0, + 1183.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 364.0, + 136.0, + 1205.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 402.0, + 177.0, + 1057.0, + 617.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c95cd17749" + }, + "c96379c03c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 284.0, + 82.0, + 740.0, + 675.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 374.0, + 561.0, + 689.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 532.0, + 187.0, + 786.0, + 581.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 586.0, + 540.0, + 726.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 660.0, + 256.0, + 891.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 761.0, + 653.0, + 841.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 596.0, + 184.0, + 741.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c96379c03c" + }, + "c96465ee65": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 200.0, + 79.0, + 819.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 140.0, + 222.0, + 522.0, + 353.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 229.0, + 77.0, + 839.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 122.0, + 187.0, + 814.0, + 392.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 253.0, + 78.0, + 846.0, + 442.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 115.0, + 187.0, + 811.0, + 395.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 218.0, + 84.0, + 856.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 110.0, + 188.0, + 818.0, + 395.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c96465ee65" + }, + "c965afa713": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 365.0, + 288.0, + 526.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 402.0, + 330.0, + 496.0, + 474.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 548.0, + 0.0, + 864.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 403.0, + 325.0, + 497.0, + 474.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 1223.0, + 512.0, + 1279.0, + 644.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 533.0, + 39.0, + 848.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 403.0, + 328.0, + 495.0, + 473.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 686.0, + 269.0, + 1268.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 566.0, + 0.0, + 836.0, + 444.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 325.0, + 322.0, + 443.0, + 452.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 495.0, + 266.0, + 810.0, + 707.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 481.0, + 0.0, + 793.0, + 417.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c965afa713" + }, + "c9734b451f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1057.0, + 361.0, + 1227.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 200.0, + 318.0, + 312.0, + 501.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 273.0, + 0.0, + 1146.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1103.0, + 346.0, + 1253.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 262.0, + 276.0, + 361.0, + 481.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 280.0, + 0.0, + 1183.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1089.0, + 421.0, + 1275.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 296.0, + 351.0, + 358.0, + 530.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 263.0, + 63.0, + 1229.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1118.0, + 394.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 312.0, + 339.0, + 372.0, + 518.0 + ], + "valid": 1 + }, + "3": { + "category_name": "truck", + "bbox": [ + 278.0, + 47.0, + 1265.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9734b451f" + }, + "c9862d82dc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 57.0, + 1279.0, + 706.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 103.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 106.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 240.0, + 97.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9862d82dc" + }, + "c98b6fe013": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 490.0, + 266.0, + 945.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 635.0, + 479.0, + 796.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 320.0, + 396.0, + 416.0, + 461.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c98b6fe013" + }, + "c9999b7c48": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 646.0, + 58.0, + 808.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 651.0, + 189.0, + 791.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 653.0, + 101.0, + 833.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 678.0, + 229.0, + 799.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 661.0, + 0.0, + 953.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 696.0, + 171.0, + 909.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 703.0, + 116.0, + 1115.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 743.0, + 407.0, + 1061.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9999b7c48" + }, + "c99e92aaf0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 495.0, + 178.0, + 790.0, + 692.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 366.0, + 151.0, + 660.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 513.0, + 278.0, + 831.0, + 672.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 395.0, + 148.0, + 703.0, + 654.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 531.0, + 299.0, + 831.0, + 672.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 401.0, + 149.0, + 709.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 602.0, + 213.0, + 854.0, + 691.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 432.0, + 167.0, + 738.0, + 674.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c99e92aaf0" + }, + "c9b3a8fbda": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 445.0, + 238.0, + 696.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 456.0, + 212.0, + 583.0, + 347.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 209.0, + 198.0, + 461.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 572.0, + 231.0, + 961.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 470.0, + 213.0, + 669.0, + 589.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 154.0, + 121.0, + 546.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 947.0, + 179.0, + 1279.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 550.0, + 116.0, + 1127.0, + 637.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 340.0, + 214.0, + 870.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1227.0, + 473.0, + 1279.0, + 700.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 885.0, + 161.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 530.0, + 212.0, + 1162.0, + 695.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9b3a8fbda" + }, + "c9bf64e965": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 221.0, + 0.0, + 830.0, + 370.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 259.0, + 415.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 341.0, + 0.0, + 852.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 386.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 289.0, + 0.0, + 824.0, + 705.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 351.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 307.0, + 0.0, + 840.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 449.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9bf64e965" + }, + "c9c3cb3797": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 944.0, + 451.0, + 1122.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 408.0, + 411.0, + 502.0, + 581.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 551.0, + 551.0, + 695.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 874.0, + 429.0, + 1051.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 336.0, + 382.0, + 430.0, + 559.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 480.0, + 532.0, + 624.0, + 690.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 757.0, + 421.0, + 944.0, + 653.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 232.0, + 370.0, + 324.0, + 557.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 373.0, + 533.0, + 514.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 729.0, + 444.0, + 910.0, + 669.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 198.0, + 382.0, + 292.0, + 566.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 342.0, + 546.0, + 480.0, + 705.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9c3cb3797" + }, + "c9d1c60cd0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 924.0, + 524.0, + 1274.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 843.0, + 391.0, + 1188.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 687.0, + 451.0, + 1110.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 713.0, + 250.0, + 961.0, + 566.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9d1c60cd0" + }, + "c9de9c22c4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 1044.0, + 221.0, + 1200.0, + 281.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 152.0, + 320.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1086.0, + 217.0, + 1244.0, + 281.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 217.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 633.0, + 309.0, + 763.0, + 371.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 444.0, + 1171.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 941.0, + 195.0, + 1087.0, + 261.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 283.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00090", + "00095", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/c9de9c22c4" + }, + "ca1828fa54": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 331.0, + 142.0, + 880.0, + 708.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 361.0, + 91.0, + 964.0, + 655.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 358.0, + 134.0, + 887.0, + 685.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 334.0, + 83.0, + 935.0, + 627.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 410.0, + 128.0, + 841.0, + 701.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 382.0, + 76.0, + 881.0, + 595.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 330.0, + 177.0, + 795.0, + 702.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 342.0, + 99.0, + 835.0, + 584.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fish", + "bbox": [ + 899.0, + 478.0, + 1054.0, + 522.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ca1828fa54" + }, + "ca346f17eb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 490.0, + 224.0, + 627.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 599.0, + 384.0, + 653.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 722.0, + 416.0, + 758.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 690.0, + 389.0, + 715.0, + 460.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ca346f17eb" + }, + "ca3787d3d3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 310.0, + 208.0, + 757.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 354.0, + 178.0, + 785.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 388.0, + 111.0, + 775.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 510.0, + 193.0, + 677.0, + 445.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ca3787d3d3" + }, + "ca4b99cbac": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 433.0, + 152.0, + 738.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 345.0, + 147.0, + 765.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 308.0, + 128.0, + 1004.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 324.0, + 125.0, + 926.0, + 541.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ca4b99cbac" + }, + "ca91c69e3b": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 182.0, + 103.0, + 871.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 546.0, + 17.0, + 1255.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 202.0, + 115.0, + 915.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 348.0, + 10.0, + 1251.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 196.0, + 111.0, + 869.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 248.0, + 18.0, + 1255.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 104.0, + 141.0, + 841.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 238.0, + 63.0, + 1219.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00020", + "00035", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ca91c69e3b" + }, + "caa8e97f81": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 799.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 747.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 815.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 747.0, + 551.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/caa8e97f81" + }, + "caac5807f8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 514.0, + 241.0, + 1101.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 348.0, + 241.0, + 1011.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 6.0, + 278.0, + 863.0, + 696.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 122.0, + 338.0, + 917.0, + 620.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/caac5807f8" + }, + "cabba242c2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1253.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1133.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1165.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00130", + "00150", + "00165", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cabba242c2" + }, + "cad5a656a9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 715.0, + 279.0, + 959.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 671.0, + 299.0, + 987.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 596.0, + 296.0, + 909.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 707.0, + 338.0, + 868.0, + 368.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cad5a656a9" + }, + "cad673e375": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 462.0, + 173.0, + 833.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 476.0, + 218.0, + 839.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 528.0, + 125.0, + 877.0, + 528.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 709.0, + 201.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cad673e375" + }, + "cad8a85930": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parachute", + "bbox": [ + 169.0, + 140.0, + 1009.0, + 404.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 484.0, + 642.0, + 616.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 302.0, + 104.0, + 887.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 484.0, + 517.0, + 593.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 274.0, + 156.0, + 829.0, + 394.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 515.0, + 502.0, + 609.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 387.0, + 145.0, + 853.0, + 297.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 590.0, + 432.0, + 656.0, + 521.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cad8a85930" + }, + "cae7b0a02b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 77.0, + 31.0, + 600.0, + 362.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 68.0, + 36.0, + 590.0, + 369.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 68.0, + 183.0, + 619.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 172.0, + 219.0, + 710.0, + 538.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cae7b0a02b" + }, + "cae7ef3184": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 215.0, + 598.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 64.0, + 631.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 27.0, + 589.0, + 500.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 99.0, + 782.0, + 567.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cae7ef3184" + }, + "caeb6b6cbb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 795.0, + 154.0, + 944.0, + 241.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 786.0, + 155.0, + 918.0, + 236.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 761.0, + 175.0, + 834.0, + 239.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 711.0, + 188.0, + 782.0, + 250.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/caeb6b6cbb" + }, + "caecf0a5db": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 88.0, + 138.0, + 204.0, + 259.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 207.0, + 178.0, + 292.0, + 218.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 6.0, + 106.0, + 168.0, + 222.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 156.0, + 144.0, + 217.0, + 181.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 1.0, + 83.0, + 109.0, + 255.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 138.0, + 160.0, + 191.0, + 202.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 144.0, + 140.0, + 271.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 192.0, + 206.0, + 241.0, + 232.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/caecf0a5db" + }, + "cb15312003": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 348.0, + 183.0, + 457.0, + 283.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 355.0, + 179.0, + 432.0, + 318.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 267.0, + 149.0, + 474.0, + 297.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 365.0, + 152.0, + 595.0, + 274.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cb15312003" + }, + "cb2e35d610": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 157.0, + 0.0, + 861.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 150.0, + 0.0, + 859.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 150.0, + 0.0, + 877.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 151.0, + 0.0, + 880.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cb2e35d610" + }, + "cb35a87504": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 464.0, + 442.0, + 642.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 95.0, + 437.0, + 337.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 901.0, + 364.0, + 1077.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 444.0, + 343.0, + 592.0, + 421.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cb35a87504" + }, + "cb3f22b0cf": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 0.0, + 534.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 199.0, + 250.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 0.0, + 753.0, + 615.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 195.0, + 0.0, + 1279.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 0.0, + 0.0, + 875.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 666.0, + 191.0, + 1279.0, + 694.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 82.0, + 0.0, + 1015.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cb3f22b0cf" + }, + "cbb410da64": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 611.0, + 192.0, + 649.0, + 251.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 627.0, + 197.0, + 662.0, + 254.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 649.0, + 191.0, + 680.0, + 249.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 680.0, + 194.0, + 714.0, + 246.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cbb410da64" + }, + "cc8728052e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 317.0, + 37.0, + 936.0, + 377.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 300.0, + 38.0, + 903.0, + 380.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 307.0, + 51.0, + 805.0, + 400.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 319.0, + 0.0, + 819.0, + 384.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cc8728052e" + }, + "cc892997b8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 582.0, + 679.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 736.0, + 292.0, + 1279.0, + 647.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 145.0, + 835.0, + 709.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 683.0, + 360.0, + 1279.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 55.0, + 1059.0, + 673.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 1040.0, + 342.0, + 1279.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 74.0, + 1106.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cc892997b8" + }, + "cce03c2a9b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 179.0, + 0.0, + 762.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 651.0, + 602.0, + 829.0, + 701.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 187.0, + 0.0, + 776.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 661.0, + 590.0, + 836.0, + 704.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 203.0, + 2.0, + 760.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 683.0, + 592.0, + 841.0, + 704.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 199.0, + 2.0, + 762.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 659.0, + 602.0, + 836.0, + 698.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cce03c2a9b" + }, + "cd47a23e31": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 77.0, + 260.0, + 810.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 26.0, + 1034.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 69.0, + 269.0, + 810.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 30.0, + 1016.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 97.0, + 279.0, + 832.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 35.0, + 1024.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 117.0, + 279.0, + 888.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 71.0, + 1044.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cd47a23e31" + }, + "cd4dc03dc0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 879.0, + 61.0, + 1033.0, + 400.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 895.0, + 378.0, + 1016.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 647.0, + 60.0, + 897.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 691.0, + 455.0, + 889.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 673.0, + 117.0, + 807.0, + 448.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 699.0, + 435.0, + 793.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 745.0, + 128.0, + 833.0, + 394.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 777.0, + 388.0, + 833.0, + 413.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cd4dc03dc0" + }, + "cd5ae611da": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 653.0, + 210.0, + 702.0, + 343.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 711.0, + 207.0, + 765.0, + 355.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 772.0, + 201.0, + 841.0, + 385.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 608.0, + 232.0, + 650.0, + 354.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 649.0, + 228.0, + 699.0, + 363.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 695.0, + 220.0, + 752.0, + 366.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 246.0, + 655.0, + 355.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 656.0, + 240.0, + 690.0, + 343.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 676.0, + 240.0, + 721.0, + 374.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 649.0, + 267.0, + 687.0, + 373.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 690.0, + 261.0, + 716.0, + 382.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 704.0, + 262.0, + 747.0, + 401.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cd5ae611da" + }, + "cd603bb9d1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 283.0, + 780.0, + 463.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 690.0, + 455.0, + 728.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 635.0, + 284.0, + 793.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 678.0, + 441.0, + 747.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 593.0, + 181.0, + 682.0, + 339.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 652.0, + 276.0, + 676.0, + 332.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 558.0, + 121.0, + 662.0, + 253.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 639.0, + 223.0, + 668.0, + 257.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cd603bb9d1" + }, + "cd8f49734c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 637.0, + 348.0, + 832.0, + 446.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 614.0, + 345.0, + 835.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 541.0, + 201.0, + 718.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 601.0, + 296.0, + 910.0, + 541.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cd8f49734c" + }, + "cdc6b1c032": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 357.0, + 238.0, + 597.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 62.0, + 373.0, + 674.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 849.0, + 584.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 301.0, + 231.0, + 578.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 19.0, + 277.0, + 663.0, + 707.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 847.0, + 583.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 276.0, + 282.0, + 580.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 206.0, + 215.0, + 733.0, + 592.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 849.0, + 581.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 138.0, + 100.0, + 577.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bike", + "bbox": [ + 317.0, + 242.0, + 504.0, + 624.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sign", + "bbox": [ + 863.0, + 584.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cdc6b1c032" + }, + "cdcfe008ad": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 22 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 880.0, + 371.0, + 1220.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 801.0, + 301.0, + 1000.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 833.0, + 234.0, + 1084.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 801.0, + 304.0, + 1106.0, + 445.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00080", + "00105", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cdcfe008ad" + }, + "cdd57027c2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 394.0, + 129.0, + 615.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 337.0, + 129.0, + 568.0, + 626.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 292.0, + 115.0, + 598.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 340.0, + 42.0, + 801.0, + 621.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00060", + "00075", + "00100", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cdd57027c2" + }, + "ce1af99b4b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 569.0, + 192.0, + 948.0, + 500.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 531.0, + 214.0, + 926.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 407.0, + 225.0, + 764.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 361.0, + 207.0, + 732.0, + 506.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce1af99b4b" + }, + "ce1bc5743a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 630.0, + 365.0, + 838.0, + 475.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 762.0, + 435.0, + 841.0, + 480.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 929.0, + 409.0, + 1083.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 527.0, + 367.0, + 752.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 705.0, + 462.0, + 778.0, + 496.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 984.0, + 429.0, + 1121.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 549.0, + 370.0, + 761.0, + 503.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 656.0, + 461.0, + 777.0, + 507.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 1043.0, + 440.0, + 1174.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 544.0, + 397.0, + 715.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 625.0, + 478.0, + 686.0, + 529.0 + ], + "valid": 1 + }, + "3": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce1bc5743a" + }, + "ce25872021": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 437.0, + 262.0, + 775.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 449.0, + 249.0, + 779.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 436.0, + 236.0, + 799.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 448.0, + 230.0, + 845.0, + 576.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce25872021" + }, + "ce2776f78f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 253.0, + 91.0, + 883.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 215.0, + 118.0, + 840.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 233.0, + 49.0, + 881.0, + 342.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 255.0, + 49.0, + 914.0, + 359.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 403.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce2776f78f" + }, + "ce49b1f474": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 813.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 1023.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 1059.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 1121.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce49b1f474" + }, + "ce4f0a266f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 752.0, + 260.0, + 908.0, + 448.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 123.0, + 8.0, + 715.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 748.0, + 240.0, + 904.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 125.0, + 0.0, + 720.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 710.0, + 254.0, + 914.0, + 431.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 110.0, + 1.0, + 707.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 708.0, + 258.0, + 920.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 111.0, + 1.0, + 708.0, + 601.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce4f0a266f" + }, + "ce5641b195": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 127.0, + 0.0, + 790.0, + 558.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 419.0, + 0.0, + 910.0, + 378.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 147.0, + 0.0, + 807.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 537.0, + 0.0, + 991.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 149.0, + 0.0, + 826.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 356.0, + 0.0, + 943.0, + 278.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 168.0, + 0.0, + 830.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 599.0, + 0.0, + 1235.0, + 437.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce5641b195" + }, + "ce6866aa19": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 225.0, + 659.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 152.0, + 649.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 134.0, + 655.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 149.0, + 604.0, + 522.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce6866aa19" + }, + "ce712ed3c9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 662.0, + 35.0, + 870.0, + 437.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 747.0, + 349.0, + 1156.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 196.0, + 12.0, + 395.0, + 446.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 449.0, + 358.0, + 877.0, + 704.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 23.0, + 15.0, + 230.0, + 431.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 405.0, + 324.0, + 853.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 204.0, + 300.0, + 739.0, + 714.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00090", + "00110", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce712ed3c9" + }, + "ce7d1c8117": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 488.0, + 156.0, + 881.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 59.0, + 0.0, + 956.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 394.0, + 54.0, + 951.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 261.0, + 0.0, + 1070.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 356.0, + 63.0, + 829.0, + 522.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 487.0, + 0.0, + 1201.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 402.0, + 89.0, + 731.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce7d1c8117" + }, + "ce7dbeaa88": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 474.0, + 237.0, + 686.0, + 290.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 376.0, + 299.0, + 801.0, + 350.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 483.0, + 304.0, + 915.0, + 346.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 487.0, + 323.0, + 719.0, + 361.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce7dbeaa88" + }, + "ce9b015a5e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 100.0, + 247.0, + 404.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 185.0, + 139.0, + 402.0, + 500.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 69.0, + 188.0, + 405.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 253.0, + 405.0, + 548.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ce9b015a5e" + }, + "cea7697b25": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 16.0, + 0.0, + 763.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 646.0, + 0.0, + 823.0, + 659.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 905.0, + 0.0, + 1201.0, + 679.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 102.0, + 0.0, + 675.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 462.0, + 80.0, + 717.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 901.0, + 137.0, + 1227.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 62.0, + 497.0, + 185.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 396.0, + 0.0, + 873.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 1057.0, + 85.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 169.0, + 362.0, + 443.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 799.0, + 0.0, + 1123.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 562.0, + 0.0, + 755.0, + 672.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "person", + "bbox": [ + 320.0, + 345.0, + 641.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00075", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cea7697b25" + }, + "cebbd826cf": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 758.0, + 325.0, + 1244.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 762.0, + 320.0, + 1194.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 774.0, + 330.0, + 1222.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 0.0, + 232.0, + 7.0, + 257.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 774.0, + 318.0, + 1236.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "camel", + "bbox": [ + 0.0, + 177.0, + 315.0, + 604.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cebbd826cf" + }, + "cec3415361": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 446.0, + 104.0, + 915.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 473.0, + 215.0, + 965.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 450.0, + 159.0, + 1072.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 396.0, + 8.0, + 849.0, + 558.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cec3415361" + }, + "cec41ad4f4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 546.0, + 176.0, + 644.0, + 367.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 573.0, + 212.0, + 619.0, + 371.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 474.0, + 160.0, + 573.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 539.0, + 270.0, + 652.0, + 501.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cec41ad4f4" + }, + "ced49d26df": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 502.0, + 160.0, + 626.0, + 373.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 515.0, + 202.0, + 594.0, + 364.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 501.0, + 263.0, + 546.0, + 355.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 483.0, + 280.0, + 520.0, + 351.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ced49d26df" + }, + "ced7705ab2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 302.0, + 0.0, + 935.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 50.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 358.0, + 0.0, + 931.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 22.0, + 56.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 374.0, + 0.0, + 1061.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 44.0, + 108.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 421.0, + 2.0, + 1047.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 104.0, + 111.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ced7705ab2" + }, + "cef824a1e1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 503.0, + 1.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 493.0, + 15.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 478.0, + 40.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 915.0, + 141.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cef824a1e1" + }, + "cf13f5c95a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 452.0, + 141.0, + 979.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 456.0, + 143.0, + 985.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 1165.0, + 50.0, + 1279.0, + 183.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 1251.0, + 73.0, + 1279.0, + 98.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cf13f5c95a" + }, + "cf4376a52d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 161.0, + 917.0, + 635.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 849.0, + 264.0, + 941.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 158.0, + 939.0, + 646.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 847.0, + 250.0, + 961.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 112.0, + 879.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 790.0, + 196.0, + 909.0, + 410.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 67.0, + 821.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 705.0, + 154.0, + 867.0, + 340.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cf4376a52d" + }, + "cf85ab28b5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 511.0, + 89.0, + 688.0, + 253.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 593.0, + 175.0, + 959.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 243.0, + 88.0, + 441.0, + 265.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 299.0, + 86.0, + 850.0, + 447.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 74.0, + 191.0, + 324.0, + 373.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 267.0, + 86.0, + 733.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 62.0, + 390.0, + 166.0, + 499.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 376.0, + 286.0, + 521.0, + 454.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cf85ab28b5" + }, + "cfc2e50b9d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 371.0, + 0.0, + 1076.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 367.0, + 0.0, + 1088.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 361.0, + 0.0, + 1086.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 365.0, + 0.0, + 1076.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cfc2e50b9d" + }, + "cfcd571fff": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 49.0, + 5.0, + 892.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 968.0, + 334.0, + 1279.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 226.0, + 0.0, + 897.0, + 394.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 869.0, + 242.0, + 1279.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 167.0, + 0.0, + 871.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 952.0, + 315.0, + 1279.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 104.0, + 0.0, + 747.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 985.0, + 322.0, + 1279.0, + 610.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cfcd571fff" + }, + "cfd9d4ae47": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 428.0, + 311.0, + 721.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 428.0, + 311.0, + 735.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 428.0, + 320.0, + 713.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 540.0, + 231.0, + 765.0, + 491.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cfd9d4ae47" + }, + "cfda2dcce5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 902.0, + 242.0, + 1279.0, + 653.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 49.0, + 219.0, + 431.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 407.0, + 366.0, + 716.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 221.0, + 290.0, + 680.0, + 569.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cfda2dcce5" + }, + "cff035928b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 423.0, + 222.0, + 527.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 480.0, + 279.0, + 697.0, + 498.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 994.0, + 530.0, + 1257.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 497.0, + 224.0, + 643.0, + 498.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 456.0, + 287.0, + 766.0, + 497.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1010.0, + 530.0, + 1259.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 481.0, + 220.0, + 653.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 569.0, + 288.0, + 828.0, + 440.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1010.0, + 530.0, + 1253.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 488.0, + 221.0, + 621.0, + 396.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 608.0, + 291.0, + 865.0, + 431.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1024.0, + 530.0, + 1256.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/cff035928b" + }, + "d01608c2a5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 725.0, + 242.0, + 1063.0, + 525.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 251.0, + 289.0, + 726.0, + 525.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 0.0, + 247.0, + 283.0, + 585.0 + ], + "valid": 1 + }, + "4": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 805.0, + 235.0, + 1165.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 187.0, + 275.0, + 752.0, + 564.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 0.0, + 234.0, + 231.0, + 626.0 + ], + "valid": 1 + }, + "4": { + "category_name": "bus", + "bbox": [ + 770.0, + 325.0, + 807.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 805.0, + 199.0, + 1217.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 228.0, + 662.0, + 582.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "bus", + "bbox": [ + 681.0, + 292.0, + 809.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 718.0, + 222.0, + 1131.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 228.0, + 455.0, + 621.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "bus", + "bbox": [ + 482.0, + 297.0, + 720.0, + 512.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00225", + "00240", + "00260", + "00285" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d01608c2a5" + }, + "d01a8f1f83": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 510.0, + 464.0, + 750.0, + 539.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 520.0, + 50.0, + 749.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 612.0, + 408.0, + 665.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 547.0, + 144.0, + 725.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 472.0, + 408.0, + 555.0, + 449.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 462.0, + 209.0, + 598.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 618.0, + 272.0, + 699.0, + 326.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 595.0, + 182.0, + 678.0, + 317.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d01a8f1f83" + }, + "d021d68bca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 7.0, + 343.0, + 509.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 344.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 355.0, + 662.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 426.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 197.0, + 266.0, + 826.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 577.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 279.0, + 438.0, + 760.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 561.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d021d68bca" + }, + "d04258ca14": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 525.0, + 105.0, + 754.0, + 539.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 652.0, + 292.0, + 880.0, + 549.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 842.0, + 169.0, + 906.0, + 304.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 531.0, + 114.0, + 766.0, + 552.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 735.0, + 297.0, + 892.0, + 502.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 856.0, + 162.0, + 908.0, + 297.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 539.0, + 114.0, + 788.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 779.0, + 246.0, + 896.0, + 442.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 880.0, + 139.0, + 916.0, + 228.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 527.0, + 87.0, + 804.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 789.0, + 227.0, + 898.0, + 498.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00080", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d04258ca14" + }, + "d0483573dc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 451.0, + 0.0, + 1279.0, + 408.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 900.0, + 531.0, + 1146.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 505.0, + 0.0, + 1278.0, + 318.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 846.0, + 559.0, + 1066.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 361.0, + 149.0, + 1279.0, + 390.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 662.0, + 587.0, + 888.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 337.0, + 169.0, + 1279.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0483573dc" + }, + "d04a90aaff": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 32 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 119.0, + 909.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 497.0, + 0.0, + 1193.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 51.0, + 888.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 499.0, + 0.0, + 1257.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 904.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 515.0, + 0.0, + 1253.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 40.0, + 1155.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 617.0, + 0.0, + 1269.0, + 476.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d04a90aaff" + }, + "d05279c0bd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 259.0, + 0.0, + 819.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 331.0, + 0.0, + 808.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 439.0, + 42.0, + 948.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 397.0, + 53.0, + 736.0, + 594.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d05279c0bd" + }, + "d0696bd5fc": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 661.0, + 154.0, + 888.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 533.0, + 126.0, + 680.0, + 405.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 304.0, + 101.0, + 419.0, + 378.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 690.0, + 229.0, + 943.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 487.0, + 200.0, + 643.0, + 445.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 263.0, + 183.0, + 410.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 662.0, + 231.0, + 926.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 429.0, + 206.0, + 592.0, + 483.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 205.0, + 189.0, + 369.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 655.0, + 221.0, + 923.0, + 683.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 405.0, + 202.0, + 568.0, + 498.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 188.0, + 188.0, + 356.0, + 547.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0696bd5fc" + }, + "d072fda75b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 356.0, + 330.0, + 637.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 360.0, + 316.0, + 703.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 386.0, + 330.0, + 758.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 432.0, + 316.0, + 720.0, + 659.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d072fda75b" + }, + "d0a83bcd9f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 821.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 743.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 20.0, + 659.0, + 704.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 69.0, + 771.0, + 612.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0a83bcd9f" + }, + "d0ab39112e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 293.0, + 39.0, + 1038.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 303.0, + 62.0, + 1052.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 291.0, + 58.0, + 1070.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 272.0, + 102.0, + 1027.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0ab39112e" + }, + "d0acde820f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 706.0, + 202.0, + 952.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 311.0, + 0.0, + 441.0, + 292.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 700.0, + 201.0, + 971.0, + 658.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 240.0, + 0.0, + 378.0, + 289.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 779.0, + 273.0, + 1122.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 169.0, + 35.0, + 345.0, + 356.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 776.0, + 281.0, + 1124.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 131.0, + 32.0, + 306.0, + 365.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0acde820f" + }, + "d0b4442c71": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 364.0, + 152.0, + 985.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 382.0, + 116.0, + 973.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 390.0, + 102.0, + 919.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 386.0, + 90.0, + 989.0, + 717.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0b4442c71" + }, + "d0c65e9e95": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 451.0, + 80.0, + 676.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 201.0, + 132.0, + 401.0, + 276.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 309.0, + 79.0, + 774.0, + 705.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 211.0, + 115.0, + 401.0, + 245.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 447.0, + 45.0, + 1080.0, + 388.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 293.0, + 127.0, + 478.0, + 342.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 427.0, + 61.0, + 672.0, + 374.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 273.0, + 96.0, + 455.0, + 339.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0c65e9e95" + }, + "d0fb600c73": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 50.0, + 249.0, + 629.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 42.0, + 237.0, + 608.0, + 602.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 703.0, + 247.0, + 753.0, + 345.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 80.0, + 237.0, + 643.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 607.0, + 203.0, + 755.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 106.0, + 228.0, + 650.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 704.0, + 211.0, + 755.0, + 458.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d0fb600c73" + }, + "d107a1457c": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 470.0, + 221.0, + 665.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 470.0, + 117.0, + 655.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 456.0, + 73.0, + 642.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 462.0, + 49.0, + 650.0, + 538.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00080", + "00090", + "00100", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d107a1457c" + }, + "d123d674c1": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 1242.0, + 293.0, + 1279.0, + 320.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1212.0, + 315.0, + 1279.0, + 620.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 988.0, + 303.0, + 1016.0, + 321.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 970.0, + 320.0, + 1040.0, + 529.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 846.0, + 314.0, + 867.0, + 327.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 834.0, + 326.0, + 882.0, + 473.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 343.0, + 145.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 674.0, + 309.0, + 688.0, + 317.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 662.0, + 318.0, + 700.0, + 430.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 0.0, + 317.0, + 115.0, + 418.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00220", + "00235", + "00245", + "00265" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d123d674c1" + }, + "d14d1e9289": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 309.0, + 20.0, + 942.0, + 517.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 5.0, + 282.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 285.0, + 5.0, + 964.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 26.0, + 4.0, + 319.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 265.0, + 0.0, + 955.0, + 538.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 30.0, + 10.0, + 331.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 225.0, + 0.0, + 958.0, + 557.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 47.0, + 30.0, + 349.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d14d1e9289" + }, + "d154e3388e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 98.0, + 0.0, + 524.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 427.0, + 59.0, + 935.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 76.0, + 0.0, + 624.0, + 473.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 426.0, + 12.0, + 957.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 22.0, + 5.0, + 496.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 449.0, + 6.0, + 907.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 43.0, + 594.0, + 456.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 460.0, + 63.0, + 925.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d154e3388e" + }, + "d177e9878a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 450.0, + 268.0, + 475.0, + 287.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 388.0, + 262.0, + 414.0, + 281.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 345.0, + 259.0, + 368.0, + 275.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 436.0, + 268.0, + 461.0, + 286.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 387.0, + 260.0, + 412.0, + 281.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 347.0, + 258.0, + 366.0, + 272.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 295.0, + 224.0, + 322.0, + 256.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 236.0, + 214.0, + 260.0, + 235.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 211.0, + 211.0, + 233.0, + 229.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 330.0, + 209.0, + 364.0, + 233.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 258.0, + 198.0, + 287.0, + 220.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 226.0, + 190.0, + 256.0, + 216.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d177e9878a" + }, + "d1802f69f8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 24.0, + 375.0, + 578.0, + 594.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 743.0, + 227.0, + 1073.0, + 345.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 219.0, + 302.0, + 676.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1261.0, + 29.0, + 1279.0, + 54.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 337.0, + 322.0, + 761.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 589.0, + 279.0, + 642.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "parachute", + "bbox": [ + 535.0, + 1.0, + 688.0, + 79.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d1802f69f8" + }, + "d182c4483a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 551.0, + 596.0, + 656.0, + 648.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 526.0, + 112.0, + 729.0, + 607.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 625.0, + 553.0, + 697.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 558.0, + 165.0, + 869.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 599.0, + 558.0, + 753.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 584.0, + 184.0, + 753.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 660.0, + 612.0, + 776.0, + 676.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 614.0, + 178.0, + 861.0, + 632.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d182c4483a" + }, + "d195d31128": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 294.0, + 156.0, + 1017.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 390.0, + 226.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 290.0, + 45.0, + 1253.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 210.0, + 9.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d195d31128" + }, + "d200838929": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 629.0, + 68.0, + 1202.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 294.0, + 219.0, + 869.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 196.0, + 130.0, + 1171.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 300.0, + 38.0, + 1119.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d200838929" + }, + "d205e3cff5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 677.0, + 269.0, + 943.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 670.0, + 278.0, + 941.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 733.0, + 273.0, + 1019.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 601.0, + 240.0, + 972.0, + 653.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d205e3cff5" + }, + "d247420c4c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 723.0, + 674.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 838.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 660.0, + 705.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 0.0, + 691.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d247420c4c" + }, + "d2484bff33": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 220.0, + 201.0, + 573.0, + 286.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 228.0, + 200.0, + 577.0, + 283.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 241.0, + 201.0, + 591.0, + 287.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 256.0, + 204.0, + 613.0, + 291.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d2484bff33" + }, + "d26f6ed9b0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 444.0, + 110.0, + 714.0, + 413.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 514.0, + 57.0, + 794.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 433.0, + 0.0, + 739.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 586.0, + 219.0, + 888.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d26f6ed9b0" + }, + "d280fcd1cb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 552.0, + 322.0, + 1016.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 580.0, + 322.0, + 1046.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 603.0, + 325.0, + 1074.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 610.0, + 329.0, + 1076.0, + 562.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d280fcd1cb" + }, + "d2857f0faa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 425.0, + 188.0, + 828.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 479.0, + 258.0, + 664.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 411.0, + 216.0, + 809.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 456.0, + 289.0, + 644.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 414.0, + 238.0, + 832.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 464.0, + 321.0, + 639.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d2857f0faa" + }, + "d295ea2dc7": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 169.0, + 367.0, + 556.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 142.0, + 188.0, + 518.0, + 384.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 351.0, + 352.0, + 735.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 339.0, + 186.0, + 721.0, + 397.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d295ea2dc7" + }, + "d2a58b4fa6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 454.0, + 127.0, + 795.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 786.0, + 337.0, + 846.0, + 432.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 500.0, + 54.0, + 851.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 898.0, + 295.0, + 944.0, + 384.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 481.0, + 72.0, + 848.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 935.0, + 301.0, + 979.0, + 395.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 408.0, + 12.0, + 929.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 1036.0, + 265.0, + 1108.0, + 388.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d2a58b4fa6" + }, + "d2b026739a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 678.0, + 252.0, + 1174.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 638.0, + 157.0, + 1117.0, + 343.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 705.0, + 283.0, + 1148.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 653.0, + 211.0, + 1056.0, + 382.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d2b026739a" + }, + "d2ebe0890f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 1166.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 36.0, + 964.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 253.0, + 53.0, + 1148.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 277.0, + 133.0, + 974.0, + 495.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d2ebe0890f" + }, + "d2ede5d862": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 585.0, + 301.0, + 719.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 646.0, + 410.0, + 1096.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 239.0, + 284.0, + 388.0, + 478.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 299.0, + 361.0, + 759.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 22.0, + 253.0, + 176.0, + 447.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 113.0, + 339.0, + 548.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 277.0, + 76.0, + 429.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 13.0, + 314.0, + 440.0, + 445.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d2ede5d862" + }, + "d301ca58cc": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 784.0, + 176.0, + 1217.0, + 678.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 410.0, + 3.0, + 567.0, + 208.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 838.0, + 20.0, + 925.0, + 83.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 823.0, + 178.0, + 1221.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 377.0, + 0.0, + 624.0, + 178.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 823.0, + 33.0, + 955.0, + 88.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 819.0, + 402.0, + 1277.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 373.0, + 36.0, + 654.0, + 191.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 971.0, + 84.0, + 1194.0, + 148.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 802.0, + 430.0, + 1277.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 377.0, + 33.0, + 656.0, + 197.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 1004.0, + 89.0, + 1203.0, + 140.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d301ca58cc" + }, + "d3069da8bb": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 790.0, + 318.0, + 836.0, + 426.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 790.0, + 343.0, + 840.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 787.0, + 347.0, + 840.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 792.0, + 386.0, + 860.0, + 539.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3069da8bb" + }, + "d343d4a77d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 21 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 754.0, + 133.0, + 1279.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 447.0, + 199.0, + 776.0, + 438.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 187.0, + 246.0, + 315.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 1057.0, + 123.0, + 1279.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 693.0, + 175.0, + 1181.0, + 490.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 228.0, + 233.0, + 423.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 927.0, + 149.0, + 1279.0, + 506.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 320.0, + 215.0, + 554.0, + 445.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 839.0, + 70.0, + 1279.0, + 472.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d343d4a77d" + }, + "d355e634ef": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 487.0, + 117.0, + 1004.0, + 277.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 175.0, + 389.0, + 847.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 785.0, + 111.0, + 1250.0, + 234.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 628.0, + 344.0, + 1206.0, + 549.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 856.0, + 125.0, + 1279.0, + 225.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 714.0, + 334.0, + 1279.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 585.0, + 129.0, + 1014.0, + 225.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 448.0, + 377.0, + 1081.0, + 568.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d355e634ef" + }, + "d367fb5253": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 587.0, + 255.0, + 1031.0, + 366.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 571.0, + 287.0, + 1040.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 597.0, + 331.0, + 1086.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 550.0, + 321.0, + 1043.0, + 440.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d367fb5253" + }, + "d36d16358e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 71.0, + 12.0, + 556.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 82.0, + 10.0, + 620.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 118.0, + 0.0, + 548.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 149.0, + 0.0, + 529.0, + 359.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d36d16358e" + }, + "d38bc77e2c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 19 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 526.0, + 294.0, + 705.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 629.0, + 227.0, + 749.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 719.0, + 265.0, + 861.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 552.0, + 237.0, + 735.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 682.0, + 196.0, + 827.0, + 669.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 817.0, + 215.0, + 903.0, + 446.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 241.0, + 735.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 726.0, + 216.0, + 903.0, + 436.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 925.0, + 220.0, + 1033.0, + 676.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 739.0, + 209.0, + 921.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 520.0, + 199.0, + 843.0, + 681.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 827.0, + 182.0, + 985.0, + 611.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00120", + "00135", + "00155", + "00185" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d38bc77e2c" + }, + "d38d1679e2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 501.0, + 128.0, + 757.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 465.0, + 157.0, + 722.0, + 516.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 494.0, + 173.0, + 731.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 493.0, + 288.0, + 812.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d38d1679e2" + }, + "d3932ad4bd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 589.0, + 93.0, + 1267.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 290.0, + 204.0, + 782.0, + 542.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 86.0, + 155.0, + 474.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 343.0, + 246.0, + 1269.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 476.0, + 193.0, + 656.0, + 313.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 161.0, + 184.0, + 476.0, + 447.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 486.0, + 235.0, + 1269.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 446.0, + 352.0, + 503.0, + 539.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 173.0, + 179.0, + 597.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 613.0, + 127.0, + 1269.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 413.0, + 245.0, + 748.0, + 495.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cat", + "bbox": [ + 155.0, + 74.0, + 490.0, + 485.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3932ad4bd" + }, + "d3987b2930": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 574.0, + 143.0, + 779.0, + 475.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 622.0, + 445.0, + 677.0, + 488.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 601.0, + 236.0, + 735.0, + 484.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 658.0, + 445.0, + 720.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 635.0, + 168.0, + 856.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 658.0, + 436.0, + 810.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 548.0, + 256.0, + 859.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 690.0, + 576.0, + 864.0, + 623.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3987b2930" + }, + "d39934abe3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 494.0, + 237.0, + 1011.0, + 696.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 496.0, + 242.0, + 1007.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 496.0, + 238.0, + 1007.0, + 701.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 454.0, + 188.0, + 997.0, + 700.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d39934abe3" + }, + "d3ae1c3f4c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 106.0, + 123.0, + 536.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 256.0, + 168.0, + 790.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 268.0, + 208.0, + 646.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 377.0, + 243.0, + 913.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 401.0, + 221.0, + 821.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 535.0, + 215.0, + 1045.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 261.0, + 177.0, + 612.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 389.0, + 230.0, + 879.0, + 565.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3ae1c3f4c" + }, + "d3b088e593": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 223.0, + 128.0, + 616.0, + 305.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 737.0, + 360.0, + 950.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 151.0, + 144.0, + 620.0, + 324.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 661.0, + 377.0, + 951.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 197.0, + 152.0, + 669.0, + 336.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 600.0, + 389.0, + 952.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 200.0, + 137.0, + 642.0, + 324.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 572.0, + 376.0, + 951.0, + 588.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3b088e593" + }, + "d3e6e05e16": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1275.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3e6e05e16" + }, + "d3eefae7c5": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 65.0, + 1215.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 14.0, + 64.0, + 1229.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 50.0, + 65.0, + 1151.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 8.0, + 69.0, + 1117.0, + 583.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3eefae7c5" + }, + "d3f55f5ab8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 227.0, + 40.0, + 696.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 437.0, + 236.0, + 780.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1113.0, + 218.0, + 1151.0, + 340.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 1128.0, + 325.0, + 1153.0, + 346.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1025.0, + 277.0, + 1062.0, + 357.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 1040.0, + 330.0, + 1065.0, + 361.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 855.0, + 187.0, + 995.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 905.0, + 479.0, + 996.0, + 534.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3f55f5ab8" + }, + "d3f5c309cc": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 238.0, + 588.0, + 445.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 500.0, + 215.0, + 601.0, + 306.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 448.0, + 206.0, + 541.0, + 281.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 315.0, + 167.0, + 397.0, + 279.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d3f5c309cc" + }, + "d4034a7fdf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 121.0, + 179.0, + 717.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 111.0, + 168.0, + 710.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 137.0, + 173.0, + 720.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 158.0, + 170.0, + 720.0, + 528.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d4034a7fdf" + }, + "d4193011f3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 439.0, + 248.0, + 856.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 452.0, + 251.0, + 957.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 452.0, + 249.0, + 943.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 450.0, + 202.0, + 951.0, + 555.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d4193011f3" + }, + "d429c67630": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 136.0, + 17.0, + 1279.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 170.0, + 0.0, + 1097.0, + 641.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 156.0, + 0.0, + 1157.0, + 641.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 62.0, + 17.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00085", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d429c67630" + }, + "d42c0ff975": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 521.0, + 83.0, + 816.0, + 461.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 581.0, + 105.0, + 888.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 642.0, + 107.0, + 944.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 597.0, + 35.0, + 1088.0, + 427.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d42c0ff975" + }, + "d44a764409": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 151.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 373.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d44a764409" + }, + "d44e6acd1d": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 488.0, + 228.0, + 947.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 481.0, + 245.0, + 972.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 539.0, + 224.0, + 1009.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 549.0, + 178.0, + 1045.0, + 560.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00050", + "00055", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d44e6acd1d" + }, + "d45158c175": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 451.0, + 0.0, + 1054.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 519.0, + 32.0, + 1109.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 517.0, + 130.0, + 1105.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 513.0, + 102.0, + 1105.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d45158c175" + }, + "d454e8444f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 614.0, + 358.0, + 738.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 400.0, + 359.0, + 508.0, + 492.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 813.0, + 496.0, + 1076.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 579.0, + 411.0, + 660.0, + 497.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 367.0, + 412.0, + 478.0, + 518.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 648.0, + 543.0, + 950.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 560.0, + 425.0, + 644.0, + 523.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 361.0, + 415.0, + 476.0, + 494.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 633.0, + 516.0, + 968.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 562.0, + 426.0, + 634.0, + 526.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 343.0, + 415.0, + 476.0, + 503.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 587.0, + 537.0, + 892.0, + 666.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d454e8444f" + }, + "d45f62717e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 142.0, + 419.0, + 530.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 505.0, + 0.0, + 923.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 245.0, + 478.0, + 562.0, + 709.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 443.0, + 0.0, + 826.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 273.0, + 490.0, + 514.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 396.0, + 0.0, + 827.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 287.0, + 395.0, + 574.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 417.0, + 0.0, + 940.0, + 558.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d45f62717e" + }, + "d48ebdcf74": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 383.0, + 264.0, + 878.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 462.0, + 181.0, + 693.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 467.0, + 214.0, + 703.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 182.0, + 260.0, + 749.0, + 671.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d48ebdcf74" + }, + "d49ab52a25": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 140.0, + 664.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 475.0, + 298.0, + 654.0, + 667.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 738.0, + 236.0, + 841.0, + 432.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 539.0, + 148.0, + 670.0, + 456.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 459.0, + 295.0, + 656.0, + 629.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 754.0, + 239.0, + 838.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 565.0, + 158.0, + 676.0, + 462.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 519.0, + 299.0, + 667.0, + 629.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 759.0, + 246.0, + 846.0, + 412.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 585.0, + 188.0, + 705.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 541.0, + 322.0, + 679.0, + 615.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 735.0, + 248.0, + 852.0, + 413.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d49ab52a25" + }, + "d4a607ad81": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 285.0, + 200.0, + 642.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 261.0, + 196.0, + 662.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 253.0, + 197.0, + 674.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 248.0, + 195.0, + 685.0, + 444.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d4a607ad81" + }, + "d4b063c7db": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 127.0, + 16.0, + 784.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 877.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 71.0, + 0.0, + 804.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 175.0, + 9.0, + 857.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d4b063c7db" + }, + "d4da13e9ba": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 464.0, + 270.0, + 736.0, + 503.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 677.0, + 218.0, + 857.0, + 385.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 489.0, + 324.0, + 774.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 672.0, + 277.0, + 839.0, + 402.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 500.0, + 319.0, + 847.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 615.0, + 267.0, + 754.0, + 340.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 448.0, + 341.0, + 847.0, + 583.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 468.0, + 278.0, + 577.0, + 437.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d4da13e9ba" + }, + "d4dd1a7d00": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 743.0, + 486.0, + 891.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 338.0, + 87.0, + 854.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 740.0, + 484.0, + 887.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 332.0, + 81.0, + 856.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 773.0, + 458.0, + 920.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 365.0, + 60.0, + 868.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 747.0, + 460.0, + 896.0, + 707.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 333.0, + 57.0, + 846.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d4dd1a7d00" + }, + "d4f4f7c9c3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 630.0, + 31.0, + 828.0, + 189.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 462.0, + 130.0, + 1059.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 167.0, + 502.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 649.0, + 18.0, + 848.0, + 174.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 468.0, + 131.0, + 1064.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 2.0, + 189.0, + 474.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 680.0, + 0.0, + 889.0, + 148.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 533.0, + 107.0, + 1093.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 239.0, + 504.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 773.0, + 0.0, + 999.0, + 125.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 576.0, + 90.0, + 1183.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 10.0, + 215.0, + 542.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d4f4f7c9c3" + }, + "d521aba02e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 438.0, + 164.0, + 738.0, + 567.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 289.0, + 136.0, + 656.0, + 682.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 224.0, + 130.0, + 612.0, + 679.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 158.0, + 25.0, + 588.0, + 642.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d521aba02e" + }, + "d535bb1b97": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "motorbike", + "bbox": [ + 85.0, + 436.0, + 927.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "motorbike", + "bbox": [ + 82.0, + 454.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": {} + }, + { + "1": {}, + "2": {} + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d535bb1b97" + }, + "d53b955f78": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 467.0, + 147.0, + 1097.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 472.0, + 123.0, + 771.0, + 194.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1075.0, + 364.0, + 1171.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 510.0, + 152.0, + 1071.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 654.0, + 166.0, + 745.0, + 207.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1132.0, + 321.0, + 1279.0, + 479.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 556.0, + 140.0, + 1045.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 1019.0, + 230.0, + 1128.0, + 303.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 583.0, + 121.0, + 1031.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 998.0, + 223.0, + 1231.0, + 305.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d53b955f78" + }, + "d55cb7a205": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 641.0, + 111.0, + 725.0, + 362.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 502.0, + 181.0, + 879.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 625.0, + 174.0, + 715.0, + 412.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 506.0, + 259.0, + 903.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 584.0, + 160.0, + 665.0, + 386.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 461.0, + 241.0, + 830.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 600.0, + 91.0, + 674.0, + 311.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 481.0, + 161.0, + 827.0, + 427.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d55cb7a205" + }, + "d55f247a45": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 481.0, + 159.0, + 638.0, + 549.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 477.0, + 359.0, + 652.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 501.0, + 275.0, + 678.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 551.0, + 287.0, + 753.0, + 684.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d55f247a45" + }, + "d5695544d8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 37.0, + 179.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 175.0, + 145.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 423.0, + 187.0, + 1036.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 487.0, + 133.0, + 932.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d5695544d8" + }, + "d5853d9b8b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 149.0, + 496.0, + 946.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 161.0, + 224.0, + 618.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 158.0, + 510.0, + 981.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 160.0, + 143.0, + 816.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 355.0, + 504.0, + 906.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 162.0, + 173.0, + 931.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 270.0, + 511.0, + 827.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 163.0, + 138.0, + 877.0, + 543.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d5853d9b8b" + }, + "d5b6c6d94a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1219.0, + 223.0, + 1264.0, + 313.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1226.0, + 217.0, + 1266.0, + 311.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 112.0, + 225.0, + 164.0, + 275.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1194.0, + 228.0, + 1235.0, + 319.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 81.0, + 233.0, + 102.0, + 246.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1192.0, + 232.0, + 1232.0, + 322.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 6.0, + 232.0, + 47.0, + 394.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 60.0, + 231.0, + 109.0, + 296.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00125", + "00135", + "00165", + "00180" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d5b6c6d94a" + }, + "d5cae12834": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 696.0, + 495.0, + 748.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 688.0, + 501.0, + 732.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 499.0, + 551.0, + 555.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 322.0, + 426.0, + 359.0, + 460.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d5cae12834" + }, + "d5df027f0c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 751.0, + 435.0, + 811.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 359.0, + 335.0, + 801.0, + 644.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 540.0, + 58.0, + 889.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 768.0, + 461.0, + 826.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 366.0, + 347.0, + 812.0, + 651.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 540.0, + 57.0, + 893.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 472.0, + 391.0, + 505.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 330.0, + 323.0, + 741.0, + 682.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 479.0, + 62.0, + 853.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 799.0, + 422.0, + 863.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 342.0, + 343.0, + 804.0, + 622.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 536.0, + 58.0, + 909.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00070", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d5df027f0c" + }, + "d5ee40e5d0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 455.0, + 364.0, + 478.0, + 380.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 431.0, + 248.0, + 485.0, + 365.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 152.0, + 272.0, + 193.0, + 374.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 662.0, + 358.0, + 769.0, + 441.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 519.0, + 102.0, + 735.0, + 439.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 759.0, + 311.0, + 862.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 570.0, + 79.0, + 844.0, + 435.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 529.0, + 654.0, + 716.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 521.0, + 19.0, + 852.0, + 707.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d5ee40e5d0" + }, + "d600046f73": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 232.0, + 300.0, + 596.0, + 437.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 235.0, + 0.0, + 691.0, + 317.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 479.0, + 331.0, + 648.0, + 463.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 120.0, + 0.0, + 767.0, + 326.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 484.0, + 360.0, + 855.0, + 476.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 786.0, + 314.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 251.0, + 430.0, + 862.0, + 576.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 0.0, + 0.0, + 632.0, + 371.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d600046f73" + }, + "d632fd3510": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 277.0, + 245.0, + 733.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 353.0, + 291.0, + 702.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 392.0, + 251.0, + 568.0, + 300.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 533.0, + 227.0, + 626.0, + 307.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d632fd3510" + }, + "d6476cad55": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 703.0, + 0.0, + 989.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 597.0, + 391.0, + 766.0, + 528.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 746.0, + 1.0, + 989.0, + 402.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 589.0, + 355.0, + 757.0, + 545.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 760.0, + 57.0, + 1013.0, + 582.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 622.0, + 416.0, + 799.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 739.0, + 39.0, + 1057.0, + 462.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 625.0, + 408.0, + 838.0, + 698.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6476cad55" + }, + "d65a7bae86": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 513.0, + 58.0, + 1105.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 726.0, + 494.0, + 1079.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 352.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 677.0, + 446.0, + 1180.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 310.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 580.0, + 410.0, + 1012.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 377.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 466.0, + 348.0, + 773.0, + 614.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d65a7bae86" + }, + "d664c89912": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 199.0, + 86.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 149.0, + 90.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 23.0, + 68.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 67.0, + 94.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d664c89912" + }, + "d689658f06": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parachute", + "bbox": [ + 556.0, + 0.0, + 1131.0, + 111.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 556.0, + 0.0, + 1279.0, + 96.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 552.0, + 0.0, + 771.0, + 85.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parachute", + "bbox": [ + 558.0, + 0.0, + 787.0, + 93.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d689658f06" + }, + "d6917db4be": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 588.0, + 481.0, + 717.0, + 602.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 571.0, + 484.0, + 698.0, + 601.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6917db4be" + }, + "d69967143e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 395.0, + 390.0, + 1028.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 546.0, + 305.0, + 924.0, + 600.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 440.0, + 468.0, + 1007.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 449.0, + 332.0, + 1182.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 682.0, + 321.0, + 1110.0, + 677.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 473.0, + 525.0, + 1210.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 483.0, + 189.0, + 1266.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 648.0, + 268.0, + 1134.0, + 595.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 515.0, + 492.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 515.0, + 190.0, + 1154.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 678.0, + 328.0, + 1166.0, + 610.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 523.0, + 526.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00155", + "00185", + "00200", + "00210" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d69967143e" + }, + "d699d3d798": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 1006.0, + 162.0, + 1210.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 768.0, + 77.0, + 1025.0, + 506.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 626.0, + 162.0, + 837.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 1010.0, + 154.0, + 1229.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 805.0, + 88.0, + 1034.0, + 508.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 657.0, + 164.0, + 850.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 1005.0, + 155.0, + 1238.0, + 484.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 803.0, + 89.0, + 1031.0, + 505.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 700.0, + 169.0, + 862.0, + 485.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 1090.0, + 161.0, + 1252.0, + 455.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 1070.0, + 154.0, + 1279.0, + 476.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 834.0, + 95.0, + 1037.0, + 479.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d699d3d798" + }, + "d69f757a3f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 188.0, + 354.0, + 812.0, + 694.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 959.0, + 44.0, + 1279.0, + 188.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 525.0, + 545.0, + 705.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 212.0, + 123.0, + 472.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 46.0, + 106.0, + 215.0, + 521.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 224.0, + 42.0, + 402.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d69f757a3f" + }, + "d6ac0e065c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 602.0, + 258.0, + 1165.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 805.0, + 264.0, + 1279.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 428.0, + 258.0, + 991.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 368.0, + 244.0, + 917.0, + 477.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6ac0e065c" + }, + "d6c02bfda5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 361.0, + 11.0, + 957.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 402.0, + 136.0, + 735.0, + 526.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 317.0, + 50.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 497.0, + 86.0, + 902.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 634.0, + 162.0, + 937.0, + 556.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 84.0, + 231.0, + 256.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 458.0, + 77.0, + 638.0, + 642.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 623.0, + 102.0, + 920.0, + 498.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 138.0, + 159.0, + 234.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 438.0, + 106.0, + 645.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 607.0, + 96.0, + 897.0, + 493.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giraffe", + "bbox": [ + 132.0, + 155.0, + 212.0, + 411.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00080", + "00110", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6c02bfda5" + }, + "d6c1b5749e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 349.0, + 785.0, + 696.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 226.0, + 314.0, + 807.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 196.0, + 360.0, + 771.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 208.0, + 187.0, + 777.0, + 630.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6c1b5749e" + }, + "d6e12ef6cc": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 524.0, + 195.0, + 793.0, + 326.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 671.0, + 283.0, + 791.0, + 356.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 521.0, + 202.0, + 789.0, + 339.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 657.0, + 296.0, + 779.0, + 370.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 555.0, + 238.0, + 804.0, + 374.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 655.0, + 370.0, + 768.0, + 390.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 560.0, + 241.0, + 762.0, + 370.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 637.0, + 363.0, + 747.0, + 401.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6e12ef6cc" + }, + "d6eed152c4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 390.0, + 0.0, + 1091.0, + 418.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 484.0, + 0.0, + 1113.0, + 358.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 438.0, + 128.0, + 986.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 412.0, + 25.0, + 1085.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 476.0, + 0.0, + 1115.0, + 484.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 392.0, + 0.0, + 1152.0, + 366.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 432.0, + 0.0, + 1035.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 382.0, + 0.0, + 1065.0, + 472.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6eed152c4" + }, + "d6faaaf726": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 520.0, + 271.0, + 661.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 399.0, + 198.0, + 560.0, + 340.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 294.0, + 304.0, + 479.0, + 416.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 197.0, + 367.0, + 397.0, + 455.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d6faaaf726" + }, + "d704766646": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 1172.0, + 276.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 12.0, + 1052.0, + 257.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 25.0, + 1136.0, + 322.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 92.0, + 1162.0, + 322.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d704766646" + }, + "d708e1350c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 460.0, + 197.0, + 778.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 407.0, + 300.0, + 678.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 394.0, + 339.0, + 759.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 365.0, + 359.0, + 769.0, + 580.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d708e1350c" + }, + "d7135cf104": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 46.0, + 745.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 16.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 61.0, + 878.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 89.0, + 674.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7135cf104" + }, + "d719cf9316": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 298.0, + 102.0, + 787.0, + 655.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 858.0, + 375.0, + 1069.0, + 547.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 60.0, + 411.0, + 298.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 366.0, + 130.0, + 839.0, + 663.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 907.0, + 390.0, + 1120.0, + 565.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 116.0, + 427.0, + 351.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 490.0, + 131.0, + 923.0, + 635.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1009.0, + 375.0, + 1223.0, + 549.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 213.0, + 410.0, + 448.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 426.0, + 77.0, + 824.0, + 536.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 922.0, + 303.0, + 1133.0, + 475.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 126.0, + 339.0, + 364.0, + 459.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00185", + "00195", + "00215", + "00240" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d719cf9316" + }, + "d724134cfd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 805.0, + 338.0, + 878.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 730.0, + 450.0, + 834.0, + 460.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 433.0, + 764.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 526.0, + 541.0, + 703.0, + 588.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d724134cfd" + }, + "d73a60a244": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 402.0, + 135.0, + 1031.0, + 381.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 361.0, + 266.0, + 562.0, + 364.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 401.0, + 125.0, + 1077.0, + 359.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 351.0, + 245.0, + 568.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 411.0, + 109.0, + 1060.0, + 338.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 355.0, + 224.0, + 575.0, + 323.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 463.0, + 110.0, + 1111.0, + 363.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 410.0, + 219.0, + 622.0, + 322.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00090", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d73a60a244" + }, + "d7411662da": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 987.0, + 213.0, + 1097.0, + 355.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 736.0, + 175.0, + 1011.0, + 429.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 416.0, + 9.0, + 573.0, + 260.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 446.0, + 238.0, + 517.0, + 346.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7411662da" + }, + "d74875ea7c": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 432.0, + 60.0, + 1141.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 276.0, + 529.0, + 312.0, + 570.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 289.0, + 540.0, + 347.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 376.0, + 26.0, + 1135.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 277.0, + 518.0, + 314.0, + 559.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 290.0, + 528.0, + 350.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 406.0, + 78.0, + 1129.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 284.0, + 518.0, + 318.0, + 559.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 296.0, + 529.0, + 354.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 270.0, + 73.0, + 1081.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 269.0, + 531.0, + 304.0, + 572.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 280.0, + 539.0, + 339.0, + 614.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d74875ea7c" + }, + "d756f5a694": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 22.0, + 47.0, + 1103.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 199.0, + 145.0, + 590.0, + 444.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 0.0, + 395.0, + 418.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 738.0, + 1.0, + 1065.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 46.0, + 31.0, + 468.0, + 356.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 0.0, + 306.0, + 360.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 973.0, + 71.0, + 1275.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 116.0, + 29.0, + 434.0, + 469.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 134.0, + 341.0, + 503.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 376.0, + 296.0, + 977.0, + 640.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 1079.0, + 459.0, + 1277.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d756f5a694" + }, + "d7572b7d8a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 487.0, + 280.0, + 633.0, + 511.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 453.0, + 477.0, + 583.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 531.0, + 196.0, + 713.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 536.0, + 519.0, + 679.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 481.0, + 241.0, + 625.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 473.0, + 462.0, + 559.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 508.0, + 200.0, + 657.0, + 435.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 534.0, + 421.0, + 683.0, + 453.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7572b7d8a" + }, + "d763bd6d96": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 48.0, + 331.0, + 803.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 174.0, + 364.0, + 919.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 241.0, + 342.0, + 940.0, + 661.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 263.0, + 342.0, + 944.0, + 663.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d763bd6d96" + }, + "d7697c8b13": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 299.0, + 266.0, + 543.0, + 673.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 311.0, + 148.0, + 461.0, + 490.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 804.0, + 183.0, + 1043.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 253.0, + 222.0, + 465.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 461.0, + 123.0, + 705.0, + 433.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 762.0, + 118.0, + 911.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 228.0, + 201.0, + 432.0, + 564.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 513.0, + 138.0, + 699.0, + 436.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 770.0, + 130.0, + 916.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 277.0, + 175.0, + 473.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 521.0, + 169.0, + 703.0, + 416.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 782.0, + 132.0, + 934.0, + 538.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7697c8b13" + }, + "d7797196b4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 383.0, + 556.0, + 934.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 343.0, + 533.0, + 629.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 351.0, + 477.0, + 668.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 367.0, + 451.0, + 956.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7797196b4" + }, + "d79c834768": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 676.0, + 197.0, + 965.0, + 612.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 699.0, + 564.0, + 978.0, + 641.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 514.0, + 102.0, + 880.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 526.0, + 599.0, + 818.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 609.0, + 169.0, + 863.0, + 548.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 622.0, + 514.0, + 890.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 548.0, + 61.0, + 784.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 562.0, + 462.0, + 721.0, + 557.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d79c834768" + }, + "d7b34e5d73": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 325.0, + 1.0, + 537.0, + 396.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 239.0, + 2.0, + 423.0, + 240.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 833.0, + 193.0, + 1204.0, + 715.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 9.0, + 3.0, + 238.0, + 258.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 573.0, + 119.0, + 1012.0, + 470.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 373.0, + 345.0, + 1098.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 83.0, + 59.0, + 322.0, + 397.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 424.0, + 218.0, + 947.0, + 568.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 702.0, + 140.0, + 1249.0, + 715.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7b34e5d73" + }, + "d7bb6b37a7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 200.0, + 350.0, + 675.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 198.0, + 248.0, + 748.0, + 689.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 202.0, + 160.0, + 1079.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 197.0, + 112.0, + 1222.0, + 679.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7bb6b37a7" + }, + "d7c7e064a6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 0.0, + 952.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 75.0, + 0.0, + 964.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 91.0, + 0.0, + 988.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 105.0, + 0.0, + 1008.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7c7e064a6" + }, + "d7fbf545b3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 694.0, + 294.0, + 763.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 600.0, + 270.0, + 718.0, + 416.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 585.0, + 301.0, + 739.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 573.0, + 262.0, + 732.0, + 410.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d7fbf545b3" + }, + "d82a0aa15b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 601.0, + 131.0, + 1058.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 463.0, + 140.0, + 1068.0, + 444.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 358.0, + 116.0, + 960.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 304.0, + 197.0, + 996.0, + 512.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d82a0aa15b" + }, + "d847e24abd": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 394.0, + 305.0, + 514.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 443.0, + 321.0, + 588.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 450.0, + 448.0, + 504.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 487.0, + 468.0, + 539.0, + 536.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 457.0, + 435.0, + 542.0, + 508.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 518.0, + 455.0, + 577.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 466.0, + 422.0, + 530.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 508.0, + 438.0, + 558.0, + 497.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d847e24abd" + }, + "d8596701b7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 624.0, + 241.0, + 783.0, + 449.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 466.0, + 588.0, + 627.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 571.0, + 389.0, + 643.0, + 536.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 568.0, + 606.0, + 678.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 319.0, + 256.0, + 388.0, + 421.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 709.0, + 229.0, + 800.0, + 387.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d8596701b7" + }, + "d86101499c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 396.0, + 70.0, + 720.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 704.0, + 405.0, + 898.0, + 706.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 796.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 313.0, + 20.0, + 709.0, + 407.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 631.0, + 421.0, + 902.0, + 685.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 801.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 309.0, + 10.0, + 788.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 643.0, + 424.0, + 891.0, + 675.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 897.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 90.0, + 0.0, + 662.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 648.0, + 408.0, + 893.0, + 680.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 831.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d86101499c" + }, + "d87069ba86": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 392.0, + 166.0, + 1279.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 306.0, + 199.0, + 1279.0, + 701.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 462.0, + 272.0, + 1089.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d87069ba86" + }, + "d87160957b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 532.0, + 381.0, + 781.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 723.0, + 323.0, + 955.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 538.0, + 396.0, + 787.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 602.0, + 301.0, + 1005.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 584.0, + 353.0, + 863.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 681.0, + 237.0, + 1074.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 711.0, + 395.0, + 881.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lizard", + "bbox": [ + 639.0, + 281.0, + 1089.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d87160957b" + }, + "d874654b52": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 860.0, + 227.0, + 1120.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 269.0, + 133.0, + 442.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 512.0, + 107.0, + 706.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 511.0, + 55.0, + 770.0, + 394.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 461.0, + 0.0, + 679.0, + 299.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d874654b52" + }, + "d88a403092": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 454.0, + 0.0, + 1061.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 636.0, + 97.0, + 1279.0, + 609.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 416.0, + 11.0, + 1099.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 584.0, + 77.0, + 1279.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 392.0, + 79.0, + 1125.0, + 505.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 580.0, + 55.0, + 1273.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 260.0, + 0.0, + 1101.0, + 511.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 656.0, + 80.0, + 1279.0, + 546.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d88a403092" + }, + "d8aee40f3f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 104.0, + 397.0, + 902.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 199.0, + 402.0, + 906.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 157.0, + 179.0, + 1012.0, + 330.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 552.0, + 262.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d8aee40f3f" + }, + "d8e77a222d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "paddle", + "bbox": [ + 455.0, + 533.0, + 498.0, + 644.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 838.0, + 518.0, + 888.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 210.0, + 196.0, + 429.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 916.0, + 302.0, + 1088.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 203.0, + 248.0, + 602.0, + 703.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 793.0, + 264.0, + 1165.0, + 690.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "paddle", + "bbox": [ + 230.0, + 277.0, + 644.0, + 678.0 + ], + "valid": 1 + }, + "2": { + "category_name": "paddle", + "bbox": [ + 763.0, + 277.0, + 1136.0, + 657.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d8e77a222d" + }, + "d8eb07c381": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 572.0, + 28.0, + 869.0, + 583.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 466.0, + 0.0, + 789.0, + 423.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 212.0, + 303.0, + 629.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 472.0, + 0.0, + 835.0, + 646.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 608.0, + 623.0, + 733.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 392.0, + 6.0, + 795.0, + 663.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 254.0, + 548.0, + 432.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d8eb07c381" + }, + "d9010348a1": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 122.0, + 387.0, + 1193.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 242.0, + 1187.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 247.0, + 1115.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 130.0, + 1128.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d9010348a1" + }, + "d90e3cf281": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 43.0, + 126.0, + 1216.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 426.0, + 1279.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 46.0, + 203.0, + 1206.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 475.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 42.0, + 196.0, + 1202.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 460.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 62.0, + 219.0, + 1200.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 494.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d90e3cf281" + }, + "d92532c7b2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 6.0, + 47.0, + 389.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 228.0, + 84.0, + 1026.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 118.0, + 0.0, + 653.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 517.0, + 0.0, + 1043.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 48.0, + 0.0, + 833.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 686.0, + 0.0, + 1226.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 1031.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 67.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d92532c7b2" + }, + "d927fae122": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 54.0, + 130.0, + 755.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 225.0, + 196.0, + 1104.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 234.0, + 160.0, + 764.0, + 648.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 188.0, + 250.0, + 986.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 236.0, + 177.0, + 758.0, + 675.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 172.0, + 258.0, + 1025.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 285.0, + 149.0, + 849.0, + 673.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 252.0, + 201.0, + 1085.0, + 684.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d927fae122" + }, + "d95707bca8": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 496.0, + 273.0, + 634.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 433.0, + 158.0, + 623.0, + 364.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 404.0, + 151.0, + 613.0, + 344.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 412.0, + 141.0, + 616.0, + 392.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d95707bca8" + }, + "d973b31c00": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 489.0, + 0.0, + 1268.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 855.0, + 0.0, + 1164.0, + 165.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 281.0, + 0.0, + 936.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 573.0, + 0.0, + 1028.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 1023.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 581.0, + 0.0, + 1279.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 115.0, + 98.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d973b31c00" + }, + "d991cb471d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 286.0, + 63.0, + 915.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 294.0, + 52.0, + 915.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 306.0, + 34.0, + 947.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 272.0, + 89.0, + 881.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d991cb471d" + }, + "d992c69d37": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 327.0, + 8.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 336.0, + 18.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 330.0, + 21.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 335.0, + 31.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d992c69d37" + }, + "d99d770820": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 217.0, + 166.0, + 876.0, + 371.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 154.0, + 113.0, + 829.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 74.0, + 141.0, + 811.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 118.0, + 126.0, + 803.0, + 403.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d99d770820" + }, + "d9b63abc11": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 560.0, + 232.0, + 1029.0, + 695.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 566.0, + 128.0, + 932.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 570.0, + 210.0, + 971.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 577.0, + 63.0, + 881.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 524.0, + 156.0, + 1053.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 533.0, + 69.0, + 987.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 478.0, + 158.0, + 949.0, + 612.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 496.0, + 20.0, + 904.0, + 533.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d9b63abc11" + }, + "d9db6f1983": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 872.0, + 87.0, + 1033.0, + 188.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 814.0, + 68.0, + 949.0, + 193.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 776.0, + 83.0, + 910.0, + 187.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 581.0, + 294.0, + 717.0, + 392.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d9db6f1983" + }, + "d9e52be2d2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 384.0, + 264.0, + 437.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 547.0, + 275.0, + 592.0, + 358.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 700.0, + 276.0, + 736.0, + 382.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 336.0, + 285.0, + 388.0, + 383.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 502.0, + 277.0, + 536.0, + 350.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 655.0, + 278.0, + 693.0, + 387.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 214.0, + 235.0, + 268.0, + 357.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 382.0, + 247.0, + 421.0, + 328.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 548.0, + 254.0, + 585.0, + 360.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 154.0, + 273.0, + 208.0, + 377.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 331.0, + 261.0, + 369.0, + 338.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 494.0, + 270.0, + 541.0, + 378.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d9e52be2d2" + }, + "d9edc82650": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 379.0, + 108.0, + 942.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 381.0, + 120.0, + 951.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 365.0, + 129.0, + 952.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 316.0, + 153.0, + 987.0, + 557.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/d9edc82650" + }, + "da01070697": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 734.0, + 171.0, + 882.0, + 350.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 637.0, + 160.0, + 784.0, + 300.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 252.0, + 175.0, + 397.0, + 322.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 755.0, + 184.0, + 910.0, + 355.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 635.0, + 176.0, + 781.0, + 306.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 357.0, + 161.0, + 502.0, + 311.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 758.0, + 168.0, + 904.0, + 332.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 632.0, + 164.0, + 777.0, + 285.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 394.0, + 173.0, + 536.0, + 324.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 766.0, + 174.0, + 910.0, + 348.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 632.0, + 166.0, + 772.0, + 299.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 480.0, + 168.0, + 628.0, + 288.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da01070697" + }, + "da070ea4b7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 615.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 147.0, + 679.0, + 429.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 615.0, + 165.0, + 1260.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 52.0, + 992.0, + 344.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 551.0, + 201.0, + 1150.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 9.0, + 1121.0, + 338.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 534.0, + 253.0, + 999.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 0.0, + 7.0, + 1279.0, + 378.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da070ea4b7" + }, + "da080507b9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 209.0, + 347.0, + 656.0, + 699.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 203.0, + 26.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 279.0, + 127.0, + 703.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 173.0, + 28.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 279.0, + 156.0, + 874.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 212.0, + 44.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 57.0, + 111.0, + 924.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 156.0, + 44.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da080507b9" + }, + "da0e944cc4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 340.0, + 8.0, + 904.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 205.0, + 0.0, + 889.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 272.0, + 25.0, + 939.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 433.0, + 324.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da0e944cc4" + }, + "da28d94ff4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 12.0, + 0.0, + 207.0, + 306.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 157.0, + 203.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 824.0, + 0.0, + 1086.0, + 279.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 22.0, + 1.0, + 213.0, + 292.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 163.0, + 195.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 832.0, + 0.0, + 1096.0, + 269.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 11.0, + 0.0, + 191.0, + 249.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 129.0, + 151.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 813.0, + 0.0, + 1075.0, + 228.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 24.0, + 0.0, + 203.0, + 251.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 143.0, + 151.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 827.0, + 0.0, + 1089.0, + 231.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da28d94ff4" + }, + "da5d78b9d1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 416.0, + 107.0, + 806.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 412.0, + 145.0, + 806.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 370.0, + 114.0, + 785.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 375.0, + 122.0, + 786.0, + 532.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da5d78b9d1" + }, + "da6003fc72": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 1010.0, + 423.0, + 1115.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 531.0, + 641.0, + 653.0, + 682.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 545.0, + 141.0, + 784.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 874.0, + 367.0, + 984.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 508.0, + 435.0, + 562.0, + 641.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 505.0, + 98.0, + 761.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 308.0, + 411.0, + 577.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 323.0, + 465.0, + 538.0, + 707.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 492.0, + 72.0, + 817.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 423.0, + 259.0, + 694.0, + 538.0 + ], + "valid": 1 + }, + "2": { + "category_name": "lion", + "bbox": [ + 586.0, + 384.0, + 686.0, + 616.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 607.0, + 24.0, + 947.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da6003fc72" + }, + "da690fee9f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 5.0, + 93.0, + 776.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 3.0, + 784.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 840.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 770.0, + 517.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da690fee9f" + }, + "da6c68708f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 508.0, + 290.0, + 581.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 375.0, + 223.0, + 530.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 310.0, + 142.0, + 415.0, + 305.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 401.0, + 243.0, + 768.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 453.0, + 225.0, + 592.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 272.0, + 240.0, + 412.0, + 353.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 401.0, + 256.0, + 700.0, + 662.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da6c68708f" + }, + "da7a816676": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 137.0, + 822.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 782.0, + 51.0, + 1268.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 499.0, + 145.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 654.0, + 76.0, + 1178.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 590.0, + 59.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 603.0, + 0.0, + 1162.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 213.0, + 612.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/da7a816676" + }, + "dac361e828": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 71.0, + 285.0, + 550.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1206.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 488.0, + 91.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 375.0, + 391.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 26.0, + 85.0, + 883.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 424.0, + 121.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 524.0, + 177.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 174.0, + 0.0, + 993.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 360.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 5.0, + 553.0, + 250.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "airplane", + "bbox": [ + 0.0, + 368.0, + 237.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 367.0, + 104.0, + 594.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 479.0, + 0.0, + 984.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 167.0, + 140.0, + 349.0, + 427.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 0.0, + 147.0, + 194.0, + 351.0 + ], + "valid": 1 + }, + "5": { + "category_name": "airplane", + "bbox": [ + 0.0, + 178.0, + 989.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dac361e828" + }, + "dac71659b8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 331.0, + 255.0, + 451.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 489.0, + 244.0, + 657.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 607.0, + 263.0, + 660.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 603.0, + 321.0, + 689.0, + 524.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00090", + "00110", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dac71659b8" + }, + "dad980385d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 175.0, + 272.0, + 841.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 261.0, + 112.0, + 959.0, + 384.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 276.0, + 122.0, + 959.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 75.0, + 204.0, + 774.0, + 544.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00150", + "00170", + "00200", + "00215" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dad980385d" + }, + "daebc12b77": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 520.0, + 227.0, + 656.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 528.0, + 225.0, + 660.0, + 410.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 524.0, + 227.0, + 659.0, + 403.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 521.0, + 221.0, + 657.0, + 403.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00070", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/daebc12b77" + }, + "db0968cdd3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 566.0, + 422.0, + 1207.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 529.0, + 312.0, + 975.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 529.0, + 295.0, + 824.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 468.0, + 349.0, + 815.0, + 713.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/db0968cdd3" + }, + "db231a7100": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 261.0, + 264.0, + 856.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 261.0, + 264.0, + 864.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 257.0, + 264.0, + 887.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 248.0, + 249.0, + 940.0, + 512.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/db231a7100" + }, + "db59282ace": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 730.0, + 216.0, + 775.0, + 325.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 704.0, + 272.0, + 800.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 753.0, + 207.0, + 833.0, + 334.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 767.0, + 270.0, + 819.0, + 392.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 768.0, + 208.0, + 835.0, + 343.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 767.0, + 277.0, + 833.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 759.0, + 212.0, + 833.0, + 353.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 729.0, + 284.0, + 869.0, + 416.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/db59282ace" + }, + "db7f267c3f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 345.0, + 261.0, + 582.0, + 402.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 352.0, + 265.0, + 583.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 354.0, + 264.0, + 579.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 352.0, + 265.0, + 588.0, + 404.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/db7f267c3f" + }, + "dba35b87fd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 887.0, + 497.0, + 917.0, + 571.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 766.0, + 441.0, + 817.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 601.0, + 444.0, + 655.0, + 601.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 613.0, + 521.0, + 663.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 479.0, + 497.0, + 529.0, + 574.0 + ], + "valid": 1 + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00060", + "00075", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dba35b87fd" + }, + "dbba735a50": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1132.0, + 306.0, + 1198.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 660.0, + 263.0, + 695.0, + 385.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 703.0, + 251.0, + 749.0, + 306.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 792.0, + 330.0, + 855.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 597.0, + 283.0, + 634.0, + 402.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 643.0, + 270.0, + 693.0, + 324.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 703.0, + 451.0, + 778.0, + 668.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 622.0, + 390.0, + 660.0, + 507.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 668.0, + 376.0, + 720.0, + 432.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 507.0, + 273.0, + 575.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 596.0, + 217.0, + 639.0, + 329.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 652.0, + 205.0, + 704.0, + 260.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dbba735a50" + }, + "dbca076acd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 634.0, + 0.0, + 855.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 634.0, + 0.0, + 859.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 596.0, + 0.0, + 847.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 606.0, + 0.0, + 839.0, + 473.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dbca076acd" + }, + "dbd66dc3ac": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 365.0, + 0.0, + 924.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 373.0, + 0.0, + 916.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 420.0, + 0.0, + 955.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 471.0, + 0.0, + 959.0, + 552.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dbd66dc3ac" + }, + "dbdc3c292b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 296.0, + 313.0, + 969.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 300.0, + 315.0, + 825.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 186.0, + 350.0, + 616.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 176.0, + 366.0, + 606.0, + 682.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dbdc3c292b" + }, + "dbf4a5b32b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 751.0, + 384.0, + 842.0, + 471.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 753.0, + 382.0, + 866.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 759.0, + 353.0, + 925.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 888.0, + 271.0, + 1049.0, + 573.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dbf4a5b32b" + }, + "dbfc417d28": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 193.0, + 142.0, + 956.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 156.0, + 287.0, + 837.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 214.0, + 257.0, + 791.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 234.0, + 348.0, + 779.0, + 671.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dbfc417d28" + }, + "dc1745e0a2": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 219.0, + 0.0, + 739.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 227.0, + 0.0, + 755.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 192.0, + 0.0, + 749.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 346.0, + 0.0, + 827.0, + 478.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dc1745e0a2" + }, + "dc32a44804": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 415.0, + 206.0, + 589.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 395.0, + 196.0, + 591.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 417.0, + 215.0, + 611.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 371.0, + 189.0, + 601.0, + 666.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dc32a44804" + }, + "dc34b35e30": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 520.0, + 191.0, + 799.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 548.0, + 176.0, + 841.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 531.0, + 167.0, + 660.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 598.0, + 249.0, + 762.0, + 388.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dc34b35e30" + }, + "dc504a4f79": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 25.0, + 273.0, + 309.0, + 408.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 142.0, + 276.0, + 395.0, + 412.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 154.0, + 267.0, + 353.0, + 413.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 3.0, + 296.0, + 255.0, + 434.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dc504a4f79" + }, + "dc704dd647": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 317.0, + 175.0, + 736.0, + 681.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 928.0, + 236.0, + 1156.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 43.0, + 132.0, + 375.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 954.0, + 174.0, + 1279.0, + 618.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 0.0, + 149.0, + 283.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 918.0, + 145.0, + 1268.0, + 607.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 1154.0, + 473.0, + 1279.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 640.0, + 80.0, + 1016.0, + 493.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 922.0, + 131.0, + 1279.0, + 523.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dc704dd647" + }, + "dc71bc6918": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 560.0, + 306.0, + 679.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 564.0, + 305.0, + 685.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 571.0, + 298.0, + 704.0, + 447.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 572.0, + 297.0, + 722.0, + 457.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dc71bc6918" + }, + "dc7771b3be": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 494.0, + 361.0, + 679.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 384.0, + 47.0, + 665.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 559.0, + 312.0, + 771.0, + 337.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 417.0, + 4.0, + 641.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 649.0, + 97.0, + 830.0, + 272.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 501.0, + 11.0, + 707.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 592.0, + 104.0, + 737.0, + 297.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 446.0, + 45.0, + 706.0, + 716.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dc7771b3be" + }, + "dcf8c93617": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 580.0, + 553.0, + 999.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 588.0, + 406.0, + 1017.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 559.0, + 153.0, + 981.0, + 640.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 530.0, + 84.0, + 961.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00080", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dcf8c93617" + }, + "dd0f4c9fb9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 459.0, + 393.0, + 757.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 327.0, + 1.0, + 793.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 794.0, + 362.0, + 815.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 756.0, + 259.0, + 819.0, + 373.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 783.0, + 444.0, + 815.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 713.0, + 212.0, + 828.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 626.0, + 567.0, + 834.0, + 639.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 547.0, + 74.0, + 933.0, + 581.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dd0f4c9fb9" + }, + "dd415df125": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 22 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 40.0, + 410.0, + 404.0, + 718.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 560.0, + 379.0, + 881.0, + 530.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frog", + "bbox": [ + 933.0, + 363.0, + 1029.0, + 427.0 + ], + "valid": 1 + }, + "4": { + "category_name": "frog", + "bbox": [ + 304.0, + 365.0, + 420.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 34.0, + 403.0, + 412.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 677.0, + 354.0, + 909.0, + 489.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frog", + "bbox": [ + 875.0, + 335.0, + 919.0, + 354.0 + ], + "valid": 1 + }, + "4": { + "category_name": "frog", + "bbox": [ + 330.0, + 366.0, + 422.0, + 405.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 350.0, + 376.0, + 725.0, + 710.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 751.0, + 391.0, + 911.0, + 466.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frog", + "bbox": [ + 787.0, + 337.0, + 889.0, + 396.0 + ], + "valid": 1 + }, + "4": { + "category_name": "frog", + "bbox": [ + 284.0, + 385.0, + 454.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 446.0, + 438.0, + 821.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 773.0, + 293.0, + 897.0, + 419.0 + ], + "valid": 1 + }, + "3": { + "category_name": "frog", + "bbox": [ + 707.0, + 412.0, + 837.0, + 460.0 + ], + "valid": 1 + }, + "4": { + "category_name": "frog", + "bbox": [ + 280.0, + 429.0, + 462.0, + 557.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00070", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dd415df125" + }, + "dd601f9a3f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 331.0, + 288.0, + 623.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 741.0, + 349.0, + 974.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 313.0, + 350.0, + 644.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 768.0, + 324.0, + 1133.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 353.0, + 273.0, + 662.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 830.0, + 319.0, + 1125.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 283.0, + 327.0, + 601.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 721.0, + 349.0, + 1071.0, + 692.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00075", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dd601f9a3f" + }, + "dd61d903df": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 444.0, + 68.0, + 847.0, + 700.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 466.0, + 80.0, + 849.0, + 701.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 432.0, + 80.0, + 831.0, + 697.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 444.0, + 84.0, + 837.0, + 698.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dd61d903df" + }, + "dd77583736": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 577.0, + 40.0, + 1262.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1226.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 573.0, + 79.0, + 1258.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1210.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 523.0, + 83.0, + 1204.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1192.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 397.0, + 36.0, + 1184.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dd77583736" + }, + "dd8636bd8b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 341.0, + 1124.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 410.0, + 357.0, + 879.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 428.0, + 348.0, + 865.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 423.0, + 362.0, + 837.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dd8636bd8b" + }, + "dd9fe6c6ac": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 567.0, + 58.0, + 878.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 350.0, + 93.0, + 740.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 425.0, + 126.0, + 778.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 478.0, + 0.0, + 1001.0, + 289.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dd9fe6c6ac" + }, + "ddb2da4c14": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 350.0, + 48.0, + 833.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 248.0, + 0.0, + 843.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 382.0, + 124.0, + 765.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 501.0, + 215.0, + 698.0, + 495.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ddb2da4c14" + }, + "ddcd450d47": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 455.0, + 116.0, + 902.0, + 442.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 21.0, + 152.0, + 1188.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 569.0, + 157.0, + 946.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 35.0, + 140.0, + 1208.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 599.0, + 130.0, + 976.0, + 475.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 121.0, + 1196.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 479.0, + 128.0, + 860.0, + 465.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 11.0, + 64.0, + 1188.0, + 673.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ddcd450d47" + }, + "dde8e67fb4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 150.0, + 450.0, + 296.0, + 714.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 988.0, + 642.0, + 1066.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 11.0, + 402.0, + 146.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 798.0, + 613.0, + 926.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 674.0, + 597.0, + 808.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1160.0, + 682.0, + 1228.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 399.0, + 512.0, + 545.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 820.0, + 595.0, + 976.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00110", + "00130", + "00140", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dde8e67fb4" + }, + "ddfc3f04d3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 430.0, + 258.0, + 768.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 434.0, + 264.0, + 768.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 449.0, + 269.0, + 774.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 459.0, + 284.0, + 767.0, + 562.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00045", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ddfc3f04d3" + }, + "de2ab79dfa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 401.0, + 174.0, + 704.0, + 459.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 667.0, + 277.0, + 1002.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 407.0, + 200.0, + 703.0, + 473.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 672.0, + 316.0, + 1005.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 375.0, + 238.0, + 648.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 628.0, + 356.0, + 966.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 381.0, + 247.0, + 699.0, + 521.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hedgehog", + "bbox": [ + 632.0, + 359.0, + 969.0, + 625.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de2ab79dfa" + }, + "de2f35b2fd": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 907.0, + 316.0, + 1033.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 1105.0, + 450.0, + 1279.0, + 624.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1180.0, + 344.0, + 1247.0, + 475.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 870.0, + 320.0, + 977.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 1038.0, + 424.0, + 1279.0, + 599.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1104.0, + 349.0, + 1159.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 947.0, + 298.0, + 1069.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 1120.0, + 417.0, + 1279.0, + 577.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1169.0, + 325.0, + 1235.0, + 441.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 830.0, + 283.0, + 935.0, + 558.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 967.0, + 378.0, + 1254.0, + 553.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1007.0, + 313.0, + 1074.0, + 424.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de2f35b2fd" + }, + "de30990a51": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 606.0, + 233.0, + 955.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 509.0, + 238.0, + 830.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 455.0, + 306.0, + 745.0, + 677.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 548.0, + 170.0, + 812.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 435.0, + 376.0, + 758.0, + 579.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 564.0, + 324.0, + 898.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 434.0, + 404.0, + 731.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 497.0, + 347.0, + 847.0, + 643.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de30990a51" + }, + "de36b216da": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 451.0, + 148.0, + 883.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 491.0, + 127.0, + 1024.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 325.0, + 47.0, + 991.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 365.0, + 11.0, + 969.0, + 685.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de36b216da" + }, + "de37403340": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 287.0, + 754.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 314.0, + 661.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 192.0, + 860.0, + 489.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 148.0, + 796.0, + 578.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de37403340" + }, + "de46e4943b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 516.0, + 0.0, + 863.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 414.0, + 336.0, + 865.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 549.0, + 0.0, + 899.0, + 476.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 453.0, + 382.0, + 918.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 550.0, + 0.0, + 927.0, + 493.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 439.0, + 410.0, + 913.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 391.0, + 0.0, + 956.0, + 354.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 596.0, + 135.0, + 751.0, + 413.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de46e4943b" + }, + "de4ddbccb1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 851.0, + 203.0, + 1010.0, + 453.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 419.0, + 0.0, + 867.0, + 362.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 867.0, + 245.0, + 1051.0, + 461.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 451.0, + 0.0, + 890.0, + 371.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 880.0, + 254.0, + 1192.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 462.0, + 0.0, + 923.0, + 375.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 861.0, + 267.0, + 1172.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 469.0, + 0.0, + 923.0, + 362.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de4ddbccb1" + }, + "de5e480f05": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 569.0, + 159.0, + 1279.0, + 712.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 708.0, + 209.0, + 1224.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 684.0, + 161.0, + 1136.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 708.0, + 296.0, + 1046.0, + 595.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de5e480f05" + }, + "de6a9382ca": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 412.0, + 150.0, + 845.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 380.0, + 209.0, + 817.0, + 660.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 364.0, + 232.0, + 777.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 554.0, + 325.0, + 895.0, + 681.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00060", + "00075", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de6a9382ca" + }, + "de74a601d3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 276.0, + 111.0, + 549.0, + 390.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 630.0, + 0.0, + 1279.0, + 682.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 265.0, + 104.0, + 505.0, + 383.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 495.0, + 0.0, + 1279.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 224.0, + 114.0, + 506.0, + 323.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 815.0, + 0.0, + 1279.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 83.0, + 145.0, + 373.0, + 352.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 619.0, + 0.0, + 1279.0, + 617.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de74a601d3" + }, + "de827c510d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 311.0, + 143.0, + 821.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 736.0, + 239.0, + 996.0, + 710.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 915.0, + 334.0, + 1157.0, + 708.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 642.0, + 191.0, + 859.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 330.0, + 123.0, + 841.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 710.0, + 231.0, + 984.0, + 711.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 900.0, + 316.0, + 1172.0, + 704.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 634.0, + 174.0, + 857.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 328.0, + 155.0, + 845.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 727.0, + 253.0, + 991.0, + 707.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 895.0, + 344.0, + 1159.0, + 707.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 631.0, + 198.0, + 866.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 308.0, + 109.0, + 835.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 796.0, + 286.0, + 1021.0, + 708.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 633.0, + 142.0, + 736.0, + 290.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/de827c510d" + }, + "ded6069f7b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 496.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 599.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 483.0, + 334.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 496.0, + 109.0, + 1203.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ded6069f7b" + }, + "defb71c741": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 435.0, + 237.0, + 692.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 439.0, + 270.0, + 751.0, + 456.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 351.0, + 172.0, + 888.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 273.0, + 0.0, + 1000.0, + 615.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00060", + "00090", + "00105", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/defb71c741" + }, + "df01f277f1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 588.0, + 375.0, + 913.0, + 628.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 804.0, + 518.0, + 1047.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 0.0, + 127.0, + 334.0, + 309.0 + ], + "valid": 1 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 144.0, + 497.0, + 362.0, + 719.0 + ], + "valid": 1 + }, + "5": { + "category_name": "penguin", + "bbox": [ + 40.0, + 344.0, + 298.0, + 653.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 554.0, + 223.0, + 865.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 681.0, + 521.0, + 875.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 0.0, + 148.0, + 174.0, + 305.0 + ], + "valid": 1 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 0.0, + 533.0, + 264.0, + 719.0 + ], + "valid": 1 + }, + "5": { + "category_name": "penguin", + "bbox": [ + 0.0, + 384.0, + 100.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 100.0, + 325.0, + 511.0, + 696.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 238.0, + 581.0, + 398.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 0.0, + 281.0, + 481.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 115.0, + 486.0, + 412.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "5": { + "category_name": "penguin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df01f277f1" + }, + "df05214b82": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 449.0, + 207.0, + 611.0, + 346.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 680.0, + 225.0, + 878.0, + 356.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 443.0, + 176.0, + 642.0, + 324.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 682.0, + 206.0, + 892.0, + 342.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 394.0, + 149.0, + 601.0, + 296.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 616.0, + 175.0, + 850.0, + 322.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 410.0, + 247.0, + 629.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 645.0, + 273.0, + 868.0, + 420.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df05214b82" + }, + "df11931ffe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 416.0, + 101.0, + 642.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 532.0, + 29.0, + 751.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 290.0, + 0.0, + 568.0, + 490.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df11931ffe" + }, + "df1b0e4620": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 3.0, + 97.0, + 414.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 96.0, + 411.0, + 334.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 98.0, + 410.0, + 337.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 1.0, + 97.0, + 411.0, + 334.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df1b0e4620" + }, + "df20a8650d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 1138.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 3.0, + 1152.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 932.0, + 673.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 1.0, + 1240.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df20a8650d" + }, + "df2bc56d7c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 837.0, + 15.0, + 963.0, + 403.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 844.0, + 262.0, + 895.0, + 425.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 584.0, + 42.0, + 839.0, + 558.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 661.0, + 487.0, + 812.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 520.0, + 141.0, + 734.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 574.0, + 487.0, + 636.0, + 570.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 464.0, + 78.0, + 722.0, + 303.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 601.0, + 302.0, + 655.0, + 405.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df2bc56d7c" + }, + "df365282c6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 648.0, + 297.0, + 864.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 384.0, + 139.0, + 1110.0, + 484.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 112.0, + 0.0, + 1279.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1270.0, + 691.0 + ], + "valid": 1 + }, + "2": { + "category_name": "shark", + "bbox": [ + 434.0, + 584.0, + 553.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 240.0, + 292.0, + 637.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df365282c6" + }, + "df39a0d9df": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 1140.0, + 336.0, + 1438.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 216.0, + 177.0, + 336.0, + 283.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1104.0, + 367.0, + 1215.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 1312.0, + 388.0, + 1711.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 252.0, + 389.0, + 370.0, + 482.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1123.0, + 471.0, + 1281.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 358.0, + 404.0, + 490.0, + 509.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1273.0, + 406.0, + 1450.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 265.0, + 407.0, + 418.0, + 528.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1245.0, + 428.0, + 1429.0, + 555.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df39a0d9df" + }, + "df3c430c24": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1017.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1023.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1019.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1013.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df3c430c24" + }, + "df5536cfb9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 670.0, + 127.0, + 1134.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 605.0, + 131.0, + 1136.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 612.0, + 160.0, + 1106.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 573.0, + 218.0, + 1096.0, + 716.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df5536cfb9" + }, + "df59cfd91d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 208.0, + 207.0, + 935.0, + 526.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 178.0, + 317.0, + 339.0, + 413.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1096.0, + 234.0, + 1165.0, + 274.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 199.0, + 235.0, + 936.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 171.0, + 326.0, + 334.0, + 421.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 1094.0, + 278.0, + 1163.0, + 316.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 598.0, + 179.0, + 1262.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 547.0, + 296.0, + 730.0, + 392.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 643.0, + 181.0, + 1279.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 589.0, + 298.0, + 770.0, + 395.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df59cfd91d" + }, + "df5e2152b3": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1075.0, + 330.0, + 1128.0, + 425.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1021.0, + 336.0, + 1096.0, + 439.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 962.0, + 323.0, + 1004.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1096.0, + 336.0, + 1151.0, + 425.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1027.0, + 342.0, + 1079.0, + 435.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 959.0, + 341.0, + 1009.0, + 432.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1079.0, + 314.0, + 1167.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1022.0, + 317.0, + 1095.0, + 443.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 953.0, + 333.0, + 1021.0, + 441.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1103.0, + 315.0, + 1163.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1060.0, + 333.0, + 1115.0, + 432.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 985.0, + 342.0, + 1038.0, + 437.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00020", + "00035", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df5e2152b3" + }, + "df741313c9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 358.0, + 147.0, + 997.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 17.0, + 148.0, + 1077.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 976.0, + 452.0, + 1170.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 466.0, + 134.0, + 1173.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 9.0, + 174.0, + 924.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 484.0, + 222.0, + 1141.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 62.0, + 214.0, + 736.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 452.0, + 274.0, + 1055.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 36.0, + 232.0, + 720.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df741313c9" + }, + "df7626172f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 463.0, + 790.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1087.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 80.0, + 1179.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 444.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 50.0, + 1017.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 690.0, + 284.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 0.0, + 74.0, + 1023.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 708.0, + 315.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df7626172f" + }, + "df8ad5deb9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 442.0, + 0.0, + 713.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 430.0, + 0.0, + 741.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 394.0, + 0.0, + 749.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 302.0, + 28.0, + 957.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df8ad5deb9" + }, + "df96aa609a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 547.0, + 339.0, + 578.0, + 392.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 683.0, + 300.0, + 744.0, + 357.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 721.0, + 261.0, + 809.0, + 385.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 623.0, + 305.0, + 805.0, + 478.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00095", + "00120", + "00140", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df96aa609a" + }, + "df9705605c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 359.0, + 377.0, + 606.0, + 698.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 353.0, + 373.0, + 606.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 177.0, + 367.0, + 597.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 369.0, + 488.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df9705605c" + }, + "df9c91c4da": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 157.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 311.0, + 198.0, + 1236.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 171.0, + 218.0, + 1050.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 218.0, + 11.0, + 929.0, + 699.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/df9c91c4da" + }, + "dfc0d3d27a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 529.0, + 91.0, + 781.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 539.0, + 586.0, + 720.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 612.0, + 243.0, + 771.0, + 554.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 494.0, + 73.0, + 767.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 656.0, + 532.0, + 758.0, + 639.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 602.0, + 277.0, + 789.0, + 643.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 711.0, + 544.0, + 773.0, + 587.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dfc0d3d27a" + }, + "dfdbf91a99": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 247.0, + 363.0, + 1009.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 224.0, + 369.0, + 931.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 296.0, + 304.0, + 1048.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 256.0, + 297.0, + 1007.0, + 530.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/dfdbf91a99" + }, + "e00baaae9b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 427.0, + 77.0, + 854.0, + 648.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 63.0, + 273.0, + 508.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 401.0, + 77.0, + 840.0, + 641.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 58.0, + 268.0, + 547.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 455.0, + 120.0, + 896.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 115.0, + 349.0, + 473.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 401.0, + 186.0, + 850.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 238.0, + 559.0, + 609.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e00baaae9b" + }, + "e0a938c6e7": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 602.0, + 140.0, + 947.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 743.0, + 160.0, + 875.0, + 430.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 800.0, + 167.0, + 1090.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 522.0, + 176.0, + 820.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 626.0, + 169.0, + 732.0, + 399.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 672.0, + 181.0, + 936.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 449.0, + 141.0, + 749.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 549.0, + 147.0, + 653.0, + 376.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 595.0, + 160.0, + 857.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 403.0, + 136.0, + 740.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 520.0, + 152.0, + 645.0, + 390.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 582.0, + 174.0, + 864.0, + 716.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0a938c6e7" + }, + "e0b2ceee6f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 679.0, + 41.0, + 949.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 701.0, + 575.0, + 1054.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 640.0, + 111.0, + 1013.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 685.0, + 549.0, + 969.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 685.0, + 9.0, + 1004.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 586.0, + 593.0, + 987.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 622.0, + 173.0, + 859.0, + 585.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 631.0, + 557.0, + 906.0, + 618.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0b2ceee6f" + }, + "e0be1f6e17": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 295.0, + 211.0, + 1042.0, + 444.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 302.0, + 207.0, + 1003.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 291.0, + 183.0, + 1035.0, + 427.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 25.0, + 195.0, + 1175.0, + 550.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00175", + "00185", + "00205", + "00235" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0be1f6e17" + }, + "e0c478f775": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 361.0, + 141.0, + 912.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 360.0, + 186.0, + 944.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 349.0, + 160.0, + 941.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 332.0, + 190.0, + 932.0, + 605.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0c478f775" + }, + "e0de82caa7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 162.0, + 426.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 204.0, + 104.0, + 592.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 202.0, + 125.0, + 608.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 18.0, + 112.0, + 604.0, + 463.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0de82caa7" + }, + "e0f217dd59": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 424.0, + 267.0, + 798.0, + 525.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 937.0, + 427.0, + 1150.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 493.0, + 320.0, + 807.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 944.0, + 456.0, + 1157.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 320.0, + 277.0, + 680.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 914.0, + 469.0, + 1145.0, + 625.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 3.0, + 373.0, + 219.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 906.0, + 458.0, + 1194.0, + 610.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0f217dd59" + }, + "e0f7208874": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 384.0, + 228.0, + 457.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 308.0, + 224.0, + 386.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 326.0, + 329.0, + 420.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 247.0, + 338.0, + 333.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 289.0, + 258.0, + 362.0, + 466.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 193.0, + 268.0, + 288.0, + 471.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 261.0, + 262.0, + 407.0, + 393.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0f7208874" + }, + "e0fb58395e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 208.0, + 951.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 223.0, + 938.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 247.0, + 992.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 245.0, + 1003.0, + 672.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e0fb58395e" + }, + "e1194c2e9d": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 473.0, + 198.0, + 802.0, + 697.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 503.0, + 181.0, + 815.0, + 694.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 630.0, + 208.0, + 870.0, + 659.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 507.0, + 172.0, + 696.0, + 628.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00020", + "00035", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1194c2e9d" + }, + "e11adcd05d": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 21 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 702.0, + 345.0, + 928.0, + 592.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 631.0, + 295.0, + 824.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 266.0, + 331.0, + 459.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 458.0, + 451.0, + 669.0, + 708.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00075", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e11adcd05d" + }, + "e128124b9d": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1222.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1142.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1180.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e128124b9d" + }, + "e1495354e4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 401.0, + 224.0, + 904.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 417.0, + 192.0, + 884.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 431.0, + 183.0, + 898.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 449.0, + 168.0, + 920.0, + 501.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1495354e4" + }, + "e1561d6d4b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 470.0, + 328.0, + 685.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 757.0, + 93.0, + 1023.0, + 458.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 97.0, + 306.0, + 305.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 518.0, + 345.0, + 721.0, + 510.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 703.0, + 324.0, + 921.0, + 504.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 139.0, + 320.0, + 340.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 530.0, + 319.0, + 731.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 683.0, + 310.0, + 887.0, + 472.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 203.0, + 564.0, + 387.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 518.0, + 305.0, + 729.0, + 466.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 669.0, + 294.0, + 881.0, + 453.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 191.0, + 553.0, + 379.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1561d6d4b" + }, + "e158805399": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 623.0, + 385.0, + 679.0, + 473.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 613.0, + 471.0, + 663.0, + 507.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 549.0, + 432.0, + 806.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 614.0, + 383.0, + 682.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 601.0, + 471.0, + 654.0, + 519.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 542.0, + 433.0, + 802.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 523.0, + 293.0, + 602.0, + 376.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 520.0, + 373.0, + 564.0, + 409.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 455.0, + 342.0, + 717.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 564.0, + 406.0, + 639.0, + 482.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 572.0, + 469.0, + 615.0, + 509.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 524.0, + 440.0, + 787.0, + 510.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e158805399" + }, + "e19edcd34b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 239.0, + 379.0, + 399.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 1104.0, + 401.0, + 1279.0, + 700.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 151.0, + 378.0, + 293.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 876.0, + 382.0, + 1098.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 73.0, + 369.0, + 245.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 800.0, + 397.0, + 994.0, + 674.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 0.0, + 379.0, + 145.0, + 644.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 625.0, + 383.0, + 842.0, + 641.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e19edcd34b" + }, + "e1a1544285": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 361.0, + 0.0, + 1173.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 216.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 351.0, + 0.0, + 1166.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 253.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 309.0, + 0.0, + 1175.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 333.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 325.0, + 0.0, + 1178.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 335.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1a1544285" + }, + "e1ab7957f4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 283.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 517.0, + 271.0, + 615.0, + 385.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 401.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 286.0, + 42.0, + 463.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 409.0, + 0.0, + 1160.0, + 640.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 706.0, + 325.0, + 727.0, + 338.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 495.0, + 0.0, + 1182.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 488.0, + 206.0, + 627.0, + 420.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1ab7957f4" + }, + "e1d26d35be": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 312.0, + 209.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 159.0, + 128.0, + 271.0, + 525.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 34.0, + 112.0, + 141.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 4.0, + 0.0, + 348.0, + 190.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 173.0, + 144.0, + 279.0, + 518.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 39.0, + 73.0, + 152.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 93.0, + 0.0, + 321.0, + 195.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 149.0, + 56.0, + 295.0, + 511.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 47.0, + 80.0, + 157.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 55.0, + 0.0, + 277.0, + 103.0 + ], + "valid": 1 + }, + "2": { + "category_name": "knife", + "bbox": [ + 5.0, + 0.0, + 259.0, + 669.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1d26d35be" + }, + "e1e957085b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 116.0, + 0.0, + 845.0, + 601.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 801.0, + 227.0, + 1167.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1164.0, + 296.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 118.0, + 0.0, + 845.0, + 583.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 787.0, + 203.0, + 1145.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1140.0, + 268.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 82.0, + 0.0, + 817.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 797.0, + 174.0, + 1249.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1174.0, + 235.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 737.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 703.0, + 175.0, + 1221.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1151.0, + 240.0, + 1279.0, + 535.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1e957085b" + }, + "e1f14510fa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 435.0, + 195.0, + 754.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 87.0, + 63.0, + 954.0, + 608.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 704.0, + 0.0, + 1130.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 465.0, + 231.0, + 802.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 133.0, + 92.0, + 992.0, + 634.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 736.0, + 0.0, + 1174.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 433.0, + 252.0, + 772.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 105.0, + 111.0, + 978.0, + 648.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 718.0, + 0.0, + 1152.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 435.0, + 253.0, + 788.0, + 619.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 109.0, + 117.0, + 1000.0, + 655.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 715.0, + 0.0, + 1148.0, + 493.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e1f14510fa" + }, + "e214b160f4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 463.0, + 316.0, + 716.0, + 469.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 687.0, + 143.0, + 1279.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 401.0, + 300.0, + 722.0, + 508.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 884.0, + 257.0, + 1279.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 433.0, + 296.0, + 732.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 1079.0, + 348.0, + 1279.0, + 643.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 447.0, + 281.0, + 774.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 986.0, + 229.0, + 1279.0, + 535.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e214b160f4" + }, + "e2167379b8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lion", + "bbox": [ + 191.0, + 210.0, + 494.0, + 369.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 169.0, + 169.0, + 450.0, + 410.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 177.0, + 169.0, + 466.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lion", + "bbox": [ + 175.0, + 170.0, + 463.0, + 408.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2167379b8" + }, + "e21acb20ab": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 309.0, + 0.0, + 1010.0, + 712.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 311.0, + 0.0, + 1002.0, + 713.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 287.0, + 0.0, + 892.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 277.0, + 0.0, + 944.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00120", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e21acb20ab" + }, + "e221105579": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 421.0, + 69.0, + 591.0, + 532.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 461.0, + 86.0, + 638.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 428.0, + 129.0, + 603.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 477.0, + 106.0, + 662.0, + 650.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e221105579" + }, + "e22ddf8a1b": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 547.0, + 0.0, + 932.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 547.0, + 14.0, + 940.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 596.0, + 0.0, + 1087.0, + 640.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 295.0, + 0.0, + 821.0, + 574.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00060", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e22ddf8a1b" + }, + "e22de45950": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 99.0, + 31.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 709.0, + 126.0, + 780.0, + 200.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 803.0, + 45.0, + 896.0, + 186.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 90.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 710.0, + 93.0, + 791.0, + 164.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 799.0, + 65.0, + 872.0, + 152.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 146.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 726.0, + 0.0, + 789.0, + 62.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 833.0, + 0.0, + 905.0, + 46.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 221.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 778.0, + 0.0, + 852.0, + 31.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 847.0, + 0.0, + 943.0, + 30.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e22de45950" + }, + "e22ffc469b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 912.0, + 477.0, + 1004.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 659.0, + 231.0, + 927.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 835.0, + 449.0, + 1012.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 684.0, + 249.0, + 1000.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 704.0, + 674.0, + 838.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 548.0, + 417.0, + 801.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 551.0, + 427.0, + 807.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e22ffc469b" + }, + "e23cca5244": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 1033.0, + 47.0, + 1279.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1016.0, + 52.0, + 1219.0, + 180.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 705.0, + 135.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 113.0, + 0.0, + 1279.0, + 255.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 468.0, + 193.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 324.0, + 254.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 422.0, + 181.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 37.0, + 104.0, + 233.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e23cca5244" + }, + "e252f46f0b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 279.0, + 135.0, + 906.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 277.0, + 115.0, + 920.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 181.0, + 0.0, + 1011.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 761.0, + 0.0, + 1117.0, + 548.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e252f46f0b" + }, + "e25fa6cf39": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 414.0, + 0.0, + 1279.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 312.0, + 0.0, + 1279.0, + 357.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 180.0, + 0.0, + 1279.0, + 386.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 574.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e25fa6cf39" + }, + "e26e486026": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 463.0, + 257.0, + 755.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 461.0, + 251.0, + 783.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 445.0, + 257.0, + 774.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 447.0, + 223.0, + 793.0, + 595.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00075", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e26e486026" + }, + "e275760245": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 885.0, + 196.0, + 949.0, + 363.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 779.0, + 176.0, + 836.0, + 284.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 772.0, + 176.0, + 831.0, + 324.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 882.0, + 186.0, + 946.0, + 346.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 889.0, + 169.0, + 942.0, + 298.0 + ], + "valid": 1 + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00185", + "00205", + "00220", + "00250" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e275760245" + }, + "e27bbedbfe": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 646.0, + 304.0, + 1275.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 646.0, + 310.0, + 1275.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 673.0, + 314.0, + 1275.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 735.0, + 241.0, + 1277.0, + 488.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e27bbedbfe" + }, + "e29e9868a8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 606.0, + 228.0, + 754.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 716.0, + 238.0, + 793.0, + 357.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 526.0, + 184.0, + 728.0, + 695.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 674.0, + 356.0, + 823.0, + 425.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 25.0, + 337.0, + 102.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 589.0, + 242.0, + 739.0, + 656.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 340.0, + 30.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 624.0, + 351.0, + 727.0, + 623.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 702.0, + 454.0, + 738.0, + 493.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00105", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e29e9868a8" + }, + "e2b37ff8af": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 618.0, + 653.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 430.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 398.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 0.0, + 0.0, + 358.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2b37ff8af" + }, + "e2b608d309": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 205.0, + 408.0, + 504.0, + 585.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 291.0, + 298.0, + 936.0, + 592.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 784.0, + 175.0, + 1210.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 330.0, + 378.0, + 561.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 94.0, + 230.0, + 483.0, + 555.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 819.0, + 202.0, + 1107.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 318.0, + 379.0, + 549.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 64.0, + 266.0, + 477.0, + 554.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 800.0, + 233.0, + 962.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 210.0, + 130.0, + 595.0, + 545.0 + ], + "valid": 1 + }, + "3": { + "category_name": "leopard", + "bbox": [ + 390.0, + 204.0, + 946.0, + 554.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2b608d309" + }, + "e2bef4da9a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 522.0, + 191.0, + 796.0, + 685.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 439.0, + 193.0, + 544.0, + 303.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 654.0, + 205.0, + 938.0, + 713.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 463.0, + 218.0, + 570.0, + 317.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 694.0, + 226.0, + 942.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 420.0, + 217.0, + 537.0, + 314.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 699.0, + 243.0, + 867.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 583.0, + 173.0, + 682.0, + 268.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2bef4da9a" + }, + "e2c87a6421": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 686.0, + 105.0, + 819.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 670.0, + 121.0, + 791.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 469.0, + 132.0, + 628.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 511.0, + 133.0, + 660.0, + 490.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2c87a6421" + }, + "e2ea25542c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 546.0, + 416.0, + 708.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 529.0, + 412.0, + 718.0, + 526.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 421.0, + 387.0, + 640.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 444.0, + 439.0, + 732.0, + 573.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2ea25542c" + }, + "e2fb1d6497": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 489.0, + 224.0, + 840.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 491.0, + 220.0, + 830.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 483.0, + 216.0, + 834.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 479.0, + 211.0, + 822.0, + 482.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00060", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2fb1d6497" + }, + "e2fcc99117": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 143.0, + 0.0, + 1239.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 123.0, + 58.0, + 1229.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 184.0, + 79.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 232.0, + 42.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e2fcc99117" + }, + "e33c18412a": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 22.0, + 73.0, + 160.0, + 170.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 151.0, + 38.0, + 239.0, + 112.0 + ], + "valid": 1 + }, + "3": { + "category_name": "snake", + "bbox": [ + 166.0, + 123.0, + 196.0, + 140.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 80.0, + 75.0, + 241.0, + 197.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 96.0, + 30.0, + 163.0, + 98.0 + ], + "valid": 1 + }, + "3": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 202.0, + 56.0, + 316.0, + 138.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 77.0, + 33.0, + 169.0, + 136.0 + ], + "valid": 1 + }, + "3": { + "category_name": "snake", + "bbox": [ + 165.0, + 123.0, + 195.0, + 138.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 182.0, + 53.0, + 309.0, + 127.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 52.0, + 69.0, + 189.0, + 189.0 + ], + "valid": 1 + }, + "3": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00020", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e33c18412a" + }, + "e348377191": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 226.0, + 355.0, + 539.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 19.0, + 101.0, + 231.0, + 466.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 46.0, + 218.0, + 484.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 117.0, + 108.0, + 346.0, + 482.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 67.0, + 240.0, + 521.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 148.0, + 109.0, + 375.0, + 493.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 213.0, + 254.0, + 729.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 299.0, + 111.0, + 547.0, + 537.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00045", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e348377191" + }, + "e352cb59c8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 537.0, + 325.0, + 1212.0, + 649.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 154.0, + 1242.0, + 645.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 607.0, + 263.0, + 1279.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 49.0, + 80.0, + 1279.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 670.0, + 534.0, + 1176.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 119.0, + 0.0, + 1268.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 694.0, + 268.0, + 1279.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 98.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e352cb59c8" + }, + "e36ac982f0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 109.0, + 63.0, + 1079.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 715.0, + 199.0, + 898.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 251.0, + 0.0, + 1253.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 572.0, + 126.0, + 787.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 273.0, + 0.0, + 1253.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 548.0, + 146.0, + 711.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 331.0, + 49.0, + 1267.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 526.0, + 222.0, + 730.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e36ac982f0" + }, + "e391bc981e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 114.0, + 46.0, + 601.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 120.0, + 50.0, + 615.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 93.0, + 61.0, + 624.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 97.0, + 64.0, + 635.0, + 639.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e391bc981e" + }, + "e39e3e0a06": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 507.0, + 269.0, + 883.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 713.0, + 211.0, + 1017.0, + 423.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 849.0, + 253.0, + 1099.0, + 402.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1048.0, + 243.0, + 1277.0, + 418.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 458.0, + 240.0, + 644.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 681.0, + 221.0, + 862.0, + 406.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 881.0, + 78.0, + 1279.0, + 391.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 953.0, + 251.0, + 1115.0, + 390.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 322.0, + 238.0, + 460.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 757.0, + 207.0, + 945.0, + 396.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 421.0, + 81.0, + 849.0, + 337.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 561.0, + 246.0, + 735.0, + 390.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e39e3e0a06" + }, + "e3bf38265f": { + "bins": [ + [ + 2, + 3 + ], + [ + 3, + 4 + ], + [ + 4, + 5 + ], + [ + 5, + 9 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 505.0, + 248.0, + 605.0, + 354.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bike", + "bbox": [ + 526.0, + 213.0, + 580.0, + 313.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 553.0, + 220.0, + 605.0, + 315.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bike", + "bbox": [ + 556.0, + 255.0, + 635.0, + 347.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 681.0, + 253.0, + 715.0, + 321.0 + ], + "valid": 1 + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00010", + "00015", + "00020", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e3bf38265f" + }, + "e3d5b2cd21": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 326.0, + 372.0, + 1017.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 961.0, + 191.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 438.0, + 284.0, + 1057.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 1017.0, + 0.0, + 1279.0, + 472.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 600.0, + 329.0, + 943.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 728.0, + 0.0, + 1135.0, + 187.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 464.0, + 395.0, + 839.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 505.0, + 0.0, + 901.0, + 361.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e3d5b2cd21" + }, + "e3e3245492": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 472.0, + 310.0, + 639.0, + 466.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 811.0, + 375.0, + 930.0, + 449.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 1026.0, + 372.0, + 1145.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 505.0, + 267.0, + 665.0, + 425.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 764.0, + 338.0, + 886.0, + 410.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 930.0, + 343.0, + 1035.0, + 397.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 491.0, + 286.0, + 669.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 658.0, + 368.0, + 751.0, + 437.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 810.0, + 354.0, + 906.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 483.0, + 362.0, + 663.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 557.0, + 449.0, + 689.0, + 514.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 742.0, + 433.0, + 870.0, + 485.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00070", + "00085", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e3e3245492" + }, + "e3e4134877": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 499.0, + 59.0, + 654.0, + 220.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 507.0, + 46.0, + 662.0, + 212.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 497.0, + 0.0, + 650.0, + 139.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "ape", + "bbox": [ + 966.0, + 425.0, + 1264.0, + 712.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e3e4134877" + }, + "e3f4635e03": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 95.0, + 293.0, + 860.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 403.0, + 296.0, + 843.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 329.0, + 253.0, + 818.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 240.0, + 373.0, + 778.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e3f4635e03" + }, + "e4004ee048": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 249.0, + 0.0, + 1212.0, + 701.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 247.0, + 0.0, + 1218.0, + 692.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 117.0, + 21.0, + 1226.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 291.0, + 0.0, + 1279.0, + 707.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4004ee048" + }, + "e402d1afa5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 19.0, + 43.0, + 1034.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 7.0, + 9.0, + 1016.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 7.0, + 95.0, + 1004.0, + 664.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 15.0, + 50.0, + 984.0, + 670.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e402d1afa5" + }, + "e415093d27": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 410.0, + 181.0, + 1219.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 248.0, + 182.0, + 1025.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 146.0, + 180.0, + 857.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 0.0, + 130.0, + 248.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e415093d27" + }, + "e41ceb5d81": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 314.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 77.0, + 8.0, + 422.0, + 304.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 208.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 7.0, + 452.0, + 291.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 187.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 0.0, + 63.0, + 460.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 236.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 35.0, + 166.0, + 505.0, + 439.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e41ceb5d81" + }, + "e424653b78": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 129.0, + 297.0, + 482.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 427.0, + 161.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 96.0, + 309.0, + 433.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 475.0, + 84.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 130.0, + 224.0, + 477.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 367.0, + 150.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 214.0, + 195.0, + 530.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 334.0, + 239.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e424653b78" + }, + "e42b6d3dbb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 101.0, + 0.0, + 682.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 754.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 816.0, + 467.0, + 888.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 9.0, + 595.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 581.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 676.0, + 515.0, + 768.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 90.0, + 547.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 557.0, + 0.0, + 1110.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 638.0, + 556.0, + 736.0, + 661.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 25.0, + 44.0, + 619.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 856.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 712.0, + 321.0, + 961.0, + 631.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00080", + "00095" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e42b6d3dbb" + }, + "e42d60f0d4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 687.0, + 84.0, + 846.0, + 402.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 547.0, + 238.0, + 669.0, + 560.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 446.0, + 224.0, + 573.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 577.0, + 160.0, + 783.0, + 437.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 423.0, + 329.0, + 679.0, + 527.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 488.0, + 224.0, + 606.0, + 402.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 533.0, + 180.0, + 760.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 467.0, + 436.0, + 631.0, + 579.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 416.0, + 214.0, + 629.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 528.0, + 172.0, + 770.0, + 390.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 447.0, + 417.0, + 613.0, + 593.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 451.0, + 236.0, + 634.0, + 401.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e42d60f0d4" + }, + "e436d0ff1e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 352.0, + 209.0, + 528.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 220.0, + 208.0, + 883.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 318.0, + 239.0, + 516.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 192.0, + 226.0, + 868.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 321.0, + 247.0, + 530.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 201.0, + 225.0, + 870.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 329.0, + 244.0, + 526.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 205.0, + 238.0, + 877.0, + 609.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e436d0ff1e" + }, + "e43d7ae2c5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 225.0, + 90.0, + 1185.0, + 582.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 41.0, + 414.0, + 303.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 267.0, + 92.0, + 1216.0, + 599.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 75.0, + 437.0, + 357.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 297.0, + 93.0, + 1226.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 86.0, + 440.0, + 340.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 274.0, + 94.0, + 1234.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 94.0, + 447.0, + 375.0, + 521.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e43d7ae2c5" + }, + "e4428801bc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 125.0, + 287.0, + 433.0, + 533.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 608.0, + 305.0, + 678.0, + 355.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 960.0, + 320.0, + 1031.0, + 363.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 153.0, + 262.0, + 471.0, + 508.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 653.0, + 273.0, + 733.0, + 324.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 1007.0, + 288.0, + 1077.0, + 331.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 309.0, + 241.0, + 583.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 474.0, + 283.0, + 737.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4428801bc" + }, + "e44e0b4917": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 461.0, + 271.0, + 1279.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 399.0, + 251.0, + 1279.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 307.0, + 245.0, + 1279.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 299.0, + 269.0, + 1279.0, + 580.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e44e0b4917" + }, + "e470345ede": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 143.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e470345ede" + }, + "e48e8b4263": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 173.0, + 404.0, + 989.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 232.0, + 407.0, + 1036.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 320.0, + 415.0, + 1085.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 362.0, + 424.0, + 1111.0, + 632.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00105", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e48e8b4263" + }, + "e4922e3726": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 366.0, + 173.0, + 1033.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 289.0, + 193.0, + 1030.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 162.0, + 263.0, + 1058.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 156.0, + 269.0, + 1074.0, + 512.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4922e3726" + }, + "e4936852bb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 212.0, + 1.0, + 911.0, + 648.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 557.0, + 323.0, + 965.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 202.0, + 0.0, + 897.0, + 647.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 539.0, + 332.0, + 940.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 201.0, + 1.0, + 1023.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 637.0, + 338.0, + 952.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 194.0, + 0.0, + 1034.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 646.0, + 278.0, + 999.0, + 619.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4936852bb" + }, + "e499228f26": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 484.0, + 168.0, + 696.0, + 366.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 479.0, + 213.0, + 910.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 437.0, + 150.0, + 724.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 424.0, + 205.0, + 1046.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 391.0, + 67.0, + 825.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 347.0, + 125.0, + 1217.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 179.0, + 281.0, + 764.0, + 583.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 364.0, + 967.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e499228f26" + }, + "e4af66e163": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 524.0, + 130.0, + 1238.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 521.0, + 118.0, + 1211.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 479.0, + 103.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 502.0, + 42.0, + 1204.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4af66e163" + }, + "e4b2095f58": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 776.0, + 302.0, + 887.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 795.0, + 288.0, + 944.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 779.0, + 233.0, + 838.0, + 393.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 736.0, + 345.0, + 790.0, + 467.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00055", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4b2095f58" + }, + "e4d19c8283": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 598.0, + 0.0, + 1265.0, + 330.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 462.0, + 25.0, + 1249.0, + 445.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 346.0, + 0.0, + 1269.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 240.0, + 0.0, + 1271.0, + 478.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4d19c8283" + }, + "e4d4872dab": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 553.0, + 478.0, + 592.0, + 495.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 485.0, + 342.0, + 573.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 456.0, + 348.0, + 549.0, + 483.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 455.0, + 468.0, + 517.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": { + "category_name": "person", + "bbox": [ + 433.0, + 459.0, + 502.0, + 494.0 + ], + "valid": 1 + }, + "3": {} + }, + { + "1": {}, + "2": {}, + "3": {} + } + ], + "frame_names": [ + "00095", + "00120", + "00135", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4d4872dab" + }, + "e4eaa63aab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 352.0, + 62.0, + 831.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 430.0, + 44.0, + 782.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 653.0, + 25.0, + 896.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 634.0, + 22.0, + 885.0, + 474.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4eaa63aab" + }, + "e4ef0a3a34": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 710.0, + 162.0, + 924.0, + 695.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 692.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 666.0, + 165.0, + 885.0, + 690.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 693.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 703.0, + 180.0, + 922.0, + 696.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 700.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 724.0, + 146.0, + 957.0, + 692.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 682.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4ef0a3a34" + }, + "e4f8e5f46e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 400.0, + 95.0, + 965.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 426.0, + 101.0, + 998.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 422.0, + 176.0, + 1141.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 376.0, + 185.0, + 1013.0, + 588.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4f8e5f46e" + }, + "e4ffb6d0dd": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 724.0, + 346.0, + 930.0, + 397.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 901.0, + 245.0, + 992.0, + 287.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 245.0, + 259.0, + 296.0, + 283.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 731.0, + 344.0, + 946.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 914.0, + 236.0, + 1008.0, + 282.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 217.0, + 250.0, + 273.0, + 279.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 736.0, + 336.0, + 964.0, + 392.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 922.0, + 221.0, + 1024.0, + 272.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 189.0, + 236.0, + 245.0, + 268.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 757.0, + 336.0, + 1018.0, + 402.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 951.0, + 196.0, + 1072.0, + 255.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 106.0, + 218.0, + 172.0, + 254.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e4ffb6d0dd" + }, + "e53e21aa02": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 848.0, + 265.0, + 1083.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 888.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 551.0, + 214.0, + 777.0, + 380.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 691.0, + 425.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 601.0, + 218.0, + 782.0, + 393.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 661.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 600.0, + 217.0, + 779.0, + 390.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 717.0, + 424.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e53e21aa02" + }, + "e57f4f668b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 383.0, + 125.0, + 1142.0, + 490.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 432.0, + 121.0, + 1175.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 354.0, + 85.0, + 1230.0, + 450.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 369.0, + 80.0, + 1242.0, + 446.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e57f4f668b" + }, + "e588433c1e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 115.0, + 37.0, + 948.0, + 679.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 188.0, + 235.0, + 538.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1140.0, + 351.0, + 1252.0, + 628.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 996.0, + 405.0, + 1279.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 149.0, + 16.0, + 1034.0, + 701.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 176.0, + 261.0, + 531.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1179.0, + 363.0, + 1279.0, + 636.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 1029.0, + 428.0, + 1203.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 191.0, + 0.0, + 1166.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 186.0, + 293.0, + 545.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1208.0, + 364.0, + 1279.0, + 650.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 1155.0, + 426.0, + 1223.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 335.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 0.0, + 193.0, + 387.0, + 571.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e588433c1e" + }, + "e597442c99": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 274.0, + 1166.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 12.0, + 293.0, + 1164.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 307.0, + 1118.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 26.0, + 287.0, + 1047.0, + 404.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e597442c99" + }, + "e5abc0e96b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 518.0, + 105.0, + 743.0, + 494.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 418.0, + 185.0, + 768.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 560.0, + 78.0, + 783.0, + 462.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 455.0, + 154.0, + 813.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 455.0, + 139.0, + 706.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 342.0, + 202.0, + 737.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 427.0, + 145.0, + 715.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 280.0, + 223.0, + 777.0, + 713.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e5abc0e96b" + }, + "e5be628030": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 232.0, + 259.0, + 787.0, + 697.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 190.0, + 204.0, + 775.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 238.0, + 250.0, + 809.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 220.0, + 263.0, + 773.0, + 671.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e5be628030" + }, + "e5ce96a55d": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 519.0, + 194.0, + 790.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 63.0, + 173.0, + 260.0, + 697.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 505.0, + 190.0, + 701.0, + 687.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 3.0, + 167.0, + 205.0, + 694.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 552.0, + 227.0, + 774.0, + 700.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 73.0, + 206.0, + 276.0, + 704.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 515.0, + 297.0, + 686.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 35.0, + 262.0, + 231.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00030", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e5ce96a55d" + }, + "e5d6b70a9f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 59.0, + 156.0, + 304.0, + 658.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 698.0, + 188.0, + 1086.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 265.0, + 146.0, + 382.0, + 383.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 554.0, + 186.0, + 734.0, + 570.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e5d6b70a9f" + }, + "e5fde1574c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 523.0, + 235.0, + 1078.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 558.0, + 222.0, + 1080.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 506.0, + 206.0, + 1006.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 525.0, + 163.0, + 842.0, + 515.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e5fde1574c" + }, + "e625e1d27b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 185.0, + 1000.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 875.0, + 0.0, + 1279.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 187.0, + 1091.0, + 587.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 917.0, + 0.0, + 1279.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 194.0, + 1057.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 904.0, + 0.0, + 1279.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 0.0, + 180.0, + 1031.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 814.0, + 0.0, + 1279.0, + 462.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00080", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e625e1d27b" + }, + "e6261d2348": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 207.0, + 180.0, + 518.0, + 328.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 725.0, + 224.0, + 1039.0, + 324.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 176.0, + 191.0, + 529.0, + 334.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 739.0, + 232.0, + 996.0, + 334.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 167.0, + 196.0, + 533.0, + 336.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 692.0, + 213.0, + 964.0, + 339.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 126.0, + 215.0, + 507.0, + 339.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 601.0, + 224.0, + 976.0, + 331.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e6261d2348" + }, + "e6267d46bc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 928.0, + 92.0, + 1006.0, + 220.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1007.0, + 91.0, + 1085.0, + 242.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 90.0, + 1279.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 924.0, + 90.0, + 1005.0, + 219.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1005.0, + 92.0, + 1082.0, + 240.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 91.0, + 1271.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 910.0, + 88.0, + 989.0, + 219.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 989.0, + 89.0, + 1069.0, + 239.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 98.0, + 1265.0, + 604.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 904.0, + 105.0, + 986.0, + 236.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 986.0, + 107.0, + 1062.0, + 256.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 112.0, + 1257.0, + 620.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e6267d46bc" + }, + "e6295f223f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 465.0, + 274.0, + 656.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 345.0, + 473.0, + 487.0, + 667.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 394.0, + 405.0, + 565.0, + 541.0 + ], + "valid": 1 + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 439.0, + 304.0, + 500.0, + 334.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 313.0, + 471.0, + 436.0, + 578.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 350.0, + 429.0, + 458.0, + 495.0 + ], + "valid": 1 + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 294.0, + 143.0, + 442.0, + 255.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 132.0, + 295.0, + 247.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 314.0, + 474.0, + 431.0, + 576.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 328.0, + 430.0, + 437.0, + 501.0 + ], + "valid": 1 + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 308.0, + 138.0, + 449.0, + 252.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 95.0, + 378.0, + 190.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 320.0, + 474.0, + 439.0, + 580.0 + ], + "valid": 1 + }, + "3": { + "category_name": "rabbit", + "bbox": [ + 330.0, + 429.0, + 431.0, + 493.0 + ], + "valid": 1 + }, + "4": { + "category_name": "rabbit", + "bbox": [ + 309.0, + 136.0, + 448.0, + 254.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00085", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e6295f223f" + }, + "e63463d8c6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 524.0, + 205.0, + 769.0, + 461.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 69.0, + 266.0, + 283.0, + 474.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 282.0, + 474.0, + 380.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 640.0, + 305.0, + 892.0, + 484.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 177.0, + 334.0, + 349.0, + 554.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 294.0, + 489.0, + 415.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 801.0, + 348.0, + 974.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 250.0, + 445.0, + 349.0, + 534.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 257.0, + 452.0, + 422.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 555.0, + 272.0, + 914.0, + 514.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 224.0, + 413.0, + 325.0, + 535.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 268.0, + 423.0, + 390.0, + 593.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00090", + "00110", + "00135", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e63463d8c6" + }, + "e6387bd1e0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 310.0, + 173.0, + 794.0, + 605.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 428.0, + 146.0, + 832.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 417.0, + 77.0, + 916.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 354.0, + 106.0, + 890.0, + 494.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00055", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e6387bd1e0" + }, + "e653883384": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 690.0, + 271.0, + 964.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 691.0, + 252.0, + 1006.0, + 431.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 604.0, + 222.0, + 1001.0, + 439.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 533.0, + 207.0, + 1022.0, + 464.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e653883384" + }, + "e65f134e0b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 635.0, + 219.0, + 854.0, + 358.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 59.0, + 339.0, + 862.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 624.0, + 240.0, + 839.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 113.0, + 374.0, + 850.0, + 623.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 636.0, + 194.0, + 859.0, + 389.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 142.0, + 327.0, + 845.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 572.0, + 129.0, + 805.0, + 351.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 68.0, + 287.0, + 761.0, + 537.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e65f134e0b" + }, + "e668ef5664": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 557.0, + 304.0, + 1279.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 565.0, + 376.0, + 1279.0, + 605.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 74.0, + 162.0, + 109.0, + 233.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 577.0, + 358.0, + 1279.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 103.0, + 128.0, + 168.0, + 204.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 467.0, + 335.0, + 1279.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 36.0, + 50.0, + 142.0, + 138.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00120", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e668ef5664" + }, + "e672ccd250": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 435.0, + 171.0, + 750.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 384.0, + 347.0, + 448.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 414.0, + 158.0, + 777.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 359.0, + 335.0, + 428.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 418.0, + 141.0, + 851.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 354.0, + 341.0, + 429.0, + 503.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 429.0, + 123.0, + 920.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 359.0, + 340.0, + 444.0, + 518.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e672ccd250" + }, + "e674510b20": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 248.0, + 262.0, + 718.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 222.0, + 304.0, + 800.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 99.0, + 261.0, + 612.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 108.0, + 283.0, + 799.0, + 451.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00055", + "00070", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e674510b20" + }, + "e676107765": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 336.0, + 331.0, + 1027.0, + 437.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 319.0, + 338.0, + 992.0, + 441.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 371.0, + 345.0, + 1014.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 285.0, + 361.0, + 948.0, + 458.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00085", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e676107765" + }, + "e699da0cdf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 440.0, + 218.0, + 969.0, + 668.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 375.0, + 278.0, + 916.0, + 694.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 406.0, + 249.0, + 918.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 265.0, + 149.0, + 817.0, + 557.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e699da0cdf" + }, + "e6deab5e0b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 744.0, + 430.0, + 873.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 760.0, + 440.0, + 904.0, + 557.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 847.0, + 494.0, + 1090.0, + 670.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 961.0, + 597.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e6deab5e0b" + }, + "e6f065f2b9": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "toilet", + "bbox": [ + 318.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 396.0, + 380.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 414.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 316.0, + 451.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 440.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 258.0, + 276.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "toilet", + "bbox": [ + 292.0, + 0.0, + 1241.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e6f065f2b9" + }, + "e71629e7b5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 920.0, + 241.0, + 958.0, + 306.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 919.0, + 306.0, + 958.0, + 317.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 900.0, + 265.0, + 939.0, + 327.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 890.0, + 324.0, + 934.0, + 336.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 901.0, + 280.0, + 937.0, + 341.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 887.0, + 336.0, + 919.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 648.0, + 184.0, + 684.0, + 252.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 629.0, + 247.0, + 683.0, + 262.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e71629e7b5" + }, + "e72a7d7b0b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 311.0, + 163.0, + 714.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 309.0, + 184.0, + 746.0, + 580.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 361.0, + 184.0, + 834.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 415.0, + 152.0, + 846.0, + 615.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e72a7d7b0b" + }, + "e72f6104e1": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 135.0, + 158.0, + 740.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 434.0, + 45.0, + 854.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 425.0, + 130.0, + 957.0, + 472.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 554.0, + 6.0, + 899.0, + 481.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e72f6104e1" + }, + "e75a466eea": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 473.0, + 80.0, + 797.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 463.0, + 48.0, + 803.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 456.0, + 46.0, + 802.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 463.0, + 64.0, + 808.0, + 715.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00035", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e75a466eea" + }, + "e76c55933f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 682.0, + 52.0, + 1070.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 678.0, + 46.0, + 1065.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 720.0, + 40.0, + 1172.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 736.0, + 198.0, + 852.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 650.0, + 21.0, + 1140.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "rabbit", + "bbox": [ + 649.0, + 145.0, + 802.0, + 356.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e76c55933f" + }, + "e7784ec8ad": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 570.0, + 231.0, + 1279.0, + 680.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 309.0, + 325.0, + 598.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 576.0, + 230.0, + 1279.0, + 669.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 308.0, + 324.0, + 599.0, + 578.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 576.0, + 229.0, + 1279.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 308.0, + 323.0, + 597.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 582.0, + 227.0, + 1279.0, + 669.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frog", + "bbox": [ + 314.0, + 330.0, + 602.0, + 582.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e7784ec8ad" + }, + "e78d450a9c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 254.0, + 245.0, + 344.0, + 286.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 289.0, + 238.0, + 430.0, + 289.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 253.0, + 245.0, + 309.0, + 288.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 423.0, + 238.0, + 598.0, + 298.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 265.0, + 237.0, + 397.0, + 290.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 875.0, + 247.0, + 1049.0, + 343.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 448.0, + 237.0, + 629.0, + 306.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e78d450a9c" + }, + "e7c6354e77": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 657.0, + 1.0, + 979.0, + 531.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 535.0, + 1.0, + 912.0, + 340.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 466.0, + 3.0, + 792.0, + 434.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 510.0, + 220.0, + 692.0, + 538.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e7c6354e77" + }, + "e7c8de1fce": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 436.0, + 377.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 62.0, + 139.0, + 1027.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 431.0, + 391.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 151.0, + 159.0, + 1032.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 503.0, + 386.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 93.0, + 139.0, + 1020.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 418.0, + 333.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 98.0, + 98.0, + 1015.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e7c8de1fce" + }, + "e7ea10db28": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 564.0, + 93.0, + 1177.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 707.0, + 41.0, + 1271.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 544.0, + 142.0, + 1151.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 544.0, + 77.0, + 1263.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e7ea10db28" + }, + "e803918710": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 583.0, + 427.0, + 680.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 520.0, + 150.0, + 747.0, + 638.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 1036.0, + 381.0, + 1111.0, + 409.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 1084.0, + 276.0, + 1182.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 633.0, + 395.0, + 784.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 517.0, + 132.0, + 743.0, + 639.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 1067.0, + 331.0, + 1115.0, + 392.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 1083.0, + 289.0, + 1182.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 654.0, + 310.0, + 773.0, + 394.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 514.0, + 167.0, + 742.0, + 641.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 1075.0, + 391.0, + 1124.0, + 414.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 1081.0, + 277.0, + 1177.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 639.0, + 337.0, + 717.0, + 433.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 496.0, + 165.0, + 746.0, + 645.0 + ], + "valid": 1 + }, + "3": { + "category_name": "tennis_racket", + "bbox": [ + 1073.0, + 386.0, + 1125.0, + 411.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 1088.0, + 280.0, + 1185.0, + 469.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00120", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e803918710" + }, + "e8073a140b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 204.0, + 99.0, + 1094.0, + 579.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 201.0, + 89.0, + 1085.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 204.0, + 107.0, + 1061.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 215.0, + 116.0, + 1059.0, + 573.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00125", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8073a140b" + }, + "e828dd02db": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 681.0, + 271.0, + 897.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 627.0, + 318.0, + 839.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 608.0, + 321.0, + 820.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 615.0, + 301.0, + 867.0, + 543.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e828dd02db" + }, + "e845994987": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 580.0, + 0.0, + 857.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 499.0, + 448.0, + 833.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 935.0, + 0.0, + 1279.0, + 544.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 867.0, + 347.0, + 1141.0, + 507.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 681.0, + 119.0, + 962.0, + 485.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 745.0, + 463.0, + 972.0, + 530.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 685.0, + 0.0, + 950.0, + 470.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 728.0, + 445.0, + 961.0, + 511.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e845994987" + }, + "e8485a2615": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 367.0, + 216.0, + 403.0, + 231.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 323.0, + 227.0, + 449.0, + 451.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 365.0, + 446.0, + 448.0, + 471.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 485.0, + 111.0, + 546.0, + 162.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 347.0, + 137.0, + 758.0, + 405.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 496.0, + 368.0, + 717.0, + 448.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 690.0, + 39.0, + 782.0, + 102.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 691.0, + 86.0, + 905.0, + 519.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 701.0, + 502.0, + 977.0, + 561.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 1178.0, + 257.0, + 1279.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 752.0, + 0.0, + 1052.0, + 564.0 + ], + "valid": 1 + }, + "3": { + "category_name": "skateboard", + "bbox": [ + 804.0, + 540.0, + 1124.0, + 609.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 1044.0, + 271.0, + 1266.0, + 371.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00125", + "00155", + "00180", + "00190" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8485a2615" + }, + "e85c5118a7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 589.0, + 203.0, + 1050.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 601.0, + 3.0, + 1160.0, + 312.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 740.0, + 1.0, + 1246.0, + 324.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 752.0, + 139.0, + 1279.0, + 352.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00080", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e85c5118a7" + }, + "e88b6736e4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 515.0, + 168.0, + 879.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 421.0, + 658.0, + 517.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 596.0, + 83.0, + 795.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 529.0, + 481.0, + 872.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 208.0, + 789.0, + 705.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 479.0, + 647.0, + 851.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 559.0, + 224.0, + 795.0, + 708.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 457.0, + 671.0, + 864.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e88b6736e4" + }, + "e8962324e3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 389.0, + 725.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 221.0, + 0.0, + 646.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 239.0, + 673.0, + 318.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 342.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 320.0, + 566.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00070", + "00085", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8962324e3" + }, + "e8b3018d36": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 179.0, + 443.0, + 851.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 176.0, + 435.0, + 852.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 165.0, + 426.0, + 849.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 156.0, + 457.0, + 840.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8b3018d36" + }, + "e8cee8bf0b": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 564.0, + 280.0, + 770.0, + 428.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 627.0, + 424.0, + 700.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 498.0, + 356.0, + 659.0, + 477.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 448.0, + 343.0, + 568.0, + 413.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 495.0, + 289.0, + 639.0, + 418.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8cee8bf0b" + }, + "e8d97ebece": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 887.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 909.0, + 347.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 973.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 945.0, + 539.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8d97ebece" + }, + "e8da49ea6a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "plant", + "bbox": [ + 418.0, + 257.0, + 732.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 6.0, + 0.0, + 489.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 460.0, + 274.0, + 797.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 516.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 405.0, + 270.0, + 758.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 445.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "plant", + "bbox": [ + 445.0, + 333.0, + 816.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 0.0, + 0.0, + 483.0, + 629.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8da49ea6a" + }, + "e8ed1a3ccf": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 622.0, + 156.0, + 761.0, + 236.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 1064.0, + 242.0, + 1102.0, + 266.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 992.0, + 582.0, + 1095.0, + 616.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8ed1a3ccf" + }, + "e8f7904326": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 242.0, + 22.0, + 947.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 196.0, + 0.0, + 963.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 140.0, + 0.0, + 963.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 70.0, + 0.0, + 955.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00035", + "00050" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8f7904326" + }, + "e8f8341dec": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 402.0, + 188.0, + 901.0, + 678.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 422.0, + 144.0, + 925.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 362.0, + 0.0, + 825.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 380.0, + 0.0, + 817.0, + 562.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8f8341dec" + }, + "e8fa21eb13": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 54.0, + 761.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 541.0, + 0.0, + 1279.0, + 617.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 19.0, + 771.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 579.0, + 0.0, + 1279.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 71.0, + 777.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 592.0, + 0.0, + 1279.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 84.0, + 819.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 536.0, + 40.0, + 1279.0, + 586.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e8fa21eb13" + }, + "e90c10fc4c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 640.0, + 249.0, + 702.0, + 345.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 698.0, + 260.0, + 800.0, + 438.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 743.0, + 398.0, + 819.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 850.0, + 349.0, + 980.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 311.0, + 5.0, + 502.0, + 205.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 202.0, + 113.0, + 457.0, + 245.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e90c10fc4c" + }, + "e914b8cac8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 528.0, + 0.0, + 1105.0, + 324.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 532.0, + 0.0, + 953.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 542.0, + 0.0, + 957.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 526.0, + 0.0, + 949.0, + 423.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00120", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e914b8cac8" + }, + "e92e1b7623": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 354.0, + 95.0, + 860.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 679.0, + 316.0, + 860.0, + 613.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 408.0, + 141.0, + 818.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 270.0, + 127.0, + 736.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 574.0, + 532.0, + 687.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 22.0, + 124.0, + 575.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 230.0, + 352.0, + 511.0, + 606.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e92e1b7623" + }, + "e93f83e512": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 719.0, + 296.0, + 810.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 851.0, + 219.0, + 899.0, + 350.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 915.0, + 209.0, + 957.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 620.0, + 297.0, + 690.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 774.0, + 221.0, + 825.0, + 351.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 840.0, + 214.0, + 887.0, + 350.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 519.0, + 303.0, + 624.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 744.0, + 224.0, + 790.0, + 351.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 806.0, + 218.0, + 854.0, + 353.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 406.0, + 307.0, + 477.0, + 549.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e93f83e512" + }, + "e9460b55f9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 33.0, + 322.0, + 791.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 237.0, + 88.0, + 652.0, + 645.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 151.0, + 136.0, + 426.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 63.0, + 267.0, + 695.0, + 419.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e9460b55f9" + }, + "e9502628f6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 281.0, + 108.0, + 770.0, + 359.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 732.0, + 172.0, + 1279.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 265.0, + 111.0, + 763.0, + 360.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 733.0, + 169.0, + 1279.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 282.0, + 113.0, + 794.0, + 373.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 735.0, + 169.0, + 1279.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 279.0, + 117.0, + 771.0, + 366.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 721.0, + 174.0, + 1279.0, + 571.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e9502628f6" + }, + "e950befd5f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 528.0, + 232.0, + 690.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 525.0, + 232.0, + 699.0, + 407.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 513.0, + 231.0, + 703.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 513.0, + 238.0, + 696.0, + 408.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e950befd5f" + }, + "e9582bdd1b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 340.0, + 331.0, + 640.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 327.0, + 260.0, + 767.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 402.0, + 250.0, + 855.0, + 467.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 341.0, + 340.0, + 750.0, + 570.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e9582bdd1b" + }, + "e95e5afe0f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 869.0, + 194.0, + 957.0, + 313.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 730.0, + 196.0, + 876.0, + 277.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 788.0, + 258.0, + 983.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 621.0, + 252.0, + 715.0, + 361.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 446.0, + 258.0, + 621.0, + 343.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 462.0, + 308.0, + 736.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 680.0, + 265.0, + 775.0, + 368.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 504.0, + 264.0, + 683.0, + 341.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 536.0, + 313.0, + 794.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 536.0, + 187.0, + 631.0, + 291.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 365.0, + 186.0, + 543.0, + 266.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 421.0, + 246.0, + 660.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00160", + "00180", + "00200", + "00235" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e95e5afe0f" + }, + "e97cfac475": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 495.0, + 121.0, + 603.0, + 207.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 447.0, + 462.0, + 742.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 399.0, + 5.0, + 546.0, + 310.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 364.0, + 457.0, + 655.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 390.0, + 22.0, + 641.0, + 321.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 368.0, + 438.0, + 662.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 356.0, + 30.0, + 623.0, + 354.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 344.0, + 418.0, + 636.0, + 476.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00195", + "00220", + "00240", + "00255" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e97cfac475" + }, + "e98d57d99c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 261.0, + 351.0, + 562.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 222.0, + 345.0, + 529.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 185.0, + 350.0, + 465.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 169.0, + 351.0, + 442.0, + 538.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e98d57d99c" + }, + "e98eda8978": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 972.0, + 348.0, + 1279.0, + 523.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 844.0, + 347.0, + 1279.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 56.0, + 255.0, + 1108.0, + 619.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bus", + "bbox": [ + 1173.0, + 354.0, + 1279.0, + 506.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e98eda8978" + }, + "e9bc0760ba": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 403.0, + 211.0, + 650.0, + 718.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 287.0, + 65.0, + 585.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 428.0, + 133.0, + 654.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 371.0, + 12.0, + 666.0, + 344.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 427.0, + 117.0, + 700.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 513.0, + 29.0, + 712.0, + 368.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 341.0, + 116.0, + 672.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 393.0, + 40.0, + 666.0, + 223.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e9bc0760ba" + }, + "e9d3c78bf3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 901.0, + 281.0, + 1279.0, + 665.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 498.0, + 107.0, + 945.0, + 518.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 448.0, + 381.0, + 569.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 977.0, + 330.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 675.0, + 235.0, + 997.0, + 563.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 494.0, + 447.0, + 711.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 1061.0, + 295.0, + 1279.0, + 694.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 791.0, + 214.0, + 1070.0, + 520.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 574.0, + 426.0, + 792.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 847.0, + 328.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 619.0, + 324.0, + 799.0, + 550.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 308.0, + 495.0, + 521.0, + 618.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e9d3c78bf3" + }, + "e9ec1b7ea8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 450.0, + 157.0, + 1119.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 489.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 57.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 292.0, + 291.0, + 959.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 490.0, + 190.0, + 871.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/e9ec1b7ea8" + }, + "ea065cc205": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 512.0, + 23.0, + 1227.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 535.0, + 194.0, + 599.0, + 294.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 233.0, + 60.0, + 578.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 471.0, + 181.0, + 713.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 264.0, + 75.0, + 758.0, + 417.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 486.0, + 264.0, + 870.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 620.0, + 90.0, + 858.0, + 472.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 515.0, + 288.0, + 804.0, + 488.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea065cc205" + }, + "ea138b6617": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 428.0, + 171.0, + 806.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 336.0, + 121.0, + 676.0, + 515.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 416.0, + 172.0, + 691.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 402.0, + 166.0, + 676.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00095", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea138b6617" + }, + "ea16d3fd48": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 400.0, + 140.0, + 667.0, + 415.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 751.0, + 320.0, + 793.0, + 425.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 444.0, + 290.0, + 823.0, + 441.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 470.0, + 275.0, + 865.0, + 645.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 520.0, + 272.0, + 895.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00045", + "00065", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea16d3fd48" + }, + "ea2545d64b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 238.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 42.0, + 174.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 74.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 162.0, + 392.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea2545d64b" + }, + "ea286a581c": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 493.0, + 0.0, + 666.0, + 160.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 445.0, + 119.0, + 766.0, + 321.0 + ], + "valid": 1 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 186.0, + 212.0, + 527.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 491.0, + 0.0, + 664.0, + 155.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 476.0, + 124.0, + 739.0, + 308.0 + ], + "valid": 1 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 222.0, + 211.0, + 525.0, + 428.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 490.0, + 0.0, + 665.0, + 144.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 470.0, + 119.0, + 737.0, + 336.0 + ], + "valid": 1 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 229.0, + 211.0, + 499.0, + 424.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 498.0, + 0.0, + 661.0, + 153.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 470.0, + 116.0, + 761.0, + 327.0 + ], + "valid": 1 + }, + "3": { + "category_name": "turtle", + "bbox": [ + 244.0, + 208.0, + 517.0, + 408.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea286a581c" + }, + "ea320da917": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 554.0, + 453.0, + 946.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 302.0, + 494.0, + 548.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 299.0, + 593.0, + 547.0, + 682.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 575.0, + 80.0, + 957.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 576.0, + 412.0, + 927.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 134.0, + 428.0, + 615.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 272.0, + 523.0, + 415.0, + 652.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 649.0, + 52.0, + 941.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 455.0, + 408.0, + 831.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 6.0, + 354.0, + 499.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 116.0, + 503.0, + 316.0, + 634.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 558.0, + 41.0, + 951.0, + 635.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 420.0, + 447.0, + 831.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 0.0, + 381.0, + 442.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 38.0, + 562.0, + 103.0, + 661.0 + ], + "valid": 1 + }, + "4": { + "category_name": "person", + "bbox": [ + 495.0, + 67.0, + 883.0, + 663.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00125", + "00140", + "00165", + "00190" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea320da917" + }, + "ea345f3627": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 457.0, + 233.0, + 592.0, + 375.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 694.0, + 531.0, + 790.0, + 592.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 937.0, + 540.0, + 973.0, + 595.0 + ], + "valid": 1 + }, + "4": { + "category_name": "bear", + "bbox": [ + 459.0, + 141.0, + 578.0, + 267.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 468.0, + 233.0, + 643.0, + 391.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 739.0, + 549.0, + 829.0, + 603.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 1018.0, + 528.0, + 1060.0, + 589.0 + ], + "valid": 1 + }, + "4": { + "category_name": "bear", + "bbox": [ + 471.0, + 160.0, + 591.0, + 309.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 457.0, + 255.0, + 616.0, + 411.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 731.0, + 550.0, + 798.0, + 613.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 1095.0, + 514.0, + 1152.0, + 582.0 + ], + "valid": 1 + }, + "4": { + "category_name": "bear", + "bbox": [ + 439.0, + 168.0, + 600.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 477.0, + 245.0, + 649.0, + 390.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 725.0, + 531.0, + 776.0, + 596.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 1108.0, + 505.0, + 1186.0, + 565.0 + ], + "valid": 1 + }, + "4": { + "category_name": "bear", + "bbox": [ + 406.0, + 147.0, + 598.0, + 375.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea345f3627" + }, + "ea3b94a591": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 539.0, + 125.0, + 795.0, + 464.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 534.0, + 363.0, + 911.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 774.0, + 275.0, + 889.0, + 498.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 765.0, + 451.0, + 937.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 761.0, + 317.0, + 863.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 748.0, + 447.0, + 910.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 686.0, + 415.0, + 747.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 687.0, + 561.0, + 726.0, + 576.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea3b94a591" + }, + "ea444a37eb": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 468.0, + 196.0, + 692.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 453.0, + 96.0, + 911.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 558.0, + 0.0, + 885.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 0.0, + 430.0, + 258.0, + 628.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 498.0, + 86.0, + 844.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 610.0, + 0.0, + 918.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 18.0, + 393.0, + 298.0, + 594.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 416.0, + 137.0, + 728.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 588.0, + 0.0, + 901.0, + 676.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 248.0, + 311.0, + 446.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 372.0, + 160.0, + 765.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 488.0, + 0.0, + 991.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00020", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea444a37eb" + }, + "ea4a01216b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 281.0, + 53.0, + 839.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 284.0, + 72.0, + 878.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 265.0, + 0.0, + 956.0, + 639.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 308.0, + 17.0, + 862.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea4a01216b" + }, + "ea5672ffa8": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 704.0, + 312.0, + 732.0, + 360.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 595.0, + 412.0, + 648.0, + 463.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 586.0, + 384.0, + 662.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 568.0, + 366.0, + 674.0, + 608.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ea5672ffa8" + }, + "eaa99191cb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 654.0, + 241.0, + 893.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 643.0, + 238.0, + 901.0, + 427.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 661.0, + 252.0, + 896.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 614.0, + 96.0, + 872.0, + 425.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00075", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eaa99191cb" + }, + "eaab4d746c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 446.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 339.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 95.0, + 1279.0, + 439.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 477.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eaab4d746c" + }, + "eac7a59bc1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 638.0, + 173.0, + 1279.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 674.0, + 31.0, + 1279.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 527.0, + 0.0, + 1279.0, + 405.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 527.0, + 61.0, + 1279.0, + 482.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eac7a59bc1" + }, + "ead5d3835a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 723.0, + 248.0, + 784.0, + 301.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 614.0, + 288.0, + 837.0, + 511.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 600.0, + 324.0, + 940.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 718.0, + 250.0, + 766.0, + 297.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 598.0, + 287.0, + 803.0, + 489.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 597.0, + 313.0, + 880.0, + 558.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 705.0, + 232.0, + 755.0, + 276.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 597.0, + 268.0, + 797.0, + 467.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 595.0, + 300.0, + 869.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 787.0, + 239.0, + 841.0, + 290.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 685.0, + 279.0, + 886.0, + 483.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 675.0, + 292.0, + 979.0, + 554.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00065", + "00070", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ead5d3835a" + }, + "eaec65cfa7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 350.0, + 75.0, + 805.0, + 707.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 701.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 382.0, + 0.0, + 783.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 645.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 382.0, + 0.0, + 773.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 599.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 386.0, + 0.0, + 783.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 619.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eaec65cfa7" + }, + "eaed1a87be": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 268.0, + 272.0, + 564.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 277.0, + 0.0, + 682.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 265.0, + 234.0, + 690.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 277.0, + 0.0, + 682.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 268.0, + 162.0, + 628.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 277.0, + 0.0, + 683.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 266.0, + 113.0, + 566.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 277.0, + 0.0, + 683.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00100", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eaed1a87be" + }, + "eb2f821c6f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 415.0, + 181.0, + 886.0, + 464.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 425.0, + 213.0, + 926.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 431.0, + 223.0, + 936.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 443.0, + 163.0, + 940.0, + 480.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00085", + "00105", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eb2f821c6f" + }, + "eb383cb82e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 183.0, + 31.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 646.0, + 95.0, + 741.0, + 196.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 192.0, + 0.0, + 1279.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 605.0, + 0.0, + 708.0, + 66.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 57.0, + 0.0, + 1279.0, + 676.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 420.0, + 0.0, + 526.0, + 56.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 326.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 393.0, + 0.0, + 423.0, + 84.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eb383cb82e" + }, + "eb6992fe02": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 180.0, + 114.0, + 873.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 164.0, + 0.0, + 827.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 159.0, + 169.0, + 896.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 131.0, + 37.0, + 980.0, + 627.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00080", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eb6992fe02" + }, + "eb6ac20a01": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 260.0, + 193.0, + 559.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 665.0, + 195.0, + 926.0, + 400.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 157.0, + 213.0, + 476.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 560.0, + 218.0, + 824.0, + 425.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 130.0, + 225.0, + 452.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 534.0, + 230.0, + 795.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 42.0, + 205.0, + 373.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 458.0, + 208.0, + 744.0, + 423.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eb6ac20a01" + }, + "eb6d7ab39e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 472.0, + 265.0, + 642.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 708.0, + 250.0, + 784.0, + 318.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1021.0, + 242.0, + 1143.0, + 295.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 576.0, + 106.0, + 746.0, + 624.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 815.0, + 89.0, + 891.0, + 161.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 1154.0, + 74.0, + 1277.0, + 123.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 255.0, + 67.0, + 434.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 509.0, + 51.0, + 593.0, + 120.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 883.0, + 30.0, + 951.0, + 85.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 300.0, + 78.0, + 483.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 559.0, + 55.0, + 631.0, + 125.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 842.0, + 30.0, + 920.0, + 87.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eb6d7ab39e" + }, + "eb7921facd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 613.0, + 222.0, + 669.0, + 324.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 619.0, + 299.0, + 675.0, + 348.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 739.0, + 440.0, + 864.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 836.0, + 489.0, + 884.0, + 517.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 704.0, + 449.0, + 816.0, + 501.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 674.0, + 496.0, + 815.0, + 524.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 680.0, + 375.0, + 791.0, + 499.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 732.0, + 474.0, + 793.0, + 508.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eb7921facd" + }, + "eb8fce51a6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 757.0, + 0.0, + 1083.0, + 514.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 298.0, + 9.0, + 790.0, + 349.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 455.0, + 285.0, + 838.0, + 578.0 + ], + "valid": 1 + }, + "4": { + "category_name": "parrot", + "bbox": [ + 50.0, + 227.0, + 647.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 745.0, + 0.0, + 1073.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 288.0, + 31.0, + 780.0, + 371.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 449.0, + 308.0, + 826.0, + 598.0 + ], + "valid": 1 + }, + "4": { + "category_name": "parrot", + "bbox": [ + 44.0, + 255.0, + 632.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 739.0, + 0.0, + 1073.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 266.0, + 19.0, + 774.0, + 364.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 439.0, + 301.0, + 817.0, + 596.0 + ], + "valid": 1 + }, + "4": { + "category_name": "parrot", + "bbox": [ + 28.0, + 245.0, + 622.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 733.0, + 0.0, + 1065.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parrot", + "bbox": [ + 270.0, + 29.0, + 764.0, + 372.0 + ], + "valid": 1 + }, + "3": { + "category_name": "parrot", + "bbox": [ + 437.0, + 308.0, + 804.0, + 596.0 + ], + "valid": 1 + }, + "4": { + "category_name": "parrot", + "bbox": [ + 20.0, + 253.0, + 614.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00105", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eb8fce51a6" + }, + "ebbb90e9f9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 47.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 67.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 79.0, + 1.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 178.0, + 76.0, + 578.0, + 511.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ebbb90e9f9" + }, + "ebbf5c9ee1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 455.0, + 110.0, + 771.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 457.0, + 347.0, + 512.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 702.0, + 292.0, + 829.0, + 617.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 702.0, + 617.0, + 763.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 716.0, + 284.0, + 857.0, + 599.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 784.0, + 568.0, + 844.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 709.0, + 350.0, + 789.0, + 548.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 727.0, + 538.0, + 790.0, + 560.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ebbf5c9ee1" + }, + "ebc4ec32e6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 267.0, + 94.0, + 360.0, + 271.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 177.0, + 159.0, + 408.0, + 322.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 274.0, + 46.0, + 372.0, + 243.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 181.0, + 134.0, + 412.0, + 293.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 219.0, + 62.0, + 320.0, + 240.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 208.0, + 163.0, + 325.0, + 291.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 120.0, + 51.0, + 178.0, + 169.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 78.0, + 124.0, + 266.0, + 251.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ebc4ec32e6" + }, + "ebe56e5ef8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 173.0, + 134.0, + 1064.0, + 459.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 61.0, + 107.0, + 1122.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 154.0, + 900.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 53.0, + 187.0, + 862.0, + 539.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ebe56e5ef8" + }, + "ec1299aee4": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 240.0, + 0.0, + 1075.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 280.0, + 22.0, + 1107.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 254.0, + 0.0, + 1103.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 276.0, + 0.0, + 1097.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec1299aee4" + }, + "ec139ff675": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 505.0, + 220.0, + 900.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 612.0, + 170.0, + 1013.0, + 541.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 619.0, + 175.0, + 1094.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 619.0, + 161.0, + 1106.0, + 438.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec139ff675" + }, + "ec193e1a01": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 414.0, + 0.0, + 1203.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 344.0, + 0.0, + 1013.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 326.0, + 0.0, + 941.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 346.0, + 0.0, + 1085.0, + 585.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00075", + "00115", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec193e1a01" + }, + "ec28252938": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 340.0, + 17.0, + 1253.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 302.0, + 183.0, + 658.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 362.0, + 22.0, + 1257.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 292.0, + 190.0, + 657.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 330.0, + 34.0, + 1231.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 300.0, + 192.0, + 647.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 332.0, + 22.0, + 1237.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 284.0, + 185.0, + 632.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00040", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec28252938" + }, + "ec387be051": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 703.0, + 323.0, + 887.0, + 551.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 697.0, + 391.0, + 838.0, + 596.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 679.0, + 263.0, + 863.0, + 534.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 702.0, + 338.0, + 855.0, + 577.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00050", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec387be051" + }, + "ec3d4fac00": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 253.0, + 2.0, + 579.0, + 285.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 361.0, + 2.0, + 696.0, + 247.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 311.0, + 0.0, + 775.0, + 316.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 411.0, + 2.0, + 690.0, + 268.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec3d4fac00" + }, + "ec4186ce12": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 478.0, + 204.0, + 731.0, + 398.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 244.0, + 327.0, + 1024.0, + 465.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 619.0, + 210.0, + 900.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 599.0, + 192.0, + 822.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 348.0, + 330.0, + 1086.0, + 423.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 678.0, + 120.0, + 889.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 496.0, + 196.0, + 688.0, + 372.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 252.0, + 333.0, + 941.0, + 405.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 629.0, + 229.0, + 708.0, + 318.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 542.0, + 224.0, + 718.0, + 381.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 324.0, + 328.0, + 973.0, + 429.0 + ], + "valid": 1 + }, + "3": { + "category_name": "paddle", + "bbox": [ + 711.0, + 126.0, + 808.0, + 247.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00155", + "00175", + "00190", + "00205" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec4186ce12" + }, + "ec579c2f96": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 585.0, + 189.0, + 800.0, + 696.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 597.0, + 105.0, + 818.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 594.0, + 112.0, + 816.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 570.0, + 129.0, + 780.0, + 546.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ec579c2f96" + }, + "ecae59b782": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1129.0, + 83.0, + 1152.0, + 135.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 1231.0, + 520.0, + 1279.0, + 556.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1036.0, + 81.0, + 1054.0, + 137.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 822.0, + 510.0, + 875.0, + 524.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 822.0, + 365.0, + 957.0, + 713.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1024.0, + 83.0, + 1047.0, + 135.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 807.0, + 504.0, + 859.0, + 523.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 819.0, + 369.0, + 946.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 910.0, + 81.0, + 938.0, + 136.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 669.0, + 346.0, + 786.0, + 688.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00085", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ecae59b782" + }, + "ecb33a0448": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 307.0, + 92.0, + 724.0, + 568.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 302.0, + 277.0, + 663.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 86.0, + 542.0, + 236.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 94.0, + 243.0, + 436.0, + 466.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00085", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ecb33a0448" + }, + "ece6bc9e92": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 217.0, + 313.0, + 365.0, + 538.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 363.0, + 413.0, + 538.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 194.0, + 325.0, + 373.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 423.0, + 411.0, + 573.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 189.0, + 323.0, + 363.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 423.0, + 423.0, + 569.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 164.0, + 321.0, + 380.0, + 523.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 421.0, + 427.0, + 547.0, + 554.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ece6bc9e92" + }, + "ecfedd4035": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 3.0, + 126.0, + 561.0, + 542.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 598.0, + 109.0, + 1275.0, + 538.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 3.0, + 142.0, + 565.0, + 534.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 552.0, + 135.0, + 1257.0, + 537.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 3.0, + 142.0, + 668.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 631.0, + 116.0, + 1253.0, + 532.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 7.0, + 133.0, + 719.0, + 523.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 658.0, + 110.0, + 1252.0, + 538.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ecfedd4035" + }, + "ecfff22fd6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 533.0, + 0.0, + 909.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 607.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 580.0, + 0.0, + 989.0, + 491.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 88.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 568.0, + 0.0, + 968.0, + 567.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 101.0, + 593.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 584.0, + 0.0, + 986.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 477.0, + 653.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ecfff22fd6" + }, + "ed3291c3d6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 317.0, + 651.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 245.0, + 755.0, + 718.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 276.0, + 190.0, + 803.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 252.0, + 102.0, + 604.0, + 537.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00105", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed3291c3d6" + }, + "ed3cd5308d": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 344.0, + 173.0, + 1124.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 299.0, + 190.0, + 903.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 327.0, + 130.0, + 912.0, + 670.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 251.0, + 142.0, + 824.0, + 709.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed3cd5308d" + }, + "ed3e6fc1a5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 567.0, + 336.0, + 915.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 506.0, + 320.0, + 908.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 510.0, + 324.0, + 914.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 514.0, + 320.0, + 914.0, + 591.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00085", + "00095", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed3e6fc1a5" + }, + "ed72ae8825": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 379.0, + 61.0, + 779.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 282.0, + 198.0, + 812.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 280.0, + 326.0, + 798.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 194.0, + 327.0, + 807.0, + 699.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed72ae8825" + }, + "ed7455da68": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 720.0, + 447.0, + 757.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 817.0, + 454.0, + 859.0, + 489.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 734.0, + 456.0, + 772.0, + 487.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 825.0, + 467.0, + 872.0, + 500.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 731.0, + 482.0, + 769.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 816.0, + 490.0, + 864.0, + 520.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 691.0, + 477.0, + 726.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 774.0, + 480.0, + 821.0, + 513.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed7455da68" + }, + "ed844e879f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 483.0, + 0.0, + 607.0, + 159.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 579.0, + 0.0, + 660.0, + 195.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 668.0, + 66.0, + 877.0, + 327.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 671.0, + 349.0, + 702.0, + 358.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 631.0, + 133.0, + 794.0, + 409.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed844e879f" + }, + "ed8f814b2b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 514.0, + 0.0, + 989.0, + 373.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 538.0, + 0.0, + 1085.0, + 348.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 518.0, + 0.0, + 1152.0, + 469.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 451.0, + 1.0, + 1201.0, + 363.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed8f814b2b" + }, + "ed911a1f63": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 44.0, + 78.0, + 947.0, + 701.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 452.0, + 56.0, + 1271.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 516.0, + 76.0, + 1279.0, + 642.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 368.0, + 28.0, + 1279.0, + 707.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00075", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed911a1f63" + }, + "ed9ff4f649": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 1127.0, + 509.0, + 1279.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "duck", + "bbox": [ + 999.0, + 234.0, + 1279.0, + 459.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ed9ff4f649" + }, + "eda8ab984b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 340.0, + 417.0, + 747.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 737.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 284.0, + 354.0, + 763.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 744.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 797.0, + 269.0, + 980.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 10.0, + 0.0, + 988.0, + 476.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 797.0, + 272.0, + 1037.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 1005.0, + 477.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eda8ab984b" + }, + "edb8878849": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 276.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 57.0, + 498.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 146.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 293.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 396.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 521.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 110.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 32.0, + 173.0, + 458.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00075", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/edb8878849" + }, + "edbfdfe1b4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 79.0, + 0.0, + 287.0, + 52.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 188.0, + 72.0, + 455.0, + 302.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 258.0, + 0.0, + 530.0, + 171.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 22.0, + 0.0, + 229.0, + 234.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 41.0, + 68.0, + 250.0, + 288.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 336.0, + 7.0, + 547.0, + 158.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 57.0, + 127.0, + 234.0, + 337.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 354.0, + 91.0, + 553.0, + 233.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00095", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/edbfdfe1b4" + }, + "edd22c46a2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 569.0, + 293.0, + 1279.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 352.0, + 110.0, + 1138.0, + 384.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 111.0, + 154.0, + 990.0, + 493.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 122.0, + 858.0, + 494.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/edd22c46a2" + }, + "edd663afa3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 585.0, + 347.0, + 856.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 631.0, + 320.0, + 896.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 748.0, + 449.0, + 1004.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 554.0, + 123.0, + 713.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00115", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/edd663afa3" + }, + "ede3552eae": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 80.0, + 21.0, + 837.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 662.0, + 227.0, + 986.0, + 654.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 276.0, + 112.0, + 829.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 694.0, + 265.0, + 1049.0, + 686.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 124.0, + 221.0, + 568.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 880.0, + 412.0, + 1058.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 402.0, + 430.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 452.0, + 155.0, + 722.0, + 639.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00055", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ede3552eae" + }, + "edeab61ee0": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 507.0, + 221.0, + 760.0, + 413.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 201.0, + 204.0, + 782.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 23.0, + 167.0, + 698.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 0.0, + 107.0, + 587.0, + 423.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/edeab61ee0" + }, + "ee07583fc0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 410.0, + 0.0, + 1198.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 389.0, + 0.0, + 1216.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 266.0, + 83.0, + 1104.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 525.0, + 48.0, + 1206.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee07583fc0" + }, + "ee316eaed6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 664.0, + 267.0, + 1115.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 886.0, + 497.0, + 1097.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 1083.0, + 577.0, + 1114.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 665.0, + 19.0, + 1181.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 894.0, + 488.0, + 1139.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 1130.0, + 339.0, + 1279.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 0.0, + 1025.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 992.0, + 480.0, + 1195.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 927.0, + 352.0, + 1279.0, + 573.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 262.0, + 0.0, + 758.0, + 711.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 636.0, + 352.0, + 921.0, + 684.0 + ], + "valid": 1 + }, + "3": { + "category_name": "plant", + "bbox": [ + 722.0, + 172.0, + 826.0, + 385.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee316eaed6" + }, + "ee3f509537": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 245.0, + 109.0, + 660.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 339.0, + 353.0, + 461.0, + 551.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 289.0, + 124.0, + 690.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 365.0, + 431.0, + 507.0, + 541.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 0.0, + 160.0, + 358.0, + 607.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 317.0, + 129.0, + 714.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "ape", + "bbox": [ + 325.0, + 134.0, + 623.0, + 639.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 305.0, + 139.0, + 750.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "ape", + "bbox": [ + 352.0, + 137.0, + 645.0, + 592.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee3f509537" + }, + "ee40a1e491": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 21.0, + 33.0, + 519.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 0.0, + 0.0, + 385.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 282.0, + 21.0, + 389.0, + 85.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 9.0, + 0.0, + 463.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 470.0, + 79.0, + 578.0, + 132.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 341.0, + 180.0, + 822.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 935.0, + 271.0, + 1016.0, + 314.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee40a1e491" + }, + "ee4bf100f1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 318.0, + 330.0, + 807.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 246.0, + 33.0, + 444.0, + 240.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 634.0, + 20.0, + 1025.0, + 504.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 733.0, + 0.0, + 927.0, + 148.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 390.0, + 228.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 608.0, + 257.0, + 932.0, + 647.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 541.0, + 21.0, + 715.0, + 223.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 6.0, + 0.0, + 356.0, + 96.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 595.0, + 245.0, + 952.0, + 676.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 509.0, + 25.0, + 696.0, + 224.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "dolphin", + "bbox": [ + 505.0, + 152.0, + 764.0, + 476.0 + ], + "valid": 1 + }, + "4": { + "category_name": "dolphin", + "bbox": [ + 147.0, + 25.0, + 363.0, + 107.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00090", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee4bf100f1" + }, + "ee6f9b01f9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 658.0, + 25.0, + 1279.0, + 409.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 668.0, + 0.0, + 1279.0, + 398.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 517.0, + 87.0, + 1200.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 549.0, + 41.0, + 1279.0, + 473.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00110", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee6f9b01f9" + }, + "ee947ed771": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 557.0, + 1.0, + 688.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 261.0, + 116.0, + 492.0, + 681.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 930.0, + 0.0, + 1049.0, + 340.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 760.0, + 39.0, + 966.0, + 611.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 144.0, + 0.0, + 401.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1162.0, + 0.0, + 1202.0, + 26.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 958.0, + 0.0, + 1172.0, + 361.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 591.0, + 0.0, + 784.0, + 218.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 976.0, + 0.0, + 1122.0, + 59.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee947ed771" + }, + "ee9706ac7f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 764.0, + 218.0, + 934.0, + 510.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 461.0, + 109.0, + 749.0, + 458.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 415.0, + 207.0, + 642.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 463.0, + 168.0, + 717.0, + 466.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee9706ac7f" + }, + "ee9a7840ae": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 503.0, + 53.0, + 1106.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 137.0, + 79.0, + 660.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 422.0, + 67.0, + 1045.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 298.0, + 0.0, + 846.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 368.0, + 187.0, + 927.0, + 619.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 236.0, + 13.0, + 738.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 414.0, + 146.0, + 1009.0, + 581.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 244.0, + 0.0, + 780.0, + 575.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ee9a7840ae" + }, + "eeb90cb569": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 648.0, + 179.0, + 885.0, + 479.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 739.0, + 359.0, + 880.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 751.0, + 196.0, + 890.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 726.0, + 141.0, + 888.0, + 396.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00085", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eeb90cb569" + }, + "eebf45e5c5": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 593.0, + 240.0, + 768.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 621.0, + 161.0, + 698.0, + 323.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 607.0, + 222.0, + 762.0, + 425.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 609.0, + 134.0, + 716.0, + 288.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 622.0, + 162.0, + 739.0, + 434.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 616.0, + 108.0, + 723.0, + 303.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 600.0, + 140.0, + 775.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 619.0, + 120.0, + 744.0, + 330.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eebf45e5c5" + }, + "eeed0c7d73": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 513.0, + 224.0, + 1050.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 507.0, + 222.0, + 1032.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 559.0, + 140.0, + 1173.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 525.0, + 2.0, + 1033.0, + 629.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/eeed0c7d73" + }, + "ef0061a309": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 216.0, + 327.0, + 892.0, + 635.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 240.0, + 317.0, + 839.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 317.0, + 335.0, + 918.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 375.0, + 213.0, + 966.0, + 493.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00075", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef0061a309" + }, + "ef07f1a655": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 499.0, + 96.0, + 754.0, + 667.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 538.0, + 598.0, + 771.0, + 651.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 540.0, + 155.0, + 1000.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 546.0, + 575.0, + 781.0, + 645.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 651.0, + 72.0, + 874.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 719.0, + 590.0, + 935.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 701.0, + 80.0, + 906.0, + 564.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 709.0, + 497.0, + 893.0, + 574.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef07f1a655" + }, + "ef0a8e8f35": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 10 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 580.0, + 298.0, + 1161.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 532.0, + 258.0, + 1055.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 460.0, + 187.0, + 1005.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 416.0, + 123.0, + 975.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef0a8e8f35" + }, + "ef232a2aed": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 464.0, + 244.0, + 699.0, + 397.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 589.0, + 238.0, + 834.0, + 647.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 232.0, + 294.0, + 466.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 323.0, + 349.0, + 692.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dog", + "bbox": [ + 445.0, + 359.0, + 804.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 0.0, + 338.0, + 355.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 412.0, + 212.0, + 816.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef232a2aed" + }, + "ef308ad2e9": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 492.0, + 240.0, + 815.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 334.0, + 149.0, + 837.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 192.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 332.0, + 22.0, + 1279.0, + 633.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef308ad2e9" + }, + "ef44945428": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 253.0, + 130.0, + 959.0, + 681.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 257.0, + 119.0, + 959.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 257.0, + 117.0, + 959.0, + 650.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 283.0, + 69.0, + 959.0, + 602.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef44945428" + }, + "ef45ce3035": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 359.0, + 639.0, + 495.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 389.0, + 576.0, + 532.0, + 709.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 719.0, + 677.0, + 829.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 371.0, + 519.0, + 511.0, + 644.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 623.0, + 514.0, + 808.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "earless_seal", + "bbox": [ + 319.0, + 484.0, + 465.0, + 605.0 + ], + "valid": 1 + }, + "2": { + "category_name": "earless_seal", + "bbox": [ + 578.0, + 438.0, + 726.0, + 619.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef45ce3035" + }, + "ef5dde449d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 211.0, + 197.0, + 1202.0, + 673.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 236.0, + 338.0, + 502.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 532.0, + 616.0, + 1001.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 137.0, + 208.0, + 1197.0, + 693.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 198.0, + 159.0, + 446.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 526.0, + 609.0, + 971.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 134.0, + 179.0, + 1205.0, + 687.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 262.0, + 90.0, + 608.0, + 337.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 525.0, + 609.0, + 972.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 80.0, + 261.0, + 1200.0, + 692.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 25.0, + 122.0, + 728.0, + 348.0 + ], + "valid": 1 + }, + "3": { + "category_name": "mouse", + "bbox": [ + 523.0, + 606.0, + 967.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef5dde449d" + }, + "ef5e770988": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 221.0, + 0.0, + 1279.0, + 518.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 213.0, + 0.0, + 1279.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 219.0, + 0.0, + 1279.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 209.0, + 0.0, + 1279.0, + 542.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef5e770988" + }, + "ef6359cea3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 147.0, + 192.0, + 568.0, + 689.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 888.0, + 54.0, + 1262.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 287.0, + 159.0, + 729.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 314.0, + 159.0, + 745.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 310.0, + 59.0, + 790.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef6359cea3" + }, + "ef65268834": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 405.0, + 176.0, + 761.0, + 556.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 403.0, + 158.0, + 752.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 495.0, + 227.0, + 687.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 510.0, + 197.0, + 706.0, + 628.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00090", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef65268834" + }, + "ef6cb5eae0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 253.0, + 191.0, + 649.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 253.0, + 190.0, + 648.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 180.0, + 134.0, + 659.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 38.0, + 781.0, + 648.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef6cb5eae0" + }, + "ef78972bc2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 642.0, + 216.0, + 772.0, + 316.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 546.0, + 101.0, + 735.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 629.0, + 246.0, + 667.0, + 319.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 544.0, + 96.0, + 745.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 488.0, + 148.0, + 536.0, + 270.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 498.0, + 142.0, + 705.0, + 647.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tennis_racket", + "bbox": [ + 534.0, + 284.0, + 569.0, + 295.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 517.0, + 70.0, + 729.0, + 638.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00045", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef78972bc2" + }, + "ef8cfcfc4f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 942.0, + 471.0, + 1102.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 950.0, + 499.0, + 1109.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 918.0, + 236.0, + 1275.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 982.0, + 526.0, + 1131.0, + 714.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00035", + "00060", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef8cfcfc4f" + }, + "ef96501dd0": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 625.0, + 113.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 625.0, + 146.0, + 743.0, + 257.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 559.0, + 265.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 666.0, + 104.0, + 814.0, + 223.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 3.0, + 488.0, + 380.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 681.0, + 34.0, + 893.0, + 169.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 56.0, + 527.0, + 384.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 647.0, + 105.0, + 854.0, + 237.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00085", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef96501dd0" + }, + "ef9a2e976b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 423.0, + 290.0, + 675.0, + 451.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 488.0, + 269.0, + 735.0, + 445.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 867.0, + 300.0, + 1121.0, + 469.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 368.0, + 239.0, + 643.0, + 399.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 432.0, + 228.0, + 670.0, + 386.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 761.0, + 224.0, + 1049.0, + 396.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 224.0, + 205.0, + 501.0, + 388.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 293.0, + 202.0, + 531.0, + 377.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 606.0, + 216.0, + 865.0, + 376.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 120.0, + 302.0, + 375.0, + 473.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 180.0, + 300.0, + 424.0, + 470.0 + ], + "valid": 1 + }, + "3": { + "category_name": "zebra", + "bbox": [ + 475.0, + 312.0, + 735.0, + 491.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ef9a2e976b" + }, + "efb24f950f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 684.0, + 350.0, + 709.0, + 430.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 674.0, + 286.0, + 732.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 755.0, + 308.0, + 806.0, + 454.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 738.0, + 185.0, + 843.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 637.0, + 519.0, + 691.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 618.0, + 376.0, + 761.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 557.0, + 390.0, + 718.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 588.0, + 201.0, + 727.0, + 564.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/efb24f950f" + }, + "efce0c1868": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 91.0, + 482.0, + 544.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 428.0, + 83.0, + 614.0, + 396.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 428.0, + 167.0, + 783.0, + 454.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 664.0, + 167.0, + 795.0, + 445.0 + ], + "valid": 1 + }, + "5": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 97.0, + 323.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 402.0, + 40.0, + 602.0, + 400.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 305.0, + 114.0, + 799.0, + 466.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 649.0, + 103.0, + 832.0, + 461.0 + ], + "valid": 1 + }, + "5": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "ape", + "bbox": [ + 220.0, + 53.0, + 519.0, + 583.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 74.0, + 137.0, + 796.0, + 649.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 551.0, + 121.0, + 888.0, + 648.0 + ], + "valid": 1 + }, + "5": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "ape", + "bbox": [ + 142.0, + 71.0, + 724.0, + 623.0 + ], + "valid": 1 + }, + "3": { + "category_name": "ape", + "bbox": [ + 17.0, + 132.0, + 687.0, + 634.0 + ], + "valid": 1 + }, + "4": { + "category_name": "ape", + "bbox": [ + 539.0, + 122.0, + 847.0, + 460.0 + ], + "valid": 1 + }, + "5": { + "category_name": "ape", + "bbox": [ + 534.0, + 225.0, + 572.0, + 265.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00095", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/efce0c1868" + }, + "efe5ac6901": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 407.0, + 35.0, + 477.0, + 161.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 522.0, + 145.0, + 577.0, + 225.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 540.0, + 205.0, + 589.0, + 324.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 402.0, + 37.0, + 473.0, + 162.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 517.0, + 144.0, + 574.0, + 225.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 543.0, + 212.0, + 589.0, + 341.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 384.0, + 45.0, + 469.0, + 170.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 519.0, + 142.0, + 561.0, + 233.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 541.0, + 207.0, + 580.0, + 344.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 365.0, + 38.0, + 439.0, + 131.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 522.0, + 140.0, + 553.0, + 205.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 521.0, + 195.0, + 575.0, + 318.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/efe5ac6901" + }, + "efe828affa": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 781.0, + 240.0, + 1019.0, + 463.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 482.0, + 274.0, + 642.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 787.0, + 236.0, + 1021.0, + 445.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 480.0, + 265.0, + 644.0, + 483.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 771.0, + 227.0, + 1019.0, + 437.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 466.0, + 257.0, + 630.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 769.0, + 218.0, + 1009.0, + 427.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 524.0, + 271.0, + 645.0, + 481.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00075", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/efe828affa" + }, + "efea4e0523": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 449.0, + 97.0, + 910.0, + 660.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 457.0, + 85.0, + 892.0, + 619.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 343.0, + 92.0, + 786.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 311.0, + 108.0, + 672.0, + 644.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/efea4e0523" + }, + "f0268aa627": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 963.0, + 301.0, + 1107.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 1073.0, + 403.0, + 1218.0, + 485.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 903.0, + 291.0, + 1007.0, + 499.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 954.0, + 301.0, + 1097.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 1064.0, + 398.0, + 1197.0, + 494.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 917.0, + 350.0, + 994.0, + 459.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 803.0, + 321.0, + 955.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 984.0, + 306.0, + 1134.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 1105.0, + 406.0, + 1217.0, + 502.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 975.0, + 363.0, + 1034.0, + 514.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 809.0, + 312.0, + 995.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 992.0, + 300.0, + 1185.0, + 574.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 1115.0, + 393.0, + 1243.0, + 505.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 1006.0, + 362.0, + 1135.0, + 443.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 812.0, + 332.0, + 1023.0, + 596.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0268aa627" + }, + "f0483250c8": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 156.0, + 117.0, + 747.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 204.0, + 0.0, + 645.0, + 348.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 200.0, + 252.0, + 727.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 805.0, + 401.0, + 1229.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0483250c8" + }, + "f04cf99ee6": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 11 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 668.0, + 372.0, + 857.0, + 707.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 475.0, + 306.0, + 811.0, + 824.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 446.0, + 231.0, + 823.0, + 884.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 637.0, + 309.0, + 1004.0, + 833.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1420.0, + 702.0, + 1614.0, + 953.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00030", + "00040" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f04cf99ee6" + }, + "f05b189097": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 375.0, + 351.0, + 747.0, + 602.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 346.0, + 506.0, + 439.0, + 678.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 14.0, + 450.0, + 162.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 356.0, + 332.0, + 738.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 360.0, + 512.0, + 472.0, + 662.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 24.0, + 436.0, + 169.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 340.0, + 328.0, + 732.0, + 588.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 359.0, + 496.0, + 486.0, + 658.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 26.0, + 431.0, + 174.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 301.0, + 312.0, + 713.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 361.0, + 498.0, + 494.0, + 648.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 26.0, + 423.0, + 171.0, + 488.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00060", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f05b189097" + }, + "f08928c6d3": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 464.0, + 201.0, + 1056.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 604.0, + 35.0, + 822.0, + 299.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 663.0, + 2.0, + 717.0, + 46.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 3.0, + 0.0, + 317.0, + 210.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 423.0, + 165.0, + 1021.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 625.0, + 36.0, + 983.0, + 540.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 699.0, + 0.0, + 765.0, + 45.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 278.0, + 169.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 424.0, + 123.0, + 974.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 369.0, + 25.0, + 933.0, + 535.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 750.0, + 0.0, + 827.0, + 44.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 291.0, + 158.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 397.0, + 140.0, + 1027.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 381.0, + 6.0, + 829.0, + 544.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 577.0, + 0.0, + 660.0, + 74.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 281.0, + 167.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00160", + "00180", + "00210", + "00225" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f08928c6d3" + }, + "f09d74856f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 313.0, + 68.0, + 868.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 327.0, + 76.0, + 844.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 339.0, + 91.0, + 860.0, + 681.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 334.0, + 90.0, + 874.0, + 686.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f09d74856f" + }, + "f0a7607d63": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 227.0, + 9.0, + 702.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 263.0, + 53.0, + 850.0, + 554.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 223.0, + 31.0, + 782.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 319.0, + 65.0, + 774.0, + 520.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0a7607d63" + }, + "f0ad38da27": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 25.0, + 283.0, + 473.0, + 649.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 940.0, + 368.0, + 999.0, + 529.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 44.0, + 259.0, + 545.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 971.0, + 346.0, + 1020.0, + 522.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 115.0, + 235.0, + 674.0, + 668.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 964.0, + 313.0, + 1012.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 199.0, + 213.0, + 914.0, + 659.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 923.0, + 306.0, + 1001.0, + 494.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00020", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0ad38da27" + }, + "f0c34e1213": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1041.0, + 261.0, + 1144.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1176.0, + 246.0, + 1277.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1011.0, + 270.0, + 1131.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1149.0, + 262.0, + 1269.0, + 583.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 778.0, + 278.0, + 904.0, + 604.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 906.0, + 270.0, + 1017.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 619.0, + 185.0, + 751.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 739.0, + 187.0, + 861.0, + 607.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0c34e1213" + }, + "f0c7f86c29": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 354.0, + 140.0, + 889.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 500.0, + 120.0, + 844.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 387.0, + 236.0, + 828.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 377.0, + 227.0, + 843.0, + 511.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0c7f86c29" + }, + "f0dfa18ba7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 391.0, + 184.0, + 1029.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1225.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 199.0, + 171.0, + 1180.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1248.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 138.0, + 162.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 44.0, + 1266.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1241.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00085", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0dfa18ba7" + }, + "f0eb3179f7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 540.0, + 168.0, + 646.0, + 374.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 436.0, + 0.0, + 1095.0, + 301.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 593.0, + 147.0, + 714.0, + 392.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 485.0, + 0.0, + 1094.0, + 288.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 588.0, + 182.0, + 741.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 481.0, + 0.0, + 1092.0, + 303.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 554.0, + 183.0, + 820.0, + 466.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 436.0, + 0.0, + 1094.0, + 309.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00075", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f0eb3179f7" + }, + "f119bab27d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 247.0, + 165.0, + 660.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 73.0, + 36.0, + 1279.0, + 685.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 237.0, + 202.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 79.0, + 31.0, + 1279.0, + 682.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 130.0, + 323.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 379.0, + 184.0, + 1279.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "mouse", + "bbox": [ + 303.0, + 438.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00065", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f119bab27d" + }, + "f14409b6a3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 257.0, + 378.0, + 746.0, + 494.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 314.0, + 0.0, + 735.0, + 414.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 546.0, + 261.0, + 605.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 527.0, + 273.0, + 595.0, + 470.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 391.0, + 430.0, + 655.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 391.0, + 1.0, + 750.0, + 490.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00085", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f14409b6a3" + }, + "f1489baff4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 343.0, + 374.0, + 743.0, + 617.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 520.0, + 580.0, + 682.0, + 673.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 423.0, + 38.0, + 877.0, + 305.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 375.0, + 337.0, + 802.0, + 605.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 575.0, + 565.0, + 657.0, + 673.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 465.0, + 0.0, + 941.0, + 269.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 341.0, + 301.0, + 788.0, + 565.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 567.0, + 360.0, + 756.0, + 573.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 472.0, + 0.0, + 920.0, + 228.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 364.0, + 296.0, + 814.0, + 561.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bird", + "bbox": [ + 680.0, + 375.0, + 1048.0, + 543.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 510.0, + 0.0, + 948.0, + 222.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f1489baff4" + }, + "f14c18cf6a": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 411.0, + 152.0, + 650.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 411.0, + 161.0, + 637.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 411.0, + 161.0, + 637.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 429.0, + 170.0, + 658.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f14c18cf6a" + }, + "f15c607b92": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 29 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 285.0, + 281.0, + 812.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 801.0, + 307.0, + 1000.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 247.0, + 288.0, + 641.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 704.0, + 329.0, + 898.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 233.0, + 283.0, + 609.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 684.0, + 373.0, + 844.0, + 603.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 220.0, + 0.0, + 761.0, + 502.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 709.0, + 380.0, + 799.0, + 495.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f15c607b92" + }, + "f1af214222": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 136.0, + 73.0, + 335.0, + 386.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 412.0, + 147.0, + 717.0, + 401.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 840.0, + 164.0, + 1106.0, + 427.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 211.0, + 74.0, + 396.0, + 389.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 555.0, + 154.0, + 798.0, + 405.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 817.0, + 165.0, + 1133.0, + 422.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 238.0, + 52.0, + 403.0, + 367.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 563.0, + 132.0, + 805.0, + 384.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 818.0, + 143.0, + 1130.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 178.0, + 56.0, + 366.0, + 368.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 525.0, + 134.0, + 740.0, + 389.0 + ], + "valid": 1 + }, + "3": { + "category_name": "elephant", + "bbox": [ + 685.0, + 141.0, + 1014.0, + 394.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f1af214222" + }, + "f1b77bd309": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 209.0, + 0.0, + 860.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 217.0, + 0.0, + 876.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 215.0, + 0.0, + 876.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 217.0, + 0.0, + 880.0, + 718.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00070", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f1b77bd309" + }, + "f1ba9e1a3e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 122.0, + 199.0, + 844.0, + 549.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 215.0, + 102.0, + 797.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 264.0, + 11.0, + 814.0, + 417.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 453.0, + 17.0, + 884.0, + 446.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00055", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f1ba9e1a3e" + }, + "f1d99239eb": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 398.0, + 0.0, + 1279.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 350.0, + 0.0, + 1279.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 355.0, + 0.0, + 1279.0, + 607.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 321.0, + 0.0, + 1279.0, + 563.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00030", + "00045" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f1d99239eb" + }, + "f1dc710cf4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 999.0, + 299.0, + 1253.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 542.0, + 268.0, + 864.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 988.0, + 302.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 530.0, + 266.0, + 868.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 960.0, + 314.0, + 1265.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 549.0, + 260.0, + 863.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 861.0, + 308.0, + 1079.0, + 654.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 546.0, + 269.0, + 787.0, + 467.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f1dc710cf4" + }, + "f1ec5c08fa": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 617.0, + 360.0, + 1169.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 478.0, + 406.0, + 1018.0, + 644.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 392.0, + 185.0, + 925.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 361.0, + 169.0, + 885.0, + 450.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f1ec5c08fa" + }, + "f22648fe12": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 433.0, + 117.0, + 937.0, + 578.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 912.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 433.0, + 106.0, + 937.0, + 581.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 902.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 442.0, + 108.0, + 984.0, + 701.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 897.0, + 513.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 500.0, + 219.0, + 998.0, + 708.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 667.0, + 523.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f22648fe12" + }, + "f22d21f1f1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 559.0, + 518.0, + 862.0, + 680.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 356.0, + 396.0, + 618.0, + 566.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 250.0, + 202.0, + 412.0, + 363.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 64.0, + 78.0, + 173.0, + 184.0 + ], + "valid": 1 + }, + "5": { + "category_name": "duck", + "bbox": [ + 332.0, + 32.0, + 454.0, + 183.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 496.0, + 513.0, + 824.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 430.0, + 356.0, + 698.0, + 511.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 234.0, + 236.0, + 475.0, + 359.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 81.0, + 33.0, + 172.0, + 153.0 + ], + "valid": 1 + }, + "5": { + "category_name": "duck", + "bbox": [ + 341.0, + 32.0, + 463.0, + 191.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 575.0, + 497.0, + 811.0, + 669.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 762.0, + 344.0, + 973.0, + 473.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 274.0, + 148.0, + 358.0, + 289.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 248.0, + 13.0, + 388.0, + 109.0 + ], + "valid": 1 + }, + "5": { + "category_name": "duck", + "bbox": [ + 512.0, + 84.0, + 621.0, + 262.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 568.0, + 455.0, + 673.0, + 667.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 832.0, + 373.0, + 996.0, + 528.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 251.0, + 143.0, + 436.0, + 257.0 + ], + "valid": 1 + }, + "4": { + "category_name": "duck", + "bbox": [ + 358.0, + 48.0, + 545.0, + 144.0 + ], + "valid": 1 + }, + "5": { + "category_name": "duck", + "bbox": [ + 504.0, + 166.0, + 607.0, + 325.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00090", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f22d21f1f1" + }, + "f233257395": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 735.0, + 204.0, + 806.0, + 400.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 793.0, + 155.0, + 871.0, + 344.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 713.0, + 225.0, + 787.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 769.0, + 154.0, + 843.0, + 341.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 696.0, + 230.0, + 789.0, + 443.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 754.0, + 154.0, + 826.0, + 341.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 238.0, + 683.0, + 445.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 634.0, + 161.0, + 698.0, + 276.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f233257395" + }, + "f23e95dbe5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 190.0, + 1104.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 557.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 301.0, + 1192.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 225.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 284.0, + 806.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 524.0, + 0.0, + 1178.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 167.0, + 786.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 328.0, + 0.0, + 1172.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f23e95dbe5" + }, + "f2445b1572": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 19.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 10.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 2.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2445b1572" + }, + "f253b3486d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 26 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 757.0, + 208.0, + 1100.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 159.0, + 183.0, + 449.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 747.0, + 195.0, + 1126.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 147.0, + 183.0, + 456.0, + 632.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 740.0, + 159.0, + 1124.0, + 616.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 154.0, + 185.0, + 451.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 745.0, + 95.0, + 1128.0, + 609.0 + ], + "valid": 1 + }, + "2": { + "category_name": "owl", + "bbox": [ + 145.0, + 181.0, + 451.0, + 629.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f253b3486d" + }, + "f277c7a6a4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 383.0, + 225.0, + 1275.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 304.0, + 224.0, + 1262.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 288.0, + 227.0, + 1261.0, + 528.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 216.0, + 242.0, + 1261.0, + 547.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f277c7a6a4" + }, + "f2ab2b84d6": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 139.0, + 199.0, + 1244.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 162.0, + 179.0, + 1279.0, + 562.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 127.0, + 167.0, + 1252.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 48.0, + 148.0, + 1197.0, + 543.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2ab2b84d6" + }, + "f2b7c9b1f3": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 343.0, + 413.0, + 526.0, + 564.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 86.0, + 183.0, + 495.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 319.0, + 386.0, + 434.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 84.0, + 179.0, + 449.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 330.0, + 376.0, + 432.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 84.0, + 175.0, + 466.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 308.0, + 337.0, + 434.0, + 478.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 75.0, + 199.0, + 482.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00080", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2b7c9b1f3" + }, + "f2b83d5ce5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 598.0, + 420.0, + 965.0, + 660.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 719.0, + 295.0, + 927.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 297.0, + 339.0, + 610.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 289.0, + 340.0, + 550.0, + 602.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00125", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2b83d5ce5" + }, + "f2c276018f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 430.0, + 262.0, + 1219.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 338.0, + 191.0, + 1273.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 278.0, + 165.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 138.0, + 36.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2c276018f" + }, + "f2cfd94d64": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 581.0, + 33.0, + 954.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 252.0, + 0.0, + 764.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 435.0, + 0.0, + 1181.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 349.0, + 77.0, + 741.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 248.0, + 59.0, + 804.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 342.0, + 210.0, + 679.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 313.0, + 95.0, + 1143.0, + 514.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 316.0, + 241.0, + 724.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2cfd94d64" + }, + "f2dd6e3add": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 360.0, + 177.0, + 458.0, + 343.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 422.0, + 0.0, + 634.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 424.0, + 113.0, + 528.0, + 281.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 505.0, + 0.0, + 616.0, + 348.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 423.0, + 166.0, + 536.0, + 378.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 504.0, + 4.0, + 650.0, + 430.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 531.0, + 176.0, + 644.0, + 353.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 446.0, + 0.0, + 846.0, + 381.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2dd6e3add" + }, + "f2e7653f16": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 203.0, + 147.0, + 417.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 203.0, + 166.0, + 413.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 165.0, + 153.0, + 395.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 171.0, + 189.0, + 381.0, + 534.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00080", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2e7653f16" + }, + "f2f333ad06": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 467.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 143.0, + 272.0, + 1228.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 480.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 144.0, + 298.0, + 1186.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 479.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 147.0, + 293.0, + 1192.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 459.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 151.0, + 275.0, + 1192.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2f333ad06" + }, + "f2f55d6713": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 178.0, + 26.0, + 797.0, + 394.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 736.0, + 109.0, + 1155.0, + 359.0 + ], + "valid": 1 + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 5.0, + 343.0, + 1141.0, + 530.0 + ], + "valid": 1 + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 382.0, + 422.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 1060.0, + 192.0, + 1279.0, + 393.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 174.0, + 0.0, + 758.0, + 337.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 680.0, + 50.0, + 1148.0, + 301.0 + ], + "valid": 1 + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 282.0, + 1124.0, + 455.0 + ], + "valid": 1 + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 433.0, + 404.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 1044.0, + 161.0, + 1279.0, + 412.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 0.0, + 605.0, + 375.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 524.0, + 43.0, + 937.0, + 292.0 + ], + "valid": 1 + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 263.0, + 1140.0, + 478.0 + ], + "valid": 1 + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 262.0, + 409.0, + 1271.0, + 719.0 + ], + "valid": 1 + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 902.0, + 6.0, + 1279.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 8.0, + 0.0, + 550.0, + 389.0 + ], + "valid": 1 + }, + "2": { + "category_name": "crocodile", + "bbox": [ + 452.0, + 42.0, + 872.0, + 291.0 + ], + "valid": 1 + }, + "3": { + "category_name": "crocodile", + "bbox": [ + 0.0, + 273.0, + 1077.0, + 496.0 + ], + "valid": 1 + }, + "4": { + "category_name": "crocodile", + "bbox": [ + 183.0, + 418.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "5": { + "category_name": "crocodile", + "bbox": [ + 855.0, + 0.0, + 1279.0, + 457.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00110", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2f55d6713" + }, + "f2fdb6abec": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 29 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 550.0, + 302.0, + 682.0, + 401.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 545.0, + 315.0, + 663.0, + 399.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 548.0, + 329.0, + 639.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 545.0, + 323.0, + 630.0, + 400.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f2fdb6abec" + }, + "f3085d6570": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 490.0, + 0.0, + 566.0, + 76.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 330.0, + 0.0, + 1279.0, + 589.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 119.0, + 373.0, + 396.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 546.0, + 0.0, + 597.0, + 69.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 432.0, + 0.0, + 1279.0, + 547.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 379.0, + 324.0, + 645.0, + 710.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 445.0, + 14.0, + 490.0, + 85.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 347.0, + 0.0, + 1279.0, + 557.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 376.0, + 350.0, + 627.0, + 703.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 0.0, + 484.0, + 55.0 + ], + "valid": 1 + }, + "2": { + "category_name": "truck", + "bbox": [ + 309.0, + 0.0, + 1279.0, + 483.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bucket", + "bbox": [ + 298.0, + 243.0, + 551.0, + 615.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3085d6570" + }, + "f3325c3338": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 670.0, + 455.0, + 776.0, + 634.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 752.0, + 624.0, + 857.0, + 656.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 641.0, + 424.0, + 753.0, + 619.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 631.0, + 587.0, + 817.0, + 637.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 663.0, + 483.0, + 761.0, + 611.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 379.0, + 606.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 510.0, + 506.0, + 637.0, + 522.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3325c3338" + }, + "f3400f1204": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 560.0, + 31.0, + 717.0, + 377.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 527.0, + 261.0, + 672.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 553.0, + 294.0, + 730.0, + 717.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 577.0, + 318.0, + 723.0, + 675.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3400f1204" + }, + "f34497c932": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 336.0, + 252.0, + 813.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 348.0, + 230.0, + 922.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 344.0, + 210.0, + 1024.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 346.0, + 192.0, + 1121.0, + 610.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f34497c932" + }, + "f34a56525e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 580.0, + 389.0, + 703.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 601.0, + 270.0, + 732.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 549.0, + 130.0, + 744.0, + 360.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 616.0, + 139.0, + 785.0, + 396.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f34a56525e" + }, + "f36483c824": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 1193.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 194.0, + 9.0, + 1019.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 280.0, + 180.0, + 845.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 536.0, + 207.0, + 886.0, + 711.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00055", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f36483c824" + }, + "f3704d5663": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 257.0, + 205.0, + 783.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 442.0, + 203.0, + 966.0, + 525.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 425.0, + 176.0, + 956.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 258.0, + 287.0, + 867.0, + 550.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3704d5663" + }, + "f3734c4913": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 573.0, + 205.0, + 834.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 557.0, + 221.0, + 794.0, + 601.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 475.0, + 229.0, + 742.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 377.0, + 221.0, + 692.0, + 595.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3734c4913" + }, + "f38e5aa5b4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 583.0, + 187.0, + 947.0, + 520.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1077.0, + 371.0, + 1121.0, + 532.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1051.0, + 357.0, + 1090.0, + 495.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 636.0, + 238.0, + 914.0, + 497.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1018.0, + 380.0, + 1070.0, + 539.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 1004.0, + 366.0, + 1038.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 651.0, + 252.0, + 906.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1014.0, + 380.0, + 1052.0, + 544.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 997.0, + 369.0, + 1028.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 688.0, + 296.0, + 868.0, + 496.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 989.0, + 397.0, + 1044.0, + 590.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 976.0, + 384.0, + 1010.0, + 546.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f38e5aa5b4" + }, + "f3986fba44": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 485.0, + 66.0, + 1022.0, + 645.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 541.0, + 124.0, + 1072.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 543.0, + 117.0, + 1064.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 487.0, + 100.0, + 964.0, + 427.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00105", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3986fba44" + }, + "f3a0ffc7d9": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 426.0, + 143.0, + 904.0, + 690.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 444.0, + 125.0, + 834.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 487.0, + 96.0, + 897.0, + 681.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 314.0, + 86.0, + 735.0, + 681.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00085", + "00110", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3a0ffc7d9" + }, + "f3b24a7d28": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 604.0, + 288.0, + 688.0, + 318.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 702.0, + 398.0, + 831.0, + 447.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 643.0, + 413.0, + 797.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 627.0, + 333.0, + 879.0, + 448.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00210", + "00235", + "00245", + "00275" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3b24a7d28" + }, + "f3e6c35ec3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 556.0, + 352.0, + 871.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 568.0, + 423.0, + 939.0, + 682.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 514.0, + 539.0, + 1033.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 502.0, + 410.0, + 995.0, + 675.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00060", + "00105", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3e6c35ec3" + }, + "f3fc0ea80b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 230.0, + 271.0, + 1037.0, + 675.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 454.0, + 153.0, + 1133.0, + 413.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 346.0, + 356.0, + 829.0, + 471.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f3fc0ea80b" + }, + "f40a683fbe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "crocodile", + "bbox": [ + 685.0, + 361.0, + 1171.0, + 542.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 622.0, + 360.0, + 1213.0, + 490.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 337.0, + 307.0, + 1101.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "crocodile", + "bbox": [ + 308.0, + 314.0, + 1080.0, + 402.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f40a683fbe" + }, + "f4207ca554": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 642.0, + 407.0, + 1279.0, + 659.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 545.0, + 407.0, + 1091.0, + 620.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 548.0, + 429.0, + 1018.0, + 580.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 589.0, + 438.0, + 1060.0, + 594.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f4207ca554" + }, + "f4377499c2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1141.0, + 700.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1099.0, + 660.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1157.0, + 707.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1157.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f4377499c2" + }, + "f46184f393": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 186.0, + 98.0, + 971.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 494.0, + 0.0, + 624.0, + 107.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 260.0, + 86.0, + 927.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 188.0, + 30.0, + 835.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 630.0, + 662.0, + 861.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "raccoon", + "bbox": [ + 356.0, + 0.0, + 1095.0, + 492.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f46184f393" + }, + "f46c2d0a6d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 171.0, + 64.0, + 497.0, + 408.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 217.0, + 117.0, + 549.0, + 480.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 237.0, + 114.0, + 567.0, + 474.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 243.0, + 144.0, + 583.0, + 475.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f46c2d0a6d" + }, + "f46c364dca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 33.0, + 627.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 644.0, + 39.0, + 1086.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 29.0, + 631.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 649.0, + 31.0, + 1085.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 36.0, + 635.0, + 584.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 642.0, + 41.0, + 1082.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 0.0, + 44.0, + 629.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snail", + "bbox": [ + 640.0, + 45.0, + 1092.0, + 590.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00120", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f46c364dca" + }, + "f46f7a0b63": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 197.0, + 66.0, + 1250.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 633.0, + 0.0, + 1279.0, + 365.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 199.0, + 70.0, + 1182.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 594.0, + 0.0, + 1279.0, + 383.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 291.0, + 159.0, + 1106.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 599.0, + 0.0, + 1279.0, + 445.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 267.0, + 146.0, + 1076.0, + 605.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 580.0, + 0.0, + 1279.0, + 441.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f46f7a0b63" + }, + "f46fe141b0": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 266.0, + 197.0, + 1207.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 550.0, + 99.0, + 633.0, + 202.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 172.0, + 151.0, + 1205.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 594.0, + 71.0, + 662.0, + 159.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 170.0, + 135.0, + 1259.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 639.0, + 61.0, + 726.0, + 150.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 124.0, + 134.0, + 1229.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 599.0, + 59.0, + 671.0, + 148.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f46fe141b0" + }, + "f470b9aeb0": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 675.0, + 301.0, + 963.0, + 686.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 622.0, + 607.0, + 871.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 759.0, + 185.0, + 1037.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 1028.0, + 696.0, + 1073.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 622.0, + 121.0, + 867.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 580.0, + 532.0, + 731.0, + 660.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 659.0, + 150.0, + 975.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 925.0, + 679.0, + 1053.0, + 716.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00070", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f470b9aeb0" + }, + "f47eb7437f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 438.0, + 288.0, + 1279.0, + 626.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 737.0, + 169.0, + 1279.0, + 300.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 161.0, + 235.0, + 1275.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 542.0, + 77.0, + 1279.0, + 251.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 162.0, + 133.0, + 1279.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 629.0, + 0.0, + 1279.0, + 157.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 237.0, + 124.0, + 1279.0, + 635.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 716.0, + 0.0, + 1279.0, + 144.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00115", + "00135", + "00170", + "00175" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f47eb7437f" + }, + "f48b535719": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bus", + "bbox": [ + 7.0, + 263.0, + 491.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 269.0, + 466.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 269.0, + 455.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 795.0, + 631.0, + 1230.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bus", + "bbox": [ + 0.0, + 256.0, + 448.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 649.0, + 551.0, + 889.0, + 716.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f48b535719" + }, + "f49e4866ac": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 280.0, + 207.0, + 693.0, + 504.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 320.0, + 151.0, + 759.0, + 481.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 222.0, + 166.0, + 749.0, + 584.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 232.0, + 199.0, + 703.0, + 588.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f49e4866ac" + }, + "f4aa882cfd": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 459.0, + 119.0, + 1016.0, + 331.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 476.0, + 154.0, + 915.0, + 392.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 702.0, + 139.0, + 1117.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "snake", + "bbox": [ + 342.0, + 297.0, + 528.0, + 598.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00085", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f4aa882cfd" + }, + "f4daa3dbd5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 838.0, + 0.0, + 1120.0, + 387.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 816.0, + 0.0, + 1130.0, + 442.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 805.0, + 0.0, + 1143.0, + 451.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 824.0, + 0.0, + 1077.0, + 436.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 734.0, + 183.0, + 862.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 819.0, + 0.0, + 1162.0, + 667.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 674.0, + 4.0, + 805.0, + 290.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00075", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f4daa3dbd5" + }, + "f4dd51ac35": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 719.0, + 158.0, + 820.0, + 358.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 707.0, + 310.0, + 825.0, + 499.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 693.0, + 319.0, + 806.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 623.0, + 176.0, + 743.0, + 379.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f4dd51ac35" + }, + "f507a1b9dc": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "train", + "bbox": [ + 200.0, + 155.0, + 850.0, + 638.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 107.0, + 106.0, + 823.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 72.0, + 47.0, + 901.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "train", + "bbox": [ + 58.0, + 52.0, + 948.0, + 635.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f507a1b9dc" + }, + "f51c5ac84b": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 482.0, + 223.0, + 538.0, + 350.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 411.0, + 312.0, + 897.0, + 392.0 + ], + "valid": 1 + }, + "4": { + "category_name": "boat", + "bbox": [ + 482.0, + 222.0, + 548.0, + 346.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 622.0, + 284.0, + 690.0, + 360.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 436.0, + 184.0, + 495.0, + 304.0 + ], + "valid": 1 + }, + "4": { + "category_name": "boat", + "bbox": [ + 581.0, + 240.0, + 640.0, + 314.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 367.0, + 262.0, + 855.0, + 344.0 + ], + "valid": 1 + }, + "2": {}, + "3": { + "category_name": "person", + "bbox": [ + 617.0, + 244.0, + 668.0, + 320.0 + ], + "valid": 1 + }, + "4": { + "category_name": "boat", + "bbox": [ + 396.0, + 267.0, + 885.0, + 348.0 + ], + "valid": 1 + } + }, + { + "1": {}, + "2": {}, + "3": {}, + "4": {} + } + ], + "frame_names": [ + "00020", + "00030", + "00050", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f51c5ac84b" + }, + "f52104164b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 269.0, + 191.0, + 930.0, + 414.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 878.0, + 221.0, + 1210.0, + 435.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 277.0, + 162.0, + 737.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 965.0, + 249.0, + 1159.0, + 443.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 278.0, + 164.0, + 735.0, + 426.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 961.0, + 261.0, + 1161.0, + 465.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 261.0, + 189.0, + 709.0, + 435.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 966.0, + 269.0, + 1157.0, + 478.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00075", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f52104164b" + }, + "f54c67b9bb": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 125.0, + 252.0, + 477.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 233.0, + 233.0, + 345.0, + 343.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 427.0, + 182.0, + 490.0, + 303.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 340.0, + 104.0, + 843.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 220.0, + 266.0, + 382.0, + 553.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 390.0, + 158.0, + 466.0, + 303.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 472.0, + 39.0, + 1177.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 169.0, + 307.0, + 356.0, + 709.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 326.0, + 157.0, + 416.0, + 318.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 528.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 221.0, + 295.0, + 513.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "horse", + "bbox": [ + 271.0, + 149.0, + 366.0, + 333.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f54c67b9bb" + }, + "f5966cadd2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 624.0, + 145.0, + 1030.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 676.0, + 129.0, + 1089.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 554.0, + 86.0, + 919.0, + 608.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 58.0, + 0.0, + 502.0, + 692.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 685.0, + 132.0, + 1105.0, + 596.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 555.0, + 95.0, + 915.0, + 605.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 216.0, + 8.0, + 610.0, + 672.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 707.0, + 139.0, + 1076.0, + 601.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 465.0, + 118.0, + 733.0, + 599.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 183.0, + 114.0, + 483.0, + 606.0 + ], + "valid": 1 + }, + "4": { + "category_name": "sheep", + "bbox": [ + 0.0, + 76.0, + 234.0, + 540.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00100", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f5966cadd2" + }, + "f5bddf5598": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 81.0, + 184.0, + 452.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 650.0, + 244.0, + 1142.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 114.0, + 212.0, + 447.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 678.0, + 254.0, + 1178.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 120.0, + 232.0, + 455.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 688.0, + 247.0, + 1194.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 457.0, + 106.0, + 801.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 801.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 166.0, + 496.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00040", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f5bddf5598" + }, + "f5d85cfd17": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 321.0, + 91.0, + 767.0, + 553.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 268.0, + 63.0, + 765.0, + 575.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 181.0, + 29.0, + 744.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 125.0, + 0.0, + 744.0, + 604.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f5d85cfd17" + }, + "f5e2e7d6a0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 52.0, + 17.0, + 1154.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1138.0, + 655.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1168.0, + 660.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 11.0, + 1190.0, + 694.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f5e2e7d6a0" + }, + "f5f051e9b4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 143.0, + 909.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 135.0, + 811.0, + 700.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 132.0, + 766.0, + 704.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 143.0, + 808.0, + 701.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00075", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f5f051e9b4" + }, + "f5f8a93a76": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 544.0, + 84.0, + 902.0, + 439.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 641.0, + 367.0, + 833.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 806.0, + 225.0, + 964.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 801.0, + 537.0, + 894.0, + 626.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1011.0, + 400.0, + 1279.0, + 712.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 1061.0, + 674.0, + 1182.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 764.0, + 530.0, + 1015.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 739.0, + 686.0, + 932.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f5f8a93a76" + }, + "f6283e8af5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 76.0, + 0.0, + 1179.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 18.0, + 0.0, + 1245.0, + 587.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1227.0, + 649.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 642.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00080", + "00095", + "00115", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6283e8af5" + }, + "f635e9568b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 565.0, + 127.0, + 854.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 457.0, + 266.0, + 606.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 531.0, + 52.0, + 911.0, + 582.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 388.0, + 293.0, + 582.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 473.0, + 125.0, + 879.0, + 566.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 427.0, + 334.0, + 665.0, + 610.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 411.0, + 47.0, + 822.0, + 556.0 + ], + "valid": 1 + }, + "2": { + "category_name": "ape", + "bbox": [ + 433.0, + 313.0, + 629.0, + 601.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f635e9568b" + }, + "f6474735be": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 655.0, + 203.0, + 772.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 628.0, + 299.0, + 694.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 599.0, + 399.0, + 732.0, + 595.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 687.0, + 605.0, + 734.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 543.0, + 419.0, + 689.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 466.0, + 573.0, + 729.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 639.0, + 332.0, + 774.0, + 551.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 705.0, + 540.0, + 861.0, + 585.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00080", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6474735be" + }, + "f659251be2": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 746.0, + 74.0, + 977.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 774.0, + 67.0, + 1020.0, + 418.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 762.0, + 70.0, + 1015.0, + 413.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 707.0, + 62.0, + 927.0, + 399.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00075", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f659251be2" + }, + "f66981af4e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 745.0, + 214.0, + 902.0, + 350.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 403.0, + 0.0, + 1113.0, + 283.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 878.0, + 0.0, + 1279.0, + 446.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 382.0, + 286.0, + 840.0, + 411.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 407.0, + 55.0, + 1100.0, + 355.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 800.0, + 0.0, + 1048.0, + 496.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 243.0, + 281.0, + 690.0, + 401.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 403.0, + 67.0, + 1100.0, + 364.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 372.0, + 0.0, + 889.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 143.0, + 278.0, + 571.0, + 395.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 423.0, + 69.0, + 1078.0, + 365.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 269.0, + 0.0, + 570.0, + 329.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f66981af4e" + }, + "f6708fa398": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 16 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 161.0, + 215.0, + 655.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 690.0, + 334.0, + 752.0, + 384.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 781.0, + 330.0, + 849.0, + 482.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 381.0, + 235.0, + 684.0, + 473.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 692.0, + 304.0, + 747.0, + 350.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 783.0, + 300.0, + 859.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 464.0, + 326.0, + 667.0, + 495.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 661.0, + 375.0, + 708.0, + 416.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 756.0, + 375.0, + 830.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 549.0, + 249.0, + 674.0, + 356.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 665.0, + 276.0, + 698.0, + 311.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 766.0, + 276.0, + 843.0, + 418.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6708fa398" + }, + "f697fe8e8f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sign", + "bbox": [ + 550.0, + 136.0, + 697.0, + 318.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 120.0, + 130.0, + 1249.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 917.0, + 0.0, + 1075.0, + 321.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 547.0, + 170.0, + 692.0, + 351.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 124.0, + 153.0, + 1215.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 897.0, + 0.0, + 1073.0, + 340.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 442.0, + 116.0, + 579.0, + 291.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 97.0, + 1035.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 899.0, + 0.0, + 1069.0, + 436.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sign", + "bbox": [ + 469.0, + 130.0, + 591.0, + 298.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 109.0, + 1013.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 953.0, + 0.0, + 1113.0, + 460.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f697fe8e8f" + }, + "f6adb12c42": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 450.0, + 14.0, + 721.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 416.0, + 64.0, + 675.0, + 484.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 469.0, + 0.0, + 692.0, + 442.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 629.0, + 149.0, + 855.0, + 517.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00035", + "00040", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6adb12c42" + }, + "f6c7906ca4": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 175.0, + 155.0, + 862.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 184.0, + 176.0, + 813.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 167.0, + 221.0, + 868.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 177.0, + 187.0, + 799.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6c7906ca4" + }, + "f6cd0a8016": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "rabbit", + "bbox": [ + 340.0, + 143.0, + 909.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 336.0, + 148.0, + 897.0, + 516.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 336.0, + 152.0, + 899.0, + 511.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "rabbit", + "bbox": [ + 330.0, + 121.0, + 879.0, + 503.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00085", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6cd0a8016" + }, + "f6d6f15ae7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 691.0, + 256.0, + 729.0, + 331.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 714.0, + 123.0, + 825.0, + 343.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 643.0, + 315.0, + 723.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 654.0, + 332.0, + 687.0, + 357.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6d6f15ae7" + }, + "f6e501892c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giraffe", + "bbox": [ + 284.0, + 83.0, + 623.0, + 711.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 505.0, + 150.0, + 710.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 290.0, + 143.0, + 650.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 528.0, + 200.0, + 723.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 274.0, + 91.0, + 631.0, + 687.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 486.0, + 105.0, + 779.0, + 700.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giraffe", + "bbox": [ + 296.0, + 89.0, + 623.0, + 686.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giraffe", + "bbox": [ + 487.0, + 93.0, + 778.0, + 701.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00060", + "00070", + "00100", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6e501892c" + }, + "f6f59d986f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 403.0, + 424.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 362.0, + 470.0, + 468.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 757.0, + 0.0, + 1028.0, + 108.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 987.0, + 73.0, + 1091.0, + 124.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 234.0, + 19.0, + 479.0, + 286.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 321.0, + 244.0, + 508.0, + 306.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 83.0, + 0.0, + 339.0, + 157.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 45.0, + 137.0, + 176.0, + 170.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00070", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6f59d986f" + }, + "f6fe8c90a5": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 613.0, + 344.0, + 1109.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 668.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 644.0, + 351.0, + 1144.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 724.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 669.0, + 357.0, + 1123.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 682.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 649.0, + 351.0, + 1089.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 655.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f6fe8c90a5" + }, + "f714160545": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fish", + "bbox": [ + 876.0, + 40.0, + 1279.0, + 472.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 250.0, + 307.0, + 606.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 822.0, + 31.0, + 1274.0, + 452.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 295.0, + 223.0, + 650.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 745.0, + 17.0, + 1174.0, + 460.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 229.0, + 252.0, + 554.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fish", + "bbox": [ + 757.0, + 288.0, + 1167.0, + 526.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 124.0, + 306.0, + 344.0, + 647.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f714160545" + }, + "f74c3888d7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 741.0, + 209.0, + 832.0, + 350.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 763.0, + 340.0, + 837.0, + 358.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 632.0, + 172.0, + 752.0, + 335.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 579.0, + 303.0, + 692.0, + 352.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 388.0, + 131.0, + 600.0, + 298.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 351.0, + 253.0, + 437.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 595.0, + 271.0, + 757.0, + 455.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 586.0, + 453.0, + 711.0, + 487.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00115", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f74c3888d7" + }, + "f7782c430e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 627.0, + 543.0, + 841.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 546.0, + 661.0, + 650.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 310.0, + 2.0, + 792.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 649.0, + 536.0, + 850.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 552.0, + 640.0, + 729.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 316.0, + 9.0, + 804.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 674.0, + 473.0, + 895.0, + 527.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 637.0, + 580.0, + 790.0, + 678.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 345.0, + 0.0, + 823.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 665.0, + 478.0, + 871.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "frisbee", + "bbox": [ + 629.0, + 581.0, + 790.0, + 671.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 342.0, + 0.0, + 817.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00095", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7782c430e" + }, + "f7783ae5f2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 347.0, + 434.0, + 375.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 253.0, + 425.0, + 331.0, + 486.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 152.0, + 417.0, + 216.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 305.0, + 439.0, + 334.0, + 511.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 253.0, + 424.0, + 323.0, + 487.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 154.0, + 420.0, + 217.0, + 478.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 275.0, + 445.0, + 300.0, + 517.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 256.0, + 432.0, + 328.0, + 495.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 153.0, + 425.0, + 217.0, + 487.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 276.0, + 446.0, + 301.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 257.0, + 432.0, + 330.0, + 495.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 154.0, + 426.0, + 216.0, + 486.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00160", + "00185", + "00215", + "00225" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7783ae5f2" + }, + "f77ab47923": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 532.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 570.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 552.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 0.0, + 527.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f77ab47923" + }, + "f788a98327": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bike", + "bbox": [ + 0.0, + 0.0, + 658.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bike", + "bbox": [ + 0.0, + 0.0, + 736.0, + 586.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bike", + "bbox": [ + 0.0, + 0.0, + 867.0, + 674.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bike", + "bbox": [ + 0.0, + 45.0, + 814.0, + 646.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f788a98327" + }, + "f7961ac1f0": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 310.0, + 384.0, + 745.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 586.0, + 11.0, + 988.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 600.0, + 526.0, + 657.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 389.0, + 509.0, + 663.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 577.0, + 159.0, + 972.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 588.0, + 666.0, + 632.0, + 690.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 319.0, + 464.0, + 691.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 596.0, + 97.0, + 986.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 614.0, + 592.0, + 662.0, + 641.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 180.0, + 530.0, + 410.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 478.0, + 21.0, + 1131.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "knife", + "bbox": [ + 514.0, + 583.0, + 578.0, + 653.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7961ac1f0" + }, + "f7a71e7574": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 563.0, + 277.0, + 605.0, + 315.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 278.0, + 291.0, + 436.0, + 516.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 364.0, + 511.0, + 528.0, + 533.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 669.0, + 296.0, + 838.0, + 448.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 821.0, + 420.0, + 901.0, + 460.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 881.0, + 242.0, + 1041.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 996.0, + 421.0, + 1142.0, + 450.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00050", + "00085", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7a71e7574" + }, + "f7a8521432": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 511.0, + 170.0, + 812.0, + 696.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 563.0, + 150.0, + 838.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 605.0, + 138.0, + 926.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 668.0, + 132.0, + 1080.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00050", + "00125", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7a8521432" + }, + "f7afbf4947": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 661.0, + 111.0, + 790.0, + 375.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 673.0, + 371.0, + 797.0, + 394.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 710.0, + 201.0, + 862.0, + 514.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 804.0, + 505.0, + 864.0, + 535.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 490.0, + 143.0, + 632.0, + 421.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 988.0, + 101.0, + 1194.0, + 467.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 1044.0, + 451.0, + 1253.0, + 488.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00100", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7afbf4947" + }, + "f7b7cd5f44": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 15 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 224.0, + 65.0, + 1079.0, + 598.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 150.0, + 56.0, + 1095.0, + 654.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 168.0, + 51.0, + 1135.0, + 661.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 290.0, + 23.0, + 1259.0, + 661.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7b7cd5f44" + }, + "f7cf4b4a39": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 975.0, + 184.0, + 1277.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 1233.0, + 226.0, + 1279.0, + 480.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 210.0, + 638.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 1003.0, + 168.0, + 1279.0, + 593.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 180.0, + 741.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 1039.0, + 133.0, + 1279.0, + 560.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 62.0, + 736.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 707.0, + 222.0, + 1014.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 1011.0, + 253.0, + 1209.0, + 510.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 180.0, + 541.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7cf4b4a39" + }, + "f7d49799ad": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 685.0, + 274.0, + 819.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 816.0, + 432.0, + 893.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 504.0, + 287.0, + 682.0, + 633.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 575.0, + 356.0, + 611.0, + 432.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 324.0, + 291.0, + 445.0, + 614.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 390.0, + 365.0, + 446.0, + 428.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 151.0, + 359.0, + 331.0, + 650.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tennis_racket", + "bbox": [ + 284.0, + 392.0, + 361.0, + 481.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00080", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7d49799ad" + }, + "f7e0c9bb83": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "whale", + "bbox": [ + 199.0, + 0.0, + 912.0, + 605.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 1072.0, + 0.0, + 1279.0, + 84.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 304.0, + 215.0, + 961.0, + 474.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 1021.0, + 210.0, + 1279.0, + 329.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 210.0, + 205.0, + 929.0, + 511.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 1017.0, + 205.0, + 1279.0, + 404.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "whale", + "bbox": [ + 0.0, + 226.0, + 727.0, + 641.0 + ], + "valid": 1 + }, + "2": { + "category_name": "whale", + "bbox": [ + 917.0, + 229.0, + 1169.0, + 425.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00085", + "00110", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7e0c9bb83" + }, + "f7e5b84928": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 428.0, + 10.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 197.0, + 0.0, + 869.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 494.0, + 55.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 166.0, + 0.0, + 822.0, + 702.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 479.0, + 58.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 150.0, + 0.0, + 885.0, + 588.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 477.0, + 59.0, + 1266.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 144.0, + 0.0, + 866.0, + 593.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00055", + "00085", + "00090" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7e5b84928" + }, + "f7e6bd58be": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 595.0, + 224.0, + 790.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 550.0, + 245.0, + 745.0, + 350.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 292.0, + 220.0, + 469.0, + 328.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 128.0, + 117.0, + 266.0, + 303.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7e6bd58be" + }, + "f7f2a38ac6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 281.0, + 196.0, + 939.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 260.0, + 204.0, + 943.0, + 662.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 254.0, + 209.0, + 944.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 217.0, + 224.0, + 943.0, + 695.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00045", + "00050", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7f2a38ac6" + }, + "f7f6cb2d6d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 475.0, + 442.0, + 723.0, + 606.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 490.0, + 404.0, + 734.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 549.0, + 270.0, + 772.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 509.0, + 287.0, + 703.0, + 476.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00095", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f7f6cb2d6d" + }, + "f83f19e796": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hat", + "bbox": [ + 838.0, + 178.0, + 884.0, + 230.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 774.0, + 169.0, + 805.0, + 203.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 603.0, + 156.0, + 629.0, + 192.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 850.0, + 202.0, + 892.0, + 253.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 767.0, + 196.0, + 797.0, + 230.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 602.0, + 183.0, + 629.0, + 218.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 916.0, + 198.0, + 960.0, + 253.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 804.0, + 190.0, + 840.0, + 225.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 642.0, + 178.0, + 669.0, + 214.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hat", + "bbox": [ + 1257.0, + 222.0, + 1279.0, + 292.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hat", + "bbox": [ + 1001.0, + 205.0, + 1052.0, + 256.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hat", + "bbox": [ + 772.0, + 182.0, + 814.0, + 237.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00185", + "00195", + "00205", + "00225" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f83f19e796" + }, + "f85796a921": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 598.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 296.0, + 60.0, + 528.0, + 334.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 320.0, + 402.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 675.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 289.0, + 40.0, + 520.0, + 333.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 341.0, + 440.0, + 606.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 675.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 272.0, + 35.0, + 501.0, + 332.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 346.0, + 427.0, + 612.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 657.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sign", + "bbox": [ + 269.0, + 13.0, + 493.0, + 305.0 + ], + "valid": 1 + }, + "3": { + "category_name": "hand", + "bbox": [ + 0.0, + 319.0, + 411.0, + 613.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00165", + "00185", + "00195", + "00230" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f85796a921" + }, + "f8603c26b2": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 60.0, + 833.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 71.0, + 861.0, + 566.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 77.0, + 868.0, + 581.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 129.0, + 872.0, + 633.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00080", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8603c26b2" + }, + "f8819b42ec": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 831.0, + 318.0, + 973.0, + 547.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 971.0, + 151.0, + 1008.0, + 234.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 830.0, + 264.0, + 942.0, + 512.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 953.0, + 132.0, + 1006.0, + 222.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 826.0, + 323.0, + 938.0, + 573.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 952.0, + 130.0, + 1044.0, + 239.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 784.0, + 341.0, + 919.0, + 559.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 886.0, + 73.0, + 1067.0, + 191.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00070", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8819b42ec" + }, + "f891f8eaa1": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 400.0, + 415.0, + 581.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 420.0, + 275.0, + 474.0, + 396.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 413.0, + 211.0, + 465.0, + 317.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 353.0, + 379.0, + 609.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 463.0, + 230.0, + 506.0, + 345.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 463.0, + 175.0, + 510.0, + 260.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 369.0, + 438.0, + 553.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 490.0, + 260.0, + 532.0, + 355.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 492.0, + 208.0, + 539.0, + 288.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 399.0, + 462.0, + 570.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "horse", + "bbox": [ + 518.0, + 295.0, + 558.0, + 386.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 519.0, + 252.0, + 562.0, + 329.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f891f8eaa1" + }, + "f89288d10c": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "elephant", + "bbox": [ + 142.0, + 32.0, + 618.0, + 663.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 355.0, + 73.0, + 991.0, + 654.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 997.0, + 255.0, + 1132.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 161.0, + 53.0, + 479.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 423.0, + 80.0, + 974.0, + 660.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 944.0, + 261.0, + 1073.0, + 624.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 78.0, + 52.0, + 388.0, + 653.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 395.0, + 62.0, + 877.0, + 649.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 872.0, + 256.0, + 967.0, + 611.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "elephant", + "bbox": [ + 84.0, + 54.0, + 394.0, + 648.0 + ], + "valid": 1 + }, + "2": { + "category_name": "elephant", + "bbox": [ + 373.0, + 61.0, + 886.0, + 647.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 867.0, + 264.0, + 954.0, + 611.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f89288d10c" + }, + "f895ae8cc1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 436.0, + 19.0, + 735.0, + 505.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 352.0, + 0.0, + 679.0, + 384.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 372.0, + 300.0, + 699.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 320.0, + 261.0, + 651.0, + 613.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f895ae8cc1" + }, + "f8af30d4b6": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 345.0, + 352.0, + 555.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 599.0, + 421.0, + 940.0, + 681.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 344.0, + 351.0, + 550.0, + 597.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 603.0, + 422.0, + 924.0, + 680.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 281.0, + 345.0, + 471.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 607.0, + 396.0, + 907.0, + 681.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 289.0, + 326.0, + 499.0, + 550.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 666.0, + 376.0, + 947.0, + 669.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00030", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8af30d4b6" + }, + "f8b4ac12f1": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "skateboard", + "bbox": [ + 379.0, + 512.0, + 528.0, + 543.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 349.0, + 241.0, + 533.0, + 533.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 469.0, + 391.0, + 679.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 431.0, + 1.0, + 705.0, + 486.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 778.0, + 447.0, + 923.0, + 510.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 622.0, + 0.0, + 889.0, + 498.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "skateboard", + "bbox": [ + 691.0, + 168.0, + 897.0, + 483.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 510.0, + 0.0, + 991.0, + 306.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00050", + "00085", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8b4ac12f1" + }, + "f8c3fb2b01": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "leopard", + "bbox": [ + 453.0, + 294.0, + 896.0, + 449.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 569.0, + 303.0, + 625.0, + 390.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 475.0, + 368.0, + 946.0, + 500.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 561.0, + 175.0, + 699.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 189.0, + 202.0, + 882.0, + 498.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 523.0, + 252.0, + 799.0, + 420.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "leopard", + "bbox": [ + 161.0, + 214.0, + 850.0, + 505.0 + ], + "valid": 1 + }, + "2": { + "category_name": "leopard", + "bbox": [ + 452.0, + 213.0, + 843.0, + 429.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00080", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8c3fb2b01" + }, + "f8c8de2764": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 336.0, + 180.0, + 757.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 372.0, + 44.0, + 825.0, + 440.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 360.0, + 66.0, + 815.0, + 462.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 282.0, + 77.0, + 759.0, + 568.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00070", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8c8de2764" + }, + "f8db369b40": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 736.0, + 298.0, + 824.0, + 388.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 657.0, + 188.0, + 775.0, + 381.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 550.0, + 198.0, + 1279.0, + 432.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 892.0, + 299.0, + 973.0, + 386.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 817.0, + 192.0, + 920.0, + 384.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 708.0, + 202.0, + 1279.0, + 432.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 1040.0, + 471.0, + 1097.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 968.0, + 362.0, + 1061.0, + 550.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 864.0, + 374.0, + 1279.0, + 599.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 966.0, + 325.0, + 1021.0, + 396.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 896.0, + 191.0, + 988.0, + 400.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 796.0, + 228.0, + 1279.0, + 452.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8db369b40" + }, + "f8fcb6a78c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 292.0, + 1.0, + 937.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 291.0, + 1.0, + 918.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 282.0, + 0.0, + 884.0, + 608.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 376.0, + 8.0, + 950.0, + 621.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00110", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f8fcb6a78c" + }, + "f94aafdeef": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 480.0, + 0.0, + 1247.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 468.0, + 0.0, + 1279.0, + 555.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 520.0, + 0.0, + 1279.0, + 695.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 476.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00050", + "00125", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f94aafdeef" + }, + "f95d217b70": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 340.0, + 91.0, + 1188.0, + 627.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 212.0, + 0.0, + 1279.0, + 708.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 231.0, + 0.0, + 1279.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 375.0, + 0.0, + 1279.0, + 680.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00170", + "00200", + "00205", + "00225" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f95d217b70" + }, + "f9681d5103": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 288.0, + 153.0, + 685.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 363.0, + 238.0, + 856.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 0.0, + 268.0, + 896.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 605.0, + 106.0, + 956.0, + 718.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9681d5103" + }, + "f9750192a4": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 783.0, + 267.0, + 931.0, + 387.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 796.0, + 321.0, + 827.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 756.0, + 284.0, + 887.0, + 385.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 760.0, + 337.0, + 791.0, + 382.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 743.0, + 276.0, + 921.0, + 393.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 765.0, + 248.0, + 991.0, + 391.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fish", + "bbox": [ + 784.0, + 337.0, + 799.0, + 368.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9750192a4" + }, + "f9823a32c2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 180.0, + 1279.0, + 635.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1048.0, + 625.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 492.0, + 205.0, + 669.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 190.0, + 1279.0, + 641.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1004.0, + 615.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 150.0, + 1279.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1012.0, + 595.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "truck", + "bbox": [ + 0.0, + 158.0, + 1279.0, + 606.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 1012.0, + 591.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sedan", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00065", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9823a32c2" + }, + "f991ddb4c2": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 188.0, + 889.0, + 621.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 186.0, + 72.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 237.0, + 889.0, + 585.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 186.0, + 127.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 114.0, + 873.0, + 702.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 192.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "knife", + "bbox": [ + 0.0, + 99.0, + 879.0, + 708.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 194.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f991ddb4c2" + }, + "f99d535567": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 312.0, + 297.0, + 357.0, + 336.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1060.0, + 436.0, + 1162.0, + 708.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 637.0, + 347.0, + 820.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 183.0, + 951.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 337.0, + 299.0, + 388.0, + 340.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1052.0, + 447.0, + 1155.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 515.0, + 350.0, + 708.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 184.0, + 1000.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 345.0, + 273.0, + 402.0, + 316.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 1009.0, + 561.0, + 1032.0, + 582.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 392.0, + 133.0, + 719.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 144.0, + 1076.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 377.0, + 139.0, + 436.0, + 188.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 10.0, + 1147.0, + 716.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00065", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f99d535567" + }, + "f9ae3d98b7": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 563.0, + 442.0, + 666.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 192.0, + 153.0, + 491.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 591.0, + 465.0, + 700.0, + 652.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 187.0, + 68.0, + 434.0, + 600.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 367.0, + 487.0, + 499.0, + 671.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 532.0, + 79.0, + 789.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 301.0, + 460.0, + 425.0, + 668.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 540.0, + 91.0, + 789.0, + 619.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00055", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9ae3d98b7" + }, + "f9b6217959": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 370.0, + 272.0, + 465.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 357.0, + 185.0, + 477.0, + 390.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 374.0, + 232.0, + 514.0, + 421.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 403.0, + 167.0, + 591.0, + 449.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9b6217959" + }, + "f9bd1fabf5": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "motorbike", + "bbox": [ + 515.0, + 277.0, + 738.0, + 532.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 236.0, + 623.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 50.0, + 328.0, + 136.0, + 479.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 527.0, + 267.0, + 768.0, + 536.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 415.0, + 595.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 31.0, + 320.0, + 129.0, + 478.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 591.0, + 253.0, + 909.0, + 570.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 494.0, + 513.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 331.0, + 72.0, + 513.0 + ], + "valid": 1 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "motorbike", + "bbox": [ + 467.0, + 245.0, + 869.0, + 610.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sedan", + "bbox": [ + 0.0, + 497.0, + 159.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "truck", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9bd1fabf5" + }, + "f9c68eaa64": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 438.0, + 88.0, + 731.0, + 683.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 446.0, + 95.0, + 763.0, + 687.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 474.0, + 125.0, + 804.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 460.0, + 112.0, + 771.0, + 696.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9c68eaa64" + }, + "f9d3e04c4f": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 491.0, + 258.0, + 688.0, + 346.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 295.0, + 332.0, + 378.0, + 382.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 149.0, + 339.0, + 266.0, + 387.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 567.0, + 256.0, + 778.0, + 348.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 363.0, + 332.0, + 445.0, + 374.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 216.0, + 339.0, + 318.0, + 392.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 590.0, + 278.0, + 804.0, + 368.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 365.0, + 347.0, + 440.0, + 386.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 220.0, + 361.0, + 317.0, + 407.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 623.0, + 289.0, + 828.0, + 371.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 376.0, + 348.0, + 450.0, + 393.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bear", + "bbox": [ + 233.0, + 366.0, + 336.0, + 410.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9d3e04c4f" + }, + "f9daf64494": { + "bins": [ + [ + 2, + 9 + ], + [ + 9, + 16 + ], + [ + 16, + 23 + ], + [ + 23, + 33 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 634.0, + 105.0, + 964.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 578.0, + 85.0, + 901.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 504.0, + 97.0, + 787.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 377.0, + 106.0, + 777.0, + 694.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00110", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9daf64494" + }, + "f9e4cc5a0a": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "zebra", + "bbox": [ + 353.0, + 163.0, + 888.0, + 383.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 719.0, + 1.0, + 1034.0, + 333.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 244.0, + 342.0, + 697.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 465.0, + 236.0, + 859.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 492.0, + 342.0, + 979.0, + 631.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 480.0, + 301.0, + 937.0, + 546.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "zebra", + "bbox": [ + 592.0, + 303.0, + 1094.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "zebra", + "bbox": [ + 479.0, + 287.0, + 921.0, + 536.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00065", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9e4cc5a0a" + }, + "f9ea6b7f31": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cow", + "bbox": [ + 87.0, + 420.0, + 329.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 283.0, + 352.0, + 406.0, + 437.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 83.0, + 403.0, + 329.0, + 581.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 176.0, + 346.0, + 269.0, + 426.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 76.0, + 412.0, + 330.0, + 586.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 120.0, + 382.0, + 196.0, + 431.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 315.0, + 348.0, + 412.0, + 415.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cow", + "bbox": [ + 34.0, + 417.0, + 323.0, + 592.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cow", + "bbox": [ + 81.0, + 405.0, + 165.0, + 569.0 + ], + "valid": 1 + }, + "3": { + "category_name": "cow", + "bbox": [ + 185.0, + 364.0, + 262.0, + 431.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00050", + "00075", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9ea6b7f31" + }, + "f9f3852526": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 668.0, + 277.0, + 697.0, + 332.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 621.0, + 152.0, + 784.0, + 220.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 699.0, + 302.0, + 911.0, + 353.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 617.0, + 303.0, + 650.0, + 373.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 536.0, + 133.0, + 766.0, + 221.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 641.0, + 330.0, + 878.0, + 477.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 649.0, + 350.0, + 693.0, + 416.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 513.0, + 120.0, + 836.0, + 223.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 652.0, + 389.0, + 738.0, + 615.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 704.0, + 328.0, + 749.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 554.0, + 59.0, + 877.0, + 198.0 + ], + "valid": 1 + }, + "3": { + "category_name": "others", + "bbox": [ + 396.0, + 352.0, + 714.0, + 434.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00060", + "00115", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/f9f3852526" + }, + "fa04c615cf": { + "bins": [ + [ + 2, + 7 + ], + [ + 7, + 12 + ], + [ + 12, + 17 + ], + [ + 17, + 23 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 921.0, + 434.0, + 967.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 931.0, + 540.0, + 1003.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 800.0, + 432.0, + 848.0, + 568.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 774.0, + 563.0, + 832.0, + 577.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 865.0, + 430.0, + 937.0, + 580.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 912.0, + 575.0, + 943.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 671.0, + 416.0, + 738.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 655.0, + 583.0, + 763.0, + 604.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa04c615cf" + }, + "fa08e00a56": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 36.0, + 20.0, + 1012.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 388.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1017.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 331.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 0.0, + 84.0, + 1010.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 378.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 145.0, + 0.0, + 744.0, + 635.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 1045.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00070", + "00110", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa08e00a56" + }, + "fa4370d74d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 133.0, + 180.0, + 505.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 680.0, + 0.0, + 1279.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 203.0, + 170.0, + 485.0, + 642.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 690.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 155.0, + 193.0, + 429.0, + 617.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 660.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 137.0, + 269.0, + 650.0, + 648.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 640.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00115", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa4370d74d" + }, + "fa67744af3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 319.0, + 376.0, + 514.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 490.0, + 340.0, + 775.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 300.0, + 665.0, + 342.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 490.0, + 555.0, + 763.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 504.0, + 444.0, + 945.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 34.0, + 638.0, + 118.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dolphin", + "bbox": [ + 450.0, + 459.0, + 1049.0, + 714.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00125", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa67744af3" + }, + "fa88d48a92": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 678.0, + 408.0, + 873.0, + 450.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 734.0, + 396.0, + 925.0, + 447.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 727.0, + 362.0, + 928.0, + 508.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 758.0, + 376.0, + 962.0, + 434.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa88d48a92" + }, + "fa8b904cc9": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 275.0, + 203.0, + 854.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 500.0, + 162.0, + 681.0, + 189.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 864.0, + 196.0, + 1267.0, + 327.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 392.0, + 207.0, + 931.0, + 382.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 589.0, + 168.0, + 765.0, + 191.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 918.0, + 197.0, + 1279.0, + 329.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 402.0, + 203.0, + 978.0, + 385.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 627.0, + 162.0, + 808.0, + 188.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 948.0, + 190.0, + 1279.0, + 324.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 416.0, + 209.0, + 991.0, + 390.0 + ], + "valid": 1 + }, + "2": { + "category_name": "parachute", + "bbox": [ + 654.0, + 169.0, + 829.0, + 194.0 + ], + "valid": 1 + }, + "3": { + "category_name": "boat", + "bbox": [ + 964.0, + 201.0, + 1279.0, + 331.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa8b904cc9" + }, + "fa9526bdf1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "owl", + "bbox": [ + 418.0, + 292.0, + 914.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 427.0, + 284.0, + 880.0, + 454.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 416.0, + 288.0, + 901.0, + 455.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "owl", + "bbox": [ + 421.0, + 290.0, + 901.0, + 452.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00085", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa9526bdf1" + }, + "fa9b9d2426": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 553.0, + 290.0, + 921.0, + 458.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 415.0, + 387.0, + 614.0, + 461.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 639.0, + 277.0, + 891.0, + 488.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 416.0, + 375.0, + 605.0, + 458.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 726.0, + 273.0, + 1052.0, + 457.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 414.0, + 381.0, + 608.0, + 454.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 479.0, + 309.0, + 761.0, + 505.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 357.0, + 292.0, + 625.0, + 513.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00070", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fa9b9d2426" + }, + "fad633fbe1": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 631.0, + 368.0, + 679.0, + 411.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 692.0, + 360.0, + 715.0, + 406.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 686.0, + 264.0, + 860.0, + 600.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00055", + "00070", + "00120" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fad633fbe1" + }, + "faf5222dc3": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 210.0, + 301.0, + 1279.0, + 658.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 192.0, + 282.0, + 1279.0, + 618.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 197.0, + 283.0, + 1279.0, + 616.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 198.0, + 275.0, + 1279.0, + 622.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/faf5222dc3" + }, + "faff0e15f1": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 547.0, + 152.0, + 1060.0, + 703.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 223.0, + 98.0, + 533.0, + 595.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 457.0, + 86.0, + 972.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 29.0, + 132.0, + 395.0, + 693.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 405.0, + 165.0, + 828.0, + 710.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 105.0, + 344.0, + 578.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 303.0, + 137.0, + 812.0, + 707.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 623.0, + 279.0, + 968.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00100", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/faff0e15f1" + }, + "fb08c64e8c": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 146.0, + 0.0, + 1147.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 142.0, + 0.0, + 1148.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 146.0, + 0.0, + 1147.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 142.0, + 0.0, + 1144.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00070", + "00120", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb08c64e8c" + }, + "fb23455a7f": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "ape", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00090", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb23455a7f" + }, + "fb2e19fa6e": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "tiger", + "bbox": [ + 143.0, + 149.0, + 461.0, + 620.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 472.0, + 400.0, + 925.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 3.0, + 165.0, + 439.0, + 674.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 460.0, + 376.0, + 917.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 262.0, + 501.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 241.0, + 386.0, + 858.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "tiger", + "bbox": [ + 0.0, + 228.0, + 335.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "tiger", + "bbox": [ + 259.0, + 369.0, + 807.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00100", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb2e19fa6e" + }, + "fb34dfbb77": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 10.0, + 158.0, + 314.0, + 518.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 234.0, + 139.0, + 610.0, + 645.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 505.0, + 115.0, + 853.0, + 555.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 762.0, + 172.0, + 1189.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 181.0, + 306.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 240.0, + 166.0, + 614.0, + 664.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 519.0, + 130.0, + 853.0, + 577.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 781.0, + 214.0, + 1189.0, + 594.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 0.0, + 208.0, + 296.0, + 535.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 254.0, + 159.0, + 624.0, + 656.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 501.0, + 125.0, + 867.0, + 554.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 777.0, + 187.0, + 1197.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 24.0, + 172.0, + 356.0, + 508.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 310.0, + 139.0, + 679.0, + 629.0 + ], + "valid": 1 + }, + "3": { + "category_name": "giant_panda", + "bbox": [ + 567.0, + 97.0, + 923.0, + 534.0 + ], + "valid": 1 + }, + "4": { + "category_name": "giant_panda", + "bbox": [ + 831.0, + 171.0, + 1256.0, + 553.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00075", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb34dfbb77" + }, + "fb47fcea1e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 571.0, + 446.0, + 611.0, + 515.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 683.0, + 441.0, + 734.0, + 512.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 851.0, + 335.0, + 912.0, + 388.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 514.0, + 438.0, + 558.0, + 514.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 627.0, + 437.0, + 693.0, + 513.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 772.0, + 318.0, + 833.0, + 383.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 503.0, + 409.0, + 554.0, + 489.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 644.0, + 402.0, + 698.0, + 488.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 780.0, + 287.0, + 848.0, + 351.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 481.0, + 397.0, + 534.0, + 475.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 618.0, + 389.0, + 667.0, + 469.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 775.0, + 271.0, + 843.0, + 342.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00060", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb47fcea1e" + }, + "fb49738155": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 181.0, + 84.0, + 822.0, + 394.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 183.0, + 86.0, + 815.0, + 393.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 183.0, + 81.0, + 818.0, + 400.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 200.0, + 81.0, + 819.0, + 393.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00105", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb49738155" + }, + "fb4cbc514b": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 13 + ] + ], + "annotations": [ + { + "1": { + "category_name": "airplane", + "bbox": [ + 559.0, + 272.0, + 795.0, + 391.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 599.0, + 340.0, + 850.0, + 455.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 924.0, + 300.0, + 1120.0, + 494.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 626.0, + 326.0, + 870.0, + 444.0 + ], + "valid": 1 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 745.0, + 257.0, + 983.0, + 447.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "airplane", + "bbox": [ + 426.0, + 187.0, + 653.0, + 403.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00030", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb4cbc514b" + }, + "fb4e6062f7": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 645.0, + 72.0, + 776.0, + 318.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 705.0, + 314.0, + 751.0, + 345.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 530.0, + 41.0, + 929.0, + 523.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 516.0, + 502.0, + 769.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 561.0, + 4.0, + 975.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 694.0, + 519.0, + 960.0, + 606.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 527.0, + 291.0, + 586.0, + 454.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 551.0, + 448.0, + 600.0, + 462.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00085", + "00095", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb4e6062f7" + }, + "fb5ba7ad6e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 156.0, + 95.0, + 917.0, + 574.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 380.0, + 86.0, + 1109.0, + 565.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 404.0, + 88.0, + 1081.0, + 540.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 326.0, + 110.0, + 1081.0, + 559.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb5ba7ad6e" + }, + "fb63cd1236": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 606.0, + 214.0, + 1279.0, + 702.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 666.0, + 248.0, + 1279.0, + 705.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 595.0, + 256.0, + 1279.0, + 715.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 662.0, + 248.0, + 1279.0, + 691.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00075", + "00090", + "00115", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb63cd1236" + }, + "fb81157a07": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 380.0, + 228.0, + 495.0, + 514.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 302.0, + 179.0, + 439.0, + 380.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 463.0, + 142.0, + 552.0, + 391.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 493.0, + 149.0, + 595.0, + 388.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00055", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb81157a07" + }, + "fb92abdaeb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 500.0, + 226.0, + 805.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 538.0, + 192.0, + 815.0, + 672.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 556.0, + 193.0, + 909.0, + 677.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 552.0, + 178.0, + 897.0, + 662.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00055", + "00120", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fb92abdaeb" + }, + "fba22a6848": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 435.0, + 367.0, + 519.0, + 468.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 642.0, + 380.0, + 715.0, + 456.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 504.0, + 383.0, + 593.0, + 466.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 440.0, + 355.0, + 520.0, + 439.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 648.0, + 358.0, + 714.0, + 434.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 510.0, + 364.0, + 601.0, + 453.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 407.0, + 333.0, + 528.0, + 419.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 617.0, + 342.0, + 694.0, + 415.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 519.0, + 352.0, + 604.0, + 433.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 378.0, + 334.0, + 506.0, + 420.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 599.0, + 328.0, + 694.0, + 406.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 475.0, + 343.0, + 598.0, + 428.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00045", + "00060" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fba22a6848" + }, + "fbaca0c9df": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hand", + "bbox": [ + 352.0, + 373.0, + 972.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 457.0, + 361.0, + 927.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 371.0, + 533.0, + 902.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 487.0, + 452.0, + 802.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 331.0, + 439.0, + 922.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 436.0, + 341.0, + 802.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "hand", + "bbox": [ + 298.0, + 374.0, + 936.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 470.0, + 203.0, + 844.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "airplane", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00080", + "00090", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fbaca0c9df" + }, + "fbc645f602": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bird", + "bbox": [ + 53.0, + 9.0, + 453.0, + 479.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 534.0, + 21.0, + 1120.0, + 716.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 162.0, + 0.0, + 592.0, + 662.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 666.0, + 0.0, + 1252.0, + 715.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 197.0, + 0.0, + 669.0, + 715.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 722.0, + 0.0, + 1277.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "bird", + "bbox": [ + 479.0, + 23.0, + 829.0, + 555.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 1112.0, + 235.0, + 1277.0, + 708.0 + ], + "valid": 1 + }, + "3": { + "category_name": "bird", + "bbox": [ + 0.0, + 0.0, + 353.0, + 715.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fbc645f602" + }, + "fbd77444cd": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 212.0, + 169.0, + 272.0, + 225.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 202.0, + 147.0, + 277.0, + 213.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 225.0, + 154.0, + 327.0, + 219.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 219.0, + 159.0, + 315.0, + 254.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fbd77444cd" + }, + "fbe53dc8e8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 205.0, + 0.0, + 725.0, + 492.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 413.0, + 365.0, + 787.0, + 519.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 496.0, + 0.0, + 736.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 512.0, + 361.0, + 795.0, + 497.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 310.0, + 0.0, + 784.0, + 471.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 543.0, + 389.0, + 843.0, + 501.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 457.0, + 0.0, + 849.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 505.0, + 399.0, + 867.0, + 551.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00045", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fbe53dc8e8" + }, + "fbe541dd73": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 152.0, + 77.0, + 941.0, + 691.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 149.0, + 0.0, + 978.0, + 671.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 239.0, + 28.0, + 1024.0, + 636.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 123.0, + 88.0, + 1074.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00045", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fbe541dd73" + }, + "fbe8488798": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 452.0, + 407.0, + 576.0, + 612.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 645.0, + 366.0, + 714.0, + 540.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 773.0, + 367.0, + 889.0, + 597.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 457.0, + 370.0, + 565.0, + 575.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 652.0, + 354.0, + 726.0, + 498.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 785.0, + 354.0, + 942.0, + 640.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 499.0, + 311.0, + 616.0, + 525.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 719.0, + 301.0, + 800.0, + 495.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 825.0, + 314.0, + 1072.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 495.0, + 394.0, + 611.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 736.0, + 392.0, + 829.0, + 594.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 908.0, + 380.0, + 1262.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00040", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fbe8488798" + }, + "fbfd25174f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 701.0, + 41.0, + 1082.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 686.0, + 36.0, + 1111.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 468.0, + 45.0, + 1165.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 618.0, + 45.0, + 1095.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00055", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fbfd25174f" + }, + "fc28cb305e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 879.0, + 489.0, + 933.0, + 668.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 539.0, + 477.0, + 581.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 904.0, + 494.0, + 973.0, + 682.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 530.0, + 477.0, + 572.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 999.0, + 496.0, + 1068.0, + 717.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 507.0, + 479.0, + 551.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 1143.0, + 510.0, + 1230.0, + 716.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 491.0, + 482.0, + 544.0, + 686.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00035", + "00060", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fc28cb305e" + }, + "fc33b1ffd6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 412.0, + 777.0, + 628.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 0.0, + 416.0, + 785.0, + 633.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 10.0, + 414.0, + 786.0, + 634.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 2.0, + 419.0, + 779.0, + 640.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fc33b1ffd6" + }, + "fc6186f0bb": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frisbee", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "person", + "bbox": [ + 248.0, + 158.0, + 609.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 573.0, + 85.0, + 682.0, + 193.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 580.0, + 0.0, + 949.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 357.0, + 184.0, + 502.0, + 264.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 428.0, + 82.0, + 891.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frisbee", + "bbox": [ + 632.0, + 450.0, + 783.0, + 521.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 246.0, + 240.0, + 711.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00070", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fc6186f0bb" + }, + "fc918e3a40": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 732.0, + 397.0, + 1102.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 778.0, + 421.0, + 1148.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 770.0, + 412.0, + 1154.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 770.0, + 420.0, + 1162.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00095", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fc918e3a40" + }, + "fc96cda9d8": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 476.0, + 15.0, + 679.0, + 598.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 560.0, + 538.0, + 652.0, + 633.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 649.0, + 0.0, + 913.0, + 583.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 589.0, + 511.0, + 867.0, + 632.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 698.0, + 0.0, + 1050.0, + 670.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 755.0, + 556.0, + 1055.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 94.0, + 35.0, + 245.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 637.0, + 146.0, + 882.0, + 691.0 + ], + "valid": 1 + }, + "2": { + "category_name": "skateboard", + "bbox": [ + 611.0, + 615.0, + 931.0, + 717.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00065", + "00075", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fc96cda9d8" + }, + "fc9832eea4": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "eagle", + "bbox": [ + 0.0, + 0.0, + 779.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 0.0, + 0.0, + 712.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 0.0, + 0.0, + 700.0, + 701.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "eagle", + "bbox": [ + 0.0, + 0.0, + 798.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00040", + "00080", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fc9832eea4" + }, + "fcb10d0f81": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 295.0, + 0.0, + 1260.0, + 529.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 686.0, + 217.0, + 1062.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 299.0, + 0.0, + 1162.0, + 552.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 459.0, + 96.0, + 1108.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 251.0, + 24.0, + 1164.0, + 702.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 361.0, + 241.0, + 1086.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 219.0, + 81.0, + 782.0, + 590.0 + ], + "valid": 1 + }, + "2": { + "category_name": "deer", + "bbox": [ + 21.0, + 255.0, + 688.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00075", + "00095", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fcb10d0f81" + }, + "fcd20a2509": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "deer", + "bbox": [ + 546.0, + 41.0, + 997.0, + 506.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 607.0, + 63.0, + 978.0, + 465.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 311.0, + 134.0, + 874.0, + 585.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "deer", + "bbox": [ + 245.0, + 202.0, + 842.0, + 669.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00125", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fcd20a2509" + }, + "fcf637e3ab": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 390.0, + 351.0, + 618.0, + 538.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 478.0, + 412.0, + 768.0, + 622.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 390.0, + 348.0, + 618.0, + 530.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 480.0, + 411.0, + 771.0, + 621.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 373.0, + 289.0, + 628.0, + 478.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 538.0, + 323.0, + 790.0, + 560.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 485.0, + 324.0, + 703.0, + 528.0 + ], + "valid": 1 + }, + "2": { + "category_name": "giant_panda", + "bbox": [ + 630.0, + 376.0, + 835.0, + 561.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00025", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fcf637e3ab" + }, + "fcfd81727f": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 544.0, + 273.0, + 795.0, + 547.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 455.0, + 292.0, + 773.0, + 576.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 447.0, + 268.0, + 792.0, + 552.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 437.0, + 180.0, + 745.0, + 589.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00040", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fcfd81727f" + }, + "fd31890379": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "camel", + "bbox": [ + 751.0, + 0.0, + 1245.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 685.0, + 0.0, + 1233.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 679.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "camel", + "bbox": [ + 424.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00080", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fd31890379" + }, + "fd33551c28": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 136.0, + 708.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 0.0, + 4.0, + 724.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 100.0, + 81.0, + 763.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "raccoon", + "bbox": [ + 83.0, + 94.0, + 698.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00095", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fd33551c28" + }, + "fd542da05e": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "shark", + "bbox": [ + 507.0, + 280.0, + 928.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 647.0, + 0.0, + 917.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 318.0, + 910.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 591.0, + 0.0, + 949.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 198.0, + 759.0, + 699.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "shark", + "bbox": [ + 559.0, + 146.0, + 1159.0, + 697.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 0.0, + 142.0, + 701.0, + 637.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00090", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fd542da05e" + }, + "fd6789b3fe": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dolphin", + "bbox": [ + 261.0, + 191.0, + 766.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 856.0, + 352.0, + 1135.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 308.0, + 274.0, + 705.0, + 608.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 792.0, + 266.0, + 1085.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 258.0, + 245.0, + 640.0, + 577.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 658.0, + 226.0, + 1214.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dolphin", + "bbox": [ + 291.0, + 63.0, + 633.0, + 698.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 605.0, + 28.0, + 1251.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00060", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fd6789b3fe" + }, + "fd77828200": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "frog", + "bbox": [ + 337.0, + 185.0, + 877.0, + 653.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 328.0, + 210.0, + 862.0, + 664.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 339.0, + 226.0, + 860.0, + 670.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "frog", + "bbox": [ + 332.0, + 221.0, + 863.0, + 664.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00095", + "00135" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fd77828200" + }, + "fd7af75f4d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 870.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 24.0, + 1044.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "mouse", + "bbox": [ + 0.0, + 113.0, + 1210.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00040", + "00085", + "00100" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fd7af75f4d" + }, + "fdb28d0fbb": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 28 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 597.0, + 193.0, + 712.0, + 482.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 614.0, + 451.0, + 715.0, + 516.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 561.0, + 204.0, + 670.0, + 505.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 572.0, + 471.0, + 679.0, + 544.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 581.0, + 249.0, + 674.0, + 514.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 581.0, + 477.0, + 689.0, + 548.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 597.0, + 258.0, + 697.0, + 507.0 + ], + "valid": 1 + }, + "2": { + "category_name": "snowboard", + "bbox": [ + 593.0, + 471.0, + 691.0, + 539.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00055", + "00085", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fdb28d0fbb" + }, + "fdb3d1fb1e": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 14 + ] + ], + "annotations": [ + { + "1": { + "category_name": "dog", + "bbox": [ + 549.0, + 0.0, + 1279.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 1233.0, + 177.0, + 1279.0, + 265.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 147.0, + 1.0, + 207.0, + 94.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 333.0, + 125.0, + 1211.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 1075.0, + 0.0, + 1279.0, + 595.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 204.0, + 213.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 434.0, + 56.0, + 999.0, + 526.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 629.0, + 0.0, + 1279.0, + 609.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 182.0, + 259.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "dog", + "bbox": [ + 372.0, + 132.0, + 987.0, + 454.0 + ], + "valid": 1 + }, + "2": { + "category_name": "dog", + "bbox": [ + 317.0, + 137.0, + 882.0, + 602.0 + ], + "valid": 1 + }, + "3": { + "category_name": "dog", + "bbox": [ + 0.0, + 0.0, + 200.0, + 280.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00025", + "00040", + "00065" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fdb3d1fb1e" + }, + "fdb8b04124": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "cat", + "bbox": [ + 564.0, + 106.0, + 1257.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 480.0, + 65.0, + 1087.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 434.0, + 0.0, + 1221.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "cat", + "bbox": [ + 208.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00060", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fdb8b04124" + }, + "fdc6e3d581": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "horse", + "bbox": [ + 580.0, + 272.0, + 848.0, + 539.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 572.0, + 234.0, + 941.0, + 572.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 518.0, + 229.0, + 1029.0, + 670.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "horse", + "bbox": [ + 560.0, + 131.0, + 1277.0, + 700.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00045", + "00075" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fdc6e3d581" + }, + "fdfce7e6fc": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "parrot", + "bbox": [ + 162.0, + 172.0, + 602.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 96.0, + 110.0, + 494.0, + 591.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 134.0, + 141.0, + 562.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "parrot", + "bbox": [ + 240.0, + 0.0, + 440.0, + 313.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00125", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fdfce7e6fc" + }, + "fe0f76d41b": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snail", + "bbox": [ + 501.0, + 160.0, + 901.0, + 486.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 578.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 420.0, + 187.0, + 810.0, + 497.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 494.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 396.0, + 219.0, + 826.0, + 600.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 429.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snail", + "bbox": [ + 413.0, + 230.0, + 848.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 456.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00120", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe0f76d41b" + }, + "fe24b0677d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 815.0, + 166.0, + 1129.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 402.0, + 223.0, + 725.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "snowboard", + "bbox": [ + 1012.0, + 649.0, + 1085.0, + 714.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 977.0, + 153.0, + 1185.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 424.0, + 144.0, + 787.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "snowboard", + "bbox": [ + 1128.0, + 552.0, + 1186.0, + 688.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 649.0, + 283.0, + 807.0, + 638.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 80.0, + 114.0, + 725.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "snowboard", + "bbox": [ + 710.0, + 600.0, + 864.0, + 685.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 608.0, + 251.0, + 768.0, + 582.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 40.0, + 79.0, + 721.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "snowboard", + "bbox": [ + 718.0, + 569.0, + 817.0, + 632.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00125", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe24b0677d" + }, + "fe3c02699d": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 317.0, + 130.0, + 663.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 272.0, + 138.0, + 943.0, + 614.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 340.0, + 103.0, + 653.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 100.0, + 0.0, + 722.0, + 452.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 352.0, + 89.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 62.0, + 0.0, + 569.0, + 448.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "turtle", + "bbox": [ + 0.0, + 328.0, + 110.0, + 660.0 + ], + "valid": 1 + }, + "2": { + "category_name": "turtle", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00065", + "00070", + "00125" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe3c02699d" + }, + "fe58b48235": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "squirrel", + "bbox": [ + 465.0, + 126.0, + 607.0, + 416.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 477.0, + 133.0, + 621.0, + 409.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 467.0, + 124.0, + 656.0, + 423.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "squirrel", + "bbox": [ + 431.0, + 0.0, + 831.0, + 239.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00035", + "00050", + "00085" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe58b48235" + }, + "fe6a5596b8": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 115.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 224.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 119.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 172.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 52.0, + 0.0, + 853.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 959.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "cat", + "bbox": [ + 52.0, + 0.0, + 856.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00050", + "00065", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe6a5596b8" + }, + "fe6c244f63": { + "bins": [ + [ + 2, + 4 + ], + [ + 4, + 6 + ], + [ + 6, + 8 + ], + [ + 8, + 12 + ] + ], + "annotations": [ + { + "1": { + "category_name": "boat", + "bbox": [ + 694.0, + 215.0, + 1097.0, + 752.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 927.0, + 180.0, + 1145.0, + 340.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 689.0, + 218.0, + 1107.0, + 767.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 906.0, + 173.0, + 1131.0, + 339.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 694.0, + 228.0, + 1141.0, + 808.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 882.0, + 177.0, + 1115.0, + 344.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "boat", + "bbox": [ + 556.0, + 185.0, + 1233.0, + 843.0 + ], + "valid": 1 + }, + "2": { + "category_name": "boat", + "bbox": [ + 789.0, + 100.0, + 1052.0, + 297.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00020", + "00030", + "00055" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe6c244f63" + }, + "fe7afec086": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 227.0, + 6.0, + 1048.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 223.0, + 4.0, + 1062.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 225.0, + 51.0, + 1076.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 281.0, + 35.0, + 1130.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00060", + "00115", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe7afec086" + }, + "fe985d510a": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 344.0, + 71.0, + 835.0, + 440.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 84.0, + 184.0, + 1005.0, + 652.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 376.0, + 74.0, + 817.0, + 432.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 92.0, + 182.0, + 1003.0, + 657.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 452.0, + 83.0, + 873.0, + 375.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 84.0, + 184.0, + 1011.0, + 663.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 414.0, + 81.0, + 871.0, + 396.0 + ], + "valid": 1 + }, + "2": { + "category_name": "others", + "bbox": [ + 90.0, + 188.0, + 1005.0, + 658.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00090", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe985d510a" + }, + "fe9db35d15": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 1012.0, + 347.0, + 1086.0, + 540.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 940.0, + 393.0, + 1118.0, + 527.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 846.0, + 171.0, + 907.0, + 369.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 756.0, + 218.0, + 932.0, + 349.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 773.0, + 471.0, + 817.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 668.0, + 524.0, + 834.0, + 640.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 748.0, + 574.0, + 820.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "plant", + "bbox": [ + 663.0, + 622.0, + 840.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00035", + "00060", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fe9db35d15" + }, + "fea8ffcd36": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 183.0, + 133.0, + 846.0, + 666.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 259.0, + 81.0, + 1006.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 359.0, + 75.0, + 1252.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 383.0, + 92.0, + 1266.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00060", + "00095", + "00105" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fea8ffcd36" + }, + "feb1080388": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 693.0, + 183.0, + 1061.0, + 709.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 717.0, + 59.0, + 1031.0, + 491.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 677.0, + 25.0, + 993.0, + 561.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "giant_panda", + "bbox": [ + 711.0, + 91.0, + 1037.0, + 636.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00060", + "00105", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/feb1080388" + }, + "fed208bfca": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 542.0, + 104.0, + 698.0, + 221.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 585.0, + 160.0, + 677.0, + 220.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 423.0, + 201.0, + 561.0, + 300.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 429.0, + 259.0, + 530.0, + 299.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 577.0, + 425.0, + 847.0, + 506.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 735.0, + 496.0, + 846.0, + 521.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 847.0, + 194.0, + 1055.0, + 297.0 + ], + "valid": 1 + }, + "2": { + "category_name": "surfboard", + "bbox": [ + 963.0, + 273.0, + 1056.0, + 309.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00045", + "00055", + "00100", + "00160" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fed208bfca" + }, + "feda5ad1c2": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 416.0, + 0.0, + 835.0, + 444.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 428.0, + 0.0, + 830.0, + 449.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 424.0, + 0.0, + 828.0, + 446.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 415.0, + 0.0, + 833.0, + 453.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00055", + "00090", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/feda5ad1c2" + }, + "feec95b386": { + "bins": [ + [ + 2, + 5 + ], + [ + 5, + 8 + ], + [ + 8, + 11 + ], + [ + 11, + 17 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 349.0, + 12.0, + 980.0, + 489.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 365.0, + 0.0, + 996.0, + 502.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 327.0, + 14.0, + 970.0, + 492.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 59.0, + 0.0, + 880.0, + 521.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00030", + "00045", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/feec95b386" + }, + "ff15a5eff6": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "person", + "bbox": [ + 905.0, + 218.0, + 1012.0, + 374.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 913.0, + 283.0, + 996.0, + 377.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 554.0, + 108.0, + 671.0, + 343.0 + ], + "valid": 1 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 527.0, + 188.0, + 675.0, + 419.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 873.0, + 120.0, + 987.0, + 315.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 896.0, + 186.0, + 981.0, + 357.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 469.0, + 166.0, + 624.0, + 445.0 + ], + "valid": 1 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 458.0, + 277.0, + 635.0, + 512.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 695.0, + 28.0, + 888.0, + 429.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 673.0, + 173.0, + 864.0, + 501.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "person", + "bbox": [ + 697.0, + 0.0, + 1279.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "motorbike", + "bbox": [ + 394.0, + 200.0, + 1258.0, + 719.0 + ], + "valid": 1 + }, + "3": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "4": { + "category_name": "motorbike", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00030", + "00040", + "00080", + "00110" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff15a5eff6" + }, + "ff204daf4b": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "bear", + "bbox": [ + 527.0, + 88.0, + 833.0, + 531.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 415.0, + 206.0, + 716.0, + 523.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 565.0, + 122.0, + 847.0, + 546.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 405.0, + 276.0, + 585.0, + 543.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 561.0, + 127.0, + 838.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 326.0, + 173.0, + 591.0, + 563.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "bear", + "bbox": [ + 424.0, + 87.0, + 844.0, + 572.0 + ], + "valid": 1 + }, + "2": { + "category_name": "bear", + "bbox": [ + 276.0, + 202.0, + 593.0, + 585.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00015", + "00030", + "00050", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff204daf4b" + }, + "ff25f55852": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 341.0, + 323.0, + 842.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 840.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 437.0, + 412.0, + 882.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 803.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 523.0, + 364.0, + 936.0, + 719.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 851.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 614.0, + 400.0, + 844.0, + 618.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 839.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00095", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff25f55852" + }, + "ff2ada194f": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "duck", + "bbox": [ + 109.0, + 112.0, + 465.0, + 541.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 667.0, + 379.0, + 862.0, + 575.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 816.0, + 191.0, + 1068.0, + 381.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 78.0, + 101.0, + 402.0, + 524.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 669.0, + 340.0, + 871.0, + 554.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 798.0, + 178.0, + 1063.0, + 359.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 100.0, + 125.0, + 435.0, + 552.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 698.0, + 366.0, + 902.0, + 583.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 851.0, + 211.0, + 1133.0, + 387.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "duck", + "bbox": [ + 0.0, + 142.0, + 318.0, + 569.0 + ], + "valid": 1 + }, + "2": { + "category_name": "duck", + "bbox": [ + 574.0, + 385.0, + 803.0, + 596.0 + ], + "valid": 1 + }, + "3": { + "category_name": "duck", + "bbox": [ + 809.0, + 223.0, + 1054.0, + 404.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00040", + "00080", + "00090", + "00130" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff2ada194f" + }, + "ff2ce142e8": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 149.0, + 402.0, + 564.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 162.0, + 401.0, + 571.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 184.0, + 401.0, + 590.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 0.0, + 137.0, + 402.0, + 536.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00030", + "00055", + "00080" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff2ce142e8" + }, + "ff49d36d20": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "penguin", + "bbox": [ + 946.0, + 226.0, + 1148.0, + 563.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 814.0, + 300.0, + 1014.0, + 648.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 904.0, + 345.0, + 1096.0, + 667.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 780.0, + 376.0, + 941.0, + 711.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 906.0, + 337.0, + 1080.0, + 666.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 760.0, + 357.0, + 937.0, + 697.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "penguin", + "bbox": [ + 948.0, + 305.0, + 1130.0, + 613.0 + ], + "valid": 1 + }, + "2": { + "category_name": "penguin", + "bbox": [ + 830.0, + 292.0, + 979.0, + 646.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00010", + "00085", + "00100", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff49d36d20" + }, + "ff5a1ec4f3": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "monkey", + "bbox": [ + 451.0, + 57.0, + 613.0, + 135.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 562.0, + 25.0, + 730.0, + 149.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 359.0, + 540.0, + 539.0, + 716.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 736.0, + 432.0, + 828.0, + 595.0 + ], + "valid": 1 + }, + "5": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 371.0, + 85.0, + 588.0, + 207.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 615.0, + 3.0, + 770.0, + 147.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 368.0, + 494.0, + 517.0, + 687.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 750.0, + 389.0, + 828.0, + 560.0 + ], + "valid": 1 + }, + "5": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 341.0, + 23.0, + 495.0, + 174.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 859.0, + 14.0, + 966.0, + 196.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 404.0, + 468.0, + 584.0, + 626.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 771.0, + 333.0, + 853.0, + 500.0 + ], + "valid": 1 + }, + "5": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "monkey", + "bbox": [ + 371.0, + 31.0, + 512.0, + 145.0 + ], + "valid": 1 + }, + "2": { + "category_name": "monkey", + "bbox": [ + 795.0, + 137.0, + 932.0, + 345.0 + ], + "valid": 1 + }, + "3": { + "category_name": "monkey", + "bbox": [ + 409.0, + 440.0, + 584.0, + 635.0 + ], + "valid": 1 + }, + "4": { + "category_name": "monkey", + "bbox": [ + 730.0, + 351.0, + 834.0, + 510.0 + ], + "valid": 1 + }, + "5": { + "category_name": "monkey", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00035", + "00070", + "00115", + "00155" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff5a1ec4f3" + }, + "ff66152b25": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "snake", + "bbox": [ + 412.0, + 314.0, + 644.0, + 716.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "snake", + "bbox": [ + 322.0, + 343.0, + 560.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "snake", + "bbox": [ + 540.0, + 353.0, + 797.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "snake", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "snake", + "bbox": [ + 486.0, + 374.0, + 767.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00065", + "00090", + "00145" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff66152b25" + }, + "ff692fdc56": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 303.0, + 221.0, + 812.0, + 537.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 75.0, + 800.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 322.0, + 169.0, + 789.0, + 520.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 203.0, + 853.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 529.0, + 119.0, + 1117.0, + 375.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 165.0, + 918.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "hedgehog", + "bbox": [ + 476.0, + 63.0, + 758.0, + 439.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 775.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00030", + "00080", + "00110", + "00150" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff692fdc56" + }, + "ff773b1a1e": { + "bins": [ + [ + 2, + 6 + ], + [ + 6, + 10 + ], + [ + 10, + 14 + ], + [ + 14, + 18 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sedan", + "bbox": [ + 316.0, + 181.0, + 1053.0, + 519.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 52.0, + 143.0, + 667.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 70.0, + 78.0, + 1079.0, + 591.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 134.0, + 102.0, + 1079.0, + 651.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "sedan", + "bbox": [ + 324.0, + 104.0, + 1079.0, + 625.0 + ], + "valid": 1 + }, + "2": { + "category_name": "person", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00015", + "00045", + "00050", + "00070" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff773b1a1e" + }, + "ff97129478": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "others", + "bbox": [ + 329.0, + 16.0, + 812.0, + 582.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 365.0, + 88.0, + 810.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 475.0, + 95.0, + 916.0, + 559.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "others", + "bbox": [ + 415.0, + 70.0, + 848.0, + 534.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00090", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ff97129478" + }, + "ffb904207d": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "lizard", + "bbox": [ + 274.0, + 138.0, + 730.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 435.0, + 154.0, + 800.0, + 630.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 308.0, + 172.0, + 713.0, + 632.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 436.0, + 193.0, + 796.0, + 631.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 300.0, + 162.0, + 715.0, + 629.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 423.0, + 189.0, + 804.0, + 629.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "lizard", + "bbox": [ + 285.0, + 134.0, + 735.0, + 630.0 + ], + "valid": 1 + }, + "2": { + "category_name": "hand", + "bbox": [ + 437.0, + 151.0, + 757.0, + 632.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00020", + "00050", + "00090", + "00165" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ffb904207d" + }, + "ffc43fc345": { + "bins": [ + [ + 2, + 8 + ], + [ + 8, + 14 + ], + [ + 14, + 20 + ], + [ + 20, + 27 + ] + ], + "annotations": [ + { + "1": { + "category_name": "sheep", + "bbox": [ + 905.0, + 156.0, + 1279.0, + 545.0 + ], + "valid": 1 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 523.0, + 203.0, + 1035.0, + 550.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 165.0, + 212.0, + 326.0, + 438.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 1084.0, + 185.0, + 1279.0, + 617.0 + ], + "valid": 1 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 321.0, + 182.0, + 585.0, + 509.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 424.0, + 171.0, + 708.0, + 550.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "2": { + "category_name": "sheep", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + }, + "3": { + "category_name": "sheep", + "bbox": [ + 823.0, + 188.0, + 1279.0, + 719.0 + ], + "valid": 1 + } + } + ], + "frame_names": [ + "00025", + "00065", + "00075", + "00115" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/ffc43fc345" + }, + "fffe5f8df6": { + "bins": [ + [ + 2, + 10 + ], + [ + 10, + 18 + ], + [ + 18, + 26 + ], + [ + 26, + 34 + ] + ], + "annotations": [ + { + "1": { + "category_name": "fox", + "bbox": [ + 171.0, + 0.0, + 834.0, + 349.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 601.0, + 667.0, + 1030.0, + 719.0 + ], + "valid": 1 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 295.0, + 84.0, + 1210.0, + 603.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 301.0, + 0.0, + 1144.0, + 657.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + }, + { + "1": { + "category_name": "fox", + "bbox": [ + 203.0, + 127.0, + 1146.0, + 658.0 + ], + "valid": 1 + }, + "2": { + "category_name": "fox", + "bbox": [ + 0.0, + 0.0, + 0.0, + 0.0 + ], + "valid": 0 + } + } + ], + "frame_names": [ + "00010", + "00065", + "00095", + "00140" + ], + "video_path": "data/ref-youtube-vos/train/JPEGImages/fffe5f8df6" + } +} \ No newline at end of file diff --git a/mbench_a2d/gpt_a2d_numbered.py b/mbench_a2d/gpt_a2d_numbered.py new file mode 100644 index 0000000000000000000000000000000000000000..32811050ac4261c8752eb49187c25e547a742903 --- /dev/null +++ b/mbench_a2d/gpt_a2d_numbered.py @@ -0,0 +1,213 @@ +import os +import sys +sys.path.append(os.path.abspath(os.path.join(os.path.dirname(__file__), '..'))) + +from datasets import build_dataset +import argparse +import opts +import time + +import numpy as np +import matplotlib.pyplot as plt +import cv2 +from io import BytesIO +import base64 +from PIL import Image +import json + +from openai import OpenAI + +def mark_object_and_encode(frame, mask, instance_id, text_query, color_mask=False, label_number=False): + #마스크 색칠할지 + if color_mask == True: + alpha = 0.1 + + colored_mask = np.zeros_like(frame) + colored_mask[mask == 1] = [255, 0, 0] + frame[mask == 1] = ( + (1 - alpha) * frame[mask == 1] + + alpha * colored_mask[mask == 1] + ) + + #마스크 아웃라인 그리기 + contours, _ = cv2.findContours(mask, cv2.RETR_EXTERNAL, cv2.CHAIN_APPROX_SIMPLE) + cv2.drawContours(frame, contours, -1, [255, 0, 0], 2) + + #instance_id 적을지 + if label_number == True: + if len(contours) > 0: + largest_contour = max(contours, key=cv2.contourArea) + M = cv2.moments(largest_contour) + if M["m00"] != 0: + center_x = int(M["m10"] / M["m00"]) + center_y = int(M["m01"] / M["m00"]) + else: + center_x, center_y = 0, 0 + + font = cv2.FONT_HERSHEY_SIMPLEX + text = str(instance_id) + font_scale = 0.6 + text_size = cv2.getTextSize(text, font, font_scale, 2)[0] + text_x = center_x - text_size[0] // 1 # 텍스트의 가로 중심 + text_y = center_y + # text_y = center_y + text_size[1] // 2 # 텍스트의 세로 중심 + + # 텍스트 배경 사각형 좌표 계산 + rect_start = (text_x - 5, text_y - text_size[1] - 5) # 배경 사각형 좌상단 + # rect_end = (text_x + text_size[0] + 5, text_y + 5) + rect_end = (text_x + text_size[0] + 5, text_y) + + cv2.rectangle(frame, rect_start, rect_end, (0, 0, 0), -1) + cv2.putText(frame, text, (text_x, text_y), font, font_scale, (255, 255, 255), 2) + + # plt.figure(figsize=(6, 10)) + # plt.imshow(frame) + # plt.title(text_query) + # plt.tight_layout() + # plt.axis('off') + # plt.show() + + buffer = BytesIO() + frame = Image.fromarray(frame) + frame.save(buffer, format='jpeg') + buffer.seek(0) + encoded_frame = base64.b64encode(buffer.read()).decode("utf-8") + + return encoded_frame + +def getCaption(frame, mask, instance_id, text_query, model='gpt-4o', color_mask=False, label_number=True): + + base64_image = mark_object_and_encode(frame, mask, instance_id, text_query, color_mask, label_number) + + captioner = OpenAI() + + #필터링하지 않고 바로 ref exp 만들기 + dense_caption_prompt = f""" + You are a visual assistant analyzing a single frame of a video. + In the given frame, I labeled 1 object by marking each with a bright numeric ID at the center and its boundary. + I also give you a text query describing the marked object. + I want to use your expression to create an **action-centric referring expression** dataset. + Based on the frame and text query, please describe the marked object using **clearly observable** and **specific** actions + --- + ## Guidelines: + 1. **Focus on visible, prominent actions** only (e.g., running, pushing, grasping an object). + 2. **Avoid describing minor or ambiguous actions** (e.g., "slightly moving a paw", "slightly tilting head"). + 3. **Do not include subjective or speculative descriptions** (e.g., “it seems excited” or “it might be preparing to jump”). + 4. **Avoid vague expressions** like "interacting with something" or "engaging with another object." Instead, specify the action (e.g., "grabbing a stick," "pressing a button"). + 5. **Use dynamic action verbs** (holding, throwing, inspecting, leaning, pressing) to highlight body movement or object/animal interaction. + 6. If there are multiple objects, ensure the description for the marked object **differentiates** its action. + 7. Base your description on these action definitions: + - Avoid using term 'minimal' or 'slightly'. + - General body movement, body position, or pattern which is prominent. (e.g. "lifting head up", "facing towards", "showing its back") + - details such as motion and intention, facial with object manipulation + - movements with object or other entities when they are prominent and observable. expression should be specific. + (e.g., "pushing another person" (O), "engaging with someone" (X) "interacting with another person" (X)) + -- + ## Output Format: + - For each labeled object, output **exactly one line**. Your answer should contain details and follow the following format : + object id. action-oriented description + (e.g. 1. the person is holding ski poles and skiing on a snow mountain, with his two legs bent forward.) + ### Example + If the frame has 1 labeled bear, your output should look like: + 1. the bear reaching his right arm while leaning forward to capture the prey + --- + **Do not include** appearance details (e.g., color, size, texture) or relative positioning (e.g., “on the left/right”). + **Do not include object IDs** or reference them (e.g., "Person 1" or "object 2" is not allowed). + **Do not include markdown** in the output. + Keep in mind that you should not group the object, e.g., 2-5. people: xxx, be sure to describe each object separately (one by one). + For each labeled object, output referring expressions for each object id. + """ + prompt_with_text_query = f"prompt: {dense_caption_prompt}\n text query: {text_query}" + + MAX_RETRIES = 2 + retry_count = 0 + + while retry_count < MAX_RETRIES: + response = captioner.chat.completions.create( + model=model, + messages=[ + { + "role": "user", + "content": [ + { + "type": "text", + "text": prompt_with_text_query, + }, + { + "type": "image_url", + "image_url": {"url": f"data:image/jpeg;base64,{base64_image}"}, + }, + ], + } + ], + ) + + + caption = response.choices[0].message.content.strip() + caption_lower = caption.lower().lstrip() + if caption_lower.startswith("1.") and not any( + phrase in caption_lower for phrase in ["i'm sorry", "please", "can't help"] + ): + break + print(f"Retrying caption generation... ({retry_count + 1}/{MAX_RETRIES})") + retry_count += 1 + time.sleep(2) + + if retry_count == MAX_RETRIES: + caption = None + print("Max retries reached. Caption generation failed.") + + else: + caption = None + + return caption + +if __name__ == "__main__": + parser = argparse.ArgumentParser('ReferFormer training and evaluation script', parents=[opts.get_args_parser()]) + parser.add_argument('--save_caption_path', type=str, default='mbench_a2d/numbered_captions.json') + args = parser.parse_args() + + train_dataset = build_dataset('a2d', image_set = 'train', args = args) + text_annotations = train_dataset.text_annotations + + all_captions = {} + + #os.environ['OPENAI_API_KEY'] = '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' + os.environ['OPENAI_API_KEY'] = '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' + + first_text_query = "" + for idx in range(300): + imgs, target = train_dataset[idx] + frames_idx = target['frames_idx'].tolist() + text_query, vid_id, frame_id, instance_id = text_annotations[idx] + + if text_query == first_text_query: + continue + + print(f"------------vid id: {vid_id}, frame id: {frame_id}, instance id: {instance_id}", flush=True) + + frame_id = frame_id - 1 + frame_order = frames_idx.index(frame_id) + + frame = imgs[frame_order, :, :, :].permute(1, 2, 0).numpy() + mask = target['masks'].numpy().astype(np.uint8).squeeze() + + caption = getCaption(frame, mask, instance_id, text_query, model='gpt-4o-mini') + + if vid_id in all_captions: + if frame_id in all_captions[vid_id]: + all_captions[vid_id][frame_id][instance_id] = caption + else: + all_captions[vid_id][frame_id] = {instance_id : caption} + else: + all_captions[vid_id] = {frame_id : {instance_id: caption}} + + if idx % 50 == 0: + with open(args.save_caption_path, 'w') as file: + json.dump(all_captions, file, indent=4) + + print("Finished!", flush=True) + + with open(args.save_caption_path, 'w') as file: + json.dump(all_captions, file, indent=4) + diff --git a/models/ops/functions/ms_deform_attn_func.py b/models/ops/functions/ms_deform_attn_func.py new file mode 100644 index 0000000000000000000000000000000000000000..8c5df8cf5d23aca963eec6c1133c180b37289607 --- /dev/null +++ b/models/ops/functions/ms_deform_attn_func.py @@ -0,0 +1,61 @@ +# ------------------------------------------------------------------------------------------------ +# Deformable DETR +# Copyright (c) 2020 SenseTime. All Rights Reserved. +# Licensed under the Apache License, Version 2.0 [see LICENSE for details] +# ------------------------------------------------------------------------------------------------ +# Modified from https://github.com/chengdazhi/Deformable-Convolution-V2-PyTorch/tree/pytorch_1.0.0 +# ------------------------------------------------------------------------------------------------ + +from __future__ import absolute_import +from __future__ import print_function +from __future__ import division + +import torch +import torch.nn.functional as F +from torch.autograd import Function +from torch.autograd.function import once_differentiable + +import MultiScaleDeformableAttention as MSDA + + +class MSDeformAttnFunction(Function): + @staticmethod + def forward(ctx, value, value_spatial_shapes, value_level_start_index, sampling_locations, attention_weights, im2col_step): + ctx.im2col_step = im2col_step + output = MSDA.ms_deform_attn_forward( + value, value_spatial_shapes, value_level_start_index, sampling_locations, attention_weights, ctx.im2col_step) + ctx.save_for_backward(value, value_spatial_shapes, value_level_start_index, sampling_locations, attention_weights) + return output + + @staticmethod + @once_differentiable + def backward(ctx, grad_output): + value, value_spatial_shapes, value_level_start_index, sampling_locations, attention_weights = ctx.saved_tensors + grad_value, grad_sampling_loc, grad_attn_weight = \ + MSDA.ms_deform_attn_backward( + value, value_spatial_shapes, value_level_start_index, sampling_locations, attention_weights, grad_output, ctx.im2col_step) + + return grad_value, None, None, grad_sampling_loc, grad_attn_weight, None + + +def ms_deform_attn_core_pytorch(value, value_spatial_shapes, sampling_locations, attention_weights): + # for debug and test only, + # need to use cuda version instead + N_, S_, M_, D_ = value.shape + _, Lq_, M_, L_, P_, _ = sampling_locations.shape + value_list = value.split([H_ * W_ for H_, W_ in value_spatial_shapes], dim=1) + sampling_grids = 2 * sampling_locations - 1 + sampling_value_list = [] + for lid_, (H_, W_) in enumerate(value_spatial_shapes): + # N_, H_*W_, M_, D_ -> N_, H_*W_, M_*D_ -> N_, M_*D_, H_*W_ -> N_*M_, D_, H_, W_ + value_l_ = value_list[lid_].flatten(2).transpose(1, 2).reshape(N_*M_, D_, H_, W_) + # N_, Lq_, M_, P_, 2 -> N_, M_, Lq_, P_, 2 -> N_*M_, Lq_, P_, 2 + sampling_grid_l_ = sampling_grids[:, :, :, lid_].transpose(1, 2).flatten(0, 1) + # N_*M_, D_, Lq_, P_ + sampling_value_l_ = F.grid_sample(value_l_, sampling_grid_l_, + mode='bilinear', padding_mode='zeros', align_corners=False) + sampling_value_list.append(sampling_value_l_) + # (N_, Lq_, M_, L_, P_) -> (N_, M_, Lq_, L_, P_) -> (N_, M_, 1, Lq_, L_*P_) + attention_weights = attention_weights.transpose(1, 2).reshape(N_*M_, 1, Lq_, L_*P_) + output = (torch.stack(sampling_value_list, dim=-2).flatten(-2) * attention_weights).sum(-1).view(N_, M_*D_, Lq_) + return output.transpose(1, 2).contiguous() diff --git a/models/ops/src/ms_deform_attn.h b/models/ops/src/ms_deform_attn.h new file mode 100644 index 0000000000000000000000000000000000000000..ac0ef2ec25f7d0ee51ca2d807b159ddf85652017 --- /dev/null +++ b/models/ops/src/ms_deform_attn.h @@ -0,0 +1,62 @@ +/*! +************************************************************************************************** +* Deformable DETR +* Copyright (c) 2020 SenseTime. All Rights Reserved. +* Licensed under the Apache License, Version 2.0 [see LICENSE for details] +************************************************************************************************** +* Modified from https://github.com/chengdazhi/Deformable-Convolution-V2-PyTorch/tree/pytorch_1.0.0 +************************************************************************************************** +*/ + +#pragma once + +#include "cpu/ms_deform_attn_cpu.h" + +#ifdef WITH_CUDA +#include "cuda/ms_deform_attn_cuda.h" +#endif + + +at::Tensor +ms_deform_attn_forward( + const at::Tensor &value, + const at::Tensor &spatial_shapes, + const at::Tensor &level_start_index, + const at::Tensor &sampling_loc, + const at::Tensor &attn_weight, + const int im2col_step) +{ + if (value.type().is_cuda()) + { +#ifdef WITH_CUDA + return ms_deform_attn_cuda_forward( + value, spatial_shapes, level_start_index, sampling_loc, attn_weight, im2col_step); +#else + AT_ERROR("Not compiled with GPU support"); +#endif + } + AT_ERROR("Not implemented on the CPU"); +} + +std::vector +ms_deform_attn_backward( + const at::Tensor &value, + const at::Tensor &spatial_shapes, + const at::Tensor &level_start_index, + const at::Tensor &sampling_loc, + const at::Tensor &attn_weight, + const at::Tensor &grad_output, + const int im2col_step) +{ + if (value.type().is_cuda()) + { +#ifdef WITH_CUDA + return ms_deform_attn_cuda_backward( + value, spatial_shapes, level_start_index, sampling_loc, attn_weight, grad_output, im2col_step); +#else + AT_ERROR("Not compiled with GPU support"); +#endif + } + AT_ERROR("Not implemented on the CPU"); +} + diff --git a/my_datasets/__pycache__/transforms_video.cpython-39.pyc b/my_datasets/__pycache__/transforms_video.cpython-39.pyc new file mode 100644 index 0000000000000000000000000000000000000000..3ffc289c47f8056f905e2bd8b98ec3a18b96323c Binary files /dev/null and b/my_datasets/__pycache__/transforms_video.cpython-39.pyc differ diff --git a/my_datasets/a2d.py b/my_datasets/a2d.py new file mode 100644 index 0000000000000000000000000000000000000000..b826e1310fccfc636bc415d94edbdb384042212f --- /dev/null +++ b/my_datasets/a2d.py @@ -0,0 +1,247 @@ +""" +A2D-Sentences data loader +modified from https://github.com/mttr2021/MTTR/blob/main/datasets/a2d_sentences/a2d_sentences_dataset.py +""" +from pathlib import Path + +import torch +from torchvision.io import read_video +import torchvision.transforms.functional as F + +from torch.utils.data import Dataset +import datasets.transforms_video as T + +import os +from PIL import Image +import json +import numpy as np +import random + +import h5py +from pycocotools.mask import encode, area + + +def get_image_id(video_id, frame_idx, ref_instance_a2d_id): + image_id = f'v_{video_id}_f_{frame_idx}_i_{ref_instance_a2d_id}' + return image_id + +class A2DSentencesDataset(Dataset): + """ + A Torch dataset for A2D-Sentences. + For more information check out: https://kgavrilyuk.github.io/publication/actor_action/ or the original paper at: + https://arxiv.org/abs/1803.07485 + """ + def __init__(self, image_folder: Path, ann_file: Path, transforms, return_masks: bool, + num_frames: int, max_skip: int, subset): + super(A2DSentencesDataset, self).__init__() + dataset_path = str(image_folder) + self.mask_annotations_dir = os.path.join(dataset_path, 'text_annotations/a2d_annotation_with_instances') + self.videos_dir = os.path.join(dataset_path, 'Release/clips320H') + self.ann_file = ann_file + self.text_annotations = self.get_text_annotations() + + self._transforms = transforms + self.return_masks = return_masks # not used + self.num_frames = num_frames + self.max_skip = max_skip + self.subset = subset + + print(f'\n {subset} sample num: ', len(self.text_annotations)) + print('\n') + + def get_text_annotations(self): + with open(str(self.ann_file), 'r') as f: + text_annotations_by_frame = [tuple(a) for a in json.load(f)] + return text_annotations_by_frame + + @staticmethod + def bounding_box(img): + rows = np.any(img, axis=1) + cols = np.any(img, axis=0) + rmin, rmax = np.where(rows)[0][[0, -1]] + cmin, cmax = np.where(cols)[0][[0, -1]] + return rmin, rmax, cmin, cmax # y1, y2, x1, x2 + + def __len__(self): + return len(self.text_annotations) + + def __getitem__(self, idx): + instance_check = False + while not instance_check: + text_query, video_id, frame_idx, instance_id = self.text_annotations[idx] + + text_query = " ".join(text_query.lower().split()) # clean up the text query + + # read the source window frames: + video_frames, _, _ = read_video(os.path.join(self.videos_dir, f'{video_id}.mp4'), pts_unit='sec') # (T, H, W, C) + vid_len = len(video_frames) + # note that the original a2d dataset is 1 indexed, so we have to subtract 1 from frame_idx + frame_id = frame_idx - 1 + + if self.subset == 'train': + # get a window of window_size frames with frame frame_id in the middle. + num_frames = self.num_frames + # random sparse sample + sample_indx = [frame_id] + # local sample + sample_id_before = random.randint(1, 3) + sample_id_after = random.randint(1, 3) + local_indx = [max(0, frame_id - sample_id_before), min(vid_len - 1, frame_id + sample_id_after)] + sample_indx.extend(local_indx) + + # global sampling + if num_frames > 3: + all_inds = list(range(vid_len)) + global_inds = all_inds[:min(sample_indx)] + all_inds[max(sample_indx):] + global_n = num_frames - len(sample_indx) + if len(global_inds) > global_n: + select_id = random.sample(range(len(global_inds)), global_n) + for s_id in select_id: + sample_indx.append(global_inds[s_id]) + elif vid_len >=global_n: # sample long range global frames + select_id = random.sample(range(vid_len), global_n) + for s_id in select_id: + sample_indx.append(all_inds[s_id]) + else: + select_id = random.sample(range(vid_len), global_n - vid_len) + list(range(vid_len)) + for s_id in select_id: + sample_indx.append(all_inds[s_id]) + sample_indx.sort() + # find the valid frame index in sampled frame list, there is only one valid frame + valid_indices = sample_indx.index(frame_id) + + elif self.subset == 'val': + start_idx, end_idx = frame_id - self.num_frames // 2, frame_id + (self.num_frames + 1) // 2 + sample_indx = [] + for i in range(start_idx, end_idx): + i = min(max(i, 0), len(video_frames)-1) # pad out of range indices with edge frames + sample_indx.append(i) + sample_indx.sort() + # find the valid frame index in sampled frame list, there is only one valid frame + valid_indices = sample_indx.index(frame_id) + + + # read frames + imgs, labels, boxes, masks, valid = [], [], [], [], [] + for j in range(self.num_frames): + frame_indx = sample_indx[j] + img = F.to_pil_image(video_frames[frame_indx].permute(2, 0, 1)) + imgs.append(img) + + # read the instance mask + frame_annot_path = os.path.join(self.mask_annotations_dir, video_id, f'{frame_idx:05d}.h5') + f = h5py.File(frame_annot_path) + instances = list(f['instance']) + instance_idx = instances.index(instance_id) # existence was already validated during init + + instance_masks = np.array(f['reMask']) + if len(instances) == 1: + instance_masks = instance_masks[np.newaxis, ...] + instance_masks = torch.tensor(instance_masks).transpose(1, 2) + mask_rles = [encode(mask) for mask in instance_masks.numpy()] + mask_areas = area(mask_rles).astype(float) + f.close() + + # select the referred mask + label = torch.tensor(0, dtype=torch.long) + mask = instance_masks[instance_idx].numpy() + if (mask > 0).any(): + y1, y2, x1, x2 = self.bounding_box(mask) + box = torch.tensor([x1, y1, x2, y2]).to(torch.float) + valid.append(1) + else: # some frame didn't contain the instance + box = torch.tensor([0, 0, 0, 0]).to(torch.float) + valid.append(0) + mask = torch.from_numpy(mask) + labels.append(label) + boxes.append(box) + masks.append(mask) + + # transform + h, w = instance_masks.shape[-2:] + labels = torch.stack(labels, dim=0) + boxes = torch.stack(boxes, dim=0) + boxes[:, 0::2].clamp_(min=0, max=w) + boxes[:, 1::2].clamp_(min=0, max=h) + masks = torch.stack(masks, dim=0) + # there is only one valid frame + target = { + 'frames_idx': torch.tensor(sample_indx), # [T,] + 'valid_indices': torch.tensor([valid_indices]), + 'labels': labels, # [1,] + 'boxes': boxes, # [1, 4], xyxy + 'masks': masks, # [1, H, W] + 'valid': torch.tensor(valid), # [1,] + 'caption': text_query, + 'orig_size': torch.as_tensor([int(h), int(w)]), + 'size': torch.as_tensor([int(h), int(w)]), + 'image_id': get_image_id(video_id,frame_idx, instance_id) + } + + # "boxes" normalize to [0, 1] and transform from xyxy to cxcywh in self._transform + if self._transforms: + imgs, target = self._transforms(imgs, target) + imgs = torch.stack(imgs, dim=0) # [T, 3, H, W] + else: + imgs = np.array(imgs) + imgs = torch.tensor(imgs.transpose(0, 3, 1, 2)) + + # FIXME: handle "valid", since some box may be removed due to random crop + if torch.any(target['valid'] == 1): # at leatst one instance + instance_check = True + else: + idx = random.randint(0, self.__len__() - 1) + + return imgs, target + + +def make_coco_transforms(image_set, max_size=640): + normalize = T.Compose([ + T.ToTensor(), + T.Normalize([0.485, 0.456, 0.406], [0.229, 0.224, 0.225]) + ]) + + scales = [288, 320, 352, 392, 416, 448, 480, 512] + + if image_set == 'train': + return T.Compose([ + T.RandomHorizontalFlip(), + T.PhotometricDistort(), + T.RandomSelect( + T.Compose([ + T.RandomResize(scales, max_size=max_size), + T.Check(), + ]), + T.Compose([ + T.RandomResize([400, 500, 600]), + T.RandomSizeCrop(384, 600), + T.RandomResize(scales, max_size=max_size), + T.Check(), + ]) + ), + normalize, + ]) + + # we do not use the 'val' set since the annotations are inaccessible + if image_set == 'val': + return T.Compose([ + T.RandomResize([360], max_size=640), + normalize, + ]) + + raise ValueError(f'unknown {image_set}') + + +def build(image_set, args): + root = Path(args.a2d_path) + assert root.exists(), f'provided A2D-Sentences path {root} does not exist' + PATHS = { + "train": (root, root / "a2d_sentences_single_frame_train_annotations.json"), + "val": (root, root / "a2d_sentences_single_frame_test_annotations.json"), + } + img_folder, ann_file = PATHS[image_set] + #dataset = A2DSentencesDataset(img_folder, ann_file, transforms=make_coco_transforms(image_set, max_size=args.max_size), + # return_masks=args.masks, num_frames=args.num_frames, max_skip=args.max_skip, subset=image_set) + dataset = A2DSentencesDataset(img_folder, ann_file, transforms=None, + return_masks=args.masks, num_frames=args.num_frames, max_skip=args.max_skip, subset=image_set) + return dataset \ No newline at end of file diff --git a/my_datasets/jhmdb.py b/my_datasets/jhmdb.py new file mode 100644 index 0000000000000000000000000000000000000000..0c3ef1257fa50bfd8190c884a152c1712fc8e484 --- /dev/null +++ b/my_datasets/jhmdb.py @@ -0,0 +1,181 @@ +""" +JHMDB-Sentences data loader +modified from https://github.com/mttr2021/MTTR/blob/main/datasets/jhmdb_sentences/jhmdb_sentences_dataset.py +""" +from pathlib import Path + +import torch +from torchvision.io import read_video +import torchvision.transforms.functional as F + +from torch.utils.data import Dataset +import datasets.transforms_video as T + +import os +from PIL import Image +import json +import numpy as np +import random + +import scipy.io + +def get_image_id(video_id, frame_idx): + image_id = f'v_{video_id}_f_{frame_idx}' + return image_id + +class JHMDBSentencesDataset(Dataset): + """ + A Torch dataset for JHMDB-Sentences. + For more information check out: https://kgavrilyuk.github.io/publication/actor_action/ or the original paper at: + https://arxiv.org/abs/1803.07485 + """ + def __init__(self, image_folder: Path, ann_file: Path, transforms, return_masks: bool, + num_frames: int, max_skip: int, subset): + super(JHMDBSentencesDataset, self).__init__() + self.dataset_path = 'data' + self.ann_file = ann_file + self.samples_metadata = self.get_samples_metadata() + + self._transforms = transforms + self.return_masks = return_masks # not used + self.num_frames = num_frames + self.max_skip = max_skip + self.subset = subset + + print(f'\n {subset} sample num: ', len(self.samples_metadata)) + print('\n') + + def get_samples_metadata(self): + with open(str(self.ann_file), 'r') as f: + samples_metadata = [tuple(a) for a in json.load(f)] + return samples_metadata + + @staticmethod + def bounding_box(img): + rows = np.any(img, axis=1) + cols = np.any(img, axis=0) + rmin, rmax = np.where(rows)[0][[0, -1]] + cmin, cmax = np.where(cols)[0][[0, -1]] + return rmin, rmax, cmin, cmax # y1, y2, x1, x2 + + def __len__(self): + return len(self.samples_metadata) + + def __getitem__(self, idx): + # only support for evaluation + video_id, chosen_frame_path, video_masks_path, video_total_frames, text_query = self.samples_metadata[idx] + text_query = " ".join(text_query.lower().split()) # clean up the text query + + # read the source window frames: + chosen_frame_idx = int(chosen_frame_path.split('/')[-1].split('.')[0]) + # get a window of window_size frames with frame chosen_frame_idx in the middle. + start_idx, end_idx = chosen_frame_idx - self.num_frames // 2, chosen_frame_idx + (self.num_frames + 1) // 2 + frame_indices = list(range(start_idx, end_idx)) # note that jhmdb-sentences frames are 1-indexed + # extract the window source frames: + sample_indx = [] + for i in frame_indices: + i = min(max(i, 1), video_total_frames) # pad out of range indices with edge frames + sample_indx.append(i) + sample_indx.sort() + # find the valid frame index in sampled frame list, there is only one valid frame + valid_indices = sample_indx.index(chosen_frame_idx) + + # read frames + imgs, boxes, masks, valid = [], [], [], [] + for i in sample_indx: + p = '/'.join(chosen_frame_path.split('/')[:-1]) + f'/{i:05d}.png' + frame_path = os.path.join(self.dataset_path, p) + imgs.append(Image.open(frame_path).convert('RGB')) + + # read the instance masks: + video_masks_path = os.path.join(self.dataset_path, video_masks_path) + all_video_masks = scipy.io.loadmat(video_masks_path)['part_mask'].transpose(2, 0, 1) # [T, H, W] + # note that to take the center-frame corresponding mask we switch to 0-indexing: + instance_mask = torch.tensor(all_video_masks[chosen_frame_idx - 1]) # [H, W] + mask = instance_mask.numpy() + if (mask > 0).any(): + y1, y2, x1, x2 = self.bounding_box(mask) + box = torch.tensor([x1, y1, x2, y2]).to(torch.float) + valid.append(1) + else: # some frame didn't contain the instance + box = torch.tensor([0, 0, 0, 0]).to(torch.float) + valid.append(0) + mask = torch.from_numpy(mask) + boxes.append(box) + masks.append(mask) + + # transform + h, w = instance_mask.shape[-2:] + boxes = torch.stack(boxes, dim=0) + boxes[:, 0::2].clamp_(min=0, max=w) + boxes[:, 1::2].clamp_(min=0, max=h) + masks = torch.stack(masks, dim=0) + # there is only one valid frame + target = { + 'frames_idx': torch.tensor(sample_indx), # [T,] + 'valid_indices': torch.tensor([valid_indices]), + 'boxes': boxes, # [1, 4], xyxy + 'masks': masks, # [1, H, W] + 'valid': torch.tensor(valid), # [1,] + 'caption': text_query, + 'orig_size': torch.as_tensor([int(h), int(w)]), + 'size': torch.as_tensor([int(h), int(w)]), + 'image_id': get_image_id(video_id, chosen_frame_idx) + } + + # "boxes" normalize to [0, 1] and transform from xyxy to cxcywh in self._transform + imgs, target = self._transforms(imgs, target) + imgs = torch.stack(imgs, dim=0) # [T, 3, H, W] + + # in 'val', valid always satisfies + return imgs, target + + +def make_coco_transforms(image_set, max_size=640): + normalize = T.Compose([ + T.ToTensor(), + T.Normalize([0.485, 0.456, 0.406], [0.229, 0.224, 0.225]) + ]) + + scales = [288, 320, 352, 392, 416, 448, 480, 512] + + if image_set == 'train': + return T.Compose([ + T.RandomHorizontalFlip(), + T.PhotometricDistort(), + T.RandomSelect( + T.Compose([ + T.RandomResize(scales, max_size=max_size), + T.Check(), + ]), + T.Compose([ + T.RandomResize([400, 500, 600]), + T.RandomSizeCrop(384, 600), + T.RandomResize(scales, max_size=max_size), + T.Check(), + ]) + ), + normalize, + ]) + + # we do not use the 'val' set since the annotations are inaccessible + if image_set == 'val': + return T.Compose([ + T.RandomResize([360], max_size=640), + normalize, + ]) + + raise ValueError(f'unknown {image_set}') + + +def build(image_set, args): + root = Path(args.jhmdb_path) + assert root.exists(), f'provided JHMDB-Sentences path {root} does not exist' + PATHS = { + "train": (root, root / "jhmdb_sentences_samples_metadata.json"), # not used + "val": (root, root / "jhmdb_sentences_samples_metadata.json"), + } + img_folder, ann_file = PATHS[image_set] + dataset = JHMDBSentencesDataset(img_folder, ann_file, transforms=make_coco_transforms(image_set, max_size=args.max_size), + return_masks=args.masks, num_frames=args.num_frames, max_skip=args.max_skip, subset=image_set) + return dataset \ No newline at end of file diff --git a/refer/data_process.py b/refer/data_process.py new file mode 100644 index 0000000000000000000000000000000000000000..c8af95a5990ba818b38891ba983dcd131a2aee8d --- /dev/null +++ b/refer/data_process.py @@ -0,0 +1,137 @@ +import argparse +import json +import os + +import cv2 +import numpy as np +from tqdm import tqdm + +from refer import REFER + +parser = argparse.ArgumentParser(description='Data preparation') +parser.add_argument('--data_root', type=str) +parser.add_argument('--output_dir', type=str) +parser.add_argument('--dataset', + type=str, + choices=['refcoco', 'refcoco+', 'refcocog', 'refclef'], + default='refcoco') +parser.add_argument('--split', type=str, default='umd') +parser.add_argument('--generate_mask', action='store_true') +args = parser.parse_args() +img_path = os.path.join(args.data_root, 'images', 'train2014') + +h, w = (416, 416) + +refer = REFER(args.data_root, args.dataset, args.split) + +print('dataset [%s_%s] contains: ' % (args.dataset, args.split)) +ref_ids = refer.getRefIds() +image_ids = refer.getImgIds() +print('%s expressions for %s refs in %s images.' % + (len(refer.Sents), len(ref_ids), len(image_ids))) + +print('\nAmong them:') +if args.dataset == 'refclef': + if args.split == 'unc': + splits = ['train', 'val', 'testA', 'testB', 'testC'] + else: + splits = ['train', 'val', 'test'] +elif args.dataset == 'refcoco': + splits = ['train', 'val', 'testA', 'testB'] +elif args.dataset == 'refcoco+': + splits = ['train', 'val', 'testA', 'testB'] +elif args.dataset == 'refcocog': + splits = ['train', 'val', + 'test'] # we don't have test split for refcocog right now. + +for split in splits: + ref_ids = refer.getRefIds(split=split) + print('%s refs are in split [%s].' % (len(ref_ids), split)) + + +def cat_process(cat): + if cat >= 1 and cat <= 11: + cat = cat - 1 + elif cat >= 13 and cat <= 25: + cat = cat - 2 + elif cat >= 27 and cat <= 28: + cat = cat - 3 + elif cat >= 31 and cat <= 44: + cat = cat - 5 + elif cat >= 46 and cat <= 65: + cat = cat - 6 + elif cat == 67: + cat = cat - 7 + elif cat == 70: + cat = cat - 9 + elif cat >= 72 and cat <= 82: + cat = cat - 10 + elif cat >= 84 and cat <= 90: + cat = cat - 11 + return cat + + +def bbox_process(bbox): + x_min = int(bbox[0]) + y_min = int(bbox[1]) + x_max = x_min + int(bbox[2]) + y_max = y_min + int(bbox[3]) + return list(map(int, [x_min, y_min, x_max, y_max])) + + +def prepare_dataset(dataset, splits, output_dir, generate_mask=False): + ann_path = os.path.join(output_dir, 'anns', dataset) + mask_path = os.path.join(output_dir, 'masks', dataset) + if not os.path.exists(ann_path): + os.makedirs(ann_path) + if not os.path.exists(mask_path): + os.makedirs(mask_path) + + for split in splits: + dataset_array = [] + ref_ids = refer.getRefIds(split=split) + print('Processing split:{} - Len: {}'.format(split, len(ref_ids))) + for i in tqdm(ref_ids): + ref_dict = {} + + refs = refer.Refs[i] + bboxs = refer.getRefBox(i) + sentences = refs['sentences'] + image_urls = refer.loadImgs(image_ids=refs['image_id'])[0] + cat = cat_process(refs['category_id']) + image_urls = image_urls['file_name'] + if dataset == 'refclef' and image_urls in [ + '19579.jpg', '17975.jpg', '19575.jpg' + ]: + continue + box_info = bbox_process(bboxs) + + ref_dict['bbox'] = box_info + ref_dict['cat'] = cat + ref_dict['segment_id'] = i + ref_dict['img_name'] = image_urls + + if generate_mask: + cv2.imwrite(os.path.join(mask_path, + str(i) + '.png'), + refer.getMask(refs)['mask'] * 255) + + sent_dict = [] + for i, sent in enumerate(sentences): + sent_dict.append({ + 'idx': i, + 'sent_id': sent['sent_id'], + 'sent': sent['sent'].strip() + }) + + ref_dict['sentences'] = sent_dict + ref_dict['sentences_num'] = len(sent_dict) + + dataset_array.append(ref_dict) + print('Dumping json file...') + with open(os.path.join(output_dir, 'anns', dataset, split + '.json'), + 'w') as f: + json.dump(dataset_array, f) + + +prepare_dataset(args.dataset, splits, args.output_dir, args.generate_mask) diff --git a/refer/folder2lmdb.py b/refer/folder2lmdb.py new file mode 100644 index 0000000000000000000000000000000000000000..9eb047c8e38ba4c1ad281c54fe4525d6b2ca13b9 --- /dev/null +++ b/refer/folder2lmdb.py @@ -0,0 +1,99 @@ +import argparse +import os +import os.path as osp +import lmdb +import pyarrow as pa +import json +from tqdm import tqdm +import warnings +warnings.filterwarnings("ignore") + + +def loads_pyarrow(buf): + """ + Args: + buf: the output of `dumps`. + """ + return pa.deserialize(buf) + + +def raw_reader(path): + with open(path, 'rb') as f: + bin_data = f.read() + return bin_data + + +def dumps_pyarrow(obj): + """ + Serialize an object. + Returns: + Implementation-dependent bytes-like object + """ + return pa.serialize(obj).to_buffer() + + +def folder2lmdb(json_data, img_dir, mask_dir, output_dir, split, write_frequency=1000): + lmdb_path = osp.join(output_dir, "%s.lmdb" % split) + isdir = os.path.isdir(lmdb_path) + + print("Generate LMDB to %s" % lmdb_path) + db = lmdb.open(lmdb_path, subdir=isdir, + map_size=1099511627776 * 2, readonly=False, + meminit=False, map_async=True) + + txn = db.begin(write=True) + tbar = tqdm(json_data) + for idx, item in enumerate(tbar): + img = raw_reader(osp.join(img_dir, item['img_name'])) + mask = raw_reader(osp.join(mask_dir, f"{item['segment_id']}.png")) + data = {'img': img, 'mask': mask, 'cat': item['cat'], + 'seg_id': item['segment_id'], 'img_name': item['img_name'], + 'num_sents': item['sentences_num'], 'sents': [i['sent'] for i in item['sentences']]} + txn.put(u'{}'.format(idx).encode('ascii'), dumps_pyarrow(data)) + if idx % write_frequency == 0: + # print("[%d/%d]" % (idx, len(data_loader))) + txn.commit() + txn = db.begin(write=True) + + # finish iterating through dataset + txn.commit() + keys = [u'{}'.format(k).encode('ascii') for k in range(idx + 1)] + with db.begin(write=True) as txn: + txn.put(b'__keys__', dumps_pyarrow(keys)) + txn.put(b'__len__', dumps_pyarrow(len(keys))) + + print("Flushing database ...") + db.sync() + db.close() + + +def parse_args(): + parser = argparse.ArgumentParser(description='COCO Folder to LMDB.') + parser.add_argument('-j', '--json-dir', type=str, + default='', + help='the name of json file.') + parser.add_argument('-i', '--img-dir', type=str, + default='refcoco+', + help='the folder of images.') + parser.add_argument('-m', '--mask-dir', type=str, + default='refcoco+', + help='the folder of masks.') + parser.add_argument('-o', '--output-dir', type=str, + default='refcoco+', + help='the folder of output lmdb file.') + parser.add_argument('-s', '--split', type=str, + default='train', + help='the split type.') + args = parser.parse_args() + return args + + +if __name__ == '__main__': + args = parse_args() + args.split = osp.basename(args.json_dir).split(".")[0] + os.makedirs(args.output_dir, exist_ok=True) + + with open(args.json_dir, 'rb') as f: + json_data = json.load(f) + + folder2lmdb(json_data, args.img_dir, args.mask_dir, args.output_dir, args.split) diff --git a/refer/grefer.py b/refer/grefer.py new file mode 100644 index 0000000000000000000000000000000000000000..db7d228a9874c64fc4268f4c643b190c79a7c824 --- /dev/null +++ b/refer/grefer.py @@ -0,0 +1,335 @@ +""" +grefer v0.1 +This interface provides access to gRefCOCO. + +The following API functions are defined: +G_REFER - REFER api class +getRefIds - get ref ids that satisfy given filter conditions. +getAnnIds - get ann ids that satisfy given filter conditions. +getImgIds - get image ids that satisfy given filter conditions. +getCatIds - get category ids that satisfy given filter conditions. +loadRefs - load refs with the specified ref ids. +loadAnns - load anns with the specified ann ids. +loadImgs - load images with the specified image ids. +loadCats - load category names with the specified category ids. +getRefBox - get ref's bounding box [x, y, w, h] given the ref_id +showRef - show image, segmentation or box of the referred object with the ref +getMaskByRef - get mask and area of the referred object given ref or ref ids +getMask - get mask and area of the referred object given ref +showMask - show mask of the referred object given ref +""" + +import os.path as osp +import json +import pickle +import time +import itertools +import skimage.io as io +import matplotlib.pyplot as plt +from matplotlib.collections import PatchCollection +from matplotlib.patches import Polygon, Rectangle +import numpy as np +from pycocotools import mask + +class G_REFER: + + def __init__(self, data_root='/home/chaeyun/data/projects/chaeyun/VPD/refer/data', dataset='grefcoco', splitBy='unc'): + # provide data_root folder which contains grefcoco + print('loading dataset %s into memory...' % dataset) + data_root = '/home/chaeyun/data/projects/chaeyun/VPD/refer/data' + + self.ROOT_DIR = osp.abspath(osp.dirname(__file__)) + self.DATA_DIR = osp.join(data_root, dataset) + print(self.DATA_DIR) + if dataset in ['grefcoco']: + self.IMAGE_DIR = osp.join(data_root, 'images/mscoco/images/train2014') + else: + raise KeyError('No refer dataset is called [%s]' % dataset) + + tic = time.time() + + # load refs from data/dataset/refs(dataset).json + self.data = {} + self.data['dataset'] = dataset + + ref_file = osp.join(self.DATA_DIR, f'grefs({splitBy}).p') + if osp.exists(ref_file): + self.data['refs'] = pickle.load(open(ref_file, 'rb'),fix_imports=True) + else: + ref_file = osp.join(self.DATA_DIR, f'grefs({splitBy}).json') + if osp.exists(ref_file): + self.data['refs'] = json.load(open(ref_file, 'rb')) + else: + raise FileNotFoundError('JSON file not found') + + # load annotations from data/dataset/instances.json + instances_file = osp.join(self.DATA_DIR, 'instances.json') + instances = json.load(open(instances_file, 'r')) + self.data['images'] = instances['images'] + self.data['annotations'] = instances['annotations'] + self.data['categories'] = instances['categories'] + + # create index + self.createIndex() + print('DONE (t=%.2fs)' % (time.time()-tic)) + + @staticmethod + def _toList(x): + return x if isinstance(x, list) else [x] + + @staticmethod + def match_any(a, b): + a = a if isinstance(a, list) else [a] + b = b if isinstance(b, list) else [b] + return set(a) & set(b) + + def createIndex(self): + # create sets of mapping + # 1) Refs: {ref_id: ref} + # 2) Anns: {ann_id: ann} + # 3) Imgs: {image_id: image} + # 4) Cats: {category_id: category_name} + # 5) Sents: {sent_id: sent} + # 6) imgToRefs: {image_id: refs} + # 7) imgToAnns: {image_id: anns} + # 8) refToAnn: {ref_id: ann} + # 9) annToRef: {ann_id: ref} + # 10) catToRefs: {category_id: refs} + # 11) sentToRef: {sent_id: ref} + # 12) sentToTokens: {sent_id: tokens} + print('creating index...') + # fetch info from instances + Anns, Imgs, Cats, imgToAnns = {}, {}, {}, {} + Anns[-1] = None + for ann in self.data['annotations']: + Anns[ann['id']] = ann + imgToAnns[ann['image_id']] = imgToAnns.get(ann['image_id'], []) + [ann] + for img in self.data['images']: + Imgs[img['id']] = img + for cat in self.data['categories']: + Cats[cat['id']] = cat['name'] + + # fetch info from refs + Refs, imgToRefs, refToAnn, annToRef, catToRefs = {}, {}, {}, {}, {} + Sents, sentToRef, sentToTokens = {}, {}, {} + availableSplits = [] + for ref in self.data['refs']: + # ids + ref_id = ref['ref_id'] + ann_id = ref['ann_id'] + category_id = ref['category_id'] + image_id = ref['image_id'] + + if ref['split'] not in availableSplits: + availableSplits.append(ref['split']) + + # add mapping related to ref + if ref_id in Refs: + print('Duplicate ref id') + Refs[ref_id] = ref + imgToRefs[image_id] = imgToRefs.get(image_id, []) + [ref] + + category_id = self._toList(category_id) + added_cats = [] + for cat in category_id: + if cat not in added_cats: + added_cats.append(cat) + catToRefs[cat] = catToRefs.get(cat, []) + [ref] + + ann_id = self._toList(ann_id) + refToAnn[ref_id] = [Anns[ann] for ann in ann_id] + for ann_id_n in ann_id: + annToRef[ann_id_n] = annToRef.get(ann_id_n, []) + [ref] + + # add mapping of sent + for sent in ref['sentences']: + Sents[sent['sent_id']] = sent + sentToRef[sent['sent_id']] = ref + sentToTokens[sent['sent_id']] = sent['tokens'] + + # create class members + self.Refs = Refs + self.Anns = Anns + self.Imgs = Imgs + self.Cats = Cats + self.Sents = Sents + self.imgToRefs = imgToRefs + self.imgToAnns = imgToAnns + self.refToAnn = refToAnn + self.annToRef = annToRef + self.catToRefs = catToRefs + self.sentToRef = sentToRef + self.sentToTokens = sentToTokens + self.availableSplits = availableSplits + print('index created.') + + def getRefIds(self, image_ids=[], cat_ids=[], split=[]): + image_ids = self._toList(image_ids) + cat_ids = self._toList(cat_ids) + split = self._toList(split) + + for s in split: + if s not in self.availableSplits: + raise ValueError(f'Invalid split name: {s}') + + refs = self.data['refs'] + + if len(image_ids) > 0: + lists = [self.imgToRefs[image_id] for image_id in image_ids] + refs = list(itertools.chain.from_iterable(lists)) + if len(cat_ids) > 0: + refs = [ref for ref in refs if self.match_any(ref['category_id'], cat_ids)] + if len(split) > 0: + refs = [ref for ref in refs if ref['split'] in split] + + ref_ids = [ref['ref_id'] for ref in refs] + return ref_ids + + def getAnnIds(self, image_ids=[], ref_ids=[]): + image_ids = self._toList(image_ids) + ref_ids = self._toList(ref_ids) + + if any([len(image_ids), len(ref_ids)]): + if len(image_ids) > 0: + lists = [self.imgToAnns[image_id] for image_id in image_ids if image_id in self.imgToAnns] + anns = list(itertools.chain.from_iterable(lists)) + else: + anns = self.data['annotations'] + ann_ids = [ann['id'] for ann in anns] + if len(ref_ids) > 0: + lists = [self.Refs[ref_id]['ann_id'] for ref_id in ref_ids] + anns_by_ref_id = list(itertools.chain.from_iterable(lists)) + ann_ids = list(set(ann_ids).intersection(set(anns_by_ref_id))) + else: + ann_ids = [ann['id'] for ann in self.data['annotations']] + + return ann_ids + + def getImgIds(self, ref_ids=[]): + ref_ids = self._toList(ref_ids) + + if len(ref_ids) > 0: + image_ids = list(set([self.Refs[ref_id]['image_id'] for ref_id in ref_ids])) + else: + image_ids = self.Imgs.keys() + return image_ids + + def getCatIds(self): + return self.Cats.keys() + + def loadRefs(self, ref_ids=[]): + return [self.Refs[ref_id] for ref_id in self._toList(ref_ids)] + + def loadAnns(self, ann_ids=[]): + if isinstance(ann_ids, str): + ann_ids = int(ann_ids) + return [self.Anns[ann_id] for ann_id in self._toList(ann_ids)] + + def loadImgs(self, image_ids=[]): + return [self.Imgs[image_id] for image_id in self._toList(image_ids)] + + def loadCats(self, cat_ids=[]): + return [self.Cats[cat_id] for cat_id in self._toList(cat_ids)] + + def getRefBox(self, ref_id): + anns = self.refToAnn[ref_id] + return [ann['bbox'] for ann in anns] # [x, y, w, h] + + def showRef(self, ref, seg_box='seg'): + ax = plt.gca() + # show image + image = self.Imgs[ref['image_id']] + I = io.imread(osp.join(self.IMAGE_DIR, image['file_name'])) + ax.imshow(I) + # show refer expression + for sid, sent in enumerate(ref['sentences']): + print('%s. %s' % (sid+1, sent['sent'])) + # show segmentations + if seg_box == 'seg': + ann_id = ref['ann_id'] + ann = self.Anns[ann_id] + polygons = [] + color = [] + c = 'none' + if type(ann['segmentation'][0]) == list: + # polygon used for refcoco* + for seg in ann['segmentation']: + poly = np.array(seg).reshape((len(seg)/2, 2)) + polygons.append(Polygon(poly, True, alpha=0.4)) + color.append(c) + p = PatchCollection(polygons, facecolors=color, edgecolors=(1,1,0,0), linewidths=3, alpha=1) + ax.add_collection(p) # thick yellow polygon + p = PatchCollection(polygons, facecolors=color, edgecolors=(1,0,0,0), linewidths=1, alpha=1) + ax.add_collection(p) # thin red polygon + else: + # mask used for refclef + rle = ann['segmentation'] + m = mask.decode(rle) + img = np.ones( (m.shape[0], m.shape[1], 3) ) + color_mask = np.array([2.0,166.0,101.0])/255 + for i in range(3): + img[:,:,i] = color_mask[i] + ax.imshow(np.dstack( (img, m*0.5) )) + # show bounding-box + elif seg_box == 'box': + ann_id = ref['ann_id'] + ann = self.Anns[ann_id] + bbox = self.getRefBox(ref['ref_id']) + box_plot = Rectangle((bbox[0], bbox[1]), bbox[2], bbox[3], fill=False, edgecolor='green', linewidth=3) + ax.add_patch(box_plot) + + def getMask(self, ann): + if not ann: + return None + if ann['iscrowd']: + raise ValueError('Crowd object') + image = self.Imgs[ann['image_id']] + if type(ann['segmentation'][0]) == list: # polygon + rle = mask.frPyObjects(ann['segmentation'], image['height'], image['width']) + else: + rle = ann['segmentation'] + + m = mask.decode(rle) + m = np.sum(m, axis=2) # sometimes there are multiple binary map (corresponding to multiple segs) + m = m.astype(np.uint8) # convert to np.uint8 + # compute area + area = sum(mask.area(rle)) # should be close to ann['area'] + return {'mask': m, 'area': area} + + def getMaskByRef(self, ref=None, ref_id=None, merge=False): + if not ref and not ref_id: + raise ValueError + if ref: + ann_ids = ref['ann_id'] + ref_id = ref['ref_id'] + else: + ann_ids = self.getAnnIds(ref_ids=ref_id) + + if ann_ids == [-1]: + img = self.Imgs[self.Refs[ref_id]['image_id']] + return { + 'mask': np.zeros([img['height'], img['width']], dtype=np.uint8), + 'empty': True + } + + anns = self.loadAnns(ann_ids) + mask_list = [self.getMask(ann) for ann in anns if not ann['iscrowd']] + + if merge: + merged_masks = sum([mask['mask'] for mask in mask_list]) + merged_masks[np.where(merged_masks>1)] = 1 + return { + 'mask': merged_masks, + 'empty': False + } + else: + return mask_list + + def showMask(self, ref): + M = self.getMask(ref) + msk = M['mask'] + ax = plt.gca() + ax.imshow(msk) + + def __len__(self): + return len(self.ref_ids) diff --git a/refer/latency.py b/refer/latency.py new file mode 100644 index 0000000000000000000000000000000000000000..445b27c7193cd345b63aba50905f24481fee2bc5 --- /dev/null +++ b/refer/latency.py @@ -0,0 +1,76 @@ +import argparse +import sys +import time +import warnings + +sys.path.append('./') +warnings.filterwarnings("ignore") + +import torch +import torch.backends.cudnn as cudnn +import utils.config as config +from model import build_segmenter + + +def get_parser(): + parser = argparse.ArgumentParser( + description='Pytorch Referring Expression Segmentation') + parser.add_argument('--config', + default='path to xxx.yaml', + type=str, + help='config file') + parser.add_argument('--opts', + default=None, + nargs=argparse.REMAINDER, + help='override some settings in the config.') + args = parser.parse_args() + assert args.config is not None + cfg = config.load_cfg_from_cfg_file(args.config) + if args.opts is not None: + cfg = config.merge_cfg_from_list(cfg, args.opts) + return cfg + + +def count_parameters(model): + return sum(p.numel() for p in model.parameters() if p.requires_grad) + + +def main(): + # init arguments + args = get_parser() + torch.cuda.set_device(0) + # create model + model, _ = build_segmenter(args) + model = model.cuda() + model.eval() + # set cudnn state + cudnn.benchmark = True + cudnn.deterministic = False + cudnn.enabled = True + # init dummy tensor + image = torch.randn(1, 3, 416, 416).cuda() + text = torch.randint(4096, size=(1, args.word_len)).long().cuda() + # init time & memory + avg_time = 0 + avg_mem = 0 + # record initial gpu memory + mem = torch.cuda.max_memory_allocated() + + with torch.no_grad(): + for i in range(500): + start_time = time.time() + _ = model(image, text) + torch.cuda.synchronize() + if (i+1) >= 100: + avg_time += (time.time() - start_time) + avg_mem += (torch.cuda.max_memory_allocated() - mem) / 1.073742e9 + params = count_parameters(model) * 1e-6 + print('#########################################') + print("Average Parameters : {:.2f} M".format(params)) + print("Average FPS: {:.2f}".format(400/avg_time)) + print("Average GPU Memory: {:.2f} GB".format(avg_mem/400)) + print('#########################################') + + +if __name__ == '__main__': + main() diff --git a/refer/refer.py b/refer/refer.py new file mode 100644 index 0000000000000000000000000000000000000000..db58690e8b4fa56e3009918c3c43fab775086f02 --- /dev/null +++ b/refer/refer.py @@ -0,0 +1,385 @@ +__author__ = 'licheng' +""" +This interface provides access to four datasets: +1) refclef +2) refcoco +3) refcoco+ +4) refcocog +split by unc and google +The following API functions are defined: +REFER - REFER api class +getRefIds - get ref ids that satisfy given filter conditions. +getAnnIds - get ann ids that satisfy given filter conditions. +getImgIds - get image ids that satisfy given filter conditions. +getCatIds - get category ids that satisfy given filter conditions. +loadRefs - load refs with the specified ref ids. +loadAnns - load anns with the specified ann ids. +loadImgs - load images with the specified image ids. +loadCats - load category names with the specified category ids. +getRefBox - get ref's bounding box [x, y, w, h] given the ref_id +showRef - show image, segmentation or box of the referred object with the ref +getMask - get mask and area of the referred object given ref +showMask - show mask of the referred object given ref +""" + +import itertools +import json +import os.path as osp +import pickle +import sys +import time +from pprint import pprint + +import matplotlib.pyplot as plt +import numpy as np +import skimage.io as io +from matplotlib.collections import PatchCollection +from shapely.geometry import Polygon +from matplotlib.patches import Rectangle +from pycocotools import mask + + +class REFER: + def __init__(self, data_root='/home/yejin/data2/dataset/COCO2014/', dataset='refcoco', splitBy='unc'): + # provide data_root folder which contains refclef, refcoco, refcoco+ and refcocog + # also provide dataset name and splitBy information + # e.g., dataset = 'refcoco', splitBy = 'unc' + print('loading dataset %s into memory...' % dataset) + self.ROOT_DIR = osp.abspath(osp.dirname(__file__)) + self.DATA_DIR = osp.join('/data2/dataset/RefCOCO/', dataset) + if dataset in ['refcoco', 'refcoco+', 'refcocog']: + self.IMAGE_DIR = osp.join(data_root, 'trainval2014') + elif dataset == 'refclef': + self.IMAGE_DIR = osp.join(data_root, 'images/saiapr_tc-12') + else: + print('No refer dataset is called [%s]' % dataset) + sys.exit() + + # load refs from data/dataset/refs(dataset).json + tic = time.time() + ref_file = osp.join(self.DATA_DIR, 'refs(' + splitBy + ').p') + self.data = {} + self.data['dataset'] = dataset + + self.data['refs'] = pickle.load(open(ref_file, 'rb'), fix_imports=True) + + # load annotations from data/dataset/instances.json + instances_file = osp.join(self.DATA_DIR, 'instances.json') + instances = json.load(open(instances_file, 'r')) + self.data['images'] = instances['images'] + self.data['annotations'] = instances['annotations'] + self.data['categories'] = instances['categories'] + + # create index + self.createIndex() + print('DONE (t=%.2fs)' % (time.time() - tic)) + + def createIndex(self): + # create sets of mapping + # 1) Refs: {ref_id: ref} + # 2) Anns: {ann_id: ann} + # 3) Imgs: {image_id: image} + # 4) Cats: {category_id: category_name} + # 5) Sents: {sent_id: sent} + # 6) imgToRefs: {image_id: refs} + # 7) imgToAnns: {image_id: anns} + # 8) refToAnn: {ref_id: ann} + # 9) annToRef: {ann_id: ref} + # 10) catToRefs: {category_id: refs} + # 11) sentToRef: {sent_id: ref} + # 12) sentToTokens: {sent_id: tokens} + print('creating index...') + # fetch info from instances + Anns, Imgs, Cats, imgToAnns = {}, {}, {}, {} + for ann in self.data['annotations']: + Anns[ann['id']] = ann + imgToAnns[ann['image_id']] = imgToAnns.get(ann['image_id'], + []) + [ann] + for img in self.data['images']: + Imgs[img['id']] = img + for cat in self.data['categories']: + Cats[cat['id']] = cat['name'] + + # fetch info from refs + Refs, imgToRefs, refToAnn, annToRef, catToRefs = {}, {}, {}, {}, {} + Sents, sentToRef, sentToTokens = {}, {}, {} + for ref in self.data['refs']: + # ids + ref_id = ref['ref_id'] + ann_id = ref['ann_id'] + category_id = ref['category_id'] + image_id = ref['image_id'] + + # add mapping related to ref + Refs[ref_id] = ref + imgToRefs[image_id] = imgToRefs.get(image_id, []) + [ref] + catToRefs[category_id] = catToRefs.get(category_id, []) + [ref] + refToAnn[ref_id] = Anns[ann_id] + annToRef[ann_id] = ref + + # add mapping of sent + for sent in ref['sentences']: + Sents[sent['sent_id']] = sent + sentToRef[sent['sent_id']] = ref + sentToTokens[sent['sent_id']] = sent['tokens'] + + # create class members + self.Refs = Refs + self.Anns = Anns + self.Imgs = Imgs + self.Cats = Cats + self.Sents = Sents + self.imgToRefs = imgToRefs + self.imgToAnns = imgToAnns + self.refToAnn = refToAnn + self.annToRef = annToRef + self.catToRefs = catToRefs + self.sentToRef = sentToRef + self.sentToTokens = sentToTokens + print('index created.') + + def getRefIds(self, image_ids=[], cat_ids=[], ref_ids=[], split=''): + image_ids = image_ids if type(image_ids) == list else [image_ids] + cat_ids = cat_ids if type(cat_ids) == list else [cat_ids] + ref_ids = ref_ids if type(ref_ids) == list else [ref_ids] + + if len(image_ids) == len(cat_ids) == len(ref_ids) == len(split) == 0: + refs = self.data['refs'] + else: + if not len(image_ids) == 0: + refs = [self.imgToRefs[image_id] for image_id in image_ids] + else: + refs = self.data['refs'] + if not len(cat_ids) == 0: + refs = [ref for ref in refs if ref['category_id'] in cat_ids] + if not len(ref_ids) == 0: + refs = [ref for ref in refs if ref['ref_id'] in ref_ids] + if not len(split) == 0: + if split in ['testA', 'testB', 'testC']: + refs = [ref for ref in refs if split[-1] in ref['split'] + ] # we also consider testAB, testBC, ... + elif split in ['testAB', 'testBC', 'testAC']: + refs = [ref for ref in refs + if ref['split'] == split] # rarely used I guess... + elif split == 'test': + refs = [ref for ref in refs if 'test' in ref['split']] + elif split == 'train' or split == 'val': + refs = [ref for ref in refs if ref['split'] == split] + else: + print('No such split [%s]' % split) + sys.exit() + ref_ids = [ref['ref_id'] for ref in refs] + return ref_ids + + def getAnnIds(self, image_ids=[], cat_ids=[], ref_ids=[]): + image_ids = image_ids if type(image_ids) == list else [image_ids] + cat_ids = cat_ids if type(cat_ids) == list else [cat_ids] + ref_ids = ref_ids if type(ref_ids) == list else [ref_ids] + + if len(image_ids) == len(cat_ids) == len(ref_ids) == 0: + ann_ids = [ann['id'] for ann in self.data['annotations']] + else: + if not len(image_ids) == 0: + lists = [ + self.imgToAnns[image_id] for image_id in image_ids + if image_id in self.imgToAnns + ] # list of [anns] + anns = list(itertools.chain.from_iterable(lists)) + else: + anns = self.data['annotations'] + if not len(cat_ids) == 0: + anns = [ann for ann in anns if ann['category_id'] in cat_ids] + ann_ids = [ann['id'] for ann in anns] + if not len(ref_ids) == 0: + ids = set(ann_ids).intersection( + set([self.Refs[ref_id]['ann_id'] for ref_id in ref_ids])) + return ann_ids + + def getImgIds(self, ref_ids=[]): + ref_ids = ref_ids if type(ref_ids) == list else [ref_ids] + + if not len(ref_ids) == 0: + image_ids = list( + set([self.Refs[ref_id]['image_id'] for ref_id in ref_ids])) + else: + image_ids = self.Imgs.keys() + return image_ids + + def getCatIds(self): + return self.Cats.keys() + + def loadRefs(self, ref_ids=[]): + if type(ref_ids) == list: + return [self.Refs[ref_id] for ref_id in ref_ids] + elif type(ref_ids) == int: + return [self.Refs[ref_ids]] + + def loadAnns(self, ann_ids=[]): + if type(ann_ids) == list: + return [self.Anns[ann_id] for ann_id in ann_ids] + elif type(ann_ids) == int or type(ann_ids) == unicode: + return [self.Anns[ann_ids]] + + def loadImgs(self, image_ids=[]): + if type(image_ids) == list: + return [self.Imgs[image_id] for image_id in image_ids] + elif type(image_ids) == int: + return [self.Imgs[image_ids]] + + def loadCats(self, cat_ids=[]): + if type(cat_ids) == list: + return [self.Cats[cat_id] for cat_id in cat_ids] + elif type(cat_ids) == int: + return [self.Cats[cat_ids]] + + def getRefBox(self, ref_id): + ref = self.Refs[ref_id] + ann = self.refToAnn[ref_id] + return ann['bbox'] # [x, y, w, h] + + def showRef(self, ref, seg_box='seg'): + ax = plt.gca() + + image = self.Imgs[ref['image_id']] + I = io.imread(osp.join(self.IMAGE_DIR, image['file_name'])) + ax.imshow(I) + + #for sid, sent in enumerate(ref['sentences']): + #print('%s. %s' % (sid + 1, sent['sent'])) + + if seg_box == 'seg': + ann_id = ref['ann_id'] + ann = self.Anns[ann_id] + polygons = [] + color = [] + c = (np.random.random((1, 3)) * 0.6 + 0.4).tolist()[0] + + if type(ann['segmentation'][0]) == list: + # polygon used for refcoco* + for seg in ann['segmentation']: + poly = np.array(seg).reshape((int(len(seg) / 2), 2)) + polygons.append(Polygon(poly)) + color.append(c) + + p = PatchCollection(polygons, + facecolors=(221/255, 160/255, 221/255), # ������� + linewidths=0, + alpha=0.4) + ax.add_collection(p) + + p = PatchCollection(polygons, + facecolors='none', + edgecolors=color, + linewidths=2) + ax.add_collection(p) + else: + # mask used for refclef + rle = ann['segmentation'] + m = mask.decode(rle) + img = np.ones((m.shape[0], m.shape[1], 3)) + color_mask = np.array([2.0, 166.0, 101.0]) / 255 + for i in range(3): + img[:, :, i] = color_mask[i] + ax.imshow(np.dstack((img, m * 0.5))) + + # bounding box + elif seg_box == 'box': + ann_id = ref['ann_id'] + ann = self.Anns[ann_id] + bbox = self.getRefBox(ref['ref_id']) + box_plot = Rectangle((bbox[0], bbox[1]), + bbox[2], + bbox[3], + fill=False, + edgecolor='green', + linewidth=3) + ax.add_patch(box_plot) + + def getMask(self, ref): + # return mask, area and mask-center + ann = self.refToAnn[ref['ref_id']] + image = self.Imgs[ref['image_id']] + if type(ann['segmentation'][0]) == list: # polygon + rle = mask.frPyObjects(ann['segmentation'], image['height'], + image['width']) + else: + rle = ann['segmentation'] + + # for i in range(len(rle['counts'])): + # print(rle) + m = mask.decode(rle) + m = np.sum( + m, axis=2 + ) # sometimes there are multiple binary map (corresponding to multiple segs) + m = m.astype(np.uint8) # convert to np.uint8 + # compute area + area = sum(mask.area(rle)) # should be close to ann['area'] + return {'mask': m, 'area': area} + # # position + # position_x = np.mean(np.where(m==1)[1]) # [1] means columns (matlab style) -> x (c style) + # position_y = np.mean(np.where(m==1)[0]) # [0] means rows (matlab style) -> y (c style) + # # mass position (if there were multiple regions, we use the largest one.) + # label_m = label(m, connectivity=m.ndim) + # regions = regionprops(label_m) + # if len(regions) > 0: + # largest_id = np.argmax(np.array([props.filled_area for props in regions])) + # largest_props = regions[largest_id] + # mass_y, mass_x = largest_props.centroid + # else: + # mass_x, mass_y = position_x, position_y + # # if centroid is not in mask, we find the closest point to it from mask + # if m[mass_y, mass_x] != 1: + # print 'Finding closes mask point ...' + # kernel = np.ones((10, 10),np.uint8) + # me = cv2.erode(m, kernel, iterations = 1) + # points = zip(np.where(me == 1)[0].tolist(), np.where(me == 1)[1].tolist()) # row, col style + # points = np.array(points) + # dist = np.sum((points - (mass_y, mass_x))**2, axis=1) + # id = np.argsort(dist)[0] + # mass_y, mass_x = points[id] + # # return + # return {'mask': m, 'area': area, 'position_x': position_x, 'position_y': position_y, 'mass_x': mass_x, 'mass_y': mass_y} + # # show image and mask + # I = io.imread(osp.join(self.IMAGE_DIR, image['file_name'])) + # plt.figure() + # plt.imshow(I) + # ax = plt.gca() + # img = np.ones( (m.shape[0], m.shape[1], 3) ) + # color_mask = np.array([2.0,166.0,101.0])/255 + # for i in range(3): + # img[:,:,i] = color_mask[i] + # ax.imshow(np.dstack( (img, m*0.5) )) + # plt.show() + + def showMask(self, ref): + M = self.getMask(ref) + msk = M['mask'] + ax = plt.gca() + ax.imshow(msk) + + +if __name__ == '__main__': + refer = REFER(dataset='refcocog', splitBy='google') + ref_ids = refer.getRefIds() + print(len(ref_ids)) + + print(len(refer.Imgs)) + print(len(refer.imgToRefs)) + + ref_ids = refer.getRefIds(split='train') + print('There are %s training referred objects.' % len(ref_ids)) + + for ref_id in ref_ids: + ref = refer.loadRefs(ref_id)[0] + if len(ref['sentences']) < 2: + continue + + pprint(ref) + print('The label is %s.' % refer.Cats[ref['category_id']]) + plt.figure() + refer.showRef(ref, seg_box='box') + plt.show() + + # plt.figure() + # refer.showMask(ref) + # plt.show() diff --git a/scripts/dist_test_davis.sh b/scripts/dist_test_davis.sh new file mode 100644 index 0000000000000000000000000000000000000000..7674f821923aca511567d74178cbb7bcf9716205 --- /dev/null +++ b/scripts/dist_test_davis.sh @@ -0,0 +1,36 @@ +#!/usr/bin/env bash +set -x + +GPUS=${GPUS:-8} +PORT=${PORT:-29500} +if [ $GPUS -lt 8 ]; then + GPUS_PER_NODE=${GPUS_PER_NODE:-$GPUS} +else + GPUS_PER_NODE=${GPUS_PER_NODE:-8} +fi +CPUS_PER_TASK=${CPUS_PER_TASK:-5} + +OUTPUT_DIR=$1 +CHECKPOINT=$2 +PY_ARGS=${@:3} # Any arguments from the forth one are captured by this + +echo "Load model weights from: ${CHECKPOINT}" + +# test using the model trained on ref-youtube-vos directly +python3 inference_davis.py --with_box_refine --binary --freeze_text_encoder \ +--output_dir=${OUTPUT_DIR} --resume=${CHECKPOINT} ${PY_ARGS} + +# evaluation +ANNO0_DIR=${OUTPUT_DIR}/"valid"/"anno_0" +ANNO1_DIR=${OUTPUT_DIR}/"valid"/"anno_1" +ANNO2_DIR=${OUTPUT_DIR}/"valid"/"anno_2" +ANNO3_DIR=${OUTPUT_DIR}/"valid"/"anno_3" +python3 eval_davis.py --results_path=${ANNO0_DIR} +python3 eval_davis.py --results_path=${ANNO1_DIR} +python3 eval_davis.py --results_path=${ANNO2_DIR} +python3 eval_davis.py --results_path=${ANNO3_DIR} + +echo "Working path is: ${OUTPUT_DIR}" + + + diff --git a/slurm_log/annotate_ref_ytvos2.out b/slurm_log/annotate_ref_ytvos2.out new file mode 100644 index 0000000000000000000000000000000000000000..2ac98c7dec01c928f88e1310b1b98a4bb3919a76 --- /dev/null +++ b/slurm_log/annotate_ref_ytvos2.out @@ -0,0 +1,122 @@ + + video num: 3471 clip num: 72920 + + +selected vid id: 08d50b926c, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [16, 17, 20, 23, 24] +selected vid id: 08d50b926c, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [2, 5, 6, 8, 14] +selected vid id: 08d50b926c, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [3, 17, 20, 22, 28] +selected vid id: 08d50b926c, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [7, 10, 11, 19, 24] +selected vid id: 0e05f0e232, metas['frame_id']: 0, selected frame id: 0, train_info['frames_idx']: [3, 5, 6, 10, 12] +selected vid id: 0e05f0e232, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [0, 3, 7, 8, 21] +selected vid id: 122896672d, metas['frame_id']: 0, selected frame id: 0, train_info['frames_idx']: [4, 5, 7, 9, 16] +selected vid id: 19a6e62b9b, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [2, 5, 8, 8, 13] +selected vid id: 19ee80dac6, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [9, 10, 11, 17, 21] +selected vid id: 224e7c833e, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [0, 10, 32, 35, 35] +selected vid id: 2376440551, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [1, 12, 13, 15, 15] +selected vid id: 2376440551, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [4, 5, 7, 11, 12] +selected vid id: 256dcc8ab8, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [0, 2, 4, 8, 19] +selected vid id: 328d918c7d, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [2, 5, 6, 18, 33] +selected vid id: 346e92ff37, metas['frame_id']: 0, selected frame id: 0, train_info['frames_idx']: [13, 23, 25, 28, 29] +selected vid id: 346e92ff37, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [8, 10, 12, 17, 35] +selected vid id: 357a710863, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [7, 22, 25, 28, 31] +selected vid id: 3bd9a9b515, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [3, 9, 27, 34, 35] +selected vid id: 3bd9a9b515, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [13, 15, 17, 21, 27] +selected vid id: 3bd9a9b515, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [8, 10, 29, 34, 35] +selected vid id: 3beef45388, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [2, 8, 10, 12, 27] +selected vid id: 44b1da0d87, metas['frame_id']: 0, selected frame id: 0, train_info['frames_idx']: [2, 5, 7, 15, 23] +selected vid id: 44b1da0d87, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [17, 23, 25, 28, 35] +selected vid id: 4680236c9d, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [4, 5, 6, 7, 24] +selected vid id: 4680236c9d, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [0, 1, 5, 12, 28] +selected vid id: 4680236c9d, metas['frame_id']: 30, selected frame id: 30, train_info['frames_idx']: [7, 8, 24, 25, 27] +selected vid id: 4680236c9d, metas['frame_id']: 35, selected frame id: 35, train_info['frames_idx']: [5, 7, 29, 30, 32] +selected vid id: 49e7326789, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [4, 5, 7, 13, 16] +selected vid id: 5158d6e985, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [0, 5, 15, 32, 35] +selected vid id: 5158d6e985, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [0, 3, 9, 29, 35] +selected vid id: 585dd0f208, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [1, 6, 24, 25, 28] +selected vid id: 589f65f5d5, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [11, 19, 24, 34, 35] +selected vid id: 589f65f5d5, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [3, 4, 5, 8, 8] +selected vid id: 589f65f5d5, metas['frame_id']: 30, selected frame id: 30, train_info['frames_idx']: [7, 10, 11, 18, 26] +selected vid id: 5b27d19f0b, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [1, 2, 5, 7, 14] +selected vid id: 5b27d19f0b, metas['frame_id']: 30, selected frame id: 30, train_info['frames_idx']: [16, 19, 20, 23, 26] +selected vid id: 5d663000ff, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [0, 1, 3, 8, 17] +selected vid id: 62ede7b2da, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [9, 17, 20, 21, 24] +selected vid id: 669b572898, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [2, 18, 27, 30, 31] +selected vid id: 69c67f109f, metas['frame_id']: 0, selected frame id: 0, train_info['frames_idx']: [9, 14, 18, 20, 22] +selected vid id: 69c67f109f, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [3, 27, 30, 33, 35] +selected vid id: 6b1e04d00d, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [0, 1, 21, 25, 32] +selected vid id: 6df3637557, metas['frame_id']: 30, selected frame id: 30, train_info['frames_idx']: [0, 3, 4, 15, 18] +selected vid id: 7053e4f41e, metas['frame_id']: 35, selected frame id: 35, train_info['frames_idx']: [4, 5, 6, 10, 15] +selected vid id: 792218456c, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [1, 3, 8, 10, 13] +selected vid id: 7c078f211b, metas['frame_id']: 0, selected frame id: 0, train_info['frames_idx']: [4, 29, 34, 35, 35] +selected vid id: 7c078f211b, metas['frame_id']: 0, selected frame id: 0, train_info['frames_idx']: [3, 5, 8, 9, 12] +selected vid id: 7c078f211b, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [0, 2, 19, 20, 22] +selected vid id: 7c078f211b, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [0, 1, 3, 6, 10] +selected vid id: 7c078f211b, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [4, 5, 8, 8, 9] +selected vid id: 7c078f211b, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [2, 5, 12, 15, 18] +selected vid id: 7c078f211b, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [17, 19, 24, 25, 28] +selected vid id: 7c078f211b, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [10, 14, 22, 25, 28] +selected vid id: 7f02b3cfe2, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [1, 2, 5, 8, 16] +selected vid id: 7f02b3cfe2, metas['frame_id']: 30, selected frame id: 30, train_info['frames_idx']: [4, 5, 17, 20, 22] +selected vid id: 7f5faedf8b, metas['frame_id']: 0, selected frame id: 0, train_info['frames_idx']: [15, 23, 25, 26, 26] +selected vid id: 7f5faedf8b, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [8, 28, 33, 34, 35] +selected vid id: 7f5faedf8b, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [4, 8, 10, 11, 30] +selected vid id: 7f838baf2b, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [0, 2, 5, 11, 18] +selected vid id: 885673ea17, metas['frame_id']: 0, selected frame id: 0, train_info['frames_idx']: [4, 9, 10, 13, 34] +selected vid id: 885673ea17, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [0, 3, 3, 9, 15] +selected vid id: 885673ea17, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [4, 13, 25, 32, 35] +selected vid id: 885673ea17, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [1, 8, 10, 12, 24] +selected vid id: 885673ea17, metas['frame_id']: 30, selected frame id: 30, train_info['frames_idx']: [8, 10, 12, 27, 28] +selected vid id: 885673ea17, metas['frame_id']: 30, selected frame id: 30, train_info['frames_idx']: [7, 10, 12, 12, 25] +selected vid id: 8891aa6dfa, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [9, 10, 12, 27, 28] +selected vid id: 8c469815cf, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [2, 14, 15, 16, 22] +selected vid id: 8c469815cf, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [0, 7, 10, 11, 11] +selected vid id: 8ec3065ec2, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [16, 28, 29, 33, 35] +selected vid id: 8ec3065ec2, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [13, 14, 30, 33, 35] +selected vid id: 8ec3065ec2, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [7, 9, 10, 13, 15] +selected vid id: 8ecf51a971, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [0, 2, 4, 6, 11] +selected vid id: 8ecf51a971, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [8, 15, 22, 25, 26] +selected vid id: 8ecf51a971, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [4, 5, 7, 10, 17] +selected vid id: a16062456f, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [8, 13, 15, 18, 31] +selected vid id: a16062456f, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [2, 5, 6, 12, 13] +selected vid id: a263ce8a87, metas['frame_id']: 35, selected frame id: 35, train_info['frames_idx']: [1, 2, 5, 8, 23] +selected vid id: a2a800ab63, metas['frame_id']: 0, selected frame id: 0, train_info['frames_idx']: [7, 10, 13, 22, 27] +selected vid id: a2a800ab63, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [2, 19, 20, 22, 26] +selected vid id: a2a800ab63, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [2, 5, 7, 11, 24] +selected vid id: a2a800ab63, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [0, 14, 15, 18, 29] +selected vid id: a5cd17bb11, metas['frame_id']: 0, selected frame id: 0, train_info['frames_idx']: [8, 10, 13, 27, 28] +selected vid id: a5cd17bb11, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [1, 12, 15, 18, 29] +selected vid id: a5cd17bb11, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [9, 10, 32, 35, 35] +selected vid id: a5cd17bb11, metas['frame_id']: 30, selected frame id: 30, train_info['frames_idx']: [2, 23, 25, 28, 31] +selected vid id: ab56201494, metas['frame_id']: 0, selected frame id: 0, train_info['frames_idx']: [3, 5, 8, 10, 35] +selected vid id: ab56201494, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [9, 10, 11, 16, 20] +selected vid id: acff336758, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [2, 3, 8, 10, 12] +selected vid id: bc6b8d6371, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [0, 3, 7, 9, 17] +selected vid id: bc6b8d6371, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [0, 3, 5, 7, 32] +selected vid id: bc6b8d6371, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [3, 5, 7, 18, 34] +selected vid id: bc6b8d6371, metas['frame_id']: 30, selected frame id: 30, train_info['frames_idx']: [2, 9, 12, 15, 16] +selected vid id: bc6b8d6371, metas['frame_id']: 35, selected frame id: 35, train_info['frames_idx']: [8, 10, 11, 13, 14] +selected vid id: c1c830a735, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [22, 28, 30, 33, 35] +selected vid id: c307f33da2, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [0, 1, 7, 9, 17] +selected vid id: c965afa713, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [0, 1, 1, 8, 9] +selected vid id: c965afa713, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [1, 4, 7, 10, 13] +selected vid id: d874654b52, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [4, 5, 7, 9, 28] +selected vid id: dac361e828, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [2, 4, 7, 10, 11] +selected vid id: df01f277f1, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [6, 10, 14, 15, 16] +selected vid id: df01f277f1, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [2, 5, 6, 28, 29] +selected vid id: df01f277f1, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [0, 3, 4, 5, 6] +selected vid id: df01f277f1, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [4, 5, 8, 10, 11] +selected vid id: df01f277f1, metas['frame_id']: 30, selected frame id: 30, train_info['frames_idx']: [6, 15, 23, 25, 27] +selected vid id: df01f277f1, metas['frame_id']: 35, selected frame id: 35, train_info['frames_idx']: [7, 8, 19, 20, 23] +selected vid id: e29e9868a8, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [3, 5, 8, 11, 13] +selected vid id: e29e9868a8, metas['frame_id']: 30, selected frame id: 30, train_info['frames_idx']: [4, 5, 7, 10, 18] +selected vid id: e3e4134877, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [0, 18, 22, 25, 28] +selected vid id: e57f4f668b, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [2, 9, 10, 13, 18] +selected vid id: e57f4f668b, metas['frame_id']: 25, selected frame id: 25, train_info['frames_idx']: [13, 19, 20, 21, 24] +selected vid id: f36483c824, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [8, 12, 15, 18, 24] +selected vid id: f36483c824, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [2, 18, 28, 32, 35] +selected vid id: f5966cadd2, metas['frame_id']: 5, selected frame id: 5, train_info['frames_idx']: [8, 13, 20, 34, 35] +selected vid id: fc96cda9d8, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [2, 5, 8, 17, 18] +selected vid id: ff66152b25, metas['frame_id']: 10, selected frame id: 10, train_info['frames_idx']: [0, 2, 4, 13, 19] +selected vid id: ff66152b25, metas['frame_id']: 15, selected frame id: 15, train_info['frames_idx']: [5, 14, 24, 25, 26] +selected vid id: ff66152b25, metas['frame_id']: 20, selected frame id: 20, train_info['frames_idx']: [3, 5, 7, 11, 16] +selected vid id: ff66152b25, metas['frame_id']: 30, selected frame id: 30, train_info['frames_idx']: [0, 2, 7, 11, 20] diff --git a/slurm_log/mbench_gpt_a2d.out b/slurm_log/mbench_gpt_a2d.out new file mode 100644 index 0000000000000000000000000000000000000000..37ee7f2421db90dd80b6ce16f099f31d317e67ef --- /dev/null +++ b/slurm_log/mbench_gpt_a2d.out @@ -0,0 +1,900 @@ + + train sample num: 15747 + + +------------vid id: --h5fAKYurM, frame id: 30, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: --h5fAKYurM, frame id: 60, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: --h5fAKYurM, frame id: 90, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 40, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 80, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 120, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 40, instance id: 1 +------------vid id: -0cOo0cRVZU, frame id: 80, instance id: 1 +------------vid id: -0cOo0cRVZU, frame id: 120, instance id: 1 +------------vid id: -0cOo0cRVZU, frame id: 40, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 80, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 120, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 40, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 80, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 120, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 40, instance id: 4 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 80, instance id: 4 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 120, instance id: 4 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 40, instance id: 5 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 80, instance id: 5 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 40, instance id: 6 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 80, instance id: 6 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -0cOo0cRVZU, frame id: 120, instance id: 7 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -1L1Fm8QaK4, frame id: 16, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: -1L1Fm8QaK4, frame id: 32, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -1L1Fm8QaK4, frame id: 48, instance id: 0 +------------vid id: -2GEt8vi2RA, frame id: 12, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -2GEt8vi2RA, frame id: 24, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -2GEt8vi2RA, frame id: 36, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -2GEt8vi2RA, frame id: 48, instance id: 0 +------------vid id: -2GEt8vi2RA, frame id: 60, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -2akYw9VucA, frame id: 12, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: -2akYw9VucA, frame id: 24, instance id: 0 +------------vid id: -2akYw9VucA, frame id: 36, instance id: 0 +------------vid id: -2akYw9VucA, frame id: 48, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -2akYw9VucA, frame id: 60, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -2akYw9VucA, frame id: 12, instance id: 1 +------------vid id: -2akYw9VucA, frame id: 24, instance id: 1 +------------vid id: -2akYw9VucA, frame id: 24, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -2akYw9VucA, frame id: 36, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -2akYw9VucA, frame id: 36, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -2akYw9VucA, frame id: 36, instance id: 4 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -2akYw9VucA, frame id: 36, instance id: 5 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -2akYw9VucA, frame id: 48, instance id: 5 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -2vO7IbjuSE, frame id: 32, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -2vO7IbjuSE, frame id: 64, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -2vO7IbjuSE, frame id: 96, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -4CdF084nIs, frame id: 40, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -4CdF084nIs, frame id: 80, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -4CdF084nIs, frame id: 120, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -4CdF084nIs, frame id: 160, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -4CdF084nIs, frame id: 200, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -4K2hHSl7s4, frame id: 30, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -4K2hHSl7s4, frame id: 60, instance id: 0 +------------vid id: -4K2hHSl7s4, frame id: 90, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: -4K2hHSl7s4, frame id: 30, instance id: 1 +------------vid id: -4K2hHSl7s4, frame id: 60, instance id: 1 +------------vid id: -54J_vTufjw, frame id: 40, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -54J_vTufjw, frame id: 80, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -54J_vTufjw, frame id: 120, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: -54J_vTufjw, frame id: 40, instance id: 1 +------------vid id: -54J_vTufjw, frame id: 80, instance id: 1 +------------vid id: -54J_vTufjw, frame id: 120, instance id: 1 +------------vid id: -5Ilq3kFxek, frame id: 20, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -5Ilq3kFxek, frame id: 40, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -5Ilq3kFxek, frame id: 60, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -5Ilq3kFxek, frame id: 80, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -5Ilq3kFxek, frame id: 100, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -5bfNwGsWZA, frame id: 25, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -5bfNwGsWZA, frame id: 50, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -5bfNwGsWZA, frame id: 75, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -5bfNwGsWZA, frame id: 100, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -5bfNwGsWZA, frame id: 125, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -5lbSzOSfz8, frame id: 33, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -5lbSzOSfz8, frame id: 66, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -5lbSzOSfz8, frame id: 99, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -5lbSzOSfz8, frame id: 33, instance id: 1 +------------vid id: -7AwCPcgrzA, frame id: 25, instance id: 0 +------------vid id: -7AwCPcgrzA, frame id: 50, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -7AwCPcgrzA, frame id: 75, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -7AwCPcgrzA, frame id: 100, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -7AwCPcgrzA, frame id: 125, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: -7e9bizUyHg, frame id: 20, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -7e9bizUyHg, frame id: 40, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -7e9bizUyHg, frame id: 60, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -8FLF-osZmA, frame id: 40, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -8FLF-osZmA, frame id: 80, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: -8FLF-osZmA, frame id: 120, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: -8FLF-osZmA, frame id: 40, instance id: 1 +------------vid id: -8FLF-osZmA, frame id: 80, instance id: 1 +------------vid id: -8FLF-osZmA, frame id: 40, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -8FLF-osZmA, frame id: 80, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -8FLF-osZmA, frame id: 120, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -8FLF-osZmA, frame id: 40, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -8FLF-osZmA, frame id: 80, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -8FLF-osZmA, frame id: 120, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -8FLF-osZmA, frame id: 80, instance id: 4 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -8FLF-osZmA, frame id: 120, instance id: 4 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -8sY4FHSq7g, frame id: 25, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -8sY4FHSq7g, frame id: 50, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -8sY4FHSq7g, frame id: 75, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -8sY4FHSq7g, frame id: 25, instance id: 1 +------------vid id: -An-2j9snuc, frame id: 40, instance id: 0 +------------vid id: -An-2j9snuc, frame id: 80, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -An-2j9snuc, frame id: 120, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -Bo3qO_HkEQ, frame id: 6, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -Bo3qO_HkEQ, frame id: 12, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -Bo3qO_HkEQ, frame id: 18, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -CzttfT_7uM, frame id: 40, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -CzttfT_7uM, frame id: 80, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -CzttfT_7uM, frame id: 120, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -HpFtQGQ7l8, frame id: 50, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -HpFtQGQ7l8, frame id: 100, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -HpFtQGQ7l8, frame id: 150, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -J7SggJdfJA, frame id: 15, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -J7SggJdfJA, frame id: 30, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -J7SggJdfJA, frame id: 45, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -K_YK2Ct5f8, frame id: 21, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -K_YK2Ct5f8, frame id: 42, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -K_YK2Ct5f8, frame id: 63, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: -MYguBhCWSM, frame id: 15, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -MYguBhCWSM, frame id: 30, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: -MYguBhCWSM, frame id: 45, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -MYguBhCWSM, frame id: 15, instance id: 1 +------------vid id: -MYguBhCWSM, frame id: 30, instance id: 1 +------------vid id: -MYguBhCWSM, frame id: 45, instance id: 1 +------------vid id: -MYguBhCWSM, frame id: 15, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -MYguBhCWSM, frame id: 30, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -MYguBhCWSM, frame id: 45, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -MYguBhCWSM, frame id: 15, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -MYguBhCWSM, frame id: 30, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -MYguBhCWSM, frame id: 45, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -MYguBhCWSM, frame id: 15, instance id: 4 +------------vid id: -MYguBhCWSM, frame id: 30, instance id: 4 +Retrying caption generation... (1/2) +------------vid id: -MYguBhCWSM, frame id: 45, instance id: 4 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -QSOuKmwbeo, frame id: 20, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -QSOuKmwbeo, frame id: 40, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -QSOuKmwbeo, frame id: 60, instance id: 0 +------------vid id: -QSOuKmwbeo, frame id: 20, instance id: 1 +------------vid id: -QSOuKmwbeo, frame id: 40, instance id: 1 +------------vid id: -QSOuKmwbeo, frame id: 60, instance id: 1 +------------vid id: -RTVlR5FrHw, frame id: 12, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -RTVlR5FrHw, frame id: 24, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -RTVlR5FrHw, frame id: 36, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -RTVlR5FrHw, frame id: 12, instance id: 1 +------------vid id: -RTVlR5FrHw, frame id: 24, instance id: 1 +------------vid id: -RTVlR5FrHw, frame id: 36, instance id: 1 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -RTVlR5FrHw, frame id: 12, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -RTVlR5FrHw, frame id: 12, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -RTVlR5FrHw, frame id: 24, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -RTVlR5FrHw, frame id: 36, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -TeTejh1ebs, frame id: 25, instance id: 0 +------------vid id: -TeTejh1ebs, frame id: 50, instance id: 0 +------------vid id: -TeTejh1ebs, frame id: 75, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -UQLalti9dI, frame id: 15, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -UQLalti9dI, frame id: 30, instance id: 0 +------------vid id: -UQLalti9dI, frame id: 45, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: -VqyimSxbpg, frame id: 40, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -VqyimSxbpg, frame id: 80, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -VqyimSxbpg, frame id: 120, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -WXtonEw8-0, frame id: 8, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -WXtonEw8-0, frame id: 16, instance id: 0 +------------vid id: -WXtonEw8-0, frame id: 24, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -WXtonEw8-0, frame id: 8, instance id: 1 +------------vid id: -WXtonEw8-0, frame id: 16, instance id: 1 +------------vid id: -WXtonEw8-0, frame id: 24, instance id: 1 +------------vid id: -XgH1VvAKcA, frame id: 37, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -XgH1VvAKcA, frame id: 74, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -XgH1VvAKcA, frame id: 111, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -YAVP7JseLQ, frame id: 20, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -YAVP7JseLQ, frame id: 40, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: -YAVP7JseLQ, frame id: 60, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -YAVP7JseLQ, frame id: 80, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -YAVP7JseLQ, frame id: 100, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -YAVP7JseLQ, frame id: 20, instance id: 1 +------------vid id: -YAVP7JseLQ, frame id: 40, instance id: 1 +------------vid id: -YAVP7JseLQ, frame id: 60, instance id: 1 +------------vid id: -YAVP7JseLQ, frame id: 80, instance id: 1 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -YAVP7JseLQ, frame id: 100, instance id: 1 +------------vid id: -YAVP7JseLQ, frame id: 20, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -YAVP7JseLQ, frame id: 20, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -YM5_oSdP1c, frame id: 15, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -YM5_oSdP1c, frame id: 30, instance id: 0 +------------vid id: -YM5_oSdP1c, frame id: 45, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -YM5_oSdP1c, frame id: 15, instance id: 1 +------------vid id: -YM5_oSdP1c, frame id: 30, instance id: 1 +------------vid id: -YM5_oSdP1c, frame id: 45, instance id: 1 +------------vid id: -ZG23ZWRtuM, frame id: 15, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -ZG23ZWRtuM, frame id: 30, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -ZG23ZWRtuM, frame id: 45, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: -ZG23ZWRtuM, frame id: 15, instance id: 1 +------------vid id: -ZG23ZWRtuM, frame id: 30, instance id: 1 +------------vid id: -ZG23ZWRtuM, frame id: 45, instance id: 1 +------------vid id: -aN1E545q_Q, frame id: 20, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -aN1E545q_Q, frame id: 40, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -aN1E545q_Q, frame id: 60, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 40, instance id: 0 +------------vid id: -d8EYyveK_E, frame id: 80, instance id: 0 +------------vid id: -d8EYyveK_E, frame id: 120, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 40, instance id: 1 +------------vid id: -d8EYyveK_E, frame id: 40, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 80, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 120, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 40, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 80, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 120, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 40, instance id: 4 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 80, instance id: 4 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 120, instance id: 4 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 40, instance id: 5 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 80, instance id: 5 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 120, instance id: 5 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 40, instance id: 6 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 80, instance id: 6 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 120, instance id: 6 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 40, instance id: 7 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 80, instance id: 7 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -d8EYyveK_E, frame id: 120, instance id: 7 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -dP_5L19sdc, frame id: 25, instance id: 0 +------------vid id: -dP_5L19sdc, frame id: 50, instance id: 0 +------------vid id: -dP_5L19sdc, frame id: 75, instance id: 0 +------------vid id: -dP_5L19sdc, frame id: 100, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -dP_5L19sdc, frame id: 125, instance id: 0 +------------vid id: -dP_5L19sdc, frame id: 25, instance id: 1 +------------vid id: -dP_5L19sdc, frame id: 50, instance id: 1 +------------vid id: -dP_5L19sdc, frame id: 75, instance id: 1 +------------vid id: -dP_5L19sdc, frame id: 100, instance id: 1 +------------vid id: -dP_5L19sdc, frame id: 125, instance id: 1 +------------vid id: -fZzPnx706g, frame id: 15, instance id: 0 +------------vid id: -fZzPnx706g, frame id: 30, instance id: 0 +------------vid id: -fZzPnx706g, frame id: 45, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -g-zmU_D-e8, frame id: 20, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -g-zmU_D-e8, frame id: 40, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -g-zmU_D-e8, frame id: 60, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -gLdLiByqTY, frame id: 35, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -gLdLiByqTY, frame id: 70, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -gLdLiByqTY, frame id: 105, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -gLdLiByqTY, frame id: 140, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -gLdLiByqTY, frame id: 175, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -guBVgQsnWs, frame id: 20, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -guBVgQsnWs, frame id: 40, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -guBVgQsnWs, frame id: 60, instance id: 0 +------------vid id: -iRDLJ2wK1A, frame id: 25, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -iRDLJ2wK1A, frame id: 50, instance id: 0 +------------vid id: -iRDLJ2wK1A, frame id: 75, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -iRDLJ2wK1A, frame id: 25, instance id: 1 +------------vid id: -iRDLJ2wK1A, frame id: 50, instance id: 1 +------------vid id: -iRDLJ2wK1A, frame id: 75, instance id: 1 +------------vid id: -jR_xfZwFNM, frame id: 8, instance id: 0 +------------vid id: -jR_xfZwFNM, frame id: 16, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -jR_xfZwFNM, frame id: 24, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -jR_xfZwFNM, frame id: 8, instance id: 1 +------------vid id: -lF5o3IOBm0, frame id: 15, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -lF5o3IOBm0, frame id: 30, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: -lF5o3IOBm0, frame id: 45, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -or3GptmdFI, frame id: 12, instance id: 0 +------------vid id: -or3GptmdFI, frame id: 24, instance id: 0 +------------vid id: -or3GptmdFI, frame id: 36, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -qgEE3uxVp0, frame id: 15, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -qgEE3uxVp0, frame id: 30, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -qgEE3uxVp0, frame id: 45, instance id: 0 +------------vid id: -qgEE3uxVp0, frame id: 15, instance id: 1 +------------vid id: -qgEE3uxVp0, frame id: 30, instance id: 1 +------------vid id: -qgEE3uxVp0, frame id: 45, instance id: 1 +------------vid id: -rr80jAfErA, frame id: 12, instance id: 0 +------------vid id: -rr80jAfErA, frame id: 24, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -rr80jAfErA, frame id: 36, instance id: 0 +------------vid id: -sPo-af9vKk, frame id: 30, instance id: 0 +Retrying caption generation... (1/2) +------------vid id: -sPo-af9vKk, frame id: 60, instance id: 0 +------------vid id: -sPo-af9vKk, frame id: 90, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -sPo-af9vKk, frame id: 30, instance id: 1 +------------vid id: -sPo-af9vKk, frame id: 60, instance id: 1 +------------vid id: -sPo-af9vKk, frame id: 90, instance id: 1 +------------vid id: -vKye57piuk, frame id: 30, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vKye57piuk, frame id: 60, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vKye57piuk, frame id: 90, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vKye57piuk, frame id: 120, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vKye57piuk, frame id: 150, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vQ2Q2_knC0, frame id: 8, instance id: 0 +------------vid id: -vQ2Q2_knC0, frame id: 16, instance id: 0 +------------vid id: -vQ2Q2_knC0, frame id: 24, instance id: 0 +------------vid id: -vQ2Q2_knC0, frame id: 8, instance id: 1 +------------vid id: -vQ2Q2_knC0, frame id: 16, instance id: 1 +------------vid id: -vQ2Q2_knC0, frame id: 24, instance id: 1 +------------vid id: -vQ2Q2_knC0, frame id: 8, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vQ2Q2_knC0, frame id: 16, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vQ2Q2_knC0, frame id: 24, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vQ2Q2_knC0, frame id: 8, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vQ2Q2_knC0, frame id: 16, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vQ2Q2_knC0, frame id: 24, instance id: 3 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vQ2Q2_knC0, frame id: 8, instance id: 4 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vQ2Q2_knC0, frame id: 16, instance id: 4 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vQ2Q2_knC0, frame id: 24, instance id: 4 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vaUNnR9V_g, frame id: 25, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vaUNnR9V_g, frame id: 50, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vaUNnR9V_g, frame id: 75, instance id: 0 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +------------vid id: -vaUNnR9V_g, frame id: 25, instance id: 1 +------------vid id: -vaUNnR9V_g, frame id: 50, instance id: 1 +------------vid id: -vaUNnR9V_g, frame id: 75, instance id: 1 +------------vid id: -vaUNnR9V_g, frame id: 25, instance id: 2 +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +Finished! diff --git a/slurm_log/mbench_gpt_ref-ytvos_numbered.out b/slurm_log/mbench_gpt_ref-ytvos_numbered.out new file mode 100644 index 0000000000000000000000000000000000000000..990fa472b31e7a227becd1e2a9d6017f17449745 --- /dev/null +++ b/slurm_log/mbench_gpt_ref-ytvos_numbered.out @@ -0,0 +1,5318 @@ +skipped 57 short videos + + video num: 3471 clip num: 3414 + + +vid id: 003234408d + +-----------category name: penguin, frame name: 5 +are penguins distinguished by action: YES + +-----------category name: penguin, frame name: 15 +are penguins distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: penguin, frame name: 20 +are penguins distinguished by action: YES + +-----------category name: penguin, frame name: 29 +are penguins distinguished by action: YES + +vid id: 0043f083b5 + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: sedan, frame name: 12 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 14 +are sedans distinguished by action: NONE + +vid id: 0044fa5fba + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 005a527edd + +-----------category name: ape, frame name: 2 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 10 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 16 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 25 +are apes distinguished by action: NONE + +vid id: 0065b171f9 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 00917dcfc4 + +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 11 +are zebras distinguished by action: YES + +-----------category name: zebra, frame name: 14 +are zebras distinguished by action: YES + +vid id: 00a23ccf53 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 00ad5016a4 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 01082ae388 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 011ac0a06f + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 013099c098 + +-----------category name: giant_panda, frame name: 4 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 8 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 12 +are giant_pandas distinguished by action: YES + +vid id: 0155498c85 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 01694ad9c8 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 017ac35701 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 01b80e8e1a + +-----------category name: zebra, frame name: 3 +are zebras distinguished by action: "YES" + +-----------category name: zebra, frame name: 5 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: YES + +-----------category name: zebra, frame name: 8 +are zebras distinguished by action: YES + +vid id: 01baa5a4e1 + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +vid id: 01c3111683 + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 01c4cb5ffe + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 17 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 24 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 30 +are persons distinguished by action: NONE + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 01c76f0a82 + +Skipping plant: Determined to be non-movable. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: sedan, frame name: 15 +are sedans distinguished by action: NONE + +vid id: 01c783268c + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 01e64dd36a + +-----------category name: cow, frame name: 2 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 5 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 10 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 11 +are cows distinguished by action: YES + +vid id: 01ed275c6e + +-----------category name: giraffe, frame name: 3 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 6 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 11 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 17 +are giraffes distinguished by action: YES + +vid id: 01ff60d1fa + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 020cd28cd2 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 02264db755 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 0248626d9a + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 02668dbffa + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 0274193026 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +vid id: 02d28375aa + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 031ccc99b1 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +vid id: 0321b18c10 + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 13 +are persons distinguished by action: "YES" + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 0348a45bca + +-----------category name: fish, frame name: 6 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 11 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 22 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 27 +are fishs distinguished by action: NONE + +vid id: 0355e92655 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 0358b938c1 + +-----------category name: elephant, frame name: 2 +are elephants distinguished by action: NONE + +-----------category name: elephant, frame name: 7 +are elephants distinguished by action: YES + +-----------category name: elephant, frame name: 8 +are elephants distinguished by action: YES + +-----------category name: elephant, frame name: 16 +are elephants distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +vid id: 0368107cf1 + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 15 +are persons distinguished by action: "NONE" + +vid id: 0379ddf557 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +vid id: 038b2cc71d + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 038c15a5dd + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: 03a06cc98a + +-----------category name: giraffe, frame name: 5 +are giraffes distinguished by action: "YES" + +Retrying caption generation... (1/2) +-----------category name: giraffe, frame name: 6 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 13 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 16 +are giraffes distinguished by action: YES + +vid id: 03a63e187f + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 03c95b4dae + +-----------category name: elephant, frame name: 2 +are elephants distinguished by action: YES + +-----------category name: elephant, frame name: 5 +are elephants distinguished by action: YES + +-----------category name: elephant, frame name: 9 +are elephants distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 14 +are elephants distinguished by action: YES + +Retrying caption generation... (1/2) +vid id: 03e2b57b0e + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 04194e1248 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 04259896e2 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 0444918a5f + +-----------category name: truck, frame name: 5 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 9 +are trucks distinguished by action: I'm unable to analyze actions or gestures for non-human entities like trucks. Therefore, the concept of actions or postures doesn't apply. The question might need to be adjusted for non-human subjects. + +-----------category name: truck, frame name: 13 +are trucks distinguished by action: "NONE" + +-----------category name: truck, frame name: 14 +are trucks distinguished by action: NONE + +vid id: 04460a7a52 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 04474174a4 + +-----------category name: ape, frame name: 2 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 11 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 20 +are apes distinguished by action: "NONE" + +-----------category name: ape, frame name: 27 +are apes distinguished by action: YES + +vid id: 0450095513 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 045f00aed2 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 04667fabaa + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 04735c5030 + +-----------category name: cat, frame name: 4 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 7 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 9 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 13 +are cats distinguished by action: YES + +vid id: 04990d1915 + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 04d62d9d98 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +vid id: 04f21da964 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 04fbad476e + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 04fe256562 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: 0503bf89c9 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: 0536c9eed0 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 054acb238f + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 05579ca250 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 056c200404 + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 05774f3a2c + +-----------category name: ape, frame name: 2 +are apes distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 17 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 22 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 26 +are apes distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +vid id: 058a7592c8 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 05a0a513df + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 9 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 12 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 16 +are persons distinguished by action: "NONE" + +vid id: 05a569d8aa + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 05aa652648 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 05d7715782 + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: 05e0b0f28f + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 05fdbbdd7a + +Skipping umbrella: Determined to be non-movable. + +vid id: 05ffcfed85 + +-----------category name: monkey, frame name: 3 +are monkeys distinguished by action: NONE + +-----------category name: monkey, frame name: 12 +are monkeys distinguished by action: YES + +-----------category name: monkey, frame name: 24 +are monkeys distinguished by action: YES + +Skipping monkey: There is single or no object. + +vid id: 0630391881 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +vid id: 06840b2bbe + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 068f7dce6f + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 0693719753 + +-----------category name: turtle, frame name: 2 +are turtles distinguished by action: YES + +-----------category name: turtle, frame name: 12 +are turtles distinguished by action: YES + +-----------category name: turtle, frame name: 17 +are turtles distinguished by action: "NONE" + +-----------category name: turtle, frame name: 26 +are turtles distinguished by action: NONE + +vid id: 06ce2b51fb + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 06e224798e + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 06ee361788 + +-----------category name: duck, frame name: 3 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 5 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 9 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 13 +are ducks distinguished by action: YES + +vid id: 06fbb3fa2c + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: 0700264286 + +-----------category name: cow, frame name: 2 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 7 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 8 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 12 +are cows distinguished by action: YES + +vid id: 070c918ca7 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 07129e14a4 + +-----------category name: parrot, frame name: 7 +are parrots distinguished by action: "YES" + +-----------category name: parrot, frame name: 17 +are parrots distinguished by action: "YES" + +-----------category name: parrot, frame name: 21 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 26 +are parrots distinguished by action: "YES" + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 07177017e9 + +-----------category name: motorbike, frame name: 2 +are motorbikes distinguished by action: "NONE" + +-----------category name: motorbike, frame name: 6 +are motorbikes distinguished by action: "NONE" + +-----------category name: motorbike, frame name: 9 +are motorbikes distinguished by action: "NONE" + +-----------category name: motorbike, frame name: 13 +are motorbikes distinguished by action: NONE + +vid id: 07238ffc58 + +-----------category name: monkey, frame name: 5 +are monkeys distinguished by action: YES + +-----------category name: monkey, frame name: 14 +are monkeys distinguished by action: YES + +-----------category name: monkey, frame name: 18 +are monkeys distinguished by action: YES + +-----------category name: monkey, frame name: 31 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +vid id: 07353b2a89 + +-----------category name: sheep, frame name: 7 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 10 +are sheeps distinguished by action: YES + +-----------category name: sheep, frame name: 14 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: sheep, frame name: 23 +are sheeps distinguished by action: NONE + +vid id: 0738493cbf + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 075926c651 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 075c701292 + +-----------category name: duck, frame name: 9 +are ducks distinguished by action: **NONE** + +-----------category name: duck, frame name: 17 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 25 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 29 +are ducks distinguished by action: NONE + +vid id: 0762ea9a30 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 07652ee4af + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +vid id: 076f206928 + +-----------category name: zebra, frame name: 2 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: "YES" + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 10 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 14 +are zebras distinguished by action: NONE + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 077d32af19 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 6 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: "NONE" + +vid id: 079049275c + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 07913cdda7 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: "NONE" + +vid id: 07a11a35e8 + +-----------category name: ape, frame name: 2 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 10 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 19 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 31 +are apes distinguished by action: YES + +vid id: 07ac33b6df + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 07c62c3d11 + +-----------category name: parrot, frame name: 7 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 14 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 20 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 28 +are parrots distinguished by action: NONE + +vid id: 07cc1c7d74 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 080196ef01 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 081207976e + +Skipping hat: Determined to be non-movable. + +vid id: 081ae4fa44 + +-----------category name: shark, frame name: 7 +are sharks distinguished by action: YES + +-----------category name: shark, frame name: 11 +are sharks distinguished by action: YES + +-----------category name: shark, frame name: 19 +are sharks distinguished by action: “YES” + +-----------category name: shark, frame name: 25 +are sharks distinguished by action: "NONE" + +vid id: 081d8250cb + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 082900c5d4 + +-----------category name: duck, frame name: 5 +are ducks distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 8 +are ducks distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: duck, frame name: 11 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 17 +are ducks distinguished by action: YES + +vid id: 0860df21e2 + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +vid id: 0866d4c5e3 + +-----------category name: bird, frame name: 4 +are birds distinguished by action: YES + +-----------category name: bird, frame name: 5 +are birds distinguished by action: YES + +-----------category name: bird, frame name: 10 +are birds distinguished by action: YES + +-----------category name: bird, frame name: 12 +are birds distinguished by action: YES + +vid id: 0891ac2eb6 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +vid id: 08931bc458 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 08aa2705d5 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 08c8450db7 + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 08d50b926c + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +-----------category name: turtle, frame name: 31 +are turtles distinguished by action: YES + +vid id: 08e1e4de15 + +-----------category name: monkey, frame name: 3 +are monkeys distinguished by action: YES + +-----------category name: monkey, frame name: 15 +are monkeys distinguished by action: YES + +-----------category name: monkey, frame name: 21 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: monkey, frame name: 29 +are monkeys distinguished by action: YES + +vid id: 08e48c1a48 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 08f561c65e + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 08feb87790 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 09049f6fe3 + +-----------category name: mouse, frame name: 3 +are mouses distinguished by action: "NONE" + +-----------category name: mouse, frame name: 9 +are mouses distinguished by action: "NONE" + +-----------category name: mouse, frame name: 16 +are mouses distinguished by action: "NONE" + +-----------category name: mouse, frame name: 26 +are mouses distinguished by action: "NONE" + +vid id: 092e4ff450 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 09338adea8 + +-----------category name: whale, frame name: 9 +are whales distinguished by action: NONE + +-----------category name: whale, frame name: 11 +are whales distinguished by action: NONE + +-----------category name: whale, frame name: 18 +are whales distinguished by action: NONE + +-----------category name: whale, frame name: 33 +are whales distinguished by action: NONE + +vid id: 093c335ccc + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +vid id: 0970d28339 + +-----------category name: ape, frame name: 5 +are apes distinguished by action: "NONE" + +-----------category name: ape, frame name: 10 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 21 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 29 +are apes distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +vid id: 0974a213dc + +-----------category name: giraffe, frame name: 4 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 9 +are giraffes distinguished by action: "YES" + +-----------category name: giraffe, frame name: 13 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: giraffe, frame name: 16 +are giraffes distinguished by action: YES + +vid id: 097b471ed8 + +-----------category name: cat, frame name: 4 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 7 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 10 +are cats distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: cat, frame name: 17 +are cats distinguished by action: "NONE" + +vid id: 0990941758 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 09a348f4fa + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 09a6841288 + +-----------category name: duck, frame name: 4 +are ducks distinguished by action: "NONE" + +-----------category name: duck, frame name: 9 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 13 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 17 +are ducks distinguished by action: "NONE" + +vid id: 09c5bad17b + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 09c9ce80c7 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 09ff54fef4 + +-----------category name: fox, frame name: 6 +are foxs distinguished by action: "NONE" + +-----------category name: fox, frame name: 12 +are foxs distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: fox, frame name: 19 +are foxs distinguished by action: YES + +-----------category name: fox, frame name: 27 +are foxs distinguished by action: YES + +Retrying caption generation... (1/2) +vid id: 0a23765d15 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +vid id: 0a275e7f12 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 0a2f2bd294 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 0a7a2514aa + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 0a7b27fde9 + +-----------category name: parrot, frame name: 7 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 11 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 22 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 32 +are parrots distinguished by action: NONE + +vid id: 0a8c467cc3 + +-----------category name: fish, frame name: 5 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 16 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 24 +are fishs distinguished by action: YES + +-----------category name: fish, frame name: 32 +are fishs distinguished by action: YES + +vid id: 0ac8c560ae + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +vid id: 0b1627e896 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +vid id: 0b285c47f6 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 0b34ec1d55 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 0b5b5e8e5a + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 0b68535614 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 0b6f9105fc + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 0b7dbfa3cb + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 0b9cea51ca + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 0b9d012be8 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 0bcfc4177d + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: 0bd37b23c1 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 0bd864064c + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: 0c11c6bf7b + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 0c26bc77ac + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: 0c3a04798c + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 0c44a9d545 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 0c817cc390 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 0ca839ee9a + +-----------category name: ape, frame name: 4 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 13 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 22 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 29 +are apes distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +vid id: 0cd7ac0ac0 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 0ce06e0121 + +-----------category name: parrot, frame name: 7 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 17 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 19 +are parrots distinguished by action: YES + +Skipping parrot: There is single or no object. + +vid id: 0cfe974a89 + +-----------category name: turtle, frame name: 7 +are turtles distinguished by action: YES + +-----------category name: turtle, frame name: 12 +are turtles distinguished by action: YES + +-----------category name: turtle, frame name: 25 +are turtles distinguished by action: YES + +-----------category name: turtle, frame name: 28 +are turtles distinguished by action: YES + +vid id: 0d2fcc0dcd + +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 13 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 14 +are zebras distinguished by action: NONE + +vid id: 0d3aad05d2 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +vid id: 0d40b015f4 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 0d97fba242 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 0d9cc80d7e + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +vid id: 0dab85b6d3 + +-----------category name: lizard, frame name: 7 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 12 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 19 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 24 +are lizards distinguished by action: YES + +Retrying caption generation... (1/2) +vid id: 0db5c427a5 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 0dbaf284f1 + +-----------category name: cat, frame name: 5 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 9 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 11 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 14 +are cats distinguished by action: YES + +vid id: 0de4923598 + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 0df28a9101 + +-----------category name: turtle, frame name: 7 +are turtles distinguished by action: "NONE" + +-----------category name: turtle, frame name: 9 +are turtles distinguished by action: "NONE" + +-----------category name: turtle, frame name: 17 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 22 +are turtles distinguished by action: NONE + +vid id: 0e04f636c4 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 0e05f0e232 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +-----------category name: lizard, frame name: 24 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 28 +are lizards distinguished by action: "YES" + +vid id: 0e0930474b + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 0e27472bea + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 0e30020549 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 0e621feb6c + +-----------category name: lizard, frame name: 8 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 11 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 18 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 31 +are lizards distinguished by action: YES + +vid id: 0e803c7d73 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +vid id: 0e9ebe4e3c + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: 0e9f2785ec + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +vid id: 0ea68d418b + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 0eb403a222 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 0ee92053d6 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 0eefca067f + +-----------category name: giant_panda, frame name: 4 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 10 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 14 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 21 +are giant_pandas distinguished by action: YES + +vid id: 0f17fa6fcb + +-----------category name: duck, frame name: 4 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 10 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 18 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 31 +are ducks distinguished by action: NONE + +vid id: 0f1ac8e9a3 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 0f202e9852 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 0f2ab8b1ff + +-----------category name: dolphin, frame name: 7 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 12 +are dolphins distinguished by action: YES + +-----------category name: dolphin, frame name: 23 +are dolphins distinguished by action: YES + +-----------category name: dolphin, frame name: 33 +are dolphins distinguished by action: **NONE** + +vid id: 0f51a78756 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 0f5fbe16b0 + +-----------category name: raccoon, frame name: 3 +are raccoons distinguished by action: YES + +-----------category name: raccoon, frame name: 15 +are raccoons distinguished by action: NONE + +-----------category name: raccoon, frame name: 21 +are raccoons distinguished by action: YES + +-----------category name: raccoon, frame name: 26 +are raccoons distinguished by action: NONE + +vid id: 0f6072077b + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +vid id: 0f6b69b2f4 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 0f6c2163de + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 0f74ec5599 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 0f9683715b + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 0fa7b59356 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: 0fb173695b + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 0fc958cde2 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 0fe7b1a621 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 0ffcdb491c + +-----------category name: person, frame name: 5 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 7 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +vid id: 101caff7d4 + +-----------category name: giant_panda, frame name: 4 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 9 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 12 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 16 +are giant_pandas distinguished by action: YES + +vid id: 1022fe8417 + +-----------category name: person, frame name: 4 +are persons distinguished by action: "YES" + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +vid id: 1032e80b37 + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: 103f501680 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 104e64565f + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 104f1ab997 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +vid id: 106242403f + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 17 +are persons distinguished by action: NONE + +vid id: 10b31f5431 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: person, frame name: 22 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 26 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +vid id: 10eced835e + +-----------category name: giant_panda, frame name: 2 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 9 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 11 +are giant_pandas distinguished by action: YES + +vid id: 110d26fa3a + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 1122c1d16a + +-----------category name: parrot, frame name: 7 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 10 +are parrots distinguished by action: **NONE** + +-----------category name: parrot, frame name: 22 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 32 +are parrots distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1145b49a5f + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 11485838c2 + +-----------category name: giraffe, frame name: 2 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 7 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 11 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 14 +are giraffes distinguished by action: YES + +vid id: 114e7676ec + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +vid id: 1157472b95 + +-----------category name: parrot, frame name: 9 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 10 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 20 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 27 +are parrots distinguished by action: YES + +vid id: 115ee1072c + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 1171141012 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 117757b4b8 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 1178932d2f + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 24 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 33 +are persons distinguished by action: "NONE" + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 117cc76bda + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 1180cbf814 + +-----------category name: fish, frame name: 5 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 13 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 19 +are fishs distinguished by action: YES + +-----------category name: fish, frame name: 27 +are fishs distinguished by action: YES + +vid id: 1187bbd0e3 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 1197e44b26 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 119cf20728 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 119dd54871 + +-----------category name: lion, frame name: 7 +are lions distinguished by action: "NONE" + +-----------category name: lion, frame name: 11 +are lions distinguished by action: NONE + +-----------category name: lion, frame name: 20 +are lions distinguished by action: NONE + +-----------category name: lion, frame name: 27 +are lions distinguished by action: "NONE" + +vid id: 11a0c3b724 + +-----------category name: mouse, frame name: 2 +are mouses distinguished by action: NONE + +-----------category name: mouse, frame name: 5 +are mouses distinguished by action: YES + +-----------category name: mouse, frame name: 8 +are mouses distinguished by action: "NONE" + +-----------category name: mouse, frame name: 16 +are mouses distinguished by action: NONE + +vid id: 11a6ba8c94 + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +-----------category name: person, frame name: 19 +are persons distinguished by action: YES + +-----------category name: person, frame name: 26 +are persons distinguished by action: YES + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +vid id: 11c722a456 + +Skipping turtle: There is single or no object. + +-----------category name: turtle, frame name: 15 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 23 +are turtles distinguished by action: YES + +-----------category name: turtle, frame name: 26 +are turtles distinguished by action: YES + +Retrying caption generation... (1/2) +vid id: 11cbcb0b4d + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 11ccf5e99d + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 11ce6f452e + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Skipping person: There is single or no object. + +vid id: 11feabe596 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 120cb9514d + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 20 +are persons distinguished by action: YES + +-----------category name: person, frame name: 26 +are persons distinguished by action: NONE + +vid id: 12156b25b3 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +vid id: 122896672d + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 1233ac8596 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 1239c87234 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 1250423f7c + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +-----------category name: elephant, frame name: 5 +are elephants distinguished by action: YES + +-----------category name: elephant, frame name: 7 +are elephants distinguished by action: YES + +Retrying caption generation... (1/2) +Skipping elephant: There is single or no object. + +-----------category name: elephant, frame name: 15 +are elephants distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +vid id: 1257a1bc67 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 125d1b19dd + +-----------category name: giant_panda, frame name: 4 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 10 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 23 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 29 +are giant_pandas distinguished by action: YES + +vid id: 126d203967 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +vid id: 1295e19071 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 12ad198c54 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +vid id: 12bddb2bcb + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +vid id: 12ec9b93ee + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 12eebedc35 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 132852e094 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 1329409f2a + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 13325cfa14 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +vid id: 1336440745 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +-----------category name: mouse, frame name: 20 +are mouses distinguished by action: YES + +Retrying caption generation... (1/2) +Skipping mouse: There is single or no object. + +vid id: 134d06dbf9 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 135625b53d + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 13870016f9 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: cow, frame name: 3 +are cows distinguished by action: "NONE" + +-----------category name: cow, frame name: 6 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 10 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 11 +are cows distinguished by action: "YES" + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +vid id: 13960b3c84 + +-----------category name: giraffe, frame name: 5 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 8 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 11 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 17 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/2) +vid id: 13adaad9d9 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 13ae097e20 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 13e3070469 + +-----------category name: zebra, frame name: 2 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: YES + +-----------category name: zebra, frame name: 10 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 17 +are zebras distinguished by action: YES + +vid id: 13f6a8c20d + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 1416925cf2 + +-----------category name: truck, frame name: 4 +are trucks distinguished by action: YES + +-----------category name: truck, frame name: 6 +are trucks distinguished by action: YES + +-----------category name: truck, frame name: 10 +are trucks distinguished by action: YES + +-----------category name: truck, frame name: 15 +are trucks distinguished by action: YES + +vid id: 142d2621f5 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 145d5d7c03 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 145fdc3ac5 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 1471274fa7 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 14a6b5a139 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 14c21cea0d + +-----------category name: monkey, frame name: 9 +are monkeys distinguished by action: NONE + +-----------category name: monkey, frame name: 11 +are monkeys distinguished by action: NONE + +-----------category name: monkey, frame name: 22 +are monkeys distinguished by action: YES + +-----------category name: monkey, frame name: 33 +are monkeys distinguished by action: YES + +vid id: 14dae0dc93 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +vid id: 14f9bd22b5 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 14fd28ae99 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 15097d5d4e + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 150ea711f2 + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 1514e3563f + +-----------category name: earless_seal, frame name: 8 +are earless_seals distinguished by action: NONE + +-----------category name: earless_seal, frame name: 14 +are earless_seals distinguished by action: NONE + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: 152aaa3a9e + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +vid id: 152b7d3bd7 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 15617297cc + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +vid id: 15abbe0c52 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +vid id: 15d1fb3de5 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 15f67b0fab + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +vid id: 161eb59aad + +-----------category name: cow, frame name: 5 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 7 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 11 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 15 +are cows distinguished by action: NONE + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: 16288ea47f + +-----------category name: duck, frame name: 2 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 17 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 20 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 29 +are ducks distinguished by action: YES + +vid id: 164410ce62 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 165c3c8cd4 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +vid id: 165c42b41b + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +Skipping person: There is single or no object. + +-----------category name: motorbike, frame name: 2 +are motorbikes distinguished by action: NONE + +-----------category name: motorbike, frame name: 6 +are motorbikes distinguished by action: NONE + +-----------category name: motorbike, frame name: 9 +are motorbikes distinguished by action: NONE + +Skipping motorbike: There is single or no object. + +vid id: 165ec9e22b + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1669502269 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 16763cccbb + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 16adde065e + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 16af445362 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 16afd538ad + +-----------category name: parrot, frame name: 3 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 10 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 19 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 24 +are parrots distinguished by action: YES + +vid id: 16c3fa4d5d + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 16d1d65c27 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 16e8599e94 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 16fe9fb444 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1705796b02 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 1724db7671 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 17418e81ea + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 175169edbb + +-----------category name: ape, frame name: 3 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 12 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 14 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 21 +are apes distinguished by action: YES + +vid id: 17622326fd + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 17656bae77 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 17b0d94172 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 17c220e4f6 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 17c7bcd146 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 17cb4afe89 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 17cd79a434 + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 17d18604c3 + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +vid id: 17d8ca1a37 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 17e33f4330 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 17f7a6d805 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 180abc8378 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 183ba3d652 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 185bf64702 + +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: YES + +Skipping zebra: There is single or no object. + +-----------category name: zebra, frame name: 15 +are zebras distinguished by action: YES + +vid id: 18913cc690 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 1892651815 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 189ac8208a + +-----------category name: giraffe, frame name: 3 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 7 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 10 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 12 +are giraffes distinguished by action: YES + +vid id: 189b44e92c + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 18ac264b76 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +vid id: 18b245ab49 + +-----------category name: penguin, frame name: 2 +are penguins distinguished by action: YES + +-----------category name: penguin, frame name: 7 +are penguins distinguished by action: YES + +-----------category name: penguin, frame name: 10 +are penguins distinguished by action: YES + +-----------category name: penguin, frame name: 16 +are penguins distinguished by action: YES + +vid id: 18b5cebc34 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 18bad52083 + +-----------category name: parrot, frame name: 4 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 10 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 22 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 29 +are parrots distinguished by action: YES + +vid id: 18bb5144d5 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 18c6f205c5 + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +vid id: 1903f9ea15 + +-----------category name: bird, frame name: 5 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 6 +are birds distinguished by action: YES + +-----------category name: bird, frame name: 12 +are birds distinguished by action: "YES" + +Retrying caption generation... (1/2) +Skipping bird: There is single or no object. + +vid id: 1917b209f2 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: cow, frame name: 4 +are cows distinguished by action: "YES" + +Retrying caption generation... (1/2) +-----------category name: cow, frame name: 6 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 9 +are cows distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: cow, frame name: 14 +are cows distinguished by action: NONE + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +vid id: 191e74c01d + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 19367bb94e + +-----------category name: fish, frame name: 5 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 12 +are fishs distinguished by action: YES + +-----------category name: fish, frame name: 22 +are fishs distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: fish, frame name: 27 +are fishs distinguished by action: NONE + +vid id: 193ffaa217 + +-----------category name: person, frame name: 3 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +vid id: 19696b67d3 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 197f3ab6f3 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 1981e763cc + +-----------category name: sheep, frame name: 8 +are sheeps distinguished by action: YES + +-----------category name: sheep, frame name: 11 +are sheeps distinguished by action: YES + +-----------category name: sheep, frame name: 20 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: sheep, frame name: 26 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +vid id: 198afe39ae + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +vid id: 19a6e62b9b + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 19b60d5335 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: 19c00c11f9 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +vid id: 19e061eb88 + +-----------category name: boat, frame name: 4 +are boats distinguished by action: NONE + +-----------category name: boat, frame name: 5 +are boats distinguished by action: NONE + +-----------category name: boat, frame name: 8 +are boats distinguished by action: YES + +-----------category name: boat, frame name: 16 +are boats distinguished by action: YES + +vid id: 19e8bc6178 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 19ee80dac6 + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +-----------category name: person, frame name: 18 +are persons distinguished by action: YES + +Skipping person: There is single or no object. + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +vid id: 1a25a9170a + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 18 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 33 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 1a359a6c1a + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 1a3e87c566 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 1a5fe06b00 + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 1a6c0fbd1e + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +vid id: 1a6f3b5a4b + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 1a8afbad92 + +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 9 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 11 +are zebras distinguished by action: NONE + +vid id: 1a8bdc5842 + +-----------category name: parrot, frame name: 4 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 12 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 17 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 24 +are parrots distinguished by action: YES + +vid id: 1a95752aca + +-----------category name: duck, frame name: 2 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 8 +are ducks distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: duck, frame name: 15 +are ducks distinguished by action: "NONE" + +-----------category name: duck, frame name: 27 +are ducks distinguished by action: NONE + +vid id: 1a9c131cb7 + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 15 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 25 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 30 +are apes distinguished by action: YES + +vid id: 1aa3da3ee3 + +-----------category name: sheep, frame name: 4 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 11 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 14 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 22 +are sheeps distinguished by action: NONE + +vid id: 1ab27ec7ea + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 1abf16d21d + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 1acd0f993b + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 1ad202e499 + +-----------category name: lizard, frame name: 9 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 11 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 21 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 26 +are lizards distinguished by action: YES + +vid id: 1af8d2395d + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +-----------category name: person, frame name: 21 +are persons distinguished by action: YES + +-----------category name: person, frame name: 33 +are persons distinguished by action: NONE + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +vid id: 1afd39a1fa + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 1b2d31306f + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 1b3fa67f0e + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 1b43fa74b4 + +-----------category name: owl, frame name: 2 +are owls distinguished by action: NONE + +-----------category name: owl, frame name: 9 +are owls distinguished by action: NONE + +-----------category name: owl, frame name: 18 +are owls distinguished by action: YES + +-----------category name: owl, frame name: 26 +are owls distinguished by action: NONE + +vid id: 1b73ea9fc2 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 1b7e8bb255 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +vid id: 1b8680f8cd + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 26 +are persons distinguished by action: YES + +Retrying caption generation... (1/2) +Retrying caption generation... (2/2) +Max retries reached. Caption generation failed. +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +vid id: 1b883843c0 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +vid id: 1b8898785b + +-----------category name: monkey, frame name: 8 +are monkeys distinguished by action: YES + +-----------category name: monkey, frame name: 13 +are monkeys distinguished by action: YES + +-----------category name: monkey, frame name: 25 +are monkeys distinguished by action: YES + +Skipping monkey: There is single or no object. + +vid id: 1b88ba1aa4 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 1b96a498e5 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 1bbc4c274f + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 1bd87fe9ab + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 1c4090c75b + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 1c41934f84 + +-----------category name: elephant, frame name: 5 +are elephants distinguished by action: NONE + +-----------category name: elephant, frame name: 6 +are elephants distinguished by action: "NONE" + +-----------category name: elephant, frame name: 10 +are elephants distinguished by action: YES + +-----------category name: elephant, frame name: 17 +are elephants distinguished by action: "NONE" + +vid id: 1c72b04b56 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 1c87955a3a + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 1c9f9eb792 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +vid id: 1ca240fede + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 1ca5673803 + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +-----------category name: person, frame name: 22 +are persons distinguished by action: YES + +-----------category name: person, frame name: 26 +are persons distinguished by action: YES + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +vid id: 1cada35274 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: 1cb44b920d + +-----------category name: eagle, frame name: 7 +are eagles distinguished by action: YES + +-----------category name: eagle, frame name: 15 +are eagles distinguished by action: YES + +-----------category name: eagle, frame name: 18 +are eagles distinguished by action: YES + +-----------category name: eagle, frame name: 26 +are eagles distinguished by action: YES + +vid id: 1cd10e62be + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 1d3087d5e5 + +-----------category name: fish, frame name: 9 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 16 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 21 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 26 +are fishs distinguished by action: NONE + +vid id: 1d3685150a + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: "YES" + +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: 1d6ff083aa + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Finished! diff --git a/slurm_log/mbench_gpt_ref-ytvos_numbered_final.out b/slurm_log/mbench_gpt_ref-ytvos_numbered_final.out new file mode 100644 index 0000000000000000000000000000000000000000..ee5901f5a484fb9caa0c2680da7d443fef8d1e9c --- /dev/null +++ b/slurm_log/mbench_gpt_ref-ytvos_numbered_final.out @@ -0,0 +1,53729 @@ +skipped 57 short videos + + video num: 3471 clip num: 3414 + + +vid id: 003234408d + +-----------category name: penguin, frame name: 9 +are penguins distinguished by action: YES + +-----------category name: penguin, frame name: 16 +are penguins distinguished by action: YES + +-----------category name: penguin, frame name: 21 +are penguins distinguished by action: YES + +-----------category name: penguin, frame name: 29 +are penguins distinguished by action: NONE + +vid id: 0043f083b5 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: sedan, frame name: 11 +are sedans distinguished by action: "NONE" + +-----------category name: sedan, frame name: 15 +are sedans distinguished by action: NONE + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 0044fa5fba + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 005a527edd + +-----------category name: ape, frame name: 5 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 11 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 16 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 25 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 0065b171f9 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 00917dcfc4 + +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 7 +are zebras distinguished by action: YES + +-----------category name: zebra, frame name: 12 +are zebras distinguished by action: YES + +-----------category name: zebra, frame name: 15 +are zebras distinguished by action: YES + +vid id: 00a23ccf53 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 00ad5016a4 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 01082ae388 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 011ac0a06f + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 013099c098 + +-----------category name: giant_panda, frame name: 4 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: giant_panda, frame name: 9 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 14 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 0155498c85 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 01694ad9c8 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 017ac35701 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 01b80e8e1a + +-----------category name: zebra, frame name: 2 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 5 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 7 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 9 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 01baa5a4e1 + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +vid id: 01c3111683 + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 01c4cb5ffe + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 24 +are persons distinguished by action: NONE + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 01c76f0a82 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: sedan, frame name: 17 +are sedans distinguished by action: NONE + +Skipping plant: Determined to be non-movable. + +vid id: 01c783268c + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 01e64dd36a + +-----------category name: cow, frame name: 4 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 7 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: cow, frame name: 8 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 13 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 01ed275c6e + +-----------category name: giraffe, frame name: 3 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 7 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 11 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giraffe, frame name: 14 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 01ff60d1fa + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 020cd28cd2 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 02264db755 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 0248626d9a + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 02668dbffa + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 0274193026 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 02d28375aa + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 031ccc99b1 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +vid id: 0321b18c10 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +vid id: 0348a45bca + +-----------category name: fish, frame name: 5 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 13 +are fishs distinguished by action: **NONE** + +-----------category name: fish, frame name: 18 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 33 +are fishs distinguished by action: ``` +NONE +``` + +vid id: 0355e92655 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 0358b938c1 + +-----------category name: elephant, frame name: 2 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 7 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 8 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 15 +are elephants distinguished by action: YES + +vid id: 0368107cf1 + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 15 +are persons distinguished by action: NONE + +vid id: 0379ddf557 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 038b2cc71d + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 038c15a5dd + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: 03a06cc98a + +-----------category name: giraffe, frame name: 3 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 6 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 11 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 17 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 03a63e187f + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 03c95b4dae + +-----------category name: elephant, frame name: 4 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 7 +are elephants distinguished by action: YES + +-----------category name: elephant, frame name: 8 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: elephant, frame name: 16 +are elephants distinguished by action: NONE + +vid id: 03e2b57b0e + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 04194e1248 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 04259896e2 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 0444918a5f + +-----------category name: truck, frame name: 5 +are trucks distinguished by action: **NONE** + +-----------category name: truck, frame name: 9 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 10 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 17 +are trucks distinguished by action: NONE + +vid id: 04460a7a52 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 04474174a4 + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 15 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 22 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 29 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 0450095513 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 045f00aed2 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 04667fabaa + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 04735c5030 + +-----------category name: cat, frame name: 2 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: cat, frame name: 7 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cat, frame name: 8 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: cat, frame name: 15 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 04990d1915 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 04d62d9d98 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 04f21da964 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 04fbad476e + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 04fe256562 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 0503bf89c9 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: 0536c9eed0 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 054acb238f + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 05579ca250 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 056c200404 + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 05774f3a2c + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 11 +are apes distinguished by action: "NONE" + +-----------category name: ape, frame name: 21 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 27 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 058a7592c8 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 05a0a513df + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 16 +are persons distinguished by action: "NONE" + +vid id: 05a569d8aa + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 05aa652648 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 05d7715782 + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: 05e0b0f28f + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 05fdbbdd7a + +Skipping umbrella: Determined to be non-movable. + +vid id: 05ffcfed85 + +-----------category name: monkey, frame name: 9 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 12 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: monkey, frame name: 20 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: monkey, frame name: 29 +are monkeys distinguished by action: NONE + +vid id: 0630391881 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 06840b2bbe + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 068f7dce6f + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 0693719753 + +-----------category name: turtle, frame name: 7 +are turtles distinguished by action: YES + +-----------category name: turtle, frame name: 12 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 19 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 23 +are turtles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 06ce2b51fb + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 11 +are persons distinguished by action: "NONE" + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 06e224798e + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 06ee361788 + +-----------category name: duck, frame name: 2 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 6 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 10 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 15 +are ducks distinguished by action: NONE + +vid id: 06fbb3fa2c + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: 0700264286 + +-----------category name: cow, frame name: 3 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 5 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 8 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 15 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 070c918ca7 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 07129e14a4 + +-----------category name: parrot, frame name: 7 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: parrot, frame name: 17 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: parrot, frame name: 20 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 28 +are parrots distinguished by action: "NONE" + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 07177017e9 + +-----------category name: motorbike, frame name: 4 +are motorbikes distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: motorbike, frame name: 7 +are motorbikes distinguished by action: "NONE" + +-----------category name: motorbike, frame name: 9 +are motorbikes distinguished by action: "NONE" + +Skipping motorbike: There is single or no object. + +vid id: 07238ffc58 + +-----------category name: monkey, frame name: 9 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 16 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 18 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 26 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 07353b2a89 + +-----------category name: sheep, frame name: 4 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 11 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: sheep, frame name: 16 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 24 +are sheeps distinguished by action: NONE + +vid id: 0738493cbf + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 075926c651 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 075c701292 + +-----------category name: duck, frame name: 2 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 17 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 20 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 29 +are ducks distinguished by action: NONE + +vid id: 0762ea9a30 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 07652ee4af + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 076f206928 + +-----------category name: zebra, frame name: 5 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 13 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 16 +are zebras distinguished by action: "NONE" + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 077d32af19 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 15 +are persons distinguished by action: NONE + +vid id: 079049275c + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 07913cdda7 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 15 +are persons distinguished by action: NONE + +vid id: 07a11a35e8 + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 17 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 22 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 30 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 07ac33b6df + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 07c62c3d11 + +-----------category name: parrot, frame name: 7 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 11 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 24 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 26 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 07cc1c7d74 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 080196ef01 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 081207976e + +Skipping hat: Determined to be non-movable. + +vid id: 081ae4fa44 + +-----------category name: shark, frame name: 6 +are sharks distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: shark, frame name: 9 +are sharks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: shark, frame name: 15 +are sharks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: shark, frame name: 21 +are sharks distinguished by action: "NONE" + +vid id: 081d8250cb + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 082900c5d4 + +-----------category name: duck, frame name: 3 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 7 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 10 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 17 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 0860df21e2 + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +vid id: 0866d4c5e3 + +-----------category name: bird, frame name: 3 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bird, frame name: 6 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: bird, frame name: 10 +are birds distinguished by action: YES + +-----------category name: bird, frame name: 13 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 0891ac2eb6 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 14 +are persons distinguished by action: "NONE" + +vid id: 08931bc458 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 08aa2705d5 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 08c8450db7 + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 08d50b926c + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +-----------category name: turtle, frame name: 31 +are turtles distinguished by action: NONE + +vid id: 08e1e4de15 + +-----------category name: monkey, frame name: 7 +are monkeys distinguished by action: YES + +-----------category name: monkey, frame name: 12 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: monkey, frame name: 19 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 31 +are monkeys distinguished by action: YES + +vid id: 08e48c1a48 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 08f561c65e + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 08feb87790 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 09049f6fe3 + +-----------category name: mouse, frame name: 2 +are mouses distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: mouse, frame name: 12 +are mouses distinguished by action: "NONE" + +-----------category name: mouse, frame name: 19 +are mouses distinguished by action: NONE + +-----------category name: mouse, frame name: 26 +are mouses distinguished by action: "NONE" + +vid id: 092e4ff450 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 09338adea8 + +-----------category name: whale, frame name: 8 +are whales distinguished by action: NONE + +-----------category name: whale, frame name: 10 +are whales distinguished by action: NONE + +-----------category name: whale, frame name: 21 +are whales distinguished by action: "NONE" + +-----------category name: whale, frame name: 32 +are whales distinguished by action: "NONE" + +vid id: 093c335ccc + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 0970d28339 + +-----------category name: ape, frame name: 5 +are apes distinguished by action: "NONE" + +-----------category name: ape, frame name: 12 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 25 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 30 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 0974a213dc + +-----------category name: giraffe, frame name: 3 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giraffe, frame name: 6 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 12 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giraffe, frame name: 16 +are giraffes distinguished by action: YES + +vid id: 097b471ed8 + +-----------category name: cat, frame name: 2 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: cat, frame name: 7 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 10 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cat, frame name: 17 +are cats distinguished by action: NONE + +vid id: 0990941758 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 09a348f4fa + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 09a6841288 + +-----------category name: duck, frame name: 5 +are ducks distinguished by action: "NONE" + +-----------category name: duck, frame name: 6 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 11 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 15 +are ducks distinguished by action: NONE + +vid id: 09c5bad17b + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 09c9ce80c7 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 09ff54fef4 + +-----------category name: fox, frame name: 4 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 9 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: fox, frame name: 15 +are foxs distinguished by action: YES + +-----------category name: fox, frame name: 23 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 0a23765d15 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 0a275e7f12 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 0a2f2bd294 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 0a7a2514aa + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 0a7b27fde9 + +-----------category name: parrot, frame name: 2 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: parrot, frame name: 11 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 16 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 27 +are parrots distinguished by action: "NONE" + +vid id: 0a8c467cc3 + +-----------category name: fish, frame name: 7 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: fish, frame name: 16 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 23 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 26 +are fishs distinguished by action: NONE + +vid id: 0ac8c560ae + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 0b1627e896 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +vid id: 0b285c47f6 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 0b34ec1d55 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 0b5b5e8e5a + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 0b68535614 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 0b6f9105fc + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 0b7dbfa3cb + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 0b9cea51ca + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 0b9d012be8 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 0bcfc4177d + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: 0bd37b23c1 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 0bd864064c + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: 0c11c6bf7b + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 0c26bc77ac + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: 0c3a04798c + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 0c44a9d545 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 0c817cc390 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 0ca839ee9a + +-----------category name: ape, frame name: 3 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 14 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 20 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 32 +are apes distinguished by action: YES + +vid id: 0cd7ac0ac0 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 0ce06e0121 + +-----------category name: parrot, frame name: 4 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: parrot, frame name: 14 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 20 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: parrot, frame name: 26 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 0cfe974a89 + +-----------category name: turtle, frame name: 6 +are turtles distinguished by action: YES + +-----------category name: turtle, frame name: 14 +are turtles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: turtle, frame name: 23 +are turtles distinguished by action: YES + +-----------category name: turtle, frame name: 33 +are turtles distinguished by action: YES + +vid id: 0d2fcc0dcd + +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 9 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 11 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 16 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 0d3aad05d2 + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 0d40b015f4 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 0d97fba242 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 0d9cc80d7e + +-----------category name: person, frame name: 2 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 3 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 0dab85b6d3 + +-----------category name: lizard, frame name: 7 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 9 +are lizards distinguished by action: YES + +Skipping lizard: There is single or no object. + +-----------category name: lizard, frame name: 20 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 0db5c427a5 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 0dbaf284f1 + +-----------category name: cat, frame name: 3 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cat, frame name: 9 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 12 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 16 +are cats distinguished by action: YES + +vid id: 0de4923598 + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 0df28a9101 + +-----------category name: turtle, frame name: 6 +are turtles distinguished by action: "NONE" + +-----------category name: turtle, frame name: 9 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 19 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 21 +are turtles distinguished by action: NONE + +vid id: 0e04f636c4 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 0e05f0e232 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +-----------category name: lizard, frame name: 23 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 27 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 0e0930474b + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: ``` +NONE +``` + +-----------category name: person, frame name: 7 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 16 +are persons distinguished by action: "NONE" + +vid id: 0e27472bea + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 0e30020549 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 0e621feb6c + +-----------category name: lizard, frame name: 7 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 13 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 20 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 33 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 0e803c7d73 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +vid id: 0e9ebe4e3c + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: 0e9f2785ec + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +vid id: 0ea68d418b + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 0eb403a222 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 0ee92053d6 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 0eefca067f + +-----------category name: giant_panda, frame name: 4 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 13 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 14 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 25 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 0f17fa6fcb + +-----------category name: duck, frame name: 6 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 11 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 25 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 28 +are ducks distinguished by action: NONE + +vid id: 0f1ac8e9a3 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 0f202e9852 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 0f2ab8b1ff + +-----------category name: dolphin, frame name: 3 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 12 +are dolphins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dolphin, frame name: 24 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 30 +are dolphins distinguished by action: NONE + +vid id: 0f51a78756 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 0f5fbe16b0 + +-----------category name: raccoon, frame name: 9 +are raccoons distinguished by action: YES + +-----------category name: raccoon, frame name: 17 +are raccoons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: raccoon, frame name: 23 +are raccoons distinguished by action: YES + +-----------category name: raccoon, frame name: 27 +are raccoons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 0f6072077b + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 0f6b69b2f4 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 0f6c2163de + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 0f74ec5599 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 0f9683715b + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 0fa7b59356 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: 0fb173695b + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 0fc958cde2 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 0fe7b1a621 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 0ffcdb491c + +-----------category name: person, frame name: 2 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +vid id: 101caff7d4 + +-----------category name: giant_panda, frame name: 3 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 13 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 17 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 1022fe8417 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 16 +are persons distinguished by action: "NONE" + +vid id: 1032e80b37 + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: 103f501680 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 104e64565f + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 104f1ab997 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 106242403f + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 13 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 16 +are persons distinguished by action: "NONE" + +vid id: 10b31f5431 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 18 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 27 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 10eced835e + +-----------category name: giant_panda, frame name: 3 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 8 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping giant_panda: There is single or no object. + +vid id: 110d26fa3a + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 1122c1d16a + +-----------category name: parrot, frame name: 9 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 17 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 24 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 33 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1145b49a5f + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 11485838c2 + +-----------category name: giraffe, frame name: 5 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 6 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giraffe, frame name: 11 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 15 +are giraffes distinguished by action: YES + +vid id: 114e7676ec + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1157472b95 + +-----------category name: parrot, frame name: 7 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: parrot, frame name: 10 +are parrots distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 24 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 26 +are parrots distinguished by action: NONE + +vid id: 115ee1072c + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 1171141012 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 117757b4b8 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 1178932d2f + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 23 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 26 +are persons distinguished by action: NONE + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 117cc76bda + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 1180cbf814 + +-----------category name: fish, frame name: 5 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 10 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: fish, frame name: 23 +are fishs distinguished by action: "NONE" + +-----------category name: fish, frame name: 32 +are fishs distinguished by action: "NONE" + +vid id: 1187bbd0e3 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 1197e44b26 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 119cf20728 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 119dd54871 + +-----------category name: lion, frame name: 5 +are lions distinguished by action: "NONE" + +-----------category name: lion, frame name: 9 +are lions distinguished by action: "NONE" + +-----------category name: lion, frame name: 22 +are lions distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lion, frame name: 24 +are lions distinguished by action: "NONE" + +vid id: 11a0c3b724 + +-----------category name: mouse, frame name: 4 +are mouses distinguished by action: "NONE" + +-----------category name: mouse, frame name: 7 +are mouses distinguished by action: NONE + +-----------category name: mouse, frame name: 9 +are mouses distinguished by action: "NONE" + +-----------category name: mouse, frame name: 13 +are mouses distinguished by action: "NONE" + +vid id: 11a6ba8c94 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +-----------category name: person, frame name: 18 +are persons distinguished by action: YES + +-----------category name: person, frame name: 29 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 11c722a456 + +-----------category name: turtle, frame name: 2 +are turtles distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: turtle, frame name: 15 +are turtles distinguished by action: YES + +-----------category name: turtle, frame name: 19 +are turtles distinguished by action: YES + +-----------category name: turtle, frame name: 29 +are turtles distinguished by action: NONE + +vid id: 11cbcb0b4d + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 11ccf5e99d + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 11ce6f452e + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 11feabe596 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 120cb9514d + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 18 +are persons distinguished by action: YES + +-----------category name: person, frame name: 32 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 12156b25b3 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 122896672d + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 1233ac8596 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 1239c87234 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 1250423f7c + +-----------category name: elephant, frame name: 5 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: elephant, frame name: 8 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 1257a1bc67 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 125d1b19dd + +-----------category name: giant_panda, frame name: 3 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 12 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: giant_panda, frame name: 23 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: giant_panda, frame name: 30 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 126d203967 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1295e19071 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 12ad198c54 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 12bddb2bcb + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 12ec9b93ee + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 12eebedc35 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 132852e094 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 1329409f2a + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 13325cfa14 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +vid id: 1336440745 + +Skipping mouse: There is single or no object. + +-----------category name: mouse, frame name: 14 +are mouses distinguished by action: NONE + +-----------category name: mouse, frame name: 19 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: mouse, frame name: 32 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 134d06dbf9 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 135625b53d + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 13870016f9 + +-----------category name: cow, frame name: 3 +are cows distinguished by action: "NONE" + +-----------category name: cow, frame name: 6 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 8 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 16 +are cows distinguished by action: "NONE" + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 13960b3c84 + +-----------category name: giraffe, frame name: 4 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 7 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: giraffe, frame name: 10 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giraffe, frame name: 16 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 13adaad9d9 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 13ae097e20 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 13e3070469 + +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 8 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 10 +are zebras distinguished by action: YES + +-----------category name: zebra, frame name: 15 +are zebras distinguished by action: NONE + +vid id: 13f6a8c20d + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 1416925cf2 + +-----------category name: truck, frame name: 2 +are trucks distinguished by action: YES + +-----------category name: truck, frame name: 5 +are trucks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: truck, frame name: 9 +are trucks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: truck, frame name: 16 +are trucks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 142d2621f5 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 145d5d7c03 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 145fdc3ac5 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 1471274fa7 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 14a6b5a139 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 14c21cea0d + +-----------category name: monkey, frame name: 6 +are monkeys distinguished by action: NONE + +-----------category name: monkey, frame name: 11 +are monkeys distinguished by action: NONE + +-----------category name: monkey, frame name: 18 +are monkeys distinguished by action: NONE + +-----------category name: monkey, frame name: 27 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 14dae0dc93 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +vid id: 14f9bd22b5 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 14fd28ae99 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 15097d5d4e + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 150ea711f2 + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 1514e3563f + +-----------category name: earless_seal, frame name: 7 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: earless_seal, frame name: 13 +are earless_seals distinguished by action: "NONE" + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: 152aaa3a9e + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +vid id: 152b7d3bd7 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 15617297cc + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 15abbe0c52 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 15d1fb3de5 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 15f67b0fab + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 161eb59aad + +-----------category name: cow, frame name: 5 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 7 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 10 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 16 +are cows distinguished by action: NONE + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: 16288ea47f + +-----------category name: duck, frame name: 5 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 15 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: duck, frame name: 19 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 26 +are ducks distinguished by action: NONE + +vid id: 164410ce62 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 165c3c8cd4 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +vid id: 165c42b41b + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +Skipping person: There is single or no object. + +-----------category name: motorbike, frame name: 2 +are motorbikes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: motorbike, frame name: 5 +are motorbikes distinguished by action: NONE + +-----------category name: motorbike, frame name: 10 +are motorbikes distinguished by action: NONE + +Skipping motorbike: There is single or no object. + +vid id: 165ec9e22b + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1669502269 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 16763cccbb + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 16adde065e + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 16af445362 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 16afd538ad + +-----------category name: parrot, frame name: 2 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: parrot, frame name: 10 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 18 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 22 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 16c3fa4d5d + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 16d1d65c27 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 16e8599e94 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 16fe9fb444 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 1705796b02 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 1724db7671 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 17418e81ea + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 175169edbb + +-----------category name: ape, frame name: 6 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 11 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 19 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 26 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 17622326fd + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 17656bae77 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 17b0d94172 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 17c220e4f6 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 17c7bcd146 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 17cb4afe89 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 17cd79a434 + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 17d18604c3 + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 13 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 17d8ca1a37 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 17e33f4330 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 17f7a6d805 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 180abc8378 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 183ba3d652 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 185bf64702 + +-----------category name: zebra, frame name: 3 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 9 +are zebras distinguished by action: NONE + +Skipping zebra: There is single or no object. + +-----------category name: zebra, frame name: 16 +are zebras distinguished by action: "NONE" + +vid id: 18913cc690 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 1892651815 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 189ac8208a + +-----------category name: giraffe, frame name: 3 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: giraffe, frame name: 7 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 8 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 11 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 189b44e92c + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 18ac264b76 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 18b245ab49 + +-----------category name: penguin, frame name: 4 +are penguins distinguished by action: YES + +-----------category name: penguin, frame name: 5 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 9 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: penguin, frame name: 14 +are penguins distinguished by action: YES + +vid id: 18b5cebc34 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 18bad52083 + +-----------category name: parrot, frame name: 5 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: parrot, frame name: 10 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 22 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 30 +are parrots distinguished by action: YES + +vid id: 18bb5144d5 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 18c6f205c5 + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 1903f9ea15 + +-----------category name: bird, frame name: 3 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 6 +are birds distinguished by action: YES + +-----------category name: bird, frame name: 12 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 16 +are birds distinguished by action: NONE + +vid id: 1917b209f2 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +-----------category name: cow, frame name: 4 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping cow: There is single or no object. + +-----------category name: cow, frame name: 8 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 12 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 191e74c01d + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 19367bb94e + +-----------category name: fish, frame name: 6 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 17 +are fishs distinguished by action: YES + +-----------category name: fish, frame name: 21 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fish, frame name: 26 +are fishs distinguished by action: NONE + +vid id: 193ffaa217 + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +vid id: 19696b67d3 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 197f3ab6f3 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 1981e763cc + +-----------category name: sheep, frame name: 9 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 16 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 24 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 30 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 198afe39ae + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 19a6e62b9b + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 19b60d5335 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 19c00c11f9 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 19e061eb88 + +-----------category name: boat, frame name: 2 +are boats distinguished by action: NONE + +-----------category name: boat, frame name: 5 +are boats distinguished by action: YES + +-----------category name: boat, frame name: 10 +are boats distinguished by action: NONE + +-----------category name: boat, frame name: 14 +are boats distinguished by action: YES + +vid id: 19e8bc6178 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 19ee80dac6 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 20 +are persons distinguished by action: YES + +Skipping person: There is single or no object. + +vid id: 1a25a9170a + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 19 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 29 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 1a359a6c1a + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 1a3e87c566 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 1a5fe06b00 + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 1a6c0fbd1e + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1a6f3b5a4b + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 1a8afbad92 + +-----------category name: zebra, frame name: 3 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 7 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 9 +are zebras distinguished by action: YES + +-----------category name: zebra, frame name: 13 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 1a8bdc5842 + +-----------category name: parrot, frame name: 7 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 12 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 19 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 25 +are parrots distinguished by action: NONE + +vid id: 1a95752aca + +-----------category name: duck, frame name: 7 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: duck, frame name: 13 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 14 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 21 +are ducks distinguished by action: NONE + +vid id: 1a9c131cb7 + +-----------category name: ape, frame name: 5 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 17 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 23 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 30 +are apes distinguished by action: YES + +vid id: 1aa3da3ee3 + +-----------category name: sheep, frame name: 2 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 12 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 15 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 20 +are sheeps distinguished by action: NONE + +vid id: 1ab27ec7ea + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 1abf16d21d + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 1acd0f993b + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 1ad202e499 + +-----------category name: lizard, frame name: 8 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 17 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 24 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 27 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 1af8d2395d + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 13 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 21 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 31 +are persons distinguished by action: NONE + +vid id: 1afd39a1fa + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 1b2d31306f + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 1b3fa67f0e + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 1b43fa74b4 + +-----------category name: owl, frame name: 2 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: owl, frame name: 11 +are owls distinguished by action: "NONE" + +-----------category name: owl, frame name: 17 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: owl, frame name: 25 +are owls distinguished by action: "NONE" + +vid id: 1b73ea9fc2 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 1b7e8bb255 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 1b8680f8cd + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 25 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 1b883843c0 + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 13 +are persons distinguished by action: NONE + +vid id: 1b8898785b + +-----------category name: monkey, frame name: 3 +are monkeys distinguished by action: YES + +-----------category name: monkey, frame name: 12 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: monkey, frame name: 22 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 27 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 1b88ba1aa4 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 1b96a498e5 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 1bbc4c274f + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 1bd87fe9ab + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 1c4090c75b + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 1c41934f84 + +-----------category name: elephant, frame name: 5 +are elephants distinguished by action: "NONE" + +-----------category name: elephant, frame name: 9 +are elephants distinguished by action: NONE + +-----------category name: elephant, frame name: 13 +are elephants distinguished by action: NONE + +-----------category name: elephant, frame name: 16 +are elephants distinguished by action: NONE + +vid id: 1c72b04b56 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 1c87955a3a + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 1c9f9eb792 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1ca240fede + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 1ca5673803 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +-----------category name: person, frame name: 18 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 33 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 1cada35274 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: 1cb44b920d + +-----------category name: eagle, frame name: 4 +are eagles distinguished by action: YES + +-----------category name: eagle, frame name: 16 +are eagles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: eagle, frame name: 24 +are eagles distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: eagle, frame name: 26 +are eagles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 1cd10e62be + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 1d3087d5e5 + +-----------category name: fish, frame name: 9 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 13 +are fishs distinguished by action: "NONE" + +-----------category name: fish, frame name: 21 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 30 +are fishs distinguished by action: NONE + +vid id: 1d3685150a + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 11 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: 1d6ff083aa + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 1d746352a6 + +-----------category name: cow, frame name: 3 +are cows distinguished by action: "NONE" + +-----------category name: cow, frame name: 5 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 6 +are cows distinguished by action: "NONE" + +-----------category name: cow, frame name: 9 +are cows distinguished by action: "NONE" + +vid id: 1da256d146 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 1da4e956b1 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1daf812218 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 1dba687bce + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 1dce57d05d + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 1de4a9e537 + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1dec5446c8 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1dfbe6f586 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 1e1a18c45a + +-----------category name: earless_seal, frame name: 8 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: earless_seal, frame name: 12 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: earless_seal, frame name: 23 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: earless_seal, frame name: 30 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 1e1e42529d + +-----------category name: cow, frame name: 3 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 6 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 8 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 13 +are cows distinguished by action: NONE + +vid id: 1e4be70796 + +-----------category name: elephant, frame name: 5 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 9 +are elephants distinguished by action: "NONE" + +-----------category name: elephant, frame name: 11 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 17 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1eb60959c8 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 1ec8b2566b + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 1ecdc2941c + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 1ee0ac70ff + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1ef8e17def + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1f1a2a9fc0 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 1f1beb8daa + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 1f2609ee13 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 1f3876f8d0 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 1f4ec0563d + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1f64955634 + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: 1f7d31b5b2 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +vid id: 1f8014b7fd + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 1f9c7d10f1 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +-----------category name: shark, frame name: 20 +are sharks distinguished by action: NONE + +Skipping shark: There is single or no object. + +vid id: 1fa350df76 + +-----------category name: sedan, frame name: 2 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 9 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 11 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 15 +are sedans distinguished by action: NONE + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 1fc9538993 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 1fe2f0ec59 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 2000c02f9d + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 20142b2f05 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 201a8d75e5 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 2023b3ee4f + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 202b767bbc + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 203594a418 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 2038987336 + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: 2039c3aecb + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 204a90d81f + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 207bc6cf01 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 208833d1d1 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 20e3e52e0a + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2117fa0c14 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 211bc5d102 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 2120d9c3c3 + +-----------category name: sheep, frame name: 2 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 5 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 6 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 11 +are sheeps distinguished by action: NONE + +vid id: 2125235a49 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 21386f5978 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 2142af8795 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 215dfc0f73 + +Skipping plant: Determined to be non-movable. + +vid id: 217bae91e5 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 217c0d44e4 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: 219057c87b + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 21d0edbf81 + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: 21df87ad76 + +-----------category name: person, frame name: 4 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 13 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 16 +are persons distinguished by action: NONE + +vid id: 21f1d089f5 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 21f4019116 + +-----------category name: sheep, frame name: 2 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 12 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 22 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 27 +are sheeps distinguished by action: NONE + +vid id: 222597030f + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping hand: Determined to be non-movable. + +vid id: 222904eb5b + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 223a0e0657 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 223bd973ab + +-----------category name: airplane, frame name: 4 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 7 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 9 +are airplanes distinguished by action: NONE + +Skipping airplane: There is single or no object. + +vid id: 224e7c833e + +-----------category name: zebra, frame name: 5 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: "NONE" + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 225aba51d9 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2261d421ea + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 2263a8782b + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 2268cb1ffd + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: 2268e93b0a + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 2293c99f3f + +-----------category name: raccoon, frame name: 9 +are raccoons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: raccoon, frame name: 13 +are raccoons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: raccoon, frame name: 18 +are raccoons distinguished by action: "NONE" + +Skipping raccoon: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 22a1141970 + +Skipping sign: Determined to be non-movable. + +vid id: 22b13084b2 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 22d9f5ab0c + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 22f02efe3a + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 232c09b75b + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 2350d71b4b + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2376440551 + +Skipping frisbee: Determined to be non-movable. + +vid id: 2383d8aafd + +-----------category name: eagle, frame name: 5 +are eagles distinguished by action: YES + +-----------category name: eagle, frame name: 9 +are eagles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: eagle, frame name: 16 +are eagles distinguished by action: YES + +-----------category name: eagle, frame name: 24 +are eagles distinguished by action: YES + +vid id: 238b84e67f + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 238d4b86f6 + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping bucket: Determined to be non-movable. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 23993ce90d + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 23b0c8a9ab + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 23b3beafcc + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 23d80299fe + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: 23f404a9fc + +-----------category name: truck, frame name: 3 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 6 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 13 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 16 +are trucks distinguished by action: NONE + +vid id: 240118e58a + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: 2431dec2fd + +-----------category name: ape, frame name: 2 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 12 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 25 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 28 +are apes distinguished by action: NONE + +vid id: 24440e0ac7 + +Skipping others: Determined to be non-movable. + +vid id: 2457274dbc + +-----------category name: mouse, frame name: 3 +are mouses distinguished by action: YES + +-----------category name: mouse, frame name: 15 +are mouses distinguished by action: "NONE" + +-----------category name: mouse, frame name: 19 +are mouses distinguished by action: NONE + +-----------category name: mouse, frame name: 32 +are mouses distinguished by action: NONE + +vid id: 2465bf515d + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 246b142c4d + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 247d729e36 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 2481ceafeb + +-----------category name: parrot, frame name: 2 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: parrot, frame name: 8 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 18 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 26 +are parrots distinguished by action: YES + +vid id: 24866b4e6a + +-----------category name: dolphin, frame name: 7 +are dolphins distinguished by action: "NONE" + +-----------category name: dolphin, frame name: 8 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 17 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 22 +are dolphins distinguished by action: NONE + +vid id: 2489d78320 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 24ab0b83e8 + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 11 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 23 +are apes distinguished by action: YES + +Skipping ape: There is single or no object. + +vid id: 24b0868d92 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 24b5207cd9 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 24ddf05c03 + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 250116161c + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 256ad2e3fc + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 256bd83d5e + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 256dcc8ab8 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 27 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 2589956baa + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 258b3b33c6 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 25ad437e29 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +vid id: 25ae395636 + +-----------category name: deer, frame name: 6 +are deers distinguished by action: NONE + +-----------category name: deer, frame name: 10 +are deers distinguished by action: NONE + +-----------category name: deer, frame name: 20 +are deers distinguished by action: NONE + +-----------category name: deer, frame name: 28 +are deers distinguished by action: NONE + +vid id: 25c750c6db + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 25d2c3fe5d + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 25dc80db7c + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 25f97e926f + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 26011bc28b + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 10 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 18 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 22 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 260846ffbe + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: 260dd9ad33 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 267964ee57 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2680861931 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 268ac7d3fc + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 26b895d91e + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 26bc786d4f + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +vid id: 26ddd2ef12 + +-----------category name: earless_seal, frame name: 3 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: earless_seal, frame name: 14 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: earless_seal, frame name: 24 +are earless_seals distinguished by action: NONE + +-----------category name: earless_seal, frame name: 31 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 26de3d18ca + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 26f7784762 + +-----------category name: fish, frame name: 3 +are fishs distinguished by action: "NONE" + +-----------category name: fish, frame name: 14 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 18 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 30 +are fishs distinguished by action: NONE + +vid id: 2703e52a6a + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 270ed80c12 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: 2719b742ab + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 272f4163d0 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 27303333e1 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 27659fa7d6 + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 25 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 31 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 279214115d + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 27a5f92a9c + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 27cf2af1f3 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 27f0d5f8a2 + +-----------category name: person, frame name: 4 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 5 +are persons distinguished by action: ```NONE``` + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 28075f33c1 + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: 281629cb41 + +-----------category name: zebra, frame name: 5 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 8 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 13 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 14 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 282b0d51f5 + +-----------category name: cow, frame name: 3 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: cow, frame name: 6 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 13 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 14 +are cows distinguished by action: "NONE" + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 282fcab00b + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 28449fa0dc + +-----------category name: monkey, frame name: 2 +are monkeys distinguished by action: "NONE" + +-----------category name: monkey, frame name: 13 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 21 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: monkey, frame name: 29 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 28475208ca + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping hat: Determined to be non-movable. + +vid id: 285580b7c4 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 285b69e223 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 288c117201 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 28a8eb9623 + +-----------category name: monkey, frame name: 6 +are monkeys distinguished by action: YES + +-----------category name: monkey, frame name: 11 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 19 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 26 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 28bf9c3cf3 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 28c6b8f86a + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 28c972dacd + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 28d9fa6016 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 28e392de91 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 28f4a45190 + +-----------category name: parrot, frame name: 6 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 11 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 14 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 21 +are parrots distinguished by action: NONE + +vid id: 298c844fc9 + +-----------category name: horse, frame name: 4 +are horses distinguished by action: NONE + +-----------category name: horse, frame name: 7 +are horses distinguished by action: NONE + +-----------category name: horse, frame name: 9 +are horses distinguished by action: NONE + +-----------category name: horse, frame name: 12 +are horses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +vid id: 29a0356a2b + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 29d779f9e3 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 9 +are persons distinguished by action: "NONE" + +Skipping person: There is single or no object. + +vid id: 29dde5f12b + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 29de7b6579 + +-----------category name: dolphin, frame name: 6 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 8 +are dolphins distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dolphin, frame name: 19 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 27 +are dolphins distinguished by action: "NONE" + +vid id: 29e630bdd0 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 29f2332d30 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2a18873352 + +-----------category name: dog, frame name: 3 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: dog, frame name: 7 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dog, frame name: 8 +are dogs distinguished by action: YES + +-----------category name: dog, frame name: 11 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 2a3824ff31 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +vid id: 2a559dd27f + +Skipping plant: Determined to be non-movable. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 2a5c09acbd + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 2a63eb1524 + +Skipping hat: Determined to be non-movable. + +vid id: 2a6a30a4ea + +-----------category name: ape, frame name: 3 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 8 +are apes distinguished by action: NONE + +Skipping ape: There is single or no object. + +-----------category name: ape, frame name: 21 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 2a6d9099d1 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2a821394e3 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 2a8c5b1342 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 2abc8d66d2 + +-----------category name: bear, frame name: 4 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 9 +are bears distinguished by action: YES + +-----------category name: bear, frame name: 11 +are bears distinguished by action: YES + +-----------category name: bear, frame name: 16 +are bears distinguished by action: NONE + +vid id: 2b08f37364 + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: 2b351bfd7d + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: 2b659a49d7 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +vid id: 2b69ee5c26 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2b6c30bbbd + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2b88561cf2 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 2b8b14954e + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 2ba621c750 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 2bab50f9a7 + +-----------category name: fish, frame name: 9 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 12 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: fish, frame name: 23 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: fish, frame name: 26 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 2bb00c2434 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 2bbde474ef + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 2bdd82fb86 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2be06fb855 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 2bf545c2f5 + +-----------category name: duck, frame name: 7 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 11 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 22 +are ducks distinguished by action: "NONE" + +-----------category name: duck, frame name: 26 +are ducks distinguished by action: "NONE" + +vid id: 2bffe4cf9a + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 2c04b887b7 + +-----------category name: fox, frame name: 5 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 13 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 19 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 23 +are foxs distinguished by action: NONE + +vid id: 2c05209105 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 2c0ad8cf39 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 2c11fedca8 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 2c1a94ebfb + +-----------category name: person, frame name: 2 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +vid id: 2c1e8c8e2f + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 2c29fabcf1 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 2c2c076c01 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 2c3ea7ee7d + +-----------category name: airplane, frame name: 3 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 7 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 10 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 13 +are airplanes distinguished by action: NONE + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2c41fa0648 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 2c44bb6d1c + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2c54cfbb78 + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2c5537eddf + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 2c6e63b7de + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2cb10c6a7e + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 23 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 29 +are persons distinguished by action: YES + +vid id: 2cbcd5ccd1 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 2cc5d9c5f6 + +-----------category name: shark, frame name: 6 +are sharks distinguished by action: NONE + +-----------category name: shark, frame name: 17 +are sharks distinguished by action: YES + +-----------category name: shark, frame name: 24 +are sharks distinguished by action: NONE + +-----------category name: shark, frame name: 33 +are sharks distinguished by action: NONE + +vid id: 2cd01cf915 + +-----------category name: deer, frame name: 4 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: deer, frame name: 13 +are deers distinguished by action: NONE + +-----------category name: deer, frame name: 20 +are deers distinguished by action: "NONE" + +-----------category name: deer, frame name: 29 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 2cdbf5f0a7 + +-----------category name: giant_panda, frame name: 4 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 9 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giant_panda, frame name: 15 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 2ce660f123 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2cf114677e + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 2d01eef98e + +-----------category name: duck, frame name: 6 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 14 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 21 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 30 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 2d03593bdc + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 2d183ac8c4 + +-----------category name: shark, frame name: 3 +are sharks distinguished by action: NONE + +-----------category name: shark, frame name: 14 +are sharks distinguished by action: NONE + +-----------category name: shark, frame name: 22 +are sharks distinguished by action: "NONE" + +-----------category name: shark, frame name: 27 +are sharks distinguished by action: NONE + +vid id: 2d33ad3935 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 2d3991d83e + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 2d4333577b + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 2d4d015c64 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 2d8f5e5025 + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +vid id: 2d900bdb8e + +-----------category name: eagle, frame name: 6 +are eagles distinguished by action: YES + +-----------category name: eagle, frame name: 11 +are eagles distinguished by action: "NONE" + +-----------category name: eagle, frame name: 21 +are eagles distinguished by action: "YES" + +-----------category name: eagle, frame name: 28 +are eagles distinguished by action: "NONE" + +vid id: 2db0576a5c + +-----------category name: penguin, frame name: 8 +are penguins distinguished by action: NONE + +-----------category name: penguin, frame name: 15 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 22 +are penguins distinguished by action: "NONE" + +-----------category name: penguin, frame name: 32 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 2dc0838721 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 2dcc417f82 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2df005b843 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 2df356de14 + +-----------category name: hedgehog, frame name: 2 +are hedgehogs distinguished by action: "NONE" + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: 2e00393d96 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 2e03b8127a + +Skipping duck: There is single or no object. + +-----------category name: duck, frame name: 16 +are ducks distinguished by action: "NONE" + +-----------category name: duck, frame name: 25 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 28 +are ducks distinguished by action: NONE + +vid id: 2e0f886168 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2e2bf37e6d + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 2e42410932 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 2ea78f46e4 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 2ebb017a26 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 2ee2edba2a + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2efb07554a + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2f17e4fc1e + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 2f2c65c2f3 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 2f2d9b33be + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 2f309c206b + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 2f53822e88 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2f53998171 + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 2f5b0c89b1 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 2f680909e6 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +-----------category name: person, frame name: 23 +are persons distinguished by action: YES + +-----------category name: person, frame name: 31 +are persons distinguished by action: YES + +vid id: 2f710f66bd + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 2f724132b9 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 2f7e3517ae + +-----------category name: person, frame name: 3 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 6 +are persons distinguished by action: **NONE** + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 2f96f5fc6f + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping person: There is single or no object. + +vid id: 2f97d9fecb + +-----------category name: airplane, frame name: 3 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 8 +are airplanes distinguished by action: "NONE" + +-----------category name: airplane, frame name: 10 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 14 +are airplanes distinguished by action: NONE + +-----------category name: person, frame name: 3 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 8 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: "NONE" + +vid id: 2fbfa431ec + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 2fc9520b53 + +-----------category name: monkey, frame name: 5 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: monkey, frame name: 10 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 23 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 30 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 2fcd9f4c62 + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 2feb30f208 + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: 2ff7f5744f + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 30085a2cc6 + +-----------category name: elephant, frame name: 2 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 7 +are elephants distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 11 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 15 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 30176e3615 + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: 301f72ee11 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3026bb2f61 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 30318465dc + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 3054ca937d + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 306121e726 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 3064ad91e8 + +-----------category name: monkey, frame name: 9 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 13 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 23 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 31 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 307444a47f + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 307bbb7409 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 30a20194ab + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 30c35c64a4 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +-----------category name: ape, frame name: 22 +are apes distinguished by action: YES + +vid id: 30dbdb2cd6 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 30fc77d72f + +-----------category name: sheep, frame name: 2 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 11 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 19 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 24 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 310021b58b + +-----------category name: giraffe, frame name: 3 +are giraffes distinguished by action: NONE + +-----------category name: giraffe, frame name: 9 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 12 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 17 +are giraffes distinguished by action: NONE + +vid id: 3113140ee8 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 3150b2ee57 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 31539918c4 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 318dfe2ce2 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 3193da4835 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 319f725ad9 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 31bbd0d793 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 322505c47f + +-----------category name: rabbit, frame name: 2 +are rabbits distinguished by action: "NONE" + +-----------category name: rabbit, frame name: 15 +are rabbits distinguished by action: "NONE" + +-----------category name: rabbit, frame name: 25 +are rabbits distinguished by action: "NONE" + +-----------category name: rabbit, frame name: 33 +are rabbits distinguished by action: NONE + +vid id: 322b237865 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 322da43910 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 3245e049fb + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 324c4c38f6 + +-----------category name: leopard, frame name: 7 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 12 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: leopard, frame name: 24 +are leopards distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: leopard, frame name: 27 +are leopards distinguished by action: YES + +vid id: 324e35111a + +-----------category name: leopard, frame name: 7 +are leopards distinguished by action: NONE + +-----------category name: leopard, frame name: 13 +are leopards distinguished by action: YES + +-----------category name: leopard, frame name: 19 +are leopards distinguished by action: YES + +-----------category name: leopard, frame name: 27 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 3252398f09 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 327dc4cabf + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 328d918c7d + +-----------category name: earless_seal, frame name: 8 +are earless_seals distinguished by action: YES + +-----------category name: earless_seal, frame name: 14 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: earless_seal, frame name: 18 +are earless_seals distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping earless_seal: There is single or no object. + +vid id: 3290c0de97 + +Skipping plant: Determined to be non-movable. + +vid id: 3299ae3116 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 32a7cd687b + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 33098cedb4 + +-----------category name: cow, frame name: 4 +are cows distinguished by action: "NONE" + +-----------category name: cow, frame name: 5 +are cows distinguished by action: "YES" + +-----------category name: cow, frame name: 10 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 12 +are cows distinguished by action: NONE + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3332334ac4 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 334cb835ac + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 3355e056eb + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 33639a2847 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: 3373891cdc + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 337975816b + +-----------category name: hedgehog, frame name: 3 +are hedgehogs distinguished by action: NONE + +-----------category name: hedgehog, frame name: 10 +are hedgehogs distinguished by action: NONE + +-----------category name: hedgehog, frame name: 23 +are hedgehogs distinguished by action: NONE + +-----------category name: hedgehog, frame name: 33 +are hedgehogs distinguished by action: "NONE" + +vid id: 33e29d7e91 + +-----------category name: person, frame name: 2 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +vid id: 34046fe4f2 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 3424f58959 + +-----------category name: dolphin, frame name: 7 +are dolphins distinguished by action: YES + +-----------category name: dolphin, frame name: 13 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 24 +are dolphins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dolphin, frame name: 32 +are dolphins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 34370a710f + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 343bc6a65a + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 3450382ef7 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3454303a08 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 346aacf439 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 346e92ff37 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +-----------category name: monkey, frame name: 25 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 33 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 34a5ece7dd + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 34b109755a + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 34d1b37101 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 34dd2c70a7 + +-----------category name: eagle, frame name: 7 +are eagles distinguished by action: NONE + +-----------category name: eagle, frame name: 15 +are eagles distinguished by action: NONE + +-----------category name: eagle, frame name: 21 +are eagles distinguished by action: NONE + +-----------category name: eagle, frame name: 26 +are eagles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 34efa703df + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 34fbee00a6 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +-----------category name: hedgehog, frame name: 15 +are hedgehogs distinguished by action: NONE + +Skipping hedgehog: There is single or no object. + +vid id: 3504df2fda + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 35195a56a1 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 351c822748 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 351cfd6bc5 + +-----------category name: parrot, frame name: 5 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 12 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 19 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 28 +are parrots distinguished by action: NONE + +vid id: 3543d8334c + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 35573455c7 + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 35637a827f + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 357a710863 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 358bf16f9e + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 8 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 35ab34cc34 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 35c6235b8d + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 35d01a438a + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 3605019d3b + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: 3609bc3f88 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: 360e25da17 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 36299c687c + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 362c5bc56e + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +-----------category name: person, frame name: 20 +are persons distinguished by action: YES + +-----------category name: person, frame name: 27 +are persons distinguished by action: YES + +vid id: 3649228783 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 365b0501ea + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 365f459863 + +-----------category name: parrot, frame name: 8 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 10 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: parrot, frame name: 24 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: parrot, frame name: 28 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 369893f3ad + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 369c9977e1 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 369dde050a + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 36c7dac02f + +-----------category name: duck, frame name: 3 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: duck, frame name: 17 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 19 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 28 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 36d5b1493b + +-----------category name: camel, frame name: 3 +are camels distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: camel, frame name: 11 +are camels distinguished by action: YES + +-----------category name: camel, frame name: 25 +are camels distinguished by action: NONE + +-----------category name: camel, frame name: 30 +are camels distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 36f5cc68fd + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 3735480d18 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 374b479880 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 375a49d38f + +-----------category name: ape, frame name: 8 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 16 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 24 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 30 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 375a5c0e09 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +-----------category name: tiger, frame name: 22 +are tigers distinguished by action: "NONE" + +-----------category name: tiger, frame name: 27 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 376bda9651 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 377db65f60 + +-----------category name: fox, frame name: 4 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 9 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: fox, frame name: 17 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 21 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 37d4ae24fc + +-----------category name: horse, frame name: 2 +are horses distinguished by action: NONE + +-----------category name: horse, frame name: 6 +are horses distinguished by action: "NONE" + +-----------category name: horse, frame name: 13 +are horses distinguished by action: "NONE" + +-----------category name: horse, frame name: 15 +are horses distinguished by action: "NONE" + +vid id: 37ddce7f8b + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 37e10d33af + +-----------category name: giant_panda, frame name: 5 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 13 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 22 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 33 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 37e45c6247 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 37fa0001e8 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 3802d458c0 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 382caa3cb4 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 383bb93111 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 388843df90 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 38924f4a7f + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 38b00f93d7 + +-----------category name: duck, frame name: 3 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 7 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: duck, frame name: 8 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 16 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 38c197c10e + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: dog, frame name: 3 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dog, frame name: 8 +are dogs distinguished by action: "NONE" + +-----------category name: dog, frame name: 10 +are dogs distinguished by action: "NONE" + +-----------category name: dog, frame name: 14 +are dogs distinguished by action: NONE + +vid id: 38c9c3d801 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 38eb2bf67f + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 38fe9b3ed1 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 390352cced + +-----------category name: fish, frame name: 4 +are fishs distinguished by action: "NONE" + +-----------category name: fish, frame name: 13 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fish, frame name: 25 +are fishs distinguished by action: NONE + +Skipping fish: There is single or no object. + +vid id: 390c51b987 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 390ca6f1d6 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 392bc0f8a1 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +vid id: 392ecb43bd + +-----------category name: sedan, frame name: 3 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 6 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 8 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 15 +are sedans distinguished by action: NONE + +vid id: 3935291688 + +-----------category name: sheep, frame name: 2 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 11 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 14 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 23 +are sheeps distinguished by action: NONE + +vid id: 3935e63b41 + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 394454fa9c + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 13 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 18 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 31 +are persons distinguished by action: NONE + +vid id: 394638fc8b + +-----------category name: ape, frame name: 5 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 9 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 13 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 15 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 39545e20b7 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 397abeae8f + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 3988074b88 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +vid id: 398f5d5f19 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 39bc49a28c + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 39befd99fb + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 39c3c7bf55 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 39d584b09f + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 39f6f6ffb1 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 3a079fb484 + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 24 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 3a0d3a81b7 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3a1d55d22b + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 3a20a7583e + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: 3a2c1f66e5 + +-----------category name: leopard, frame name: 3 +are leopards distinguished by action: "NONE" + +-----------category name: leopard, frame name: 8 +are leopards distinguished by action: NONE + +-----------category name: leopard, frame name: 17 +are leopards distinguished by action: NONE + +-----------category name: leopard, frame name: 21 +are leopards distinguished by action: "NONE" + +vid id: 3a33f4d225 + +-----------category name: hedgehog, frame name: 5 +are hedgehogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: hedgehog, frame name: 13 +are hedgehogs distinguished by action: NONE + +-----------category name: hedgehog, frame name: 19 +are hedgehogs distinguished by action: NONE + +-----------category name: hedgehog, frame name: 32 +are hedgehogs distinguished by action: NONE + +vid id: 3a3bf84b13 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3a4565e5ec + +-----------category name: lizard, frame name: 3 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 8 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 15 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 20 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 3a4e32ed5e + +-----------category name: earless_seal, frame name: 6 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: earless_seal, frame name: 10 +are earless_seals distinguished by action: YES + +-----------category name: earless_seal, frame name: 20 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: earless_seal, frame name: 33 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 3a7ad86ce0 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 3a7bdde9b8 + +-----------category name: dolphin, frame name: 2 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 13 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 25 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 28 +are dolphins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 3a98867cbe + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 3aa3f1c9e8 + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 26 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 3aa7fce8b6 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 6 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +vid id: 3aa876887d + +-----------category name: elephant, frame name: 5 +are elephants distinguished by action: NONE + +-----------category name: elephant, frame name: 7 +are elephants distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 13 +are elephants distinguished by action: "NONE" + +-----------category name: elephant, frame name: 14 +are elephants distinguished by action: NONE + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping bucket: Determined to be non-movable. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +vid id: 3ab807ded6 + +Skipping umbrella: Determined to be non-movable. + +vid id: 3ab9b1a85a + +-----------category name: horse, frame name: 2 +are horses distinguished by action: NONE + +-----------category name: horse, frame name: 7 +are horses distinguished by action: "NONE" + +-----------category name: horse, frame name: 13 +are horses distinguished by action: NONE + +-----------category name: horse, frame name: 15 +are horses distinguished by action: "NONE" + +vid id: 3adac8d7da + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 3ae1a4016f + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3ae2deaec2 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3ae81609d6 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 3af847e62f + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 3b23792b84 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 3b3b0af2ee + +-----------category name: deer, frame name: 5 +are deers distinguished by action: YES + +-----------category name: deer, frame name: 10 +are deers distinguished by action: YES + +-----------category name: deer, frame name: 17 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: deer, frame name: 22 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 3b512dad74 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 3b6c7988f6 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +vid id: 3b6e983b5b + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 3b74a0fc20 + +-----------category name: fish, frame name: 9 +are fishs distinguished by action: "NONE" + +-----------category name: fish, frame name: 14 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 22 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fish, frame name: 33 +are fishs distinguished by action: NONE + +vid id: 3b7a50b80d + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 3b96d3492f + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 3b9ad0c5a9 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 3b9ba0894a + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 3bb4e10ed7 + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3bd9a9b515 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +-----------category name: giant_panda, frame name: 25 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 3beef45388 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +-----------category name: cat, frame name: 15 +are cats distinguished by action: "NONE" + +vid id: 3c019c0a24 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3c090704aa + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3c2784fc0d + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 3c47ab95f8 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3c4db32d74 + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 3c5ff93faf + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3c700f073e + +-----------category name: duck, frame name: 3 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 11 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 23 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 30 +are ducks distinguished by action: NONE + +vid id: 3c713cbf2f + +-----------category name: sedan, frame name: 2 +are sedans distinguished by action: YES + +-----------category name: sedan, frame name: 6 +are sedans distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: sedan, frame name: 9 +are sedans distinguished by action: NONE + +Skipping sedan: There is single or no object. + +vid id: 3c8320669c + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +-----------category name: person, frame name: 22 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 29 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 3c90d225ee + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 3cadbcc404 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 3cb9be84a5 + +-----------category name: ape, frame name: 3 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 10 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 15 +are apes distinguished by action: "NONE" + +-----------category name: ape, frame name: 21 +are apes distinguished by action: NONE + +vid id: 3cc37fd487 + +-----------category name: person, frame name: 4 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 13 +are persons distinguished by action: "NONE" + +vid id: 3cc6f90cb2 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 3cd5e035ef + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 3cdf03531b + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 3cdf828f59 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 3d254b0bca + +-----------category name: lion, frame name: 4 +are lions distinguished by action: NONE + +-----------category name: lion, frame name: 13 +are lions distinguished by action: NONE + +-----------category name: lion, frame name: 23 +are lions distinguished by action: NONE + +-----------category name: lion, frame name: 32 +are lions distinguished by action: NONE + +vid id: 3d5aeac5ba + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +-----------category name: person, frame name: 25 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 27 +are persons distinguished by action: YES + +vid id: 3d690473e1 + +-----------category name: ape, frame name: 5 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 12 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 25 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 31 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 3d69fed2fb + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 3d8997aeb6 + +-----------category name: bird, frame name: 5 +are birds distinguished by action: "NONE" + +-----------category name: bird, frame name: 6 +are birds distinguished by action: "NONE" + +-----------category name: bird, frame name: 13 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 17 +are birds distinguished by action: "NONE" + +vid id: 3db0d6b07e + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 3db1ddb8cf + +-----------category name: sheep, frame name: 3 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 16 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 22 +are sheeps distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 29 +are sheeps distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 3db907ac77 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 3dcbc0635b + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3dd48ed55f + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3de4ac4ec4 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 3decd63d88 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 3e04a6be11 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 3e108fb65a + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping bus: There is single or no object. + +-----------category name: bus, frame name: 9 +are buss distinguished by action: NONE + +-----------category name: bus, frame name: 13 +are buss distinguished by action: NONE + +-----------category name: bus, frame name: 17 +are buss distinguished by action: NONE + +vid id: 3e1448b01c + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +vid id: 3e16c19634 + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: 3e2845307e + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3e38336da5 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3e3a819865 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 3e3e4be915 + +-----------category name: person, frame name: 4 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 9 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +vid id: 3e680622d7 + +-----------category name: giant_panda, frame name: 3 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 8 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giant_panda, frame name: 13 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 3e7d2aeb07 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3e7d8f363d + +-----------category name: snail, frame name: 9 +are snails distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: snail, frame name: 11 +are snails distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: snail, frame name: 24 +are snails distinguished by action: YES + +-----------category name: snail, frame name: 30 +are snails distinguished by action: NONE + +vid id: 3ea4c49bbe + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 3eb39d11ab + +-----------category name: fish, frame name: 4 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fish, frame name: 17 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 24 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fish, frame name: 26 +are fishs distinguished by action: NONE + +vid id: 3ec273c8d5 + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3ed3f91271 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3ee062a2fd + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 3eede9782c + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 3ef2fa99cb + +-----------category name: owl, frame name: 6 +are owls distinguished by action: YES + +-----------category name: owl, frame name: 10 +are owls distinguished by action: NONE + +-----------category name: owl, frame name: 21 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: owl, frame name: 30 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 3efc6e9892 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 15 +are persons distinguished by action: "YES" + +vid id: 3f0b0dfddd + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 8 +are persons distinguished by action: "YES" + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 3f0c860359 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 3f18728586 + +-----------category name: raccoon, frame name: 8 +are raccoons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: raccoon, frame name: 15 +are raccoons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: raccoon, frame name: 25 +are raccoons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: raccoon, frame name: 26 +are raccoons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3f3b15f083 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 3f4f3bc803 + +-----------category name: deer, frame name: 2 +are deers distinguished by action: **NONE** + +-----------category name: deer, frame name: 12 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: deer, frame name: 16 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: deer, frame name: 26 +are deers distinguished by action: NONE + +vid id: 3fd96c5267 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 3fea675fab + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3fee8cbc9f + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 3fff16d112 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 401888b36c + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 4019231330 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 19 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 20 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 402316532d + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 402680df52 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 404d02e0c0 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 40709263a8 + +Skipping plant: Determined to be non-movable. + +vid id: 4083cfbe15 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 19 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 21 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 40a96c5cb1 + +-----------category name: elephant, frame name: 3 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 9 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 10 +are elephants distinguished by action: "NONE" + +-----------category name: elephant, frame name: 16 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 40b8e50f82 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 13 +are persons distinguished by action: NONE + +vid id: 40f4026bf5 + +-----------category name: fish, frame name: 6 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fish, frame name: 8 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 15 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 25 +are fishs distinguished by action: NONE + +vid id: 4100b57a3a + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 41059fdd0b + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 41124e36de + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 4122aba5f9 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +vid id: 413bab0f0d + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 4164faee0b + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 418035eec9 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 4182d51532 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 418bb97e10 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 41a34c20e7 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +vid id: 41dab05200 + +-----------category name: person, frame name: 2 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +-----------category name: person, frame name: 24 +are persons distinguished by action: YES + +-----------category name: person, frame name: 29 +are persons distinguished by action: YES + +vid id: 41ff6d5e2a + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 420caf0859 + +-----------category name: zebra, frame name: 3 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 9 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 42264230ba + +Skipping bucket: Determined to be non-movable. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 425a0c96e0 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 42da96b87c + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 42eb5a5b0f + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 42f17cd14d + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 42f5c61c49 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 42ffdcdee9 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 432f9884f9 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 43326d9940 + +-----------category name: lion, frame name: 7 +are lions distinguished by action: NONE + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 4350f3ab60 + +-----------category name: lizard, frame name: 6 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 8 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 17 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: lizard, frame name: 20 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 4399ffade3 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 43a6c21f37 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 43b5555faa + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 43d63b752a + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 4416bdd6ac + +-----------category name: parrot, frame name: 4 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 5 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: parrot, frame name: 9 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: parrot, frame name: 12 +are parrots distinguished by action: NONE + +vid id: 4444753edd + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +vid id: 444aa274e7 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 444d4e0596 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 446b8b5f7a + +-----------category name: giant_panda, frame name: 5 +are giant_pandas distinguished by action: "NONE" + +-----------category name: giant_panda, frame name: 9 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giant_panda, frame name: 10 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 14 +are giant_pandas distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 4478f694bb + +-----------category name: motorbike, frame name: 3 +are motorbikes distinguished by action: NONE + +-----------category name: motorbike, frame name: 7 +are motorbikes distinguished by action: "NONE" + +-----------category name: motorbike, frame name: 10 +are motorbikes distinguished by action: NONE + +-----------category name: motorbike, frame name: 16 +are motorbikes distinguished by action: "NONE" + +vid id: 44b1da0d87 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: sedan, frame name: 16 +are sedans distinguished by action: NONE + +vid id: 44b4dad8c9 + +Skipping hat: Determined to be non-movable. + +vid id: 44b5ece1b9 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 44d239b24e + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 44eaf8f51e + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 44f4f57099 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 44f7422af2 + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: 450787ac97 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 4523656564 + +-----------category name: cat, frame name: 4 +are cats distinguished by action: NONE + +-----------category name: cat, frame name: 8 +are cats distinguished by action: NONE + +-----------category name: cat, frame name: 11 +are cats distinguished by action: NONE + +-----------category name: cat, frame name: 14 +are cats distinguished by action: NONE + +vid id: 4536c882e5 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 453b65daa4 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 454f227427 + +-----------category name: person, frame name: 2 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 5 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 13 +are persons distinguished by action: "NONE" + +vid id: 45636d806a + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 456fb9362e + +-----------category name: giant_panda, frame name: 3 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 7 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 8 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 14 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 457e717a14 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 45a89f35e1 + +-----------category name: rabbit, frame name: 4 +are rabbits distinguished by action: YES + +-----------category name: rabbit, frame name: 11 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: rabbit, frame name: 20 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 27 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 45bf0e947d + +-----------category name: sheep, frame name: 4 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 9 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 15 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 19 +are sheeps distinguished by action: "NONE" + +vid id: 45c36a9eab + +-----------category name: camel, frame name: 4 +are camels distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: camel, frame name: 11 +are camels distinguished by action: NONE + +-----------category name: camel, frame name: 15 +are camels distinguished by action: NONE + +-----------category name: camel, frame name: 25 +are camels distinguished by action: YES + +vid id: 45d9fc1357 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 45f8128b97 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 4607f6c03c + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 46146dfd39 + +-----------category name: person, frame name: 3 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: "NONE" + +vid id: 4620e66b1e + +-----------category name: frog, frame name: 2 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: frog, frame name: 11 +are frogs distinguished by action: NONE + +-----------category name: frog, frame name: 14 +are frogs distinguished by action: NONE + +-----------category name: frog, frame name: 26 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 4625f3f2d3 + +-----------category name: bird, frame name: 2 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 6 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 12 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 14 +are birds distinguished by action: "NONE" + +vid id: 462b22f263 + +-----------category name: sedan, frame name: 2 +are sedans distinguished by action: "NONE" + +-----------category name: sedan, frame name: 8 +are sedans distinguished by action: NONE + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 4634736113 + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 463c0f4fdd + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 46565a75f8 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 46630b55ae + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 466839cb37 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 466ba4ae0c + +-----------category name: duck, frame name: 7 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 10 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 23 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 28 +are ducks distinguished by action: NONE + +vid id: 4680236c9d + +-----------category name: penguin, frame name: 4 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +vid id: 46bf4e8709 + +-----------category name: sedan, frame name: 2 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 6 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 9 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 13 +are sedans distinguished by action: NONE + +vid id: 46e18e42f1 + +-----------category name: sheep, frame name: 3 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: sheep, frame name: 6 +are sheeps distinguished by action: "NONE" + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 46f5093c59 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 47269e0499 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 472da1c484 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 47354fab09 + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: 4743bb84a7 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 474a796272 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 4783d2ab87 + +-----------category name: zebra, frame name: 2 +are zebras distinguished by action: **NONE** + +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 12 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: zebra, frame name: 16 +are zebras distinguished by action: "NONE" + +vid id: 479cad5da3 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 479f5d7ef6 + +-----------category name: cow, frame name: 3 +are cows distinguished by action: "NONE" + +-----------category name: cow, frame name: 7 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 10 +are cows distinguished by action: "NONE" + +-----------category name: cow, frame name: 15 +are cows distinguished by action: NONE + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 47a05fbd1d + +-----------category name: giraffe, frame name: 5 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 6 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 13 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giraffe, frame name: 14 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 4804ee2767 + +-----------category name: dog, frame name: 4 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 6 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 10 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 17 +are dogs distinguished by action: NONE + +vid id: 4810c3fbca + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 482fb439c2 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 48375af288 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 484ab44de4 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 485f3944cd + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 17 +are persons distinguished by action: NONE + +vid id: 4867b84887 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 486a8ac57e + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 486e69c5bd + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 48812cf33e + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 4894b3b9ea + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 48bd66517d + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 48d83b48a4 + +-----------category name: zebra, frame name: 2 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 8 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 12 +are zebras distinguished by action: NONE + +vid id: 4918d10ff0 + +-----------category name: cat, frame name: 4 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cat, frame name: 7 +are cats distinguished by action: NONE + +-----------category name: cat, frame name: 8 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cat, frame name: 15 +are cats distinguished by action: NONE + +vid id: 4932911f80 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 49405b7900 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 49972c2d14 + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 499bf07002 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 49b16e9377 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 49c104258e + +-----------category name: lizard, frame name: 2 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 13 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: lizard, frame name: 16 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: lizard, frame name: 24 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 49c879f82d + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +-----------category name: giraffe, frame name: 17 +are giraffes distinguished by action: NONE + +vid id: 49e7326789 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +-----------category name: sheep, frame name: 25 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 29 +are sheeps distinguished by action: NONE + +vid id: 49ec3e406a + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 49fbf0c98a + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 4a0255c865 + +-----------category name: ape, frame name: 6 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 14 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 18 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 32 +are apes distinguished by action: YES + +vid id: 4a088fe99a + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 4a341402d0 + +-----------category name: rabbit, frame name: 2 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 11 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 20 +are rabbits distinguished by action: NONE + +Skipping rabbit: There is single or no object. + +vid id: 4a3471bdf5 + +-----------category name: elephant, frame name: 2 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: elephant, frame name: 7 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 11 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 16 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: 4a4b50571c + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 4a50f3d2e9 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +-----------category name: sedan, frame name: 2 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 7 +are sedans distinguished by action: I'm unable to analyze this image as per your request regarding sedans and actions. + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 15 +are sedans distinguished by action: "NONE" + +vid id: 4a6e3faaa1 + +-----------category name: fish, frame name: 4 +are fishs distinguished by action: YES + +-----------category name: fish, frame name: 14 +are fishs distinguished by action: NONE + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 4a7191f08a + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 4a86fcfc30 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 4a885fa3ef + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 4aa2e0f865 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 4aa9d6527f + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 4abb74bb52 + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 4ae13de1cd + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +vid id: 4af8cb323f + +-----------category name: giant_panda, frame name: 2 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 10 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: giant_panda, frame name: 17 +are giant_pandas distinguished by action: NONE + +vid id: 4b02c272b3 + +-----------category name: turtle, frame name: 7 +are turtles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: turtle, frame name: 12 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 19 +are turtles distinguished by action: "NONE" + +-----------category name: turtle, frame name: 29 +are turtles distinguished by action: "NONE" + +vid id: 4b19c529fb + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 4b2974eff4 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 4b3154c159 + +Skipping duck: There is single or no object. + +-----------category name: duck, frame name: 5 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 9 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 16 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 4b54d2587f + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 4b556740ff + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +-----------category name: owl, frame name: 17 +are owls distinguished by action: NONE + +-----------category name: owl, frame name: 23 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 4b67aa9ef6 + +-----------category name: snake, frame name: 6 +are snakes distinguished by action: NONE + +-----------category name: snake, frame name: 16 +are snakes distinguished by action: NONE + +-----------category name: snake, frame name: 18 +are snakes distinguished by action: NONE + +-----------category name: snake, frame name: 33 +are snakes distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 4b97cc7b8d + +-----------category name: cat, frame name: 3 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cat, frame name: 6 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 12 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 16 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 4baa1ed4aa + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 15 +are persons distinguished by action: NONE + +vid id: 4bc8c676bb + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 4beaea4dbe + +-----------category name: leopard, frame name: 5 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 14 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 23 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 33 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 4bf5763d24 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 4bffa92b67 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 4c25dfa8ec + +Skipping plant: Determined to be non-movable. + +vid id: 4c397b6fd4 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 4c51e75d66 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 4c7710908f + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 4c9b5017be + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 4ca2ffc361 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 4cad2e93bc + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 4cd427b535 + +-----------category name: fish, frame name: 6 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 11 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 14 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 17 +are fishs distinguished by action: NONE + +vid id: 4cd9a4b1ef + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 4cdfe3c2b2 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 4cef87b649 + +Skipping giant_panda: There is single or no object. + +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 10 +are giant_pandas distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 17 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 4cf208e9b3 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 4cf5bc3e60 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 13 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 4cfdd73249 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 4cff5c9e42 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 4d26d41091 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 4d5c23c554 + +-----------category name: parrot, frame name: 9 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 14 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 24 +are parrots distinguished by action: "NONE" + +-----------category name: parrot, frame name: 29 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 4d67c59727 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 4d983cad9f + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 4da0d00b55 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 4daa179861 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 4dadd57153 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 4db117e6c5 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 4de4ce4dea + +-----------category name: giant_panda, frame name: 9 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 16 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 21 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 27 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 4dfaee19e5 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 4dfdd7fab0 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 4e3f346aa5 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 4e49c2a9c7 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 4e4e06a749 + +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giant_panda, frame name: 10 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 20 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 30 +are giant_pandas distinguished by action: YES + +vid id: 4e70279712 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 4e72856cc7 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 4e752f8075 + +-----------category name: rabbit, frame name: 9 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: rabbit, frame name: 13 +are rabbits distinguished by action: YES + +-----------category name: rabbit, frame name: 21 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: rabbit, frame name: 29 +are rabbits distinguished by action: YES + +vid id: 4e7a28907f + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 4e824b9247 + +-----------category name: tiger, frame name: 2 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: tiger, frame name: 17 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: tiger, frame name: 21 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: tiger, frame name: 32 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 4e82b1df57 + +-----------category name: ape, frame name: 8 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 16 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 25 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 26 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 4e87a639bc + +-----------category name: leopard, frame name: 6 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 16 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: leopard, frame name: 19 +are leopards distinguished by action: NONE + +-----------category name: leopard, frame name: 27 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 4ea77bfd15 + +-----------category name: snail, frame name: 2 +are snails distinguished by action: NONE + +-----------category name: snail, frame name: 12 +are snails distinguished by action: NONE + +-----------category name: snail, frame name: 18 +are snails distinguished by action: "NONE" + +-----------category name: snail, frame name: 22 +are snails distinguished by action: "NONE" + +vid id: 4eb6fc23a2 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 4ec9da329e + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 4efb9a0720 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 4f062fbc63 + +-----------category name: motorbike, frame name: 3 +are motorbikes distinguished by action: NONE + +-----------category name: motorbike, frame name: 8 +are motorbikes distinguished by action: "NONE" + +-----------category name: motorbike, frame name: 10 +are motorbikes distinguished by action: "NONE" + +-----------category name: motorbike, frame name: 14 +are motorbikes distinguished by action: NONE + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 4f35be0e0b + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 4f37e86797 + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: 4f414dd6e7 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 4f424abded + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 4f470cc3ae + +-----------category name: lizard, frame name: 6 +are lizards distinguished by action: NONE + +-----------category name: lizard, frame name: 11 +are lizards distinguished by action: NONE + +-----------category name: lizard, frame name: 19 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 20 +are lizards distinguished by action: NONE + +vid id: 4f601d255a + +-----------category name: fox, frame name: 5 +are foxs distinguished by action: YES + +-----------category name: fox, frame name: 8 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: fox, frame name: 18 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 21 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 4f7386a1ab + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 4f824d3dcd + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: 4f827b0751 + +-----------category name: lizard, frame name: 5 +are lizards distinguished by action: "NONE" + +-----------category name: lizard, frame name: 12 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 14 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 20 +are lizards distinguished by action: NONE + +vid id: 4f8db33a13 + +-----------category name: rabbit, frame name: 4 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 16 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 24 +are rabbits distinguished by action: "NONE" + +-----------category name: rabbit, frame name: 32 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 4fa160f8a3 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 4fa9c30a45 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 4facd8f0e8 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 4fca07ad01 + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 4fded94004 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 4fdfef4dea + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +vid id: 4feb3ac01f + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 4fffec8479 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 500c835a86 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 50168342bf + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 50243cffdc + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 5031d5a036 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: 504dd9c0fd + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 50568fbcfb + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 5069c7c5b3 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 508189ac91 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 50b6b3d4b7 + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 50c6f4fe3e + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +vid id: 50cce40173 + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: 50efbe152f + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 50f290b95d + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 5104aa1fea + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +vid id: 5110dc72c0 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 511e8ecd7f + +-----------category name: mouse, frame name: 2 +are mouses distinguished by action: YES + +-----------category name: mouse, frame name: 9 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: mouse, frame name: 18 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: mouse, frame name: 22 +are mouses distinguished by action: YES + +vid id: 513aada14e + +-----------category name: dog, frame name: 4 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 5 +are dogs distinguished by action: "NONE" + +-----------category name: dog, frame name: 10 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: dog, frame name: 16 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 5158d6e985 + +Skipping parrot: There is single or no object. + +-----------category name: parrot, frame name: 17 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 22 +are parrots distinguished by action: "NONE" + +-----------category name: parrot, frame name: 30 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 5161e1fa57 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 51794ddd58 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 517d276725 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 51a597ee04 + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 51b37b6d97 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 51b5dc30a0 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: 51e85b347b + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 24 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 33 +are persons distinguished by action: "NONE" + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 51eea1fdac + +-----------category name: giant_panda, frame name: 4 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giant_panda, frame name: 12 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 19 +are giant_pandas distinguished by action: "NONE" + +-----------category name: giant_panda, frame name: 20 +are giant_pandas distinguished by action: YES + +vid id: 51eef778af + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 51f384721c + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 521cfadcb4 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 52355da42f + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: 5247d4b160 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 524b470fd0 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 524cee1534 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +-----------category name: motorbike, frame name: 3 +are motorbikes distinguished by action: NONE + +-----------category name: motorbike, frame name: 7 +are motorbikes distinguished by action: "NONE" + +-----------category name: motorbike, frame name: 10 +are motorbikes distinguished by action: "NONE" + +-----------category name: motorbike, frame name: 14 +are motorbikes distinguished by action: "NONE" + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 5252195e8a + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 5255c9ca97 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 525928f46f + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 9 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 17 +are persons distinguished by action: NONE + +vid id: 526df007a7 + +-----------category name: duck, frame name: 7 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 12 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 18 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: duck, frame name: 28 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 529b12de78 + +-----------category name: sedan, frame name: 3 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 6 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 13 +are sedans distinguished by action: "NONE" + +vid id: 52c7a3d653 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: 52c8ec0373 + +-----------category name: person, frame name: 3 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 5 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 8 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 14 +are persons distinguished by action: "NONE" + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: 52d225ed52 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 52ee406d9e + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 52ff1ccd4a + +-----------category name: parrot, frame name: 3 +are parrots distinguished by action: "NONE" + +-----------category name: parrot, frame name: 9 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 12 +are parrots distinguished by action: "NONE" + +-----------category name: parrot, frame name: 16 +are parrots distinguished by action: NONE + +vid id: 53143511e8 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 5316d11eb7 + +-----------category name: bird, frame name: 2 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 6 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 12 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 17 +are birds distinguished by action: "NONE" + +vid id: 53253f2362 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 534a560609 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 5352c4a70e + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 18 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 29 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 536096501f + +-----------category name: cat, frame name: 2 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: cat, frame name: 6 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cat, frame name: 9 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 16 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 536b17bcea + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 5380eaabff + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 5390a43a54 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 53af427bb2 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 53bf5964ce + +-----------category name: ape, frame name: 4 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 15 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 18 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 27 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 53c30110b5 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 53cad8e44a + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 53d9c45013 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +vid id: 53e274f1b5 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 53e32d21ea + +Skipping hat: Determined to be non-movable. + +vid id: 540850e1c7 + +-----------category name: person, frame name: 4 +are persons distinguished by action: **NONE** + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 16 +are persons distinguished by action: **NONE** + +vid id: 540cb31cfe + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 541c4da30f + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +vid id: 541d7935d7 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 545468262b + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 5458647306 + +-----------category name: fish, frame name: 7 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 13 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 18 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fish, frame name: 23 +are fishs distinguished by action: YES + +vid id: 54657855cd + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +vid id: 547b3fb23b + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 5497dc3712 + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 549c56f1d4 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 54a4260bb1 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 54b98b8d5e + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 54e1054b0f + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 54e8867b83 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 54ebe34f6e + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 5519b4ad13 + +-----------category name: leopard, frame name: 2 +are leopards distinguished by action: NONE + +-----------category name: leopard, frame name: 5 +are leopards distinguished by action: NONE + +-----------category name: leopard, frame name: 10 +are leopards distinguished by action: NONE + +-----------category name: leopard, frame name: 12 +are leopards distinguished by action: NONE + +vid id: 551acbffd5 + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 9 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 16 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 26 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 55341f42da + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 5566ab97e1 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 556c79bbf2 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 5589637cc4 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 558aa072f0 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 559824b6f6 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 55c1764e90 + +-----------category name: ape, frame name: 5 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 13 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 25 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 31 +are apes distinguished by action: NONE + +vid id: 55eda6c77e + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 562d173565 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 5665c024cb + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 566cef4959 + +-----------category name: person, frame name: 3 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 5675d78833 + +-----------category name: giant_panda, frame name: 5 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 13 +are giant_pandas distinguished by action: "NONE" + +-----------category name: giant_panda, frame name: 14 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: giant_panda, frame name: 25 +are giant_pandas distinguished by action: NONE + +vid id: 5678a91bd8 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 567a2b4bd0 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 569c282890 + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 56cc449917 + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: 56e71f3e07 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 56f09b9d92 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 56fc0e8cf9 + +-----------category name: lizard, frame name: 6 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: lizard, frame name: 10 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: lizard, frame name: 18 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 25 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 571ca79c71 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 57243657cf + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 57246af7d1 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 57427393e9 + +-----------category name: truck, frame name: 5 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 7 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 12 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 14 +are trucks distinguished by action: NONE + +vid id: 574b682c19 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 578f211b86 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 5790ac295d + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 579393912d + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 57a344ab1a + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 57bd3bcda4 + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 57bfb7fa4c + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 57c010175e + +-----------category name: monkey, frame name: 7 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 15 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 22 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 29 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 57c457cc75 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 57c7fc2183 + +-----------category name: parrot, frame name: 7 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 8 +are parrots distinguished by action: "NONE" + +-----------category name: parrot, frame name: 17 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 26 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 57d5289a01 + +-----------category name: bus, frame name: 2 +are buss distinguished by action: NONE + +-----------category name: bus, frame name: 5 +are buss distinguished by action: NONE + +-----------category name: bus, frame name: 6 +are buss distinguished by action: NONE + +-----------category name: bus, frame name: 9 +are buss distinguished by action: NONE + +vid id: 58045fde85 + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 58163c37cd + +-----------category name: fox, frame name: 4 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 12 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 16 +are foxs distinguished by action: YES + +-----------category name: fox, frame name: 24 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 582d463e5c + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 5851739c15 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 585dd0f208 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 587250f3c3 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 589e4cc1de + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 589f65f5d5 + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 58a07c17d5 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 58adc6d8b6 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 58b9bcf656 + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 15 +are persons distinguished by action: "NONE" + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 58c374917e + +-----------category name: deer, frame name: 5 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: deer, frame name: 6 +are deers distinguished by action: YES + +-----------category name: deer, frame name: 12 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: deer, frame name: 15 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 58fc75fd42 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 5914c30f05 + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +vid id: 59323787d5 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 5937b08d69 + +-----------category name: elephant, frame name: 4 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 8 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 10 +are elephants distinguished by action: NONE + +-----------category name: elephant, frame name: 14 +are elephants distinguished by action: NONE + +vid id: 594065ddd7 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: 595a0ceea6 + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 59623ec40b + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 597ff7ef78 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 598c2ad3b2 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 59a6459751 + +-----------category name: giant_panda, frame name: 3 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 15 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 19 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 31 +are giant_pandas distinguished by action: "YES" + +Retrying caption generation... (1/3) +vid id: 59b175e138 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +vid id: 59bf0a149f + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 59d53d1649 + +-----------category name: parrot, frame name: 9 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 12 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 21 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 26 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 59e3e6fae7 + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 59fe33e560 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 5a13a73fe5 + +-----------category name: cow, frame name: 2 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 7 +are cows distinguished by action: "NONE" + +-----------category name: cow, frame name: 10 +are cows distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping cow: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 5a25c22770 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 5a4a785006 + +-----------category name: sedan, frame name: 2 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 6 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 13 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 17 +are sedans distinguished by action: NONE + +vid id: 5a50640995 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +-----------category name: duck, frame name: 22 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 28 +are ducks distinguished by action: YES + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 5a75f7a1cf + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 5a841e59ad + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 5a91c5ab6d + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 5ab49d9de0 + +-----------category name: person, frame name: 3 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 8 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 12 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +vid id: 5aba1057fe + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 5abe46ba6d + +-----------category name: train, frame name: 3 +are trains distinguished by action: NONE + +-----------category name: train, frame name: 7 +are trains distinguished by action: YES + +-----------category name: train, frame name: 9 +are trains distinguished by action: NONE + +-----------category name: train, frame name: 11 +are trains distinguished by action: NONE + +vid id: 5ac7c88d0c + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 5aeb95cc7d + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +-----------category name: sedan, frame name: 2 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 6 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 16 +are sedans distinguished by action: NONE + +vid id: 5af15e4fc3 + +-----------category name: duck, frame name: 2 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 7 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 10 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 12 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 5afe381ae4 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +vid id: 5b07b4229d + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 5b1001cc4f + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 5b1df237d2 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 5b263013bf + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sign: Determined to be non-movable. + +vid id: 5b27d19f0b + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 5b48ae16c5 + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 5b5babc719 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 5baaebdf00 + +-----------category name: fish, frame name: 2 +are fishs distinguished by action: "NONE" + +-----------category name: fish, frame name: 14 +are fishs distinguished by action: "NONE" + +-----------category name: fish, frame name: 23 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fish, frame name: 28 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 5bab55cdbe + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 5bafef6e79 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 5bc77844da + +-----------category name: lion, frame name: 8 +are lions distinguished by action: "NONE" + +-----------category name: lion, frame name: 10 +are lions distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lion, frame name: 21 +are lions distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lion, frame name: 33 +are lions distinguished by action: NONE + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 5bd1f84545 + +-----------category name: owl, frame name: 7 +are owls distinguished by action: NONE + +-----------category name: owl, frame name: 10 +are owls distinguished by action: NONE + +-----------category name: owl, frame name: 24 +are owls distinguished by action: NONE + +-----------category name: owl, frame name: 31 +are owls distinguished by action: "NONE" + +vid id: 5bddc3ba25 + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 5bdf7c20d2 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 5bf23bc9d3 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 5c01f6171a + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 5c021681b7 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 5c185cff1d + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 5c42aba280 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 5c44bf8ab6 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 5c4c574894 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 5c52fa4662 + +-----------category name: person, frame name: 3 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 5c6ea7dac3 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping person: There is single or no object. + +vid id: 5c74315dc2 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 5c7668855e + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 5c83e96778 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 5ca36173e4 + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +vid id: 5cac477371 + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 17 +are persons distinguished by action: NONE + +vid id: 5cb0cb1b2f + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 5cb0cfb98f + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 5cb49a19cf + +-----------category name: rabbit, frame name: 2 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 11 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 16 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 24 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 5cbf7dc388 + +-----------category name: earless_seal, frame name: 6 +are earless_seals distinguished by action: YES + +-----------category name: earless_seal, frame name: 14 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: earless_seal, frame name: 22 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: earless_seal, frame name: 26 +are earless_seals distinguished by action: YES + +vid id: 5d0e07d126 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 5d1e24b6e3 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 5d663000ff + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 5da6b2dc5d + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 5de9b90f24 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 5e08de0ed7 + +-----------category name: parrot, frame name: 7 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: parrot, frame name: 16 +are parrots distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 20 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping parrot: There is single or no object. + +vid id: 5e1011df9a + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: 5e1ce354fd + +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 12 +are giant_pandas distinguished by action: "NONE" + +-----------category name: giant_panda, frame name: 16 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 23 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 5e35512dd7 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 5e418b25f9 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Skipping person: There is single or no object. + +vid id: 5e4849935a + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 10 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 14 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 23 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 5e4ee19663 + +-----------category name: sedan, frame name: 4 +are sedans distinguished by action: I'm unable to identify actions or postures for sedans as described in your context. + +-----------category name: sedan, frame name: 7 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 13 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 16 +are sedans distinguished by action: NONE + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 5e886ef78f + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 5e8d00b974 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 5e8d59dc31 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 5ed838bd5c + +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 7 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 12 +are zebras distinguished by action: YES + +-----------category name: zebra, frame name: 15 +are zebras distinguished by action: "NONE" + +vid id: 5edda6ee5a + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 5ede4d2f7a + +-----------category name: duck, frame name: 2 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 8 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 17 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 23 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 5ede9767da + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 5ee23ca60e + +-----------category name: bird, frame name: 4 +are birds distinguished by action: YES + +-----------category name: bird, frame name: 6 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: bird, frame name: 8 +are birds distinguished by action: YES + +-----------category name: bird, frame name: 11 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 5eec4d9fe5 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 5eecf07824 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 5eef7ed4f4 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 5ef5860ac6 + +-----------category name: owl, frame name: 5 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: owl, frame name: 10 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: owl, frame name: 17 +are owls distinguished by action: YES + +-----------category name: owl, frame name: 23 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 5ef6573a99 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 5f1193e72b + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 5f29ced797 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 5f32cf521e + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +-----------category name: ape, frame name: 18 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 20 +are apes distinguished by action: YES + +vid id: 5f51876986 + +-----------category name: sedan, frame name: 4 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 7 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 16 +are sedans distinguished by action: NONE + +vid id: 5f6ebe94a9 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: 5f6f14977c + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 5f808d0d2d + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 5fb8aded6a + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 5fba90767d + +-----------category name: sedan, frame name: 3 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 7 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 14 +are sedans distinguished by action: NONE + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 5fd1c7a3df + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 5fd3da9f68 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 5fee2570ae + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 5ff66140d6 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 5ff8b85b53 + +-----------category name: leopard, frame name: 5 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: leopard, frame name: 15 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 20 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 26 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 600803c0f6 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 600be7f53e + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 6024888af8 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 603189a03c + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 6057307f6e + +-----------category name: mouse, frame name: 2 +are mouses distinguished by action: YES + +-----------category name: mouse, frame name: 12 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: mouse, frame name: 23 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: mouse, frame name: 29 +are mouses distinguished by action: YES + +vid id: 6061ddbb65 + +-----------category name: giant_panda, frame name: 4 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 9 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 10 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 15 +are giant_pandas distinguished by action: NONE + +vid id: 606c86c455 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 60c61cc2e5 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 60e51ff1ae + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 610e38b751 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 61344be2f6 + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 16 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 21 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 30 +are apes distinguished by action: "NONE" + +vid id: 6135e27185 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 614afe7975 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 614e571886 + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +vid id: 614e7078db + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +vid id: 619812a1a7 + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 61b481a78b + +-----------category name: cat, frame name: 5 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: cat, frame name: 8 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: cat, frame name: 10 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cat, frame name: 17 +are cats distinguished by action: NONE + +vid id: 61c7172650 + +-----------category name: parrot, frame name: 8 +are parrots distinguished by action: "NONE" + +-----------category name: parrot, frame name: 14 +are parrots distinguished by action: "NONE" + +-----------category name: parrot, frame name: 20 +are parrots distinguished by action: "YES" + +-----------category name: parrot, frame name: 24 +are parrots distinguished by action: "NONE" + +vid id: 61cf7e40d2 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 11 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +vid id: 61da008958 + +-----------category name: sedan, frame name: 3 +are sedans distinguished by action: "NONE" + +-----------category name: sedan, frame name: 8 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 12 +are sedans distinguished by action: NONE + +Skipping sedan: There is single or no object. + +vid id: 61ed178ecb + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 61f5d1282c + +-----------category name: boat, frame name: 4 +are boats distinguished by action: YES + +-----------category name: boat, frame name: 6 +are boats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: boat, frame name: 8 +are boats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: boat, frame name: 14 +are boats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 61fd977e49 + +Skipping others: Determined to be non-movable. + +vid id: 621584cffe + +-----------category name: monkey, frame name: 3 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: monkey, frame name: 15 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 22 +are monkeys distinguished by action: NONE + +-----------category name: monkey, frame name: 31 +are monkeys distinguished by action: YES + +vid id: 625817a927 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 625892cf0b + +-----------category name: sedan, frame name: 2 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 8 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 11 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 14 +are sedans distinguished by action: NONE + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 625b89d28a + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +vid id: 629995af95 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 62a0840bb5 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 62ad6e121c + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 62d6ece152 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 62ede7b2da + +-----------category name: cow, frame name: 2 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 6 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: cow, frame name: 10 +are cows distinguished by action: NONE + +Skipping cow: There is single or no object. + +vid id: 62f025e1bc + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 6316faaebc + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 63281534dc + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 19 +are persons distinguished by action: YES + +-----------category name: person, frame name: 26 +are persons distinguished by action: NONE + +vid id: 634058dda0 + +Skipping others: Determined to be non-movable. + +vid id: 6353f09384 + +-----------category name: hedgehog, frame name: 9 +are hedgehogs distinguished by action: NONE + +-----------category name: hedgehog, frame name: 11 +are hedgehogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: hedgehog, frame name: 20 +are hedgehogs distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: hedgehog, frame name: 29 +are hedgehogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6363c87314 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 636e4872e0 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 637681cd6b + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 6376d49f31 + +-----------category name: earless_seal, frame name: 2 +are earless_seals distinguished by action: YES + +-----------category name: earless_seal, frame name: 17 +are earless_seals distinguished by action: YES + +-----------category name: earless_seal, frame name: 21 +are earless_seals distinguished by action: YES + +-----------category name: earless_seal, frame name: 26 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 6377809ec2 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: 63936d7de5 + +-----------category name: truck, frame name: 4 +are trucks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: truck, frame name: 7 +are trucks distinguished by action: YES + +-----------category name: truck, frame name: 10 +are trucks distinguished by action: YES + +-----------category name: truck, frame name: 17 +are trucks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 639bddef11 + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 63d37e9fd3 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 63d90c2bae + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 63e544a5d6 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 63ebbcf874 + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 63fff40b31 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 6406c72e4d + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +vid id: 64148128be + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 6419386729 + +-----------category name: giant_panda, frame name: 5 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 13 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giant_panda, frame name: 14 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 25 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 643092bc41 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 644081b88d + +-----------category name: shark, frame name: 3 +are sharks distinguished by action: NONE + +-----------category name: shark, frame name: 10 +are sharks distinguished by action: NONE + +-----------category name: shark, frame name: 19 +are sharks distinguished by action: NONE + +Skipping shark: There is single or no object. + +vid id: 64453cf61d + +-----------category name: ape, frame name: 2 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 13 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 20 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 32 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 644bad9729 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6454f548fd + +-----------category name: snake, frame name: 5 +are snakes distinguished by action: NONE + +-----------category name: snake, frame name: 11 +are snakes distinguished by action: "NONE" + +-----------category name: snake, frame name: 24 +are snakes distinguished by action: NONE + +-----------category name: snake, frame name: 30 +are snakes distinguished by action: NONE + +vid id: 645913b63a + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 64750b825f + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 64a43876b7 + +-----------category name: duck, frame name: 4 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 9 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 11 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 17 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 64dd6c83e3 + +-----------category name: bear, frame name: 2 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 5 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 9 +are bears distinguished by action: YES + +-----------category name: bear, frame name: 12 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 64e05bf46e + +Skipping umbrella: Determined to be non-movable. + +Skipping bucket: Determined to be non-movable. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +vid id: 64f55f1478 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 650b0165e4 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 651066ed39 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 652b67d960 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 653821d680 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 6538d00d73 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 65866dce22 + +-----------category name: camel, frame name: 3 +are camels distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: camel, frame name: 8 +are camels distinguished by action: YES + +-----------category name: camel, frame name: 14 +are camels distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: camel, frame name: 21 +are camels distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 6589565c8c + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 659832db64 + +-----------category name: snail, frame name: 8 +are snails distinguished by action: NONE + +-----------category name: snail, frame name: 17 +are snails distinguished by action: "NONE" + +-----------category name: snail, frame name: 24 +are snails distinguished by action: "NONE" + +-----------category name: snail, frame name: 31 +are snails distinguished by action: "NONE" + +vid id: 65ab7e1d98 + +Skipping frisbee: Determined to be non-movable. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 65b7dda462 + +-----------category name: sheep, frame name: 4 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 14 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 25 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 33 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 65bd5eb4f5 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 65dcf115ab + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 65e9825801 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 65f9afe51c + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 65ff12bcb5 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 666b660284 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 6671643f31 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 668364b372 + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 6 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 10 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 16 +are persons distinguished by action: "NONE" + +vid id: 66852243cb + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6693a52081 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 669b572898 + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 16 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 20 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 30 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 66e98e78f5 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 670f12e88f + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 674c12c92d + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 675c27208a + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 675ed3e1ca + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: 67741db50a + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 678a2357eb + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 67b0f4d562 + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: 67cfbff9b1 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 67e717d6bd + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 67ea169a3b + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping bucket: Determined to be non-movable. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +vid id: 67ea809e0e + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 681249baa3 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 683de643d9 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6846ac20df + +-----------category name: motorbike, frame name: 4 +are motorbikes distinguished by action: NONE + +-----------category name: motorbike, frame name: 7 +are motorbikes distinguished by action: NONE + +-----------category name: motorbike, frame name: 12 +are motorbikes distinguished by action: "NONE" + +-----------category name: motorbike, frame name: 17 +are motorbikes distinguished by action: NONE + +vid id: 6848e012ef + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 684bcd8812 + +-----------category name: person, frame name: 3 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 684dc1c40c + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 685a1fa9cf + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 686dafaac9 + +-----------category name: fish, frame name: 4 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 8 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 19 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 26 +are fishs distinguished by action: "NONE" + +vid id: 68807d8601 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +vid id: 6893778c77 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6899d2dabe + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 68a2fad4ab + +-----------category name: fish, frame name: 9 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 17 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 18 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 29 +are fishs distinguished by action: "NONE" + +vid id: 68cb45fda3 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 68cc4a1970 + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 68dcb40675 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 68ea4a8c3d + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 68f6e7fbf0 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 68fa8300b4 + +-----------category name: monkey, frame name: 5 +are monkeys distinguished by action: NONE + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 69023db81f + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 6908ccf557 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 691a111e7c + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: 6927723ba5 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 692ca0e1a2 + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: 692eb57b63 + +Skipping dolphin: There is single or no object. + +-----------category name: dolphin, frame name: 11 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 21 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 26 +are dolphins distinguished by action: NONE + +vid id: 69340faa52 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +vid id: 693cbf0c9d + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 6942f684ad + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 6944fc833b + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 69491c0ebf + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 695b61a2b0 + +-----------category name: bear, frame name: 2 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 9 +are bears distinguished by action: YES + +-----------category name: bear, frame name: 11 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: bear, frame name: 14 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 6979b4d83f + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: 697d4fdb02 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 69910460a4 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 6997636670 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 69a436750b + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 69aebf7669 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 69b8c17047 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 69c67f109f + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 28 +are persons distinguished by action: NONE + +vid id: 69e0e7b868 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 69ea9c09d1 + +-----------category name: earless_seal, frame name: 9 +are earless_seals distinguished by action: NONE + +-----------category name: earless_seal, frame name: 15 +are earless_seals distinguished by action: "NONE" + +Skipping earless_seal: There is single or no object. + +-----------category name: earless_seal, frame name: 26 +are earless_seals distinguished by action: NONE + +vid id: 69f0af42a6 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 6a078cdcc7 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 6a37a91708 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 6a42176f2e + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6a48e4aea8 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 6a5977be3a + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 6a5de0535f + +-----------category name: ape, frame name: 3 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 16 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 22 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 28 +are apes distinguished by action: "NONE" + +vid id: 6a80d2e2e5 + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +vid id: 6a96c8815d + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 6a986084e2 + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 6aa8e50445 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 5 +are persons distinguished by action: "YES" + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 16 +are persons distinguished by action: NONE + +vid id: 6ab9dce449 + +-----------category name: rabbit, frame name: 4 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 11 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 17 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 21 +are rabbits distinguished by action: NONE + +vid id: 6abf0ba6b2 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 6acc6049d9 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 6adb31756c + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 6ade215eb0 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping bucket: Determined to be non-movable. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +vid id: 6afb7d50e4 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 6afd692f1a + +-----------category name: parrot, frame name: 6 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 10 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: parrot, frame name: 20 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 31 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 6b0b1044fe + +-----------category name: sedan, frame name: 3 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 5 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 16 +are sedans distinguished by action: "NONE" + +vid id: 6b17c67633 + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 6b1b6ef28b + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6b1e04d00d + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 24 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 27 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 6b2261888d + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 6b25d6528a + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6b3a24395c + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6b685eb75b + +Skipping hat: Determined to be non-movable. + +vid id: 6b79be238c + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 6b928b7ba6 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 6b9c43c25a + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 6ba99cc41f + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 6bdab62bcd + +-----------category name: zebra, frame name: 3 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: zebra, frame name: 5 +are zebras distinguished by action: YES + +-----------category name: zebra, frame name: 10 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: zebra, frame name: 14 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 6bf2e853b1 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 6bf584200f + +-----------category name: rabbit, frame name: 4 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 12 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 16 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: rabbit, frame name: 27 +are rabbits distinguished by action: "NONE" + +vid id: 6bf95df2b9 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6c0949c51c + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 6c11a5f11f + +-----------category name: truck, frame name: 3 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 6 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 10 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 14 +are trucks distinguished by action: "NONE" + +vid id: 6c23d89189 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6c4387daf5 + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 6c4ce479a4 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 6c5123e4bc + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 6c54265f16 + +-----------category name: zebra, frame name: 3 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 6c56848429 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 6c81b014e9 + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 6c99ea7c31 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 6c9d29d509 + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: 6c9e3b7d1a + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 6ca006e283 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 6caeb928d6 + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: 6cb2ee722a + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 6cbfd32c5e + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 6cc791250b + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6cccc985e0 + +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: YES + +-----------category name: zebra, frame name: 9 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 13 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 15 +are zebras distinguished by action: NONE + +vid id: 6d12e30c48 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 6d4bf200ad + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 6d6d2b8843 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: 6d6eea5682 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +-----------category name: leopard, frame name: 30 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 6d7a3d0c21 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6d7efa9b9e + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 23 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 27 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 6da21f5c91 + +-----------category name: eagle, frame name: 8 +are eagles distinguished by action: YES + +-----------category name: eagle, frame name: 16 +are eagles distinguished by action: "NONE" + +-----------category name: eagle, frame name: 18 +are eagles distinguished by action: "NONE" + +-----------category name: eagle, frame name: 29 +are eagles distinguished by action: NONE + +vid id: 6da6adabc0 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 6dd2827fbb + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 6dd36705b9 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping bucket: Determined to be non-movable. + +vid id: 6df3637557 + +Skipping lion: There is single or no object. + +-----------category name: lion, frame name: 16 +are lions distinguished by action: NONE + +-----------category name: lion, frame name: 25 +are lions distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lion, frame name: 30 +are lions distinguished by action: "NONE" + +vid id: 6dfe55e9e5 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 6e1a21ba55 + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping hat: Determined to be non-movable. + +vid id: 6e2f834767 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 6e36e4929a + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 6e4f460caf + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +vid id: 6e618d26b6 + +-----------category name: giraffe, frame name: 3 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 5 +are giraffes distinguished by action: NONE + +-----------category name: giraffe, frame name: 6 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 9 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 6ead4670f7 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 6eaff19b9f + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 6eb2e1cd9e + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 6eb30b3b5a + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6eca26c202 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6ecad29e52 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 6ef0b44654 + +-----------category name: zebra, frame name: 2 +are zebras distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 10 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 16 +are zebras distinguished by action: "NONE" + +vid id: 6efcfe9275 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6f4789045c + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: 6f49f522ef + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 6f67d7c4c4 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 6f96e91d81 + +-----------category name: rabbit, frame name: 6 +are rabbits distinguished by action: YES + +-----------category name: rabbit, frame name: 12 +are rabbits distinguished by action: YES + +-----------category name: rabbit, frame name: 17 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: rabbit, frame name: 23 +are rabbits distinguished by action: YES + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6fc6fce380 + +-----------category name: dolphin, frame name: 6 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 14 +are dolphins distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: dolphin, frame name: 18 +are dolphins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: dolphin, frame name: 32 +are dolphins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 6fc9b44c00 + +-----------category name: zebra, frame name: 2 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 7 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 13 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: zebra, frame name: 15 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 6fce7f3226 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 6fdf1ca888 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 702fd8b729 + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 70405185d2 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7053e4f41e + +Skipping fish: There is single or no object. + +-----------category name: fish, frame name: 10 +are fishs distinguished by action: NONE + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 707bf4ce41 + +-----------category name: cat, frame name: 2 +are cats distinguished by action: "NONE" + +-----------category name: cat, frame name: 6 +are cats distinguished by action: **NONE** + +-----------category name: cat, frame name: 9 +are cats distinguished by action: "YES" + +-----------category name: cat, frame name: 13 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 7082544248 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 708535b72a + +-----------category name: truck, frame name: 3 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 8 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 11 +are trucks distinguished by action: "NONE" + +-----------category name: truck, frame name: 15 +are trucks distinguished by action: "NONE" + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7094ac0f60 + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 70a6b875fa + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 70c3e97e41 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 7106b020ab + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 711dce6fe2 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping bucket: Determined to be non-movable. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 7136a4453f + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 7143fb084f + +-----------category name: lizard, frame name: 5 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: lizard, frame name: 10 +are lizards distinguished by action: NONE + +-----------category name: lizard, frame name: 23 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 27 +are lizards distinguished by action: YES + +vid id: 714d902095 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7151c53b32 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 715357be94 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7163b8085f + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 716df1aa59 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 71caded286 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 71d2665f35 + +-----------category name: deer, frame name: 3 +are deers distinguished by action: "NONE" + +-----------category name: deer, frame name: 6 +are deers distinguished by action: "NONE" + +-----------category name: deer, frame name: 9 +are deers distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: deer, frame name: 13 +are deers distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 71d67b9e19 + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping bucket: Determined to be non-movable. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 71e06dda39 + +-----------category name: rabbit, frame name: 2 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 17 +are rabbits distinguished by action: "NONE" + +-----------category name: rabbit, frame name: 22 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: rabbit, frame name: 27 +are rabbits distinguished by action: NONE + +vid id: 720b398b9c + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 5 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 720e3fa04c + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 720e7a5f1e + +-----------category name: monkey, frame name: 2 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 5 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 9 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 15 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 721bb6f2cb + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 722803f4f2 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 72552a07c9 + +-----------category name: tiger, frame name: 3 +are tigers distinguished by action: NONE + +-----------category name: tiger, frame name: 6 +are tigers distinguished by action: NONE + +-----------category name: tiger, frame name: 9 +are tigers distinguished by action: NONE + +-----------category name: tiger, frame name: 13 +are tigers distinguished by action: NONE + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 726243a205 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 728cda9b65 + +-----------category name: airplane, frame name: 3 +are airplanes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: airplane, frame name: 7 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 10 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 12 +are airplanes distinguished by action: NONE + +vid id: 728e81c319 + +-----------category name: bear, frame name: 3 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 5 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 8 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 13 +are bears distinguished by action: NONE + +vid id: 72a810a799 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 72acb8cdf6 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 72b01281f9 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 72cac683e4 + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 72cadebbce + +-----------category name: owl, frame name: 8 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: owl, frame name: 15 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: owl, frame name: 25 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: owl, frame name: 33 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 72cae058a5 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 72d8dba870 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +vid id: 72e8d1c1ff + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 72edc08285 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 72f04f1a38 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 731b825695 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 23 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 7320b49b13 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 732626383b + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 8 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +vid id: 732df1eb05 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 73329902ab + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 733798921e + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 733824d431 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 734ea0d7fb + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 735a7cf7b9 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 7367a42892 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: 7368d5c053 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 738e5a0a14 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 73c6ae7711 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 73e1852735 + +-----------category name: sheep, frame name: 4 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 12 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 16 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 24 +are sheeps distinguished by action: NONE + +vid id: 73e4e5cc74 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 73eac9156b + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 73f8441a88 + +-----------category name: elephant, frame name: 4 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 6 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 9 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 15 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7419e2ab3f + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 74267f68b9 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 747c44785c + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: 747f1b1f2f + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +-----------category name: earless_seal, frame name: 3 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: earless_seal, frame name: 8 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: 748b2d5c01 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 74d4cee0a4 + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 5 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 8 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +vid id: 74ec2b3073 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 74ef677020 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 750be4c4d8 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 75172d4ac8 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 75285a7eb1 + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 75504539c3 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: "NONE" + +vid id: 7550949b1d + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 7551cbd537 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 75595b453d + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +vid id: 7559b4b0ec + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 755bd1fbeb + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: I'm unable to determine the actions or postures of individuals labeled in the image. + +-----------category name: person, frame name: 7 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 11 +are persons distinguished by action: I'm unable to determine the actions or postures of people in images. + +-----------category name: person, frame name: 16 +are persons distinguished by action: NONE + +vid id: 756f76f74d + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7570ca7f3c + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 757a69746e + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: 757cac96c6 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 7584129dc3 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 75a058dbcd + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 75b09ce005 + +Skipping knife: Determined to be non-movable. + +vid id: 75cae39a8f + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 75cee6caf0 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 75cf58fb2c + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +-----------category name: dog, frame name: 2 +are dogs distinguished by action: "NONE" + +-----------category name: dog, frame name: 5 +are dogs distinguished by action: "NONE" + +-----------category name: dog, frame name: 10 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: dog, frame name: 16 +are dogs distinguished by action: NONE + +vid id: 75d5c2f32a + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 75eaf5669d + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 75f7937438 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 75f99bd3b3 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 75fa586876 + +-----------category name: cat, frame name: 4 +are cats distinguished by action: "NONE" + +-----------category name: cat, frame name: 6 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cat, frame name: 9 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 11 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 7613df1f84 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 762e1b3487 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 76379a3e69 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 764271f0f3 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 764503c499 + +-----------category name: sedan, frame name: 4 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 6 +are sedans distinguished by action: "NONE" + +-----------category name: sedan, frame name: 9 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 11 +are sedans distinguished by action: "NONE" + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7666351b84 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +vid id: 76693db153 + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: 767856368b + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 14 +are persons distinguished by action: "NONE" + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 768671f652 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 768802b80d + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 76962c7ed2 + +-----------category name: dog, frame name: 2 +are dogs distinguished by action: "NONE" + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +-----------category name: dog, frame name: 12 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 76a75f4eee + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 18 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 26 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 76b90809f7 + +-----------category name: snail, frame name: 8 +are snails distinguished by action: NONE + +-----------category name: snail, frame name: 12 +are snails distinguished by action: NONE + +-----------category name: snail, frame name: 19 +are snails distinguished by action: NONE + +-----------category name: snail, frame name: 32 +are snails distinguished by action: NONE + +vid id: 770a441457 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 772a0fa402 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 772f2ffc3e + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: 774f6c2175 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 77610860e0 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +-----------category name: cow, frame name: 7 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 8 +are cows distinguished by action: NONE + +-----------category name: dog, frame name: 3 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 4 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 7 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 8 +are dogs distinguished by action: NONE + +vid id: 777e58ff3d + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 77920f1708 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 7799df28e7 + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 779e847a9a + +-----------category name: sheep, frame name: 3 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 6 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 8 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 13 +are sheeps distinguished by action: NONE + +vid id: 77ba4edc72 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping sign: Determined to be non-movable. + +vid id: 77d8aa8691 + +-----------category name: fish, frame name: 4 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 16 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 24 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 27 +are fishs distinguished by action: NONE + +vid id: 77e7f38f4d + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +-----------category name: owl, frame name: 19 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: owl, frame name: 26 +are owls distinguished by action: NONE + +vid id: 77eea6845e + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7806308f33 + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: 78254660ea + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 7828af8bff + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 784398620a + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 784d201b12 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 78613981ed + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 78896c6baf + +-----------category name: duck, frame name: 3 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 5 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 10 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 16 +are ducks distinguished by action: NONE + +vid id: 78aff3ebc0 + +-----------category name: frog, frame name: 7 +are frogs distinguished by action: YES + +-----------category name: frog, frame name: 12 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: frog, frame name: 14 +are frogs distinguished by action: YES + +-----------category name: frog, frame name: 23 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 78c7c03716 + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 78d3676361 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: 78e29dd4c3 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 78f1a1a54f + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 79208585cd + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 792218456c + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 7923bad550 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 794e6fc49f + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 796e6762ce + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 797cd21f71 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 79921b21c2 + +-----------category name: leopard, frame name: 3 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: leopard, frame name: 9 +are leopards distinguished by action: NONE + +-----------category name: leopard, frame name: 14 +are leopards distinguished by action: NONE + +-----------category name: leopard, frame name: 26 +are leopards distinguished by action: NONE + +vid id: 79a5778027 + +-----------category name: ape, frame name: 8 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 10 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 23 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 32 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 79bc006280 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 79bf95e624 + +-----------category name: person, frame name: 3 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 6 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 79d9e00c55 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 79e20fc008 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 79e9db913e + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 14 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 20 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 33 +are persons distinguished by action: YES + +vid id: 79f014085e + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +vid id: 79fcbb433a + +-----------category name: monkey, frame name: 6 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 13 +are monkeys distinguished by action: NONE + +-----------category name: monkey, frame name: 14 +are monkeys distinguished by action: NONE + +-----------category name: monkey, frame name: 27 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 7a13a5dfaa + +-----------category name: ape, frame name: 5 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 14 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 20 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 29 +are apes distinguished by action: "NONE" + +vid id: 7a14bc9a36 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 7a3c535f70 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 7a446a51e9 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 7a56e759c5 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 7a5f46198d + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7a626ec98d + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +vid id: 7a802264c4 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 7a8b5456ca + +-----------category name: leopard, frame name: 8 +are leopards distinguished by action: "NONE" + +-----------category name: leopard, frame name: 17 +are leopards distinguished by action: "NONE" + +-----------category name: leopard, frame name: 19 +are leopards distinguished by action: "NONE" + +-----------category name: leopard, frame name: 28 +are leopards distinguished by action: "NONE" + +vid id: 7abdff3086 + +-----------category name: tiger, frame name: 6 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: tiger, frame name: 10 +are tigers distinguished by action: NONE + +-----------category name: tiger, frame name: 16 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: tiger, frame name: 24 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7aecf9f7ac + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 7b0fd09c28 + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +vid id: 7b18b3db87 + +-----------category name: ape, frame name: 8 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 15 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 23 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 27 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 7b39fe7371 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 7b49e03d4c + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 7b5388c9f1 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 7b5cf7837f + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 7b733d31d8 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7b74fd7b98 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 7b918ccb8a + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 7ba3ce3485 + +-----------category name: motorbike, frame name: 4 +are motorbikes distinguished by action: NONE + +-----------category name: motorbike, frame name: 6 +are motorbikes distinguished by action: NONE + +-----------category name: motorbike, frame name: 12 +are motorbikes distinguished by action: NONE + +-----------category name: motorbike, frame name: 14 +are motorbikes distinguished by action: NONE + +vid id: 7bb0abc031 + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +vid id: 7bb5bb25cd + +-----------category name: ape, frame name: 3 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 15 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 23 +are apes distinguished by action: "NONE" + +-----------category name: ape, frame name: 31 +are apes distinguished by action: NONE + +vid id: 7bb7dac673 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: 7bc7761b8c + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 7bf3820566 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 7c03a18ec1 + +-----------category name: horse, frame name: 2 +are horses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: horse, frame name: 9 +are horses distinguished by action: NONE + +-----------category name: horse, frame name: 11 +are horses distinguished by action: "NONE" + +-----------category name: horse, frame name: 17 +are horses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7c078f211b + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7c37d7991a + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 7c4ec17eff + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7c649c2aaf + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 7c73340ab7 + +-----------category name: elephant, frame name: 2 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 5 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: elephant, frame name: 9 +are elephants distinguished by action: "NONE" + +-----------category name: elephant, frame name: 13 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 7c78a2266d + +-----------category name: ape, frame name: 4 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 13 +are apes distinguished by action: "NONE" + +-----------category name: ape, frame name: 23 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 27 +are apes distinguished by action: "NONE" + +vid id: 7c88ce3c5b + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: 7ca6843a72 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 7cc9258dee + +Skipping cow: There is single or no object. + +-----------category name: cow, frame name: 6 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: cow, frame name: 13 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 14 +are cows distinguished by action: NONE + +vid id: 7d0ffa68a4 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 7d11b4450f + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 7d1333fcbe + +-----------category name: dog, frame name: 5 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: dog, frame name: 9 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 7d18074fef + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 7d18c8c716 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 7d508fb027 + +-----------category name: ape, frame name: 8 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 17 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 19 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 29 +are apes distinguished by action: YES + +vid id: 7d55f791f0 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7d74e3c2f6 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 7d783f67a9 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 7d83a5d854 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 7dd409947e + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7de45f75e5 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 7e0cd25696 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 7e1922575c + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 13 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 7e1e3bbcc1 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 7e24023274 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7e2f212fd3 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 7e6d1cc1f4 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 7e7cdcb284 + +-----------category name: fox, frame name: 7 +are foxs distinguished by action: NONE + +-----------category name: fox, frame name: 12 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 17 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 22 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 7e9b6bef69 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: 7ea5b49283 + +-----------category name: elephant, frame name: 4 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 6 +are elephants distinguished by action: YES + +-----------category name: elephant, frame name: 8 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: elephant, frame name: 12 +are elephants distinguished by action: YES + +vid id: 7eb2605d96 + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7eb26b8485 + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 7ecd1f0c69 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7f02b3cfe2 + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 7f1723f0d5 + +-----------category name: giraffe, frame name: 3 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 6 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 12 +are giraffes distinguished by action: NONE + +-----------category name: giraffe, frame name: 15 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 7f21063c3a + +Skipping others: Determined to be non-movable. + +vid id: 7f3658460e + +-----------category name: bear, frame name: 3 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 5 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 9 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 15 +are bears distinguished by action: NONE + +vid id: 7f54132e48 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 7f559f9d4a + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 7f5faedf8b + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +-----------category name: airplane, frame name: 8 +are airplanes distinguished by action: NONE + +Skipping airplane: There is single or no object. + +vid id: 7f838baf2b + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +-----------category name: person, frame name: 29 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 7fa5f527e3 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 7ff84d66dd + +-----------category name: frog, frame name: 4 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: frog, frame name: 11 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: frog, frame name: 14 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: frog, frame name: 22 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 802b45c8c4 + +-----------category name: giant_panda, frame name: 8 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 17 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 24 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 26 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 804382b1ad + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 21 +are persons distinguished by action: NONE + +Skipping person: There is single or no object. + +vid id: 804c558adb + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 804f6338a4 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 8056117b89 + +-----------category name: lion, frame name: 3 +are lions distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lion, frame name: 13 +are lions distinguished by action: YES + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 806b6223ab + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 80b790703b + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: 80c4a94706 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 80ce2e351b + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +vid id: 80db581acd + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: dog, frame name: 2 +are dogs distinguished by action: "NONE" + +-----------category name: dog, frame name: 9 +are dogs distinguished by action: I'm unable to determine specific actions or postures for the dogs from this frame. + +-----------category name: dog, frame name: 10 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 17 +are dogs distinguished by action: "NONE" + +vid id: 80e12193df + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 80e41b608f + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 80f16b016d + +-----------category name: giraffe, frame name: 2 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 7 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 9 +are giraffes distinguished by action: NONE + +-----------category name: giraffe, frame name: 16 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 81541b3725 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8175486e6a + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 8179095000 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 8193671178 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 81a58d2c6b + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 12 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 15 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 27 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 81aa1286fb + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 81dffd30fb + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 824973babb + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 824ca5538f + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 827171a845 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8273a03530 + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 827cf4f886 + +-----------category name: sheep, frame name: 4 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 6 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: sheep, frame name: 9 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 16 +are sheeps distinguished by action: NONE + +vid id: 82b865c7dd + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 82c1517708 + +-----------category name: bear, frame name: 3 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 7 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 9 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 13 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 82d15514d6 + +-----------category name: ape, frame name: 3 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 9 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 14 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 23 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 82e117b900 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 82fec06574 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 832b5ef379 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 83424c9fbf + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 8345358fb8 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +vid id: 834b50b31b + +-----------category name: whale, frame name: 6 +are whales distinguished by action: YES + +-----------category name: whale, frame name: 15 +are whales distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: whale, frame name: 21 +are whales distinguished by action: YES + +-----------category name: whale, frame name: 26 +are whales distinguished by action: NONE + +vid id: 835e3b67d7 + +-----------category name: person, frame name: 5 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 15 +are persons distinguished by action: NONE + +vid id: 836ea92b15 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 837c618777 + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: 838eb3bd89 + +-----------category name: monkey, frame name: 6 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: monkey, frame name: 10 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 20 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 26 +are monkeys distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 839381063f + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 839bc71489 + +-----------category name: monkey, frame name: 4 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 17 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 25 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: monkey, frame name: 27 +are monkeys distinguished by action: "NONE" + +vid id: 83a8151377 + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +vid id: 83ae88d217 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 83ca8bcad0 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 83ce590d7f + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 83d40bcba5 + +-----------category name: duck, frame name: 2 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 6 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 8 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 14 +are ducks distinguished by action: NONE + +vid id: 83daba503a + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 83de906ec0 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 84044f37f3 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 84696b5a5e + +-----------category name: person, frame name: 4 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 13 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 15 +are persons distinguished by action: NONE + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 84752191a3 + +-----------category name: sedan, frame name: 4 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 5 +are sedans distinguished by action: I can't assess actions or postures of sedans because they're vehicles and don't have poses or movements like people. Therefore, the concept doesn't apply. So, "NONE". + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 847eeeb2e0 + +-----------category name: dolphin, frame name: 9 +are dolphins distinguished by action: "NONE" + +-----------category name: dolphin, frame name: 14 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 20 +are dolphins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dolphin, frame name: 30 +are dolphins distinguished by action: NONE + +vid id: 848e7835a0 + +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 9 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 11 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 17 +are zebras distinguished by action: "NONE" + +vid id: 84a4b29286 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 84a4bf147d + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 84be115c09 + +-----------category name: dolphin, frame name: 3 +are dolphins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dolphin, frame name: 13 +are dolphins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dolphin, frame name: 14 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 22 +are dolphins distinguished by action: NONE + +vid id: 84d95c4350 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 84e0922cf7 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 84f0cfc665 + +-----------category name: sedan, frame name: 4 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 9 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 15 +are sedans distinguished by action: NONE + +vid id: 8515f6db22 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 851f2f32c1 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 852a4d6067 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 854c48b02a + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 857a387c86 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 859633d56a + +-----------category name: giraffe, frame name: 3 +are giraffes distinguished by action: NONE + +-----------category name: giraffe, frame name: 8 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 11 +are giraffes distinguished by action: "NONE" + +-----------category name: giraffe, frame name: 14 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 85a4f4a639 + +-----------category name: ape, frame name: 5 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 13 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 19 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 24 +are apes distinguished by action: YES + +vid id: 85ab85510c + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 85b1eda0d9 + +-----------category name: bear, frame name: 2 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 5 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 10 +are bears distinguished by action: YES + +-----------category name: bear, frame name: 15 +are bears distinguished by action: NONE + +vid id: 85dc1041c6 + +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping hat: Determined to be non-movable. + +vid id: 85e081f3c7 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 85f75187ad + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 8604bb2b75 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 860745b042 + +-----------category name: tiger, frame name: 2 +are tigers distinguished by action: YES + +-----------category name: tiger, frame name: 8 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: tiger, frame name: 16 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: tiger, frame name: 27 +are tigers distinguished by action: YES + +vid id: 863b4049d7 + +-----------category name: sheep, frame name: 3 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 15 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 23 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: sheep, frame name: 29 +are sheeps distinguished by action: NONE + +vid id: 8643de22d0 + +-----------category name: hedgehog, frame name: 8 +are hedgehogs distinguished by action: "NONE" + +-----------category name: hedgehog, frame name: 14 +are hedgehogs distinguished by action: NONE + +-----------category name: hedgehog, frame name: 23 +are hedgehogs distinguished by action: NONE + +-----------category name: hedgehog, frame name: 28 +are hedgehogs distinguished by action: NONE + +vid id: 864ffce4fe + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 8662d9441a + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 8666521b13 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 868d6a0685 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 869fa45998 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 86a40b655d + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 86a8ae4223 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 86b2180703 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 86c85d27df + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 86d3755680 + +-----------category name: tiger, frame name: 3 +are tigers distinguished by action: NONE + +-----------category name: tiger, frame name: 12 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping tiger: There is single or no object. + +-----------category name: tiger, frame name: 21 +are tigers distinguished by action: NONE + +vid id: 86e61829a1 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 871015806c + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 871e409c5c + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 8744b861ce + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8749369ba0 + +-----------category name: monkey, frame name: 7 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: monkey, frame name: 17 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 878a299541 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 8792c193a0 + +Skipping skateboard: Determined to be non-movable. + +vid id: 8799ab0118 + +-----------category name: duck, frame name: 5 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 8 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 11 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 17 +are ducks distinguished by action: NONE + +vid id: 87d1f7d741 + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 882b9e4500 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 885673ea17 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8859dedf41 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +vid id: 8873ab2806 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 887a93b198 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 8883e991a9 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 8891aa6dfa + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: 8899d8cbcd + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 88b8274d67 + +-----------category name: crocodile, frame name: 2 +are crocodiles distinguished by action: "NONE" + +-----------category name: crocodile, frame name: 17 +are crocodiles distinguished by action: NONE + +-----------category name: crocodile, frame name: 23 +are crocodiles distinguished by action: "NONE" + +-----------category name: crocodile, frame name: 33 +are crocodiles distinguished by action: "NONE" + +vid id: 88d3b80af6 + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 88ede83da2 + +-----------category name: rabbit, frame name: 8 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: rabbit, frame name: 15 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 24 +are rabbits distinguished by action: "NONE" + +-----------category name: rabbit, frame name: 30 +are rabbits distinguished by action: YES + +vid id: 88f345941b + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: 890976d6da + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 8909bde9ab + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 15 +are persons distinguished by action: NONE + +vid id: 8929c7d5d9 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 89363acf76 + +-----------category name: fox, frame name: 2 +are foxs distinguished by action: "NONE" + +-----------category name: fox, frame name: 13 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 16 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 27 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 89379487e0 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 8939db6354 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 893f658345 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8953138465 + +-----------category name: parrot, frame name: 8 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 14 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 21 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping parrot: There is single or no object. + +vid id: 895c96d671 + +-----------category name: frog, frame name: 9 +are frogs distinguished by action: YES + +-----------category name: frog, frame name: 15 +are frogs distinguished by action: YES + +-----------category name: frog, frame name: 19 +are frogs distinguished by action: NONE + +-----------category name: frog, frame name: 27 +are frogs distinguished by action: NONE + +vid id: 895cbf96f9 + +-----------category name: dolphin, frame name: 8 +are dolphins distinguished by action: "NONE" + +-----------category name: dolphin, frame name: 13 +are dolphins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: dolphin, frame name: 20 +are dolphins distinguished by action: NONE + +Skipping dolphin: There is single or no object. + +vid id: 895e8b29a7 + +-----------category name: person, frame name: 2 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +vid id: 898fa256c8 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 89986c60be + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 89b874547b + +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: "NONE" + +-----------category name: giant_panda, frame name: 17 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 21 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 33 +are giant_pandas distinguished by action: NONE + +vid id: 89bdb021d5 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 89c802ff9c + +-----------category name: sedan, frame name: 2 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 8 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 15 +are sedans distinguished by action: NONE + +vid id: 89d6336c2b + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +vid id: 89ebb27334 + +-----------category name: sheep, frame name: 4 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 7 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 9 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 12 +are sheeps distinguished by action: NONE + +vid id: 8a27e2407c + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8a31f7bca5 + +-----------category name: sedan, frame name: 4 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 9 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 12 +are sedans distinguished by action: I'm unable to analyze actions or postures because the image contains cars rather than people. + +-----------category name: sedan, frame name: 15 +are sedans distinguished by action: NONE + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 8a4a2fc105 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 8a5d6c619c + +Skipping skateboard: Determined to be non-movable. + +vid id: 8a75ad7924 + +-----------category name: whale, frame name: 9 +are whales distinguished by action: NONE + +-----------category name: whale, frame name: 11 +are whales distinguished by action: NONE + +-----------category name: whale, frame name: 19 +are whales distinguished by action: NONE + +-----------category name: whale, frame name: 28 +are whales distinguished by action: NONE + +vid id: 8aa817e4ed + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 8aad0591eb + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: 8aca214360 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8ae168c71b + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 8b3645d826 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 8b3805dbd4 + +-----------category name: parrot, frame name: 6 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 17 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: parrot, frame name: 21 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 33 +are parrots distinguished by action: YES + +vid id: 8b473f0f5d + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 8b4f6d1186 + +-----------category name: rabbit, frame name: 7 +are rabbits distinguished by action: YES + +-----------category name: rabbit, frame name: 17 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 18 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: rabbit, frame name: 31 +are rabbits distinguished by action: NONE + +vid id: 8b4fb018b7 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8b518ee936 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 8b523bdfd6 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +vid id: 8b52fb5fba + +-----------category name: person, frame name: 3 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 13 +are persons distinguished by action: "NONE" + +vid id: 8b91036e5c + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: 8b99a77ac5 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +-----------category name: person, frame name: 7 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +-----------category name: person, frame name: 20 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 31 +are persons distinguished by action: YES + +vid id: 8ba04b1e7b + +Skipping sedan: There is single or no object. + +-----------category name: sedan, frame name: 9 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 11 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 17 +are sedans distinguished by action: "NONE" + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: 8ba782192f + +-----------category name: ape, frame name: 6 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 10 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 21 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 30 +are apes distinguished by action: YES + +vid id: 8bbeaad78b + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 8bd1b45776 + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 21 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 29 +are persons distinguished by action: YES + +vid id: 8bd7a2dda6 + +-----------category name: ape, frame name: 4 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 10 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 16 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 22 +are apes distinguished by action: NONE + +vid id: 8bdb091ccf + +-----------category name: dolphin, frame name: 5 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 10 +are dolphins distinguished by action: **NONE** + +-----------category name: dolphin, frame name: 18 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 33 +are dolphins distinguished by action: NONE + +vid id: 8be56f165d + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 8be950d00f + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: 8bf84e7d45 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 8bffc4374b + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 8bfff50747 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8c09867481 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 8c0a3251c3 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 8c3015cccb + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 8c469815cf + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 8c9ccfedc7 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +vid id: 8ca1af9f3c + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 8ca3f6e6c1 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8ca6a4f60f + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 8cac6900fe + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 8cba221a1e + +-----------category name: turtle, frame name: 5 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 11 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 25 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 29 +are turtles distinguished by action: NONE + +vid id: 8cbbe62ccd + +-----------category name: owl, frame name: 5 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: owl, frame name: 11 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: owl, frame name: 20 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: owl, frame name: 28 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 8d064b29e2 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 8d167e7c08 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 8d4ab94e1c + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 8d81f6f899 + +-----------category name: monkey, frame name: 7 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 17 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 22 +are monkeys distinguished by action: "NONE" + +-----------category name: monkey, frame name: 28 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 8d87897d66 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping bucket: Determined to be non-movable. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +vid id: 8dcccd2bd2 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 8dcfb878a8 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 8dd3ab71b9 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 6 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 8 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 16 +are persons distinguished by action: NONE + +vid id: 8dda6bf10f + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 8ddd51ca94 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 8dea22c533 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 8def5bd3bf + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 8e1848197c + +-----------category name: person, frame name: 4 +are persons distinguished by action: "YES" + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 8e3a83cf2d + +Skipping giant_panda: There is single or no object. + +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: giant_panda, frame name: 10 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giant_panda, frame name: 16 +are giant_pandas distinguished by action: YES + +vid id: 8e478e73f3 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 8e98ae3c84 + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 8ea6687ab0 + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 11 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 18 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 31 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 8eb0d315c1 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 8ec10891f9 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8ec3065ec2 + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +vid id: 8ecf51a971 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8eddbab9f7 + +-----------category name: giant_panda, frame name: 4 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 7 +are giant_pandas distinguished by action: "NONE" + +-----------category name: giant_panda, frame name: 9 +are giant_pandas distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 13 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 8ee198467a + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 8ee2368f40 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: 8ef595ce82 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 8f0a653ad7 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 8f1204a732 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 8f1600f7f6 + +-----------category name: duck, frame name: 3 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 7 +are ducks distinguished by action: "NONE" + +-----------category name: duck, frame name: 10 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 12 +are ducks distinguished by action: "NONE" + +vid id: 8f16366707 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8f1ce0a411 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 8f2e05e814 + +-----------category name: cow, frame name: 2 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 5 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 9 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 15 +are cows distinguished by action: NONE + +vid id: 8f320d0e09 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 8f3b4a84ad + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8f3fdad3da + +-----------category name: boat, frame name: 2 +are boats distinguished by action: NONE + +-----------category name: boat, frame name: 7 +are boats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: boat, frame name: 13 +are boats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: boat, frame name: 14 +are boats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 8f5d3622d8 + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +vid id: 8f62a2c633 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 8f81c9405a + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 8f8c974d53 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: 8f918598b6 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 8ff61619f6 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +vid id: 9002761b41 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +vid id: 90107941f3 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 90118a42ee + +-----------category name: dog, frame name: 2 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: dog, frame name: 8 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 902bc16b37 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: 903e87e0d6 + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: 9041a0f489 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 9047bf3222 + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +vid id: 9057bfa502 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 90617b0954 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +vid id: 9076f4b6db + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 9077e69b08 + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 909655b4a6 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 909c2eca88 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 909dbd1b76 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 90bc4a319a + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 90c7a87887 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: 90cc785ddd + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 90d300f09b + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 9101ea9b1b + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 9108130458 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 911ac9979b + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 9151cad9b5 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 9153762797 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 91634ee0c9 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: 916942666f + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 9198cfb4ea + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 919ac864d6 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 91b67d58d4 + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: 91bb8df281 + +-----------category name: shark, frame name: 3 +are sharks distinguished by action: "NONE" + +-----------category name: shark, frame name: 11 +are sharks distinguished by action: NONE + +-----------category name: shark, frame name: 17 +are sharks distinguished by action: NONE + +-----------category name: shark, frame name: 22 +are sharks distinguished by action: YES + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 91be106477 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 91c33b4290 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 91ca7dd9f3 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 91d095f869 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 91f107082e + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 920329dd5e + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 920c959958 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 92128fbf4b + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 9223dacb40 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: 923137bb7f + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 9268e1f88a + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 927647fe08 + +-----------category name: person, frame name: 3 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 27 +are persons distinguished by action: "NONE" + +vid id: 9276f5ba47 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: 92a28cd233 + +-----------category name: person, frame name: 3 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +vid id: 92b5c1fc6d + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 20 +are persons distinguished by action: YES + +vid id: 92c46be756 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: 92dabbe3a0 + +-----------category name: duck, frame name: 4 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 7 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 12 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 17 +are ducks distinguished by action: NONE + +vid id: 92e3159361 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 92ebab216a + +-----------category name: parrot, frame name: 4 +are parrots distinguished by action: "NONE" + +-----------category name: parrot, frame name: 14 +are parrots distinguished by action: "NONE" + +-----------category name: parrot, frame name: 25 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 31 +are parrots distinguished by action: YES + +vid id: 934bdc2893 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 9359174efc + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 935d97dd2f + +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 7 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 8 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 13 +are zebras distinguished by action: "NONE" + +vid id: 935feaba1b + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 93901858ee + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 939378f6d6 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 939bdf742e + +-----------category name: cow, frame name: 4 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 7 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 10 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 14 +are cows distinguished by action: NONE + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 7 +are persons distinguished by action: I'm unable to identify the specific actions or postures of people from the image provided. + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +vid id: 93a22bee7e + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 93da9aeddf + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 93e2feacce + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 93e6f1fdf9 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 93e811e393 + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: 93e85d8fd3 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: 93f623d716 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 94031f12f2 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hat: Determined to be non-movable. + +vid id: 94091a4873 + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 94125907e3 + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: 9418653742 + +-----------category name: bear, frame name: 3 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: bear, frame name: 6 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 8 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 12 +are bears distinguished by action: NONE + +vid id: 941c870569 + +Skipping sign: Determined to be non-movable. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 94209c86f0 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: 9437c715eb + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: 9445c3eca2 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 9467c8617c + +-----------category name: dog, frame name: 2 +are dogs distinguished by action: "NONE" + +-----------category name: dog, frame name: 7 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 8 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 14 +are dogs distinguished by action: NONE + +vid id: 946d71fb5d + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +vid id: 948f3ae6fb + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 9498baa359 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 94a33abeab + +-----------category name: person, frame name: 2 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +vid id: 94bf1af5e3 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 94cf3a8025 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 94db712ac8 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 94e4b66cff + +-----------category name: giraffe, frame name: 3 +are giraffes distinguished by action: NONE + +-----------category name: giraffe, frame name: 6 +are giraffes distinguished by action: "NONE" + +-----------category name: giraffe, frame name: 8 +are giraffes distinguished by action: **NONE** + +-----------category name: giraffe, frame name: 15 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 94e76cbaf6 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: 950be91db1 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 952058e2d0 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: 952633c37f + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 952ec313fe + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 9533fc037c + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 9574b81269 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 9579b73761 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 957f7bc48b + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +-----------category name: deer, frame name: 23 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: deer, frame name: 31 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 958073d2b0 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 9582e0eb33 + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +vid id: 9584092d0b + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 95b58b8004 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 95bd88da55 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: 95f74a9959 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 962781c601 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 962f045bf5 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 964ad23b44 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: 967b90590e + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 967bffe201 + +-----------category name: bird, frame name: 3 +are birds distinguished by action: "NONE" + +-----------category name: bird, frame name: 5 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 9 +are birds distinguished by action: YES + +-----------category name: bird, frame name: 12 +are birds distinguished by action: YES + +vid id: 96825c4714 + +-----------category name: zebra, frame name: 2 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 10 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: zebra, frame name: 12 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 968492136a + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 9684ef9d64 + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: 968c41829e + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: 96a856ef9a + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 96dfc49961 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 96e1a5b4f8 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 96e6ff0917 + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 96fb88e9d7 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: 96fbe5fc23 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 96fc924050 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 9715cc83dc + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 9720eff40f + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 972c187c0d + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 97476eb38d + +-----------category name: giant_panda, frame name: 8 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 14 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 18 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 30 +are giant_pandas distinguished by action: YES + +vid id: 97659ed431 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 9773492949 + +-----------category name: person, frame name: 4 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 97756b264f + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 977bff0d10 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 97ab569ff3 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 97ba838008 + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 97d9d008c7 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 97e59f09fa + +Skipping hand: Determined to be non-movable. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: 97eb642e56 + +-----------category name: cat, frame name: 4 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 9 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: cat, frame name: 10 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: cat, frame name: 14 +are cats distinguished by action: YES + +vid id: 98043e2d14 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 981ff580cf + +-----------category name: fish, frame name: 7 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 14 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 22 +are fishs distinguished by action: "YES" + +-----------category name: fish, frame name: 31 +are fishs distinguished by action: NONE + +vid id: 983e66cbfc + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 984f0f1c36 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 98595f2bb4 + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 5 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +Skipping person: There is single or no object. + +vid id: 985c3be474 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 9869a12362 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 986b5a5e18 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 9877af5063 + +-----------category name: sheep, frame name: 6 +are sheeps distinguished by action: YES + +-----------category name: sheep, frame name: 11 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 18 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 33 +are sheeps distinguished by action: "YES" + +Retrying caption generation... (1/3) +vid id: 98911292da + +-----------category name: lizard, frame name: 6 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 13 +are lizards distinguished by action: NONE + +-----------category name: lizard, frame name: 20 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 31 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 9893a3cf77 + +Skipping bear: There is single or no object. + +-----------category name: bear, frame name: 7 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 11 +are bears distinguished by action: YES + +-----------category name: bear, frame name: 16 +are bears distinguished by action: YES + +vid id: 9893d9202d + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 98a8b06e7f + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 98ac6f93d9 + +-----------category name: fox, frame name: 6 +are foxs distinguished by action: YES + +-----------category name: fox, frame name: 9 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 16 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 25 +are foxs distinguished by action: NONE + +vid id: 98b6974d12 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 98ba3c9417 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 98c7c00a19 + +-----------category name: duck, frame name: 4 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 6 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 11 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 15 +are ducks distinguished by action: NONE + +vid id: 98d044f206 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: 98e909f9d1 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: 98fe7f0410 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 990f2742c7 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 992bd0779a + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 994b9b47ba + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 9955b76bf5 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: 997117a654 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 999d53d841 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 99c04108d3 + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: 99c4277aee + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 99c6b1acf2 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +vid id: 99dc8bb20b + +-----------category name: duck, frame name: 4 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 13 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 23 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 33 +are ducks distinguished by action: "NONE" + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 99fcba71e5 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: 99fecd4efb + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: 9a02c70ba2 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 9a08e7a6f8 + +-----------category name: tiger, frame name: 2 +are tigers distinguished by action: NONE + +-----------category name: tiger, frame name: 11 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: tiger, frame name: 19 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: tiger, frame name: 29 +are tigers distinguished by action: NONE + +vid id: 9a2f2c0f86 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 9a3254a76e + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 9a3570a020 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: 9a39112493 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 9a4e9fd399 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 9a50af4bfb + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: 9a68631d24 + +-----------category name: monkey, frame name: 6 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: monkey, frame name: 10 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 14 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 25 +are monkeys distinguished by action: YES + +vid id: 9a72318dbf + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: 9a767493b7 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: 9a7fc1548b + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: 9a84ccf6a7 + +-----------category name: lizard, frame name: 5 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 9 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: lizard, frame name: 17 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 25 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 9a9c0e15b7 + +-----------category name: dog, frame name: 3 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 6 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 13 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 16 +are dogs distinguished by action: NONE + +vid id: 9adf06d89b + +-----------category name: parrot, frame name: 6 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 10 +are parrots distinguished by action: "NONE" + +-----------category name: parrot, frame name: 14 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 27 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 9b22b54ee4 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 9b473fc8fe + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 9b4f081782 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 9b997664ba + +-----------category name: monkey, frame name: 5 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 13 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 25 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 30 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 9bc454e109 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: 9bccfd04de + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +vid id: 9bce4583a2 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: 9bebf1b87f + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: 9bfc50d261 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 9c166c86ff + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: 9c293ef4d7 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: 9c29c047b0 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: 9c3bc2e2a7 + +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +vid id: 9c3ce23bd1 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: "YES" + +-----------category name: person, frame name: 16 +are persons distinguished by action: NONE + +vid id: 9c404cac0c + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: 9c5180d23a + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: 9c7feca6e4 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 9caa49d3ff + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 9cb2f1b646 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 9ce6f765c3 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: 9cfee34031 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: 9d01f08ec6 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 9d04c280b8 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 5 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 10 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 12 +are persons distinguished by action: "NONE" + +vid id: 9d12ceaddc + +-----------category name: frog, frame name: 7 +are frogs distinguished by action: NONE + +-----------category name: frog, frame name: 14 +are frogs distinguished by action: NONE + +-----------category name: frog, frame name: 23 +are frogs distinguished by action: YES + +-----------category name: frog, frame name: 26 +are frogs distinguished by action: YES + +vid id: 9d15f8cb3c + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: 9d2101e9bf + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 9d407c3aeb + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +-----------category name: sheep, frame name: 13 +are sheeps distinguished by action: YES + +-----------category name: sheep, frame name: 16 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: 9ddefc6165 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 9df0b1e298 + +Skipping umbrella: Determined to be non-movable. + +vid id: 9e16f115d8 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: 9e249b4982 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: 9e29b1982c + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 9e493e4773 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 9e4c752cd0 + +-----------category name: bear, frame name: 2 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 5 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 10 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 12 +are bears distinguished by action: YES + +vid id: 9e4de40671 + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +vid id: 9e6319faeb + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 17 +are persons distinguished by action: NONE + +vid id: 9e6ddbb52d + +-----------category name: sheep, frame name: 2 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: sheep, frame name: 6 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 10 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 12 +are sheeps distinguished by action: YES + +vid id: 9eadcea74f + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +-----------category name: leopard, frame name: 25 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 9efb47b595 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: 9f30bfe61e + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: 9f3734c3a4 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: 9f5b858101 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: 9f66640cda + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 19 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 22 +are persons distinguished by action: "NONE" + +vid id: 9f913803e9 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: 9f97bc74c8 + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: 9fbad86e20 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: 9fc2bad316 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: 9fc5c3af78 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: 9fcb310255 + +-----------category name: cat, frame name: 3 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 7 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 8 +are cats distinguished by action: "YES" + +-----------category name: cat, frame name: 11 +are cats distinguished by action: NONE + +vid id: 9fcc256871 + +-----------category name: sheep, frame name: 4 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 7 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 9 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 13 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: 9fd2fd4d47 + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: a0071ae316 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: a023141022 + +-----------category name: horse, frame name: 2 +are horses distinguished by action: NONE + +-----------category name: horse, frame name: 4 +are horses distinguished by action: NONE + +-----------category name: horse, frame name: 6 +are horses distinguished by action: "NONE" + +-----------category name: horse, frame name: 8 +are horses distinguished by action: "NONE" + +vid id: a046399a74 + +-----------category name: airplane, frame name: 3 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 9 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 10 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 17 +are airplanes distinguished by action: NONE + +vid id: a066e739c1 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: a06722ba82 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping bucket: Determined to be non-movable. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: a07a15dd64 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a07b47f694 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: a09c39472e + +-----------category name: ape, frame name: 2 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 9 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 19 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 22 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: a0b208fe2e + +-----------category name: giant_panda, frame name: 2 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 10 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 13 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: a0b61c959e + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a0bc6c611d + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: a0e6da5ba2 + +-----------category name: bird, frame name: 3 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 7 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 10 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 13 +are birds distinguished by action: NONE + +vid id: a1193d6490 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: a14ef483ff + +-----------category name: elephant, frame name: 2 +are elephants distinguished by action: "NONE" + +-----------category name: elephant, frame name: 7 +are elephants distinguished by action: "YES" + +Retrying caption generation... (1/3) +-----------category name: elephant, frame name: 9 +are elephants distinguished by action: "NONE" + +-----------category name: elephant, frame name: 13 +are elephants distinguished by action: "NONE" + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a14f709908 + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: a15ccc5658 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: a16062456f + +-----------category name: sheep, frame name: 6 +are sheeps distinguished by action: YES + +-----------category name: sheep, frame name: 8 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: sheep, frame name: 18 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping sheep: There is single or no object. + +vid id: a174e8d989 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: a177c2733c + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: a17c62e764 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +vid id: a18ad065fc + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: a1aaf63216 + +-----------category name: cow, frame name: 5 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 6 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 11 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 15 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: a1bb65fb91 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: a1bd8e5349 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 13 +are persons distinguished by action: NONE + +vid id: a1dfdd0cac + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: a2052e4f6c + +Skipping plant: Determined to be non-movable. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a20fd34693 + +-----------category name: cow, frame name: 2 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 9 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 10 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: cow, frame name: 17 +are cows distinguished by action: NONE + +vid id: a21ffe4d81 + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: a22349e647 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: a235d01ec1 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: a24f63e8a2 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: a263ce8a87 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a29bfc29ec + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: a2a80072d4 + +-----------category name: parrot, frame name: 3 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: parrot, frame name: 9 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 17 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: parrot, frame name: 26 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: a2a800ab63 + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +-----------category name: dolphin, frame name: 30 +are dolphins distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: a2bcd10a33 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: a2bdaff3b0 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: a2c146ab0d + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a2c996e429 + +Skipping sedan: There is single or no object. + +-----------category name: sedan, frame name: 9 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 15 +are sedans distinguished by action: NONE + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: a2dc51ebe8 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: a2e6608bfa + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: a2f2a55f01 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: a301869dea + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: a31fccd2cc + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a34f440f33 + +-----------category name: ape, frame name: 3 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 13 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 18 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 31 +are apes distinguished by action: YES + +vid id: a35e0206da + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: a36bdc4cab + +-----------category name: crocodile, frame name: 2 +are crocodiles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: crocodile, frame name: 14 +are crocodiles distinguished by action: YES + +-----------category name: crocodile, frame name: 20 +are crocodiles distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: crocodile, frame name: 32 +are crocodiles distinguished by action: NONE + +vid id: a36e8c79d8 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: a378053b20 + +-----------category name: owl, frame name: 5 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: owl, frame name: 12 +are owls distinguished by action: NONE + +-----------category name: owl, frame name: 16 +are owls distinguished by action: NONE + +-----------category name: owl, frame name: 25 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: a37db3a2b3 + +-----------category name: duck, frame name: 4 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 7 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 10 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 12 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: a38950ebc2 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: a39a0eb433 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: a39c9bca52 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: a3a945dc8c + +-----------category name: person, frame name: 3 +are persons distinguished by action: ```NONE``` + + +-----------category name: person, frame name: 7 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 10 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +vid id: a3b40a0c1e + +-----------category name: monkey, frame name: 5 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: monkey, frame name: 10 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 18 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 25 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: a3b8588550 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: a3c502bec3 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: a3f2878017 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: a3f4d58010 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a3f51855c3 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: a4065a7eda + +-----------category name: rabbit, frame name: 9 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 12 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 22 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: rabbit, frame name: 28 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: a412bb2fef + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: a416b56b53 + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping umbrella: Determined to be non-movable. + +vid id: a41ec95906 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 13 +are persons distinguished by action: NONE + +vid id: a43299e362 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: a4757bd7af + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: a48c53c454 + +-----------category name: ape, frame name: 9 +are apes distinguished by action: "NONE" + +-----------category name: ape, frame name: 15 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 23 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 32 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: a49dcf9ad5 + +-----------category name: ape, frame name: 2 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 9 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 18 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 21 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: a4a506521f + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: a4ba7753d9 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: a4bac06849 + +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 7 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 9 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 12 +are zebras distinguished by action: NONE + +vid id: a4f05d681c + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +vid id: a50c10060f + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: a50eb5a0ea + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: a5122c6ec6 + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 11 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 19 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 22 +are apes distinguished by action: YES + +vid id: a522b1aa79 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: a590915345 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: a5b5b59139 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a5b77abe43 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: a5c2b2c3e1 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a5cd17bb11 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 32 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: a5da03aef1 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: a5dd11de0d + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 15 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 22 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: a5ea2b93b6 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a5eaeac80b + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a5ec5b0265 + +-----------category name: shark, frame name: 7 +are sharks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: shark, frame name: 12 +are sharks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: shark, frame name: 19 +are sharks distinguished by action: "NONE" + +-----------category name: shark, frame name: 26 +are sharks distinguished by action: YES + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a5f350a87e + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a5f472caf4 + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: a6027a53cf + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: a61715bb1b + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: a61cf4389d + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: a61d9bbd9b + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: a6470dbbf5 + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: a64a40f3eb + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 11 +are persons distinguished by action: "NONE" + +vid id: a653d5c23b + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a65bd23cb5 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: a66e0b7ad4 + +-----------category name: frog, frame name: 6 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: frog, frame name: 11 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: frog, frame name: 18 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: frog, frame name: 30 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: a66fc5053c + +-----------category name: cow, frame name: 2 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: cow, frame name: 6 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 10 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 15 +are cows distinguished by action: NONE + +vid id: a68259572b + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: a6a810a92c + +-----------category name: tiger, frame name: 3 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: tiger, frame name: 11 +are tigers distinguished by action: NONE + +-----------category name: tiger, frame name: 14 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: tiger, frame name: 24 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: a6bc36937f + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: a6c3a374e9 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: a6d8a4228d + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +-----------category name: person, frame name: 18 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping person: There is single or no object. + +vid id: a6f4e0817f + +-----------category name: deer, frame name: 9 +are deers distinguished by action: "NONE" + +-----------category name: deer, frame name: 15 +are deers distinguished by action: NONE + +-----------category name: deer, frame name: 21 +are deers distinguished by action: NONE + +-----------category name: deer, frame name: 33 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: a71e0481f5 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a7203deb2d + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: a7392d4438 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: a73d3c3902 + +Skipping parachute: Determined to be non-movable. + +vid id: a7491f1578 + +-----------category name: sheep, frame name: 7 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 10 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 15 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: sheep, frame name: 22 +are sheeps distinguished by action: NONE + +vid id: a74b9ca19c + +-----------category name: fish, frame name: 2 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 17 +are fishs distinguished by action: "NONE" + +-----------category name: fish, frame name: 24 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 30 +are fishs distinguished by action: NONE + +vid id: a77b7a91df + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: a78195a5f5 + +-----------category name: sheep, frame name: 7 +are sheeps distinguished by action: YES + +-----------category name: sheep, frame name: 10 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 18 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 20 +are sheeps distinguished by action: NONE + +vid id: a78758d4ce + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: a7e6d6c29a + +Skipping plant: Determined to be non-movable. + +vid id: a800d85e88 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: a832fa8790 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: a83d06410d + +-----------category name: fox, frame name: 2 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 9 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 19 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 27 +are foxs distinguished by action: YES + +vid id: a8999af004 + +-----------category name: ape, frame name: 4 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 12 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 20 +are apes distinguished by action: YES + +Skipping ape: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: a8f78125b9 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a907b18df1 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: a919392446 + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: a965504e88 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: a96b84b8d2 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: a973f239cd + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: a977126596 + +-----------category name: rabbit, frame name: 4 +are rabbits distinguished by action: "NONE" + +-----------category name: rabbit, frame name: 17 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 23 +are rabbits distinguished by action: "NONE" + +-----------category name: rabbit, frame name: 30 +are rabbits distinguished by action: "NONE" + +vid id: a9804f2a08 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: a984e56893 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping plant: Determined to be non-movable. + +vid id: a99738f24c + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: a99bdd0079 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: a9c9c1517e + +-----------category name: sheep, frame name: 3 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: sheep, frame name: 4 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 7 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 8 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: a9cbf9c41b + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: a9e42e3c0c + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: aa07b7c1c0 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: aa175e5ec7 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: aa1a338630 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: aa27d7b868 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: aa45f1caaf + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: aa49e46432 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: aa51934e1b + +-----------category name: fish, frame name: 8 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 15 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 18 +are fishs distinguished by action: "NONE" + +-----------category name: fish, frame name: 32 +are fishs distinguished by action: YES + +vid id: aa6287bb6c + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: aa6d999971 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: aa85278334 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: aab33f0e2a + +-----------category name: ape, frame name: 6 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 16 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 18 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 27 +are apes distinguished by action: "NONE" + +vid id: aaba004362 + +-----------category name: parrot, frame name: 9 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 11 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 24 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 33 +are parrots distinguished by action: NONE + +vid id: aade4cf385 + +-----------category name: duck, frame name: 5 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 13 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 24 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 28 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: aae78feda4 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: aaed233bf3 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: aaff16c2db + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: ab199e8dfb + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: ab23b78715 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: ab2e1b5577 + +-----------category name: earless_seal, frame name: 9 +are earless_seals distinguished by action: YES + +-----------category name: earless_seal, frame name: 13 +are earless_seals distinguished by action: YES + +-----------category name: earless_seal, frame name: 22 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: earless_seal, frame name: 30 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: ab33a18ded + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping bucket: Determined to be non-movable. + +vid id: ab45078265 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: ab56201494 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: ab90f0d24b + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: abab2e6c20 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: abb50c8697 + +-----------category name: duck, frame name: 3 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 7 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 8 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 11 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: abbe2d15a0 + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 16 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 19 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 27 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: abbe73cd21 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: abe61a11bb + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: abeae8ce21 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: ac2b431d5f + +-----------category name: fish, frame name: 5 +are fishs distinguished by action: YES + +-----------category name: fish, frame name: 13 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 17 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 23 +are fishs distinguished by action: "NONE" + +vid id: ac2cb1b9eb + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ac31fcd6d0 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: ac3d3a126d + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: ac46bd8087 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ac783ef388 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: acb73e4297 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: acbf581760 + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 18 +are persons distinguished by action: YES + +-----------category name: person, frame name: 23 +are persons distinguished by action: YES + +vid id: accafc3531 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: acf2c4b745 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: acf44293a2 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: acf736a27b + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: acff336758 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +-----------category name: fox, frame name: 26 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: ad1fe56886 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ad28f9b9d9 + +-----------category name: person, frame name: 3 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 5 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 13 +are persons distinguished by action: "NONE" + +vid id: ad2de9f80e + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: ad397527b2 + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: ad3d1cfbcb + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: ad3fada9d9 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: ad4108ee8e + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ad54468654 + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ad573f7d31 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: ad6255bc29 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ad65ebaa07 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: ad97cc064a + +Skipping plant: Determined to be non-movable. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: adabbd1cc4 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: adb0b5a270 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: adc648f890 + +-----------category name: monkey, frame name: 3 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 12 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 18 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: monkey, frame name: 23 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: add21ee467 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: adfd15ceef + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: adfdd52eac + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: dog, frame name: 2 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: dog, frame name: 7 +are dogs distinguished by action: NONE + +Skipping dog: There is single or no object. + +-----------category name: dog, frame name: 14 +are dogs distinguished by action: NONE + +vid id: ae01cdab63 + +Skipping monkey: There is single or no object. + +-----------category name: monkey, frame name: 12 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 18 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 33 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: ae0b50ff4f + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: ae13ee3d70 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: ae1bcbd423 + +-----------category name: shark, frame name: 7 +are sharks distinguished by action: NONE + +-----------category name: shark, frame name: 11 +are sharks distinguished by action: NONE + +-----------category name: shark, frame name: 19 +are sharks distinguished by action: NONE + +-----------category name: shark, frame name: 27 +are sharks distinguished by action: NONE + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ae20d09dea + +-----------category name: ape, frame name: 3 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 15 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 18 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 28 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: ae2cecf5f6 + +-----------category name: cow, frame name: 2 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 5 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 6 +are cows distinguished by action: "NONE" + +-----------category name: cow, frame name: 8 +are cows distinguished by action: NONE + +vid id: ae3bc4a0ef + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: ae499c7514 + +-----------category name: truck, frame name: 3 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 5 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 9 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 11 +are trucks distinguished by action: "NONE" + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: ae628f2cd4 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: ae8545d581 + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ae93214fe6 + +-----------category name: parrot, frame name: 6 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 8 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: aeba9ac967 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: aebb242b5c + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: aed4e0b4c4 + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: aedd71f125 + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: aef3e2cb0e + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: af0b54cee3 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: af3de54c7a + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: af5fd24a36 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: af8826d084 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +vid id: af8ad72057 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: afb71e22c5 + +-----------category name: duck, frame name: 4 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 5 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 9 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 11 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: afcb331e1f + +-----------category name: train, frame name: 4 +are trains distinguished by action: NONE + +-----------category name: train, frame name: 8 +are trains distinguished by action: NONE + +-----------category name: train, frame name: 11 +are trains distinguished by action: NONE + +-----------category name: train, frame name: 14 +are trains distinguished by action: NONE + +vid id: afe1a35c1e + +-----------category name: fox, frame name: 6 +are foxs distinguished by action: YES + +-----------category name: fox, frame name: 13 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: fox, frame name: 14 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 21 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: b01080b5d3 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: b05ad0d345 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: b0623a6232 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: b064dbd4b7 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping umbrella: Determined to be non-movable. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +Skipping umbrella: There is single or no object. + +vid id: b06ed37831 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: b06f5888e6 + +-----------category name: bear, frame name: 3 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 5 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 9 +are bears distinguished by action: YES + +-----------category name: bear, frame name: 11 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: b08dcc490e + +-----------category name: dog, frame name: 2 +are dogs distinguished by action: YES + +-----------category name: dog, frame name: 5 +are dogs distinguished by action: YES + +-----------category name: dog, frame name: 9 +are dogs distinguished by action: YES + +-----------category name: dog, frame name: 14 +are dogs distinguished by action: YES + +vid id: b0a68228dc + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: "NONE" + +vid id: b0aece727f + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b0b0731606 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: b0c7f11f9f + +-----------category name: raccoon, frame name: 5 +are raccoons distinguished by action: YES + +-----------category name: raccoon, frame name: 12 +are raccoons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: raccoon, frame name: 23 +are raccoons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: raccoon, frame name: 33 +are raccoons distinguished by action: NONE + +vid id: b0cca8b830 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b0dd580a89 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: b0de66ca08 + +-----------category name: mouse, frame name: 7 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: mouse, frame name: 10 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: mouse, frame name: 20 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: mouse, frame name: 29 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: b0df7c5c5c + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: b0f5295608 + +-----------category name: bird, frame name: 3 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: bird, frame name: 9 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bird, frame name: 11 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bird, frame name: 15 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: b11099eb09 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: b132a53086 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: b1399fac64 + +-----------category name: penguin, frame name: 2 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: penguin, frame name: 13 +are penguins distinguished by action: NONE + +-----------category name: penguin, frame name: 21 +are penguins distinguished by action: "NONE" + +-----------category name: penguin, frame name: 32 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: b13abc0c69 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: b1457e3b5e + +-----------category name: hedgehog, frame name: 3 +are hedgehogs distinguished by action: NONE + +-----------category name: hedgehog, frame name: 12 +are hedgehogs distinguished by action: NONE + +-----------category name: hedgehog, frame name: 19 +are hedgehogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: hedgehog, frame name: 27 +are hedgehogs distinguished by action: YES + +vid id: b15bf4453b + +-----------category name: sedan, frame name: 2 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 5 +are sedans distinguished by action: "NONE" + +-----------category name: sedan, frame name: 9 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 14 +are sedans distinguished by action: NONE + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: b179c4a82d + +-----------category name: zebra, frame name: 2 +are zebras distinguished by action: **NONE** + +-----------category name: zebra, frame name: 9 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 13 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: zebra, frame name: 15 +are zebras distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: b17ee70e8c + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b190b1aa65 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b19b3e22c0 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: b19c561fab + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: b1d1cd2e6e + +-----------category name: giant_panda, frame name: 3 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 7 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 9 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 11 +are giant_pandas distinguished by action: YES + +vid id: b1d7c03927 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: b1d7fe2753 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: b1f540a4bd + +Skipping giraffe: There is single or no object. + +-----------category name: giraffe, frame name: 8 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 10 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 16 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: b1fc9c64e1 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: b1fcbb3ced + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b220939e93 + +-----------category name: duck, frame name: 2 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 6 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 13 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 15 +are ducks distinguished by action: NONE + +vid id: b22099b419 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: b241e95235 + +-----------category name: bear, frame name: 4 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 8 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 13 +are bears distinguished by action: "NONE" + +-----------category name: bear, frame name: 16 +are bears distinguished by action: NONE + +vid id: b2432ae86d + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: b2456267df + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b247940d01 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: b24af1c35c + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: b24f600420 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: b24fe36b2a + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b258fb0b7d + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: b26b219919 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: b26d9904de + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: b274456ce1 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: b27b28d581 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: b2a26bc912 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: b2a9c51e1b + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: b2b0baf470 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: b2b2756fe7 + +-----------category name: zebra, frame name: 3 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 10 +are zebras distinguished by action: NONE + +Skipping zebra: There is single or no object. + +vid id: b2ce7699e3 + +-----------category name: fish, frame name: 2 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fish, frame name: 15 +are fishs distinguished by action: YES + +-----------category name: fish, frame name: 21 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 27 +are fishs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: b2edc76bd2 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: b2f6b52100 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b30bf47bcd + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: b34105a4e9 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b372a82edf + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: b3779a1962 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: b37a1d69e3 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: b37c01396e + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: b382b09e25 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: b3996e4ba5 + +-----------category name: ape, frame name: 6 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 10 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 23 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 32 +are apes distinguished by action: YES + +vid id: b3d9ca2aee + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: b3dde1e1e9 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: b3eb7f05eb + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: b40b25055c + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: b41e0f1f19 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 8 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: b44e32a42b + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +vid id: b4807569a5 + +-----------category name: truck, frame name: 3 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 7 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 13 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 17 +are trucks distinguished by action: NONE + +vid id: b48efceb3e + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: b493c25c7f + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: b4b565aba1 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: b4b715a15b + +Skipping ape: There is single or no object. + +-----------category name: ape, frame name: 17 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 19 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 26 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: b4d0c90bf4 + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: b4d84bc371 + +-----------category name: sheep, frame name: 4 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 16 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 25 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 33 +are sheeps distinguished by action: NONE + +vid id: b4e5ad97aa + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b4eaea9e6b + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b50f4b90d5 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: b53f675641 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b54278cd43 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: b554843889 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b573c0677a + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: b58d853734 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: b5943b18ab + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: b5a09a83f3 + +-----------category name: giraffe, frame name: 3 +are giraffes distinguished by action: NONE + +-----------category name: giraffe, frame name: 4 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 6 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 11 +are giraffes distinguished by action: YES + +vid id: b5aae1fe25 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: b5b9da5364 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: b5eb64d419 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: b5ebb1d000 + +-----------category name: horse, frame name: 2 +are horses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: horse, frame name: 7 +are horses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: horse, frame name: 12 +are horses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: horse, frame name: 14 +are horses distinguished by action: YES + +vid id: b5f1c0c96a + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: b5f7fece90 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: b6070de1bb + +-----------category name: ape, frame name: 2 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 14 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 24 +are apes distinguished by action: "NONE" + +-----------category name: ape, frame name: 28 +are apes distinguished by action: "NONE" + +vid id: b60a76fe73 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: b61f998772 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: b62c943664 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 7 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 17 +are persons distinguished by action: "NONE" + +vid id: b63094ba0c + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: b64fca8100 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: NONE + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: b673e7dcfb + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: b678b7db00 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 21 +are persons distinguished by action: YES + +-----------category name: person, frame name: 27 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: b68fc1b217 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: b69926d9fa + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 6 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 13 +are persons distinguished by action: NONE + +Skipping person: There is single or no object. + +vid id: b6a1df3764 + +-----------category name: frog, frame name: 4 +are frogs distinguished by action: NONE + +-----------category name: frog, frame name: 12 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: frog, frame name: 21 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: frog, frame name: 28 +are frogs distinguished by action: NONE + +vid id: b6a4859528 + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: b6b4738b78 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping bucket: Determined to be non-movable. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +vid id: b6b4f847b7 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: b6b8d502d4 + +-----------category name: parrot, frame name: 7 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 9 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: parrot, frame name: 15 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 25 +are parrots distinguished by action: YES + +vid id: b6bb00e366 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: b6d65a9eef + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: b6d79a0845 + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b6e9ec577f + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b6ec609f7b + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: b6f92a308d + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: b70a5a0d50 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b70c052f2f + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: b70d231781 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: b72ac6e10b + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: b7302d8226 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: b73867d769 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b751e767f2 + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: b76df6e059 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: b77e5eddef + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: b7a2c2c83c + +Skipping plant: Determined to be non-movable. + +vid id: b7bcbe6466 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: b7c2a469c4 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: b7d69da8f0 + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: b7f31b7c36 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b7fb871660 + +-----------category name: person, frame name: 2 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 3 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 4 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: b82e5ad1c9 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: b841cfb932 + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: b84b8ae665 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: b85b78ac2b + +-----------category name: eagle, frame name: 7 +are eagles distinguished by action: YES + +-----------category name: eagle, frame name: 14 +are eagles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: eagle, frame name: 22 +are eagles distinguished by action: "NONE" + +-----------category name: eagle, frame name: 29 +are eagles distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: b86c17caa6 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: b86e50d82d + +Skipping hat: Determined to be non-movable. + +vid id: b871db031a + +-----------category name: duck, frame name: 3 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 5 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 6 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 11 +are ducks distinguished by action: NONE + +vid id: b87d56925a + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: b8aaa59b75 + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping bucket: Determined to be non-movable. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b8c03d1091 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b8e16df00b + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b8f34cf72e + +-----------category name: giant_panda, frame name: 3 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 5 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 8 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping giant_panda: There is single or no object. + +vid id: b8fb75864e + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: b9004db86c + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: b9166cbae9 + +-----------category name: truck, frame name: 4 +are trucks distinguished by action: "NONE" + +-----------category name: truck, frame name: 7 +are trucks distinguished by action: "NONE" + +-----------category name: truck, frame name: 9 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 14 +are trucks distinguished by action: NONE + +vid id: b920b256a6 + +-----------category name: penguin, frame name: 3 +are penguins distinguished by action: NONE + +-----------category name: penguin, frame name: 11 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 21 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 30 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: b93963f214 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: b941aef1a0 + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: b94d34d14e + +-----------category name: cow, frame name: 5 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 6 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 10 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 15 +are cows distinguished by action: YES + +vid id: b964c57da4 + +Skipping hat: Determined to be non-movable. + +vid id: b96a95bc7a + +-----------category name: turtle, frame name: 9 +are turtles distinguished by action: YES + +-----------category name: turtle, frame name: 17 +are turtles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: turtle, frame name: 25 +are turtles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: turtle, frame name: 27 +are turtles distinguished by action: NONE + +vid id: b96c57d2c7 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: b9b6bdde0c + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: b9bcb3e0f2 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +vid id: b9d3b92169 + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: b9dd4b306c + +-----------category name: fish, frame name: 2 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 12 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 24 +are fishs distinguished by action: "NONE" + +-----------category name: fish, frame name: 28 +are fishs distinguished by action: "NONE" + +vid id: b9f43ef41e + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +-----------category name: sedan, frame name: 4 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 6 +are sedans distinguished by action: I'm unable to provide a response to the question because the labeled vehicles in the image are not sedans. + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 11 +are sedans distinguished by action: NONE + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: ba1f03c811 + +-----------category name: person, frame name: 5 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 8 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 15 +are persons distinguished by action: NONE + +vid id: ba3a775d7b + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: ba3c7f2a31 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: ba3fcd417d + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: ba5e1f4faa + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ba795f3089 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: ba8a291e6a + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: ba98512f97 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: bac9db04f5 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: baedae3442 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: baff40d29d + +-----------category name: rabbit, frame name: 2 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: rabbit, frame name: 14 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: rabbit, frame name: 25 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: rabbit, frame name: 31 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: bb04e28695 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +vid id: bb1b0ee89f + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: bb1c770fe7 + +-----------category name: lion, frame name: 3 +are lions distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: lion, frame name: 13 +are lions distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: lion, frame name: 19 +are lions distinguished by action: NONE + +-----------category name: lion, frame name: 25 +are lions distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: bb1fc34f99 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: bb2d220506 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: bb334e5cdb + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +-----------category name: giant_panda, frame name: 10 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: giant_panda, frame name: 15 +are giant_pandas distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: bb337f9830 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: bb721eb9aa + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 15 +are persons distinguished by action: NONE + +vid id: bb87ff58bd + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: bb89a6b18a + +-----------category name: person, frame name: 4 +are persons distinguished by action: "YES" + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: bbaa9a036a + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: bbb4302dda + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: bbd31510cf + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: bbe0256a75 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: bc141b9ad5 + +-----------category name: giant_panda, frame name: 3 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 7 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 9 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 15 +are giant_pandas distinguished by action: YES + +vid id: bc17ab8a99 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: bc318160de + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: bc3b9ee033 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +-----------category name: train, frame name: 13 +are trains distinguished by action: NONE + +vid id: bc4240b43c + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: bc4ce49105 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: bc4f71372d + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: bc6b8d6371 + +-----------category name: monkey, frame name: 3 +are monkeys distinguished by action: NONE + +-----------category name: monkey, frame name: 14 +are monkeys distinguished by action: NONE + +-----------category name: monkey, frame name: 23 +are monkeys distinguished by action: "NONE" + +-----------category name: monkey, frame name: 33 +are monkeys distinguished by action: "NONE" + +vid id: bcaad44ad7 + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +-----------category name: earless_seal, frame name: 17 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: earless_seal, frame name: 22 +are earless_seals distinguished by action: YES + +vid id: bcc241b081 + +-----------category name: bus, frame name: 3 +are buss distinguished by action: NONE + +-----------category name: bus, frame name: 6 +are buss distinguished by action: NONE + +-----------category name: bus, frame name: 9 +are buss distinguished by action: NONE + +-----------category name: bus, frame name: 13 +are buss distinguished by action: NONE + +vid id: bcc5d8095e + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: bcd1d39afb + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: bd0d849da4 + +-----------category name: whale, frame name: 8 +are whales distinguished by action: NONE + +-----------category name: whale, frame name: 16 +are whales distinguished by action: "NONE" + +-----------category name: whale, frame name: 24 +are whales distinguished by action: NONE + +-----------category name: whale, frame name: 32 +are whales distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: bd0e9ed437 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: bd2c94730f + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: bd321d2be6 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: bd3ec46511 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +vid id: bd7e02b139 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: bd96f9943a + +-----------category name: deer, frame name: 3 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: deer, frame name: 14 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: deer, frame name: 18 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: deer, frame name: 32 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: bda224cb25 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: bda4a82837 + +-----------category name: cat, frame name: 2 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cat, frame name: 6 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 13 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cat, frame name: 15 +are cats distinguished by action: YES + +vid id: bdb74e333f + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: bdccd69dde + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: bddcc15521 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: be116aab29 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: be15e18f1e + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: be1a284edb + +-----------category name: penguin, frame name: 9 +are penguins distinguished by action: YES + +-----------category name: penguin, frame name: 11 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: penguin, frame name: 18 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 26 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: be2a367a7b + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: be376082d0 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: be3e3cffbd + +-----------category name: bird, frame name: 2 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 3 +are birds distinguished by action: YES + +-----------category name: bird, frame name: 4 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: bird, frame name: 6 +are birds distinguished by action: YES + +vid id: be5d1d89a0 + +-----------category name: deer, frame name: 2 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: deer, frame name: 12 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: deer, frame name: 19 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: deer, frame name: 31 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: be8b72fe37 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: be9b29e08e + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: bea1f6e62c + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: bea83281b5 + +-----------category name: cow, frame name: 2 +are cows distinguished by action: "NONE" + +-----------category name: cow, frame name: 6 +are cows distinguished by action: "NONE" + +-----------category name: cow, frame name: 9 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 16 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: beb921a4c9 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: bec5e9edcd + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: beeb8a3f92 + +-----------category name: turtle, frame name: 2 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 11 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 19 +are turtles distinguished by action: "NONE" + +-----------category name: turtle, frame name: 20 +are turtles distinguished by action: NONE + +vid id: bf2232b58d + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: bf28751739 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: bf443804e8 + +-----------category name: duck, frame name: 2 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 15 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 24 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 30 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: bf461df850 + +-----------category name: rabbit, frame name: 5 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 13 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 15 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 22 +are rabbits distinguished by action: YES + +vid id: bf5374f122 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: bf551a6f60 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: bf8d0f5ada + +-----------category name: zebra, frame name: 3 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 7 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 12 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 17 +are zebras distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: bf961167a6 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: bfab1ad8f9 + +-----------category name: penguin, frame name: 4 +are penguins distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 13 +are penguins distinguished by action: NONE + +-----------category name: penguin, frame name: 18 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 26 +are penguins distinguished by action: Based on the image, the penguins appear in similar poses. Both seem to be facing the camera without any obvious or distinct actions. + +NONE + +vid id: bfcb05d88d + +-----------category name: dog, frame name: 3 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: dog, frame name: 8 +are dogs distinguished by action: YES + +-----------category name: dog, frame name: 10 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: dog, frame name: 17 +are dogs distinguished by action: YES + +vid id: bfd8f6e6c9 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: bfd91d0742 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: bfe262322f + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: c013f42ed7 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: c01878083f + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: c01faff1ed + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 20 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 32 +are persons distinguished by action: "NONE" + +vid id: c046fd0edb + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: c053e35f97 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: c079a6482d + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 16 +are persons distinguished by action: NONE + +vid id: c0847b521a + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c0a1e06710 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c0e8d4635c + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: c0e973ad85 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c0f49c6579 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c0f5b222d7 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: c10d07c90d + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c1268d998c + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +-----------category name: cow, frame name: 13 +are cows distinguished by action: YES + +-----------category name: cow, frame name: 16 +are cows distinguished by action: YES + +vid id: c130c3fc0c + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: c14826ad5e + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c15b922281 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: c16f09cb63 + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c18e19d922 + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: c1c830a735 + +-----------category name: sedan, frame name: 3 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 7 +are sedans distinguished by action: "NONE" + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: NONE + +Skipping sedan: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: c1e8aeea45 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c20a5ccc99 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: c20fd5e597 + +-----------category name: parrot, frame name: 6 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 14 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 23 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 29 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: c219d6f8dc + +-----------category name: eagle, frame name: 7 +are eagles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: eagle, frame name: 13 +are eagles distinguished by action: YES + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: c2406ae462 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: c26f7b5824 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: c279e641ee + +-----------category name: bear, frame name: 4 +are bears distinguished by action: "NONE" + +-----------category name: bear, frame name: 6 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 13 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: bear, frame name: 15 +are bears distinguished by action: "NONE" + +vid id: c27adaeac5 + +Skipping dolphin: There is single or no object. + +-----------category name: dolphin, frame name: 17 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 20 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 31 +are dolphins distinguished by action: NONE + +vid id: c2a35c1cda + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: c2a9903b8b + +-----------category name: ape, frame name: 3 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 17 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 20 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 33 +are apes distinguished by action: YES + +vid id: c2b62567c1 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c2b974ec8c + +-----------category name: dolphin, frame name: 4 +are dolphins distinguished by action: YES + +-----------category name: dolphin, frame name: 7 +are dolphins distinguished by action: YES + +-----------category name: dolphin, frame name: 9 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 16 +are dolphins distinguished by action: NONE + +vid id: c2baaff7bf + +-----------category name: giant_panda, frame name: 2 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 7 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 10 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 14 +are giant_pandas distinguished by action: NONE + +vid id: c2be6900f2 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: c304dd44d5 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c307f33da2 + +-----------category name: giraffe, frame name: 5 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 9 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 12 +are giraffes distinguished by action: NONE + +-----------category name: giraffe, frame name: 15 +are giraffes distinguished by action: YES + +vid id: c30a7b62c9 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: c3128733ee + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: c31fa6c598 + +-----------category name: giant_panda, frame name: 3 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: c325c8201e + +-----------category name: giraffe, frame name: 5 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 9 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 11 +are giraffes distinguished by action: "NONE" + +-----------category name: giraffe, frame name: 15 +are giraffes distinguished by action: "NONE" + +vid id: c32d4aa5d1 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c33f28249a + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: c34365e2d7 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: c3457af795 + +-----------category name: sedan, frame name: 4 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 7 +are sedans distinguished by action: "NONE" + +-----------category name: sedan, frame name: 12 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 17 +are sedans distinguished by action: NONE + +vid id: c34d120a88 + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +vid id: c3509e728d + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: dog, frame name: 3 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dog, frame name: 8 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dog, frame name: 13 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 14 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: c35e4fa6c4 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: c36240d96f + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +-----------category name: parrot, frame name: 17 +are parrots distinguished by action: YES + +-----------category name: parrot, frame name: 24 +are parrots distinguished by action: YES + +vid id: c3641dfc5a + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: c37b17a4a9 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: c39559ddf6 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: c3b0c6e180 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: c3b3d82e6c + +-----------category name: rabbit, frame name: 5 +are rabbits distinguished by action: YES + +-----------category name: rabbit, frame name: 17 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 23 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 29 +are rabbits distinguished by action: NONE + +vid id: c3be369fdb + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +-----------category name: giraffe, frame name: 2 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 7 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 9 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giraffe, frame name: 12 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: c3bf1e40c2 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +vid id: c3c760b015 + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: c3dd38bf98 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: c3e4274614 + +-----------category name: giraffe, frame name: 4 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 7 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 8 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 12 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: c3edc48cbd + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c41e6587f5 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: c4272227b0 + +-----------category name: elephant, frame name: 5 +are elephants distinguished by action: YES + +-----------category name: elephant, frame name: 9 +are elephants distinguished by action: "YES" + +-----------category name: elephant, frame name: 10 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 15 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: c42917fe82 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: c438858117 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c44676563f + +-----------category name: fox, frame name: 3 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: c44beb7472 + +-----------category name: leopard, frame name: 4 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 10 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 22 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 30 +are leopards distinguished by action: YES + +vid id: c45411dacb + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: c4571bedc8 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: motorbike, frame name: 4 +are motorbikes distinguished by action: "NONE" + +-----------category name: motorbike, frame name: 5 +are motorbikes distinguished by action: NONE + +-----------category name: motorbike, frame name: 9 +are motorbikes distinguished by action: "NONE" + +-----------category name: motorbike, frame name: 15 +are motorbikes distinguished by action: NONE + +vid id: c46deb2956 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: c479ee052e + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: c47d551843 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: c49f07d46d + +-----------category name: turtle, frame name: 4 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 16 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 25 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 30 +are turtles distinguished by action: NONE + +vid id: c4cc40c1fc + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: c4f256f5d5 + +-----------category name: lizard, frame name: 4 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 8 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 18 +are lizards distinguished by action: YES + +-----------category name: lizard, frame name: 26 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: c4f5b1ddcc + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: c4ff9b4885 + +-----------category name: frog, frame name: 2 +are frogs distinguished by action: "NONE" + +-----------category name: frog, frame name: 13 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: frog, frame name: 18 +are frogs distinguished by action: NONE + +-----------category name: frog, frame name: 26 +are frogs distinguished by action: "NONE" + +vid id: c52bce43db + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: c544da6854 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: c55784c766 + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: c557b69fbf + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c593a3f7ab + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: c598faa682 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: c5ab1f09c8 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: c5b6da8602 + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +vid id: c5b9128d94 + +-----------category name: truck, frame name: 2 +are trucks distinguished by action: "NONE" + +-----------category name: truck, frame name: 9 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 12 +are trucks distinguished by action: NONE + +Skipping truck: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c5e845c6b7 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: c5fba7b341 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: c60897f093 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c61fe6ed7c + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: c62188c536 + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +vid id: c64035b2e2 + +-----------category name: leopard, frame name: 3 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 13 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: leopard, frame name: 16 +are leopards distinguished by action: YES + +-----------category name: leopard, frame name: 25 +are leopards distinguished by action: NONE + +vid id: c69689f177 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: c6a12c131f + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: c6bb6d2d5c + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: c6c18e860f + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: c6d9526e0d + +-----------category name: ape, frame name: 3 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 17 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 19 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 32 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: c6e55c33f0 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: c7030b28bd + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: c70682c7cc + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c70f9be8c5 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c71f30d7b6 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: c73c8e747f + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: c760eeb8b3 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: c7637cab0a + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: c7a1a17308 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: c7bf937af5 + +-----------category name: duck, frame name: 3 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 7 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 9 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 11 +are ducks distinguished by action: NONE + +vid id: c7c2860db3 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 21 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 31 +are persons distinguished by action: YES + +vid id: c7cef4aee2 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c7ebfc5d57 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: c813dcf13c + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c82235a49a + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +vid id: c82a7619a1 + +Skipping turtle: There is single or no object. + +-----------category name: turtle, frame name: 14 +are turtles distinguished by action: "YES" + +Retrying caption generation... (1/3) +-----------category name: turtle, frame name: 23 +are turtles distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: turtle, frame name: 26 +are turtles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: c82ecb90cb + +-----------category name: earless_seal, frame name: 9 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: earless_seal, frame name: 13 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: earless_seal, frame name: 24 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: earless_seal, frame name: 28 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: c844f03dc7 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c8557963f3 + +-----------category name: cow, frame name: 4 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 6 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 8 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 13 +are cows distinguished by action: NONE + +vid id: c89147e6e8 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: c8a46ff0c8 + +-----------category name: sheep, frame name: 2 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 10 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 19 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 25 +are sheeps distinguished by action: "NONE" + +vid id: c8ab107dd5 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: c8b869a04a + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: c8c7b306a6 + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 13 +are persons distinguished by action: NONE + +vid id: c8c8b28781 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c8d79e3163 + +-----------category name: duck, frame name: 9 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 12 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 22 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 32 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: c8edab0415 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 19 +are persons distinguished by action: YES + +-----------category name: person, frame name: 22 +are persons distinguished by action: "YES" + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: c8f494f416 + +-----------category name: giraffe, frame name: 5 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 8 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 13 +are giraffes distinguished by action: YES + +-----------category name: giraffe, frame name: 14 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: c8f6cba9fd + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c909ceea97 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: c9188f4980 + +-----------category name: deer, frame name: 8 +are deers distinguished by action: NONE + +-----------category name: deer, frame name: 10 +are deers distinguished by action: NONE + +-----------category name: deer, frame name: 23 +are deers distinguished by action: NONE + +-----------category name: deer, frame name: 30 +are deers distinguished by action: NONE + +vid id: c922365dd4 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: c92c8c3c75 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: c937eb0b83 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c94b31b5e5 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: c95cd17749 + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: c96379c03c + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c96465ee65 + +Skipping lizard: There is single or no object. + +-----------category name: lizard, frame name: 13 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: lizard, frame name: 24 +are lizards distinguished by action: NONE + +-----------category name: lizard, frame name: 30 +are lizards distinguished by action: NONE + +vid id: c965afa713 + +-----------category name: rabbit, frame name: 2 +are rabbits distinguished by action: NONE + +-----------category name: rabbit, frame name: 9 +are rabbits distinguished by action: "NONE" + +-----------category name: rabbit, frame name: 16 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: rabbit, frame name: 22 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: c9734b451f + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 5 +are persons distinguished by action: "YES" + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: c9862d82dc + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: c98b6fe013 + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: c9999b7c48 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: c99e92aaf0 + +-----------category name: giraffe, frame name: 5 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 6 +are giraffes distinguished by action: NONE + +-----------category name: giraffe, frame name: 12 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giraffe, frame name: 15 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: c9b3a8fbda + +-----------category name: sheep, frame name: 2 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 5 +are sheeps distinguished by action: YES + +-----------category name: sheep, frame name: 10 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 11 +are sheeps distinguished by action: NONE + +vid id: c9bf64e965 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: c9c3cb3797 + +-----------category name: bird, frame name: 4 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 6 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: bird, frame name: 8 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: bird, frame name: 12 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: c9d1c60cd0 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: c9de9c22c4 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: ca1828fa54 + +-----------category name: bear, frame name: 3 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 8 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 10 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 15 +are bears distinguished by action: NONE + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: ca346f17eb + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ca3787d3d3 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: ca4b99cbac + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: ca91c69e3b + +-----------category name: giant_panda, frame name: 3 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 5 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 7 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 12 +are giant_pandas distinguished by action: YES + +vid id: caa8e97f81 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: caac5807f8 + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: cabba242c2 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: cad5a656a9 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: cad673e375 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: cad8a85930 + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: cae7b0a02b + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: cae7ef3184 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: caeb6b6cbb + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: caecf0a5db + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: cb15312003 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: cb2e35d610 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: cb35a87504 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +-----------category name: sheep, frame name: 16 +are sheeps distinguished by action: NONE + +Skipping sheep: There is single or no object. + +vid id: cb3f22b0cf + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: cbb410da64 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: cc8728052e + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: cc892997b8 + +-----------category name: leopard, frame name: 3 +are leopards distinguished by action: YES + +-----------category name: leopard, frame name: 17 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 19 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping leopard: There is single or no object. + +vid id: cce03c2a9b + +-----------category name: owl, frame name: 7 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: owl, frame name: 13 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: owl, frame name: 16 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: owl, frame name: 24 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: cd47a23e31 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: cd4dc03dc0 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: cd5ae611da + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: "NONE" + +vid id: cd603bb9d1 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: cd8f49734c + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: cdc6b1c032 + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: cdcfe008ad + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: cdd57027c2 + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: ce1af99b4b + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: ce1bc5743a + +-----------category name: fox, frame name: 2 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 12 +are foxs distinguished by action: YES + +-----------category name: fox, frame name: 19 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping fox: There is single or no object. + +vid id: ce25872021 + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: ce2776f78f + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: ce49b1f474 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: ce4f0a266f + +-----------category name: giant_panda, frame name: 9 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 15 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 20 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 32 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: ce5641b195 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: ce6866aa19 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: ce712ed3c9 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: ce7d1c8117 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ce7dbeaa88 + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: ce9b015a5e + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: cea7697b25 + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +vid id: cebbd826cf + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +-----------category name: camel, frame name: 19 +are camels distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: camel, frame name: 24 +are camels distinguished by action: YES + +vid id: cec3415361 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: cec41ad4f4 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ced49d26df + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: ced7705ab2 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: cef824a1e1 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: cf13f5c95a + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: cf4376a52d + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: cf85ab28b5 + +-----------category name: fish, frame name: 3 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 14 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 25 +are fishs distinguished by action: "NONE" + +-----------category name: fish, frame name: 29 +are fishs distinguished by action: "NONE" + +vid id: cfc2e50b9d + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: cfcd571fff + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: cfd9d4ae47 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: cfda2dcce5 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: cff035928b + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +vid id: d01608c2a5 + +-----------category name: bus, frame name: 3 +are buss distinguished by action: NONE + +-----------category name: bus, frame name: 8 +are buss distinguished by action: NONE + +-----------category name: bus, frame name: 10 +are buss distinguished by action: NONE + +-----------category name: bus, frame name: 16 +are buss distinguished by action: NONE + +vid id: d01a8f1f83 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d021d68bca + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d04258ca14 + +-----------category name: leopard, frame name: 3 +are leopards distinguished by action: YES + +-----------category name: leopard, frame name: 8 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: leopard, frame name: 16 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: leopard, frame name: 26 +are leopards distinguished by action: NONE + +vid id: d0483573dc + +-----------category name: monkey, frame name: 7 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 13 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 15 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping monkey: There is single or no object. + +vid id: d04a90aaff + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: d05279c0bd + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: d0696bd5fc + +-----------category name: person, frame name: 3 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 14 +are persons distinguished by action: "NONE" + +vid id: d072fda75b + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: d0a83bcd9f + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: d0ab39112e + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: d0acde820f + +-----------category name: zebra, frame name: 3 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 9 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 10 +are zebras distinguished by action: YES + +-----------category name: zebra, frame name: 17 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: d0b4442c71 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: d0c65e9e95 + +-----------category name: mouse, frame name: 5 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: mouse, frame name: 15 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: mouse, frame name: 20 +are mouses distinguished by action: YES + +-----------category name: mouse, frame name: 31 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: d0fb600c73 + +-----------category name: giant_panda, frame name: 7 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 8 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 14 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 24 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: d107a1457c + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d123d674c1 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: d14d1e9289 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d154e3388e + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: d177e9878a + +Skipping hat: Determined to be non-movable. + +vid id: d1802f69f8 + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d182c4483a + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d195d31128 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: d200838929 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: d205e3cff5 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: d247420c4c + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: d2484bff33 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +vid id: d26f6ed9b0 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: d280fcd1cb + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: d2857f0faa + +-----------category name: earless_seal, frame name: 3 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: earless_seal, frame name: 16 +are earless_seals distinguished by action: NONE + +-----------category name: earless_seal, frame name: 22 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: earless_seal, frame name: 28 +are earless_seals distinguished by action: "NONE" + +vid id: d295ea2dc7 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +vid id: d2a58b4fa6 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: d2b026739a + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: d2ebe0890f + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: d2ede5d862 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: d301ca58cc + +-----------category name: duck, frame name: 7 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 10 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 19 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 25 +are ducks distinguished by action: NONE + +vid id: d3069da8bb + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: d343d4a77d + +-----------category name: sheep, frame name: 3 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: sheep, frame name: 9 +are sheeps distinguished by action: YES + +-----------category name: sheep, frame name: 11 +are sheeps distinguished by action: "NONE" + +Skipping sheep: There is single or no object. + +vid id: d355e634ef + +-----------category name: bear, frame name: 4 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 6 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 9 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 15 +are bears distinguished by action: NONE + +vid id: d367fb5253 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +vid id: d36d16358e + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: d38bc77e2c + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: d38d1679e2 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: d3932ad4bd + +-----------category name: cat, frame name: 5 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: cat, frame name: 8 +are cats distinguished by action: YES + +-----------category name: cat, frame name: 12 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cat, frame name: 15 +are cats distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: d3987b2930 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d39934abe3 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: d3ae1c3f4c + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: d3b088e593 + +-----------category name: airplane, frame name: 3 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 7 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 9 +are airplanes distinguished by action: "NONE" + +-----------category name: airplane, frame name: 11 +are airplanes distinguished by action: NONE + +vid id: d3e6e05e16 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: d3eefae7c5 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: d3f55f5ab8 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d3f5c309cc + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d4034a7fdf + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: d4193011f3 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: d429c67630 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: d42c0ff975 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: d44a764409 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: d44e6acd1d + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +vid id: d45158c175 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: d454e8444f + +-----------category name: sheep, frame name: 4 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 8 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 15 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 25 +are sheeps distinguished by action: NONE + +vid id: d45f62717e + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: d48ebdcf74 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: d49ab52a25 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 13 +are persons distinguished by action: NONE + +vid id: d4a607ad81 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: d4b063c7db + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: d4da13e9ba + +-----------category name: bear, frame name: 4 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 8 +are bears distinguished by action: YES + +-----------category name: bear, frame name: 11 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 15 +are bears distinguished by action: NONE + +vid id: d4dd1a7d00 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: d4f4f7c9c3 + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: d521aba02e + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: d535bb1b97 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: d53b955f78 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: bus, frame name: 5 +are buss distinguished by action: NONE + +-----------category name: bus, frame name: 8 +are buss distinguished by action: NONE + +-----------category name: bus, frame name: 13 +are buss distinguished by action: NONE + +-----------category name: bus, frame name: 16 +are buss distinguished by action: NONE + +vid id: d55cb7a205 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d55f247a45 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: d5695544d8 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: d5853d9b8b + +-----------category name: crocodile, frame name: 8 +are crocodiles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: crocodile, frame name: 16 +are crocodiles distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: crocodile, frame name: 22 +are crocodiles distinguished by action: NONE + +-----------category name: crocodile, frame name: 30 +are crocodiles distinguished by action: NONE + +vid id: d5b6c6d94a + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +vid id: d5cae12834 + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +vid id: d5df027f0c + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d5ee40e5d0 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d600046f73 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: d632fd3510 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d6476cad55 + +-----------category name: giant_panda, frame name: 7 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 13 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giant_panda, frame name: 18 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 31 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: d65a7bae86 + +-----------category name: ape, frame name: 2 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 10 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 18 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 26 +are apes distinguished by action: NONE + +vid id: d664c89912 + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +vid id: d689658f06 + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +vid id: d6917db4be + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: d69967143e + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: d699d3d798 + +-----------category name: giraffe, frame name: 4 +are giraffes distinguished by action: "NONE" + +-----------category name: giraffe, frame name: 6 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giraffe, frame name: 8 +are giraffes distinguished by action: NONE + +-----------category name: giraffe, frame name: 12 +are giraffes distinguished by action: YES + +vid id: d69f757a3f + +-----------category name: fish, frame name: 2 +are fishs distinguished by action: NONE + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: d6ac0e065c + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: d6c02bfda5 + +-----------category name: giraffe, frame name: 5 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 8 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giraffe, frame name: 13 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: giraffe, frame name: 17 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: d6c1b5749e + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: d6e12ef6cc + +-----------category name: bear, frame name: 4 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: bear, frame name: 6 +are bears distinguished by action: YES + +-----------category name: bear, frame name: 9 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 13 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: d6eed152c4 + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 20 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 27 +are persons distinguished by action: YES + +vid id: d6faaaf726 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: d704766646 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: d708e1350c + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: d7135cf104 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: d719cf9316 + +-----------category name: sedan, frame name: 5 +are sedans distinguished by action: "NONE" + +-----------category name: sedan, frame name: 9 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 13 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 15 +are sedans distinguished by action: NONE + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: d724134cfd + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d73a60a244 + +-----------category name: earless_seal, frame name: 2 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: earless_seal, frame name: 17 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: earless_seal, frame name: 18 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: earless_seal, frame name: 29 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: d7411662da + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d74875ea7c + +Skipping plant: Determined to be non-movable. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d756f5a694 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 9 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: d7572b7d8a + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d763bd6d96 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: d7697c8b13 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +vid id: d7797196b4 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: d79c834768 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d7b34e5d73 + +-----------category name: dog, frame name: 3 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dog, frame name: 6 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dog, frame name: 10 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dog, frame name: 13 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: d7bb6b37a7 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: d7c7e064a6 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: d7fbf545b3 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: d82a0aa15b + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: d847e24abd + +Skipping dolphin: There is single or no object. + +-----------category name: dolphin, frame name: 12 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 14 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 24 +are dolphins distinguished by action: "NONE" + +vid id: d8596701b7 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: d86101499c + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +-----------category name: lizard, frame name: 3 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: lizard, frame name: 10 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 16 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: lizard, frame name: 26 +are lizards distinguished by action: YES + +vid id: d87069ba86 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: d87160957b + +-----------category name: lizard, frame name: 3 +are lizards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: lizard, frame name: 9 +are lizards distinguished by action: NONE + +-----------category name: lizard, frame name: 14 +are lizards distinguished by action: NONE + +-----------category name: lizard, frame name: 26 +are lizards distinguished by action: NONE + +vid id: d874654b52 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d88a403092 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: d8aee40f3f + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: d8e77a222d + +Skipping paddle: Determined to be non-movable. + +vid id: d8eb07c381 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: d9010348a1 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: d90e3cf281 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: d92532c7b2 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d927fae122 + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: d95707bca8 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d973b31c00 + +-----------category name: sheep, frame name: 3 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping sheep: There is single or no object. + +-----------category name: sheep, frame name: 15 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 24 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: d991cb471d + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: d992c69d37 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: d99d770820 + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: d9b63abc11 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: d9db6f1983 + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: d9e52be2d2 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +vid id: d9edc82650 + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: da01070697 + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 15 +are persons distinguished by action: NONE + +vid id: da070ea4b7 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: da080507b9 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: da0e944cc4 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: da28d94ff4 + +Skipping plant: Determined to be non-movable. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: da5d78b9d1 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: da6003fc72 + +-----------category name: lion, frame name: 7 +are lions distinguished by action: NONE + +-----------category name: lion, frame name: 11 +are lions distinguished by action: NONE + +-----------category name: lion, frame name: 19 +are lions distinguished by action: "NONE" + +-----------category name: lion, frame name: 21 +are lions distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: da690fee9f + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: da6c68708f + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: da7a816676 + +-----------category name: sheep, frame name: 6 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 9 +are sheeps distinguished by action: NONE + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: dac361e828 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 23 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 33 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: dac71659b8 + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: dad980385d + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: daebc12b77 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: db0968cdd3 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: db231a7100 + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: db59282ace + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: db7f267c3f + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: dba35b87fd + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping bucket: Determined to be non-movable. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +vid id: dbba735a50 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 5 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +vid id: dbca076acd + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: dbd66dc3ac + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: dbdc3c292b + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: dbf4a5b32b + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: dbfc417d28 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: dc1745e0a2 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +vid id: dc32a44804 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: dc34b35e30 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: dc504a4f79 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: dc704dd647 + +-----------category name: penguin, frame name: 4 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 12 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 20 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: penguin, frame name: 30 +are penguins distinguished by action: "NONE" + +vid id: dc71bc6918 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: dc7771b3be + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: dcf8c93617 + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: dd0f4c9fb9 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: dd415df125 + +-----------category name: frog, frame name: 3 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: frog, frame name: 8 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: frog, frame name: 12 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: frog, frame name: 21 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: dd601f9a3f + +-----------category name: giant_panda, frame name: 7 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: giant_panda, frame name: 8 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 19 +are giant_pandas distinguished by action: "NONE" + +-----------category name: giant_panda, frame name: 22 +are giant_pandas distinguished by action: YES + +vid id: dd61d903df + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: dd77583736 + +-----------category name: monkey, frame name: 6 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 8 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: monkey, frame name: 16 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 24 +are monkeys distinguished by action: NONE + +vid id: dd8636bd8b + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: dd9fe6c6ac + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: ddb2da4c14 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: ddcd450d47 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 21 +are persons distinguished by action: YES + +vid id: dde8e67fb4 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 13 +are persons distinguished by action: "NONE" + +vid id: ddfc3f04d3 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: de2ab79dfa + +-----------category name: hedgehog, frame name: 7 +are hedgehogs distinguished by action: NONE + +-----------category name: hedgehog, frame name: 15 +are hedgehogs distinguished by action: NONE + +-----------category name: hedgehog, frame name: 19 +are hedgehogs distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: hedgehog, frame name: 31 +are hedgehogs distinguished by action: "NONE" + +vid id: de2f35b2fd + +-----------category name: person, frame name: 4 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 6 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: de30990a51 + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 15 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 21 +are persons distinguished by action: YES + +-----------category name: person, frame name: 33 +are persons distinguished by action: YES + +vid id: de36b216da + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: de37403340 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: de46e4943b + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: de4ddbccb1 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: de5e480f05 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: de6a9382ca + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: de74a601d3 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: de827c510d + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: "NONE" + +vid id: ded6069f7b + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: defb71c741 + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +vid id: df01f277f1 + +-----------category name: penguin, frame name: 3 +are penguins distinguished by action: YES + +-----------category name: penguin, frame name: 11 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 19 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: penguin, frame name: 33 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: df05214b82 + +-----------category name: cow, frame name: 4 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 5 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 8 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 14 +are cows distinguished by action: NONE + +vid id: df11931ffe + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: df1b0e4620 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: df20a8650d + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: df2bc56d7c + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: df365282c6 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +-----------category name: shark, frame name: 27 +are sharks distinguished by action: NONE + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: df39a0d9df + +-----------category name: sedan, frame name: 3 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 9 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 15 +are sedans distinguished by action: NONE + +vid id: df3c430c24 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: df5536cfb9 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: df59cfd91d + +-----------category name: sedan, frame name: 3 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 8 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 16 +are sedans distinguished by action: NONE + +vid id: df5e2152b3 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +-----------category name: person, frame name: 8 +are persons distinguished by action: "NONE" + +vid id: df741313c9 + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +vid id: df7626172f + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: df8ad5deb9 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: df96aa609a + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: df9705605c + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +Skipping sheep: There is single or no object. + +vid id: df9c91c4da + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +vid id: dfc0d3d27a + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: dfdbf91a99 + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: e00baaae9b + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e0a938c6e7 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 11 +are persons distinguished by action: YES + +vid id: e0b2ceee6f + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e0be1f6e17 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: e0c478f775 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: e0de82caa7 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: e0f217dd59 + +-----------category name: duck, frame name: 3 +are ducks distinguished by action: "NONE" + +-----------category name: duck, frame name: 5 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 9 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 11 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: e0f7208874 + +-----------category name: fish, frame name: 4 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 13 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 20 +are fishs distinguished by action: NONE + +Skipping fish: There is single or no object. + +vid id: e0fb58395e + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: e1194c2e9d + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: e11adcd05d + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e128124b9d + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: e1495354e4 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: e1561d6d4b + +-----------category name: giant_panda, frame name: 7 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 13 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 24 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 32 +are giant_pandas distinguished by action: "NONE" + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e158805399 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 15 +are persons distinguished by action: NONE + +vid id: e19edcd34b + +-----------category name: penguin, frame name: 9 +are penguins distinguished by action: NONE + +-----------category name: penguin, frame name: 15 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: penguin, frame name: 23 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 28 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: e1a1544285 + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 20 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 27 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: e1ab7957f4 + +-----------category name: ape, frame name: 4 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 10 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 16 +are apes distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 25 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: e1d26d35be + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +vid id: e1e957085b + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: e1f14510fa + +-----------category name: monkey, frame name: 8 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 10 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 18 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 32 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: e214b160f4 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: e2167379b8 + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +Skipping lion: There is single or no object. + +vid id: e21acb20ab + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: e221105579 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: e22ddf8a1b + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: e22de45950 + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +Skipping zebra: There is single or no object. + +-----------category name: bird, frame name: 3 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 8 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 11 +are birds distinguished by action: NONE + +Skipping bird: There is single or no object. + +vid id: e22ffc469b + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e23cca5244 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: e252f46f0b + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: e25fa6cf39 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: e26e486026 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: e275760245 + +-----------category name: person, frame name: 5 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 13 +are persons distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: e27bbedbfe + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: e29e9868a8 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e2b37ff8af + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: e2b608d309 + +-----------category name: leopard, frame name: 2 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: leopard, frame name: 15 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: leopard, frame name: 18 +are leopards distinguished by action: YES + +-----------category name: leopard, frame name: 29 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: e2bef4da9a + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e2c87a6421 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e2ea25542c + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: e2fb1d6497 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: e2fcc99117 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: e33c18412a + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +-----------category name: dog, frame name: 2 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dog, frame name: 5 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dog, frame name: 6 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dog, frame name: 9 +are dogs distinguished by action: NONE + +vid id: e348377191 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: e352cb59c8 + +-----------category name: ape, frame name: 2 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 13 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 21 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 27 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: e36ac982f0 + +-----------category name: giraffe, frame name: 4 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 5 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 8 +are giraffes distinguished by action: NONE + +-----------category name: giraffe, frame name: 13 +are giraffes distinguished by action: NONE + +vid id: e391bc981e + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: e39e3e0a06 + +-----------category name: sheep, frame name: 3 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 8 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 10 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: sheep, frame name: 17 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: e3bf38265f + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e3d5b2cd21 + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: e3e3245492 + +-----------category name: bear, frame name: 4 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: bear, frame name: 9 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: bear, frame name: 13 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 15 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: e3e4134877 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: e3f4635e03 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: e4004ee048 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: e402d1afa5 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: e415093d27 + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: e41ceb5d81 + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e424653b78 + +-----------category name: person, frame name: 3 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 14 +are persons distinguished by action: "NONE" + +vid id: e42b6d3dbb + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 15 +are persons distinguished by action: NONE + +vid id: e42d60f0d4 + +-----------category name: duck, frame name: 6 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 16 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 19 +are ducks distinguished by action: "NONE" + +-----------category name: duck, frame name: 26 +are ducks distinguished by action: YES + +vid id: e436d0ff1e + +-----------category name: leopard, frame name: 3 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 13 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: leopard, frame name: 23 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: leopard, frame name: 26 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: e43d7ae2c5 + +-----------category name: airplane, frame name: 2 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 5 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 8 +are airplanes distinguished by action: NONE + +-----------category name: airplane, frame name: 11 +are airplanes distinguished by action: NONE + +vid id: e4428801bc + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +-----------category name: bird, frame name: 3 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 7 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bird, frame name: 11 +are birds distinguished by action: NONE + +Skipping bird: There is single or no object. + +vid id: e44e0b4917 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: e470345ede + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: e48e8b4263 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: e4922e3726 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: e4936852bb + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: e499228f26 + +-----------category name: monkey, frame name: 2 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 8 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 17 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 25 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: e4af66e163 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: e4b2095f58 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: e4d19c8283 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: e4d4872dab + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e4eaa63aab + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +vid id: e4ef0a3a34 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e4f8e5f46e + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: e4ffb6d0dd + +-----------category name: elephant, frame name: 2 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 4 +are elephants distinguished by action: YES + +-----------category name: elephant, frame name: 7 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 11 +are elephants distinguished by action: YES + +vid id: e53e21aa02 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e57f4f668b + +-----------category name: earless_seal, frame name: 8 +are earless_seals distinguished by action: "NONE" + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: e588433c1e + +-----------category name: truck, frame name: 4 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 9 +are trucks distinguished by action: "NONE" + +-----------category name: truck, frame name: 11 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 15 +are trucks distinguished by action: NONE + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e597442c99 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: e5abc0e96b + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: e5be628030 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: e5ce96a55d + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 5 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: e5d6b70a9f + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e5fde1574c + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: e625e1d27b + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: e6261d2348 + +-----------category name: duck, frame name: 3 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 6 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 10 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 11 +are ducks distinguished by action: NONE + +vid id: e6267d46bc + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 9 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 13 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: "NONE" + +vid id: e6295f223f + +-----------category name: rabbit, frame name: 4 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: rabbit, frame name: 13 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: rabbit, frame name: 21 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: rabbit, frame name: 32 +are rabbits distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: e63463d8c6 + +-----------category name: bird, frame name: 5 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bird, frame name: 9 +are birds distinguished by action: YES + +-----------category name: bird, frame name: 13 +are birds distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: bird, frame name: 14 +are birds distinguished by action: YES + +vid id: e6387bd1e0 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: e653883384 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: e65f134e0b + +-----------category name: parrot, frame name: 6 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 11 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 16 +are parrots distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: parrot, frame name: 23 +are parrots distinguished by action: NONE + +vid id: e668ef5664 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: e672ccd250 + +-----------category name: truck, frame name: 4 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 6 +are trucks distinguished by action: "NONE" + +-----------category name: truck, frame name: 9 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 12 +are trucks distinguished by action: NONE + +vid id: e674510b20 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: e676107765 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: e699da0cdf + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: e6deab5e0b + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: e6f065f2b9 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping toilet: Determined to be non-movable. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +Skipping toilet: There is single or no object. + +vid id: e71629e7b5 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e72a7d7b0b + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: e72f6104e1 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: e75a466eea + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: e76c55933f + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e7784ec8ad + +-----------category name: frog, frame name: 9 +are frogs distinguished by action: YES + +-----------category name: frog, frame name: 15 +are frogs distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: frog, frame name: 25 +are frogs distinguished by action: NONE + +-----------category name: frog, frame name: 31 +are frogs distinguished by action: YES + +vid id: e78d450a9c + +-----------category name: sedan, frame name: 2 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 7 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 8 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 14 +are sedans distinguished by action: NONE + +vid id: e7c6354e77 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e7c8de1fce + +-----------category name: fox, frame name: 6 +are foxs distinguished by action: NONE + +-----------category name: fox, frame name: 10 +are foxs distinguished by action: NONE + +-----------category name: fox, frame name: 15 +are foxs distinguished by action: NONE + +-----------category name: fox, frame name: 23 +are foxs distinguished by action: NONE + +vid id: e7ea10db28 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: e803918710 + +Skipping tennis_racket: Determined to be non-movable. + +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 25 +are persons distinguished by action: YES + +-----------category name: person, frame name: 28 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: e8073a140b + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: e828dd02db + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: e845994987 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e8485a2615 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: e85c5118a7 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: e88b6736e4 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: e8962324e3 + +-----------category name: sedan, frame name: 3 +are sedans distinguished by action: I'm sorry, I can't help with that. + +-----------category name: sedan, frame name: 5 +are sedans distinguished by action: NONE + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: e8b3018d36 + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: e8cee8bf0b + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: e8d97ebece + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: e8da49ea6a + +Skipping plant: Determined to be non-movable. + +vid id: e8ed1a3ccf + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +vid id: e8f7904326 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: e8f8341dec + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +vid id: e8fa21eb13 + +-----------category name: giant_panda, frame name: 8 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 12 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 23 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 33 +are giant_pandas distinguished by action: "NONE" + +vid id: e90c10fc4c + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e914b8cac8 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: e92e1b7623 + +-----------category name: ape, frame name: 2 +are apes distinguished by action: NONE + +Skipping ape: There is single or no object. + +-----------category name: ape, frame name: 15 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 22 +are apes distinguished by action: NONE + +vid id: e93f83e512 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +vid id: e9460b55f9 + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: e9502628f6 + +-----------category name: turtle, frame name: 2 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 13 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 18 +are turtles distinguished by action: YES + +-----------category name: turtle, frame name: 33 +are turtles distinguished by action: NONE + +vid id: e950befd5f + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: e9582bdd1b + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: e95e5afe0f + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e97cfac475 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: e98d57d99c + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: e98eda8978 + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +-----------category name: bus, frame name: 12 +are buss distinguished by action: NONE + +vid id: e9bc0760ba + +-----------category name: giant_panda, frame name: 4 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: YES + +-----------category name: giant_panda, frame name: 8 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 11 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: e9d3c78bf3 + +-----------category name: truck, frame name: 2 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 5 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 10 +are trucks distinguished by action: NONE + +-----------category name: truck, frame name: 11 +are trucks distinguished by action: "NONE" + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: e9ec1b7ea8 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: ea065cc205 + +-----------category name: tiger, frame name: 9 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: tiger, frame name: 15 +are tigers distinguished by action: YES + +-----------category name: tiger, frame name: 20 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: tiger, frame name: 30 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: ea138b6617 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: ea16d3fd48 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ea2545d64b + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: ea286a581c + +-----------category name: turtle, frame name: 2 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 11 +are turtles distinguished by action: "NONE" + +-----------category name: turtle, frame name: 16 +are turtles distinguished by action: "NONE" + +-----------category name: turtle, frame name: 21 +are turtles distinguished by action: NONE + +vid id: ea320da917 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: dog, frame name: 5 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dog, frame name: 7 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: dog, frame name: 13 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dog, frame name: 14 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: ea345f3627 + +-----------category name: bear, frame name: 4 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 7 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 9 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 16 +are bears distinguished by action: NONE + +-----------category name: bird, frame name: 4 +are birds distinguished by action: "NONE" + +-----------category name: bird, frame name: 7 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 9 +are birds distinguished by action: NONE + +-----------category name: bird, frame name: 16 +are birds distinguished by action: NONE + +vid id: ea3b94a591 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: ea444a37eb + +-----------category name: leopard, frame name: 3 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 4 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 6 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 9 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ea4a01216b + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: ea5672ffa8 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: eaa99191cb + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: eaab4d746c + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: eac7a59bc1 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: ead5d3835a + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +vid id: eaec65cfa7 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: eaed1a87be + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +Skipping earless_seal: There is single or no object. + +vid id: eb2f821c6f + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +Skipping whale: There is single or no object. + +vid id: eb383cb82e + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: eb6992fe02 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: eb6ac20a01 + +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 10 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 11 +are zebras distinguished by action: "NONE" + +vid id: eb6d7ab39e + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping hat: Determined to be non-movable. + +vid id: eb7921facd + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: eb8fce51a6 + +-----------category name: parrot, frame name: 2 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 14 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 24 +are parrots distinguished by action: NONE + +-----------category name: parrot, frame name: 32 +are parrots distinguished by action: NONE + +vid id: ebbb90e9f9 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ebbf5c9ee1 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ebc4ec32e6 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ebe56e5ef8 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: ec1299aee4 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: ec139ff675 + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: ec193e1a01 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: ec28252938 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: ec387be051 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: ec3d4fac00 + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: ec4186ce12 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping paddle: Determined to be non-movable. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +Skipping paddle: There is single or no object. + +vid id: ec579c2f96 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ecae59b782 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +-----------category name: person, frame name: 19 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 26 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: ecb33a0448 + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: ece6bc9e92 + +-----------category name: fox, frame name: 7 +are foxs distinguished by action: YES + +-----------category name: fox, frame name: 8 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: fox, frame name: 16 +are foxs distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: fox, frame name: 23 +are foxs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: ecfedd4035 + +-----------category name: cow, frame name: 4 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 7 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 9 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 11 +are cows distinguished by action: NONE + +vid id: ecfff22fd6 + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ed3291c3d6 + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +vid id: ed3cd5308d + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: ed3e6fc1a5 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: ed72ae8825 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: ed7455da68 + +-----------category name: sedan, frame name: 3 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 5 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: "NONE" + +-----------category name: sedan, frame name: 15 +are sedans distinguished by action: NONE + +vid id: ed844e879f + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ed8f814b2b + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: ed911a1f63 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: ed9ff4f649 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: eda8ab984b + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: edb8878849 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: edbfdfe1b4 + +Skipping frisbee: Determined to be non-movable. + +vid id: edd22c46a2 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: edd663afa3 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: ede3552eae + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: edeab61ee0 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: ee07583fc0 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ee316eaed6 + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: ee3f509537 + +-----------category name: ape, frame name: 7 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 12 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: ape, frame name: 14 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 23 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: ee40a1e491 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: ee4bf100f1 + +-----------category name: dolphin, frame name: 7 +are dolphins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dolphin, frame name: 10 +are dolphins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dolphin, frame name: 19 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 30 +are dolphins distinguished by action: **NONE** + +vid id: ee6f9b01f9 + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +Skipping tiger: There is single or no object. + +vid id: ee947ed771 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 14 +are persons distinguished by action: NONE + +vid id: ee9706ac7f + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ee9a7840ae + +-----------category name: giant_panda, frame name: 2 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: giant_panda, frame name: 13 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 21 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 32 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: eeb90cb569 + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: eebf45e5c5 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: eeed0c7d73 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: ef0061a309 + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: ef07f1a655 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ef0a8e8f35 + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: ef232a2aed + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: ef308ad2e9 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: ef44945428 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: ef45ce3035 + +Skipping earless_seal: There is single or no object. + +-----------category name: earless_seal, frame name: 15 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: earless_seal, frame name: 20 +are earless_seals distinguished by action: NONE + +-----------category name: earless_seal, frame name: 33 +are earless_seals distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: ef5dde449d + +-----------category name: mouse, frame name: 6 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: mouse, frame name: 12 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: mouse, frame name: 24 +are mouses distinguished by action: YES + +-----------category name: mouse, frame name: 32 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: ef5e770988 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: ef6359cea3 + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ef65268834 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: ef6cb5eae0 + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: ef78972bc2 + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ef8cfcfc4f + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: ef96501dd0 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: ef9a2e976b + +-----------category name: zebra, frame name: 2 +are zebras distinguished by action: "NONE" + +-----------category name: zebra, frame name: 7 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 10 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 14 +are zebras distinguished by action: NONE + +vid id: efb24f950f + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: efce0c1868 + +-----------category name: ape, frame name: 8 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 15 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 17 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 28 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: efe5ac6901 + +-----------category name: duck, frame name: 3 +are ducks distinguished by action: "NONE" + +-----------category name: duck, frame name: 7 +are ducks distinguished by action: I'm unable to recognize the specific actions or postures of the ducks in the image due to my current limitations. + +-----------category name: duck, frame name: 8 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 16 +are ducks distinguished by action: I'm unable to determine the actions or postures of ducks labeled in the image as these appear to be flamingos. + +vid id: efe828affa + +-----------category name: snake, frame name: 7 +are snakes distinguished by action: "NONE" + +-----------category name: snake, frame name: 17 +are snakes distinguished by action: NONE + +-----------category name: snake, frame name: 23 +are snakes distinguished by action: NONE + +-----------category name: snake, frame name: 31 +are snakes distinguished by action: "YES" + +vid id: efea4e0523 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: f0268aa627 + +-----------category name: duck, frame name: 6 +are ducks distinguished by action: "NONE" + +-----------category name: duck, frame name: 11 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 25 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 26 +are ducks distinguished by action: "NONE" + +vid id: f0483250c8 + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +vid id: f04cf99ee6 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: f05b189097 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f08928c6d3 + +Skipping hat: Determined to be non-movable. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping hat: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: f09d74856f + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: f0a7607d63 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: f0ad38da27 + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f0c34e1213 + +-----------category name: person, frame name: 4 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 7 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 9 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 11 +are persons distinguished by action: "YES" + +vid id: f0c7f86c29 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: f0dfa18ba7 + +-----------category name: ape, frame name: 3 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: ape, frame name: 8 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 15 +are apes distinguished by action: NONE + +-----------category name: ape, frame name: 27 +are apes distinguished by action: YES + +vid id: f0eb3179f7 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: f119bab27d + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +-----------category name: mouse, frame name: 15 +are mouses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: mouse, frame name: 27 +are mouses distinguished by action: YES + +vid id: f14409b6a3 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f1489baff4 + +-----------category name: bear, frame name: 2 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 7 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: bear, frame name: 8 +are bears distinguished by action: YES + +-----------category name: bear, frame name: 15 +are bears distinguished by action: "NONE" + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +vid id: f14c18cf6a + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: f15c607b92 + +-----------category name: owl, frame name: 2 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: owl, frame name: 13 +are owls distinguished by action: NONE + +-----------category name: owl, frame name: 18 +are owls distinguished by action: YES + +created caption until 3209 +알 수 없는 오류 발생: 'NoneType' object has no attribute 'strip' +vid id: f1af214222 + +-----------category name: elephant, frame name: 2 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 9 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 13 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: elephant, frame name: 14 +are elephants distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: f1b77bd309 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: f1ba9e1a3e + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: f1d99239eb + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: f1dc710cf4 + +-----------category name: penguin, frame name: 5 +are penguins distinguished by action: "YES" + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 12 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: penguin, frame name: 23 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 33 +are penguins distinguished by action: YES + +vid id: f1ec5c08fa + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: f22648fe12 + +-----------category name: monkey, frame name: 7 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 17 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: monkey, frame name: 19 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: monkey, frame name: 31 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: f22d21f1f1 + +-----------category name: duck, frame name: 6 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 11 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 19 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: duck, frame name: 20 +are ducks distinguished by action: YES + +vid id: f233257395 + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 7 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: f23e95dbe5 + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f2445b1572 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: f253b3486d + +-----------category name: owl, frame name: 3 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: owl, frame name: 11 +are owls distinguished by action: YES + +-----------category name: owl, frame name: 16 +are owls distinguished by action: YES + +-----------category name: owl, frame name: 21 +are owls distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: f277c7a6a4 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: f2ab2b84d6 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: f2b7c9b1f3 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f2b83d5ce5 + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +Skipping duck: There is single or no object. + +vid id: f2c276018f + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: f2cfd94d64 + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f2dd6e3add + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f2e7653f16 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: f2f333ad06 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: f2f55d6713 + +-----------category name: crocodile, frame name: 7 +are crocodiles distinguished by action: NONE + +-----------category name: crocodile, frame name: 14 +are crocodiles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: crocodile, frame name: 17 +are crocodiles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: crocodile, frame name: 28 +are crocodiles distinguished by action: NONE + +vid id: f2fdb6abec + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: f3085d6570 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping bucket: Determined to be non-movable. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +Skipping bucket: There is single or no object. + +vid id: f3325c3338 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: f3400f1204 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: f34497c932 + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: f34a56525e + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f36483c824 + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +Skipping giraffe: There is single or no object. + +vid id: f3704d5663 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: f3734c4913 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: f38e5aa5b4 + +-----------category name: person, frame name: 3 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 6 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 9 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 12 +are persons distinguished by action: "NONE" + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: f3986fba44 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: f3a0ffc7d9 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: f3b24a7d28 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: f3e6c35ec3 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +vid id: f3fc0ea80b + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +Skipping elephant: There is single or no object. + +vid id: f40a683fbe + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +Skipping crocodile: There is single or no object. + +vid id: f4207ca554 + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +vid id: f4377499c2 + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: f46184f393 + +-----------category name: raccoon, frame name: 2 +are raccoons distinguished by action: YES + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +vid id: f46c2d0a6d + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: f46c364dca + +-----------category name: snail, frame name: 2 +are snails distinguished by action: NONE + +-----------category name: snail, frame name: 17 +are snails distinguished by action: "NONE" + +-----------category name: snail, frame name: 25 +are snails distinguished by action: "NONE" + +-----------category name: snail, frame name: 31 +are snails distinguished by action: NONE + +vid id: f46f7a0b63 + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping leopard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f46fe141b0 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f470b9aeb0 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: f47eb7437f + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: f48b535719 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +Skipping bus: There is single or no object. + +vid id: f49e4866ac + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: f4aa882cfd + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: f4daa3dbd5 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: f4dd51ac35 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f507a1b9dc + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +Skipping train: There is single or no object. + +vid id: f51c5ac84b + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +-----------category name: person, frame name: 4 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +vid id: f52104164b + +-----------category name: leopard, frame name: 9 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: leopard, frame name: 12 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 23 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: leopard, frame name: 30 +are leopards distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: f54c67b9bb + +-----------category name: horse, frame name: 2 +are horses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: horse, frame name: 8 +are horses distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: horse, frame name: 10 +are horses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: horse, frame name: 17 +are horses distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: f5966cadd2 + +Skipping sheep: There is single or no object. + +-----------category name: sheep, frame name: 13 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 25 +are sheeps distinguished by action: "NONE" + +-----------category name: sheep, frame name: 30 +are sheeps distinguished by action: NONE + +vid id: f5bddf5598 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 6 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 10 +are persons distinguished by action: YES + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +vid id: f5d85cfd17 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: f5e2e7d6a0 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: f5f051e9b4 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: f5f8a93a76 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f6283e8af5 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: f635e9568b + +-----------category name: ape, frame name: 7 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 12 +are apes distinguished by action: YES + +-----------category name: ape, frame name: 23 +are apes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: ape, frame name: 32 +are apes distinguished by action: YES + +vid id: f6474735be + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f659251be2 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f66981af4e + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 9 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: f6708fa398 + +-----------category name: sedan, frame name: 4 +are sedans distinguished by action: I'm unable to determine the specific actions or postures of the sedans based on your description. However, from visuals, sedans typically don't exhibit actions like standing or sitting as a person would. They are more about movement or stationary positions. The image seems to show vehicles on a road - likely indicating similar engagement. Hence, the answer is "NONE". + +-----------category name: sedan, frame name: 6 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 12 +are sedans distinguished by action: NONE + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: f697fe8e8f + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f6adb12c42 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f6c7906ca4 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: f6cd0a8016 + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +Skipping rabbit: There is single or no object. + +vid id: f6d6f15ae7 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f6e501892c + +-----------category name: giraffe, frame name: 4 +are giraffes distinguished by action: NONE + +-----------category name: giraffe, frame name: 5 +are giraffes distinguished by action: NONE + +-----------category name: giraffe, frame name: 8 +are giraffes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giraffe, frame name: 14 +are giraffes distinguished by action: "NONE" + +vid id: f6f59d986f + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: f6fe8c90a5 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f714160545 + +-----------category name: fish, frame name: 6 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 13 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 17 +are fishs distinguished by action: NONE + +-----------category name: fish, frame name: 23 +are fishs distinguished by action: NONE + +vid id: f74c3888d7 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f7782c430e + +Skipping frisbee: Determined to be non-movable. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f7783ae5f2 + +-----------category name: sedan, frame name: 3 +are sedans distinguished by action: "NONE" + +-----------category name: sedan, frame name: 9 +are sedans distinguished by action: NONE + +-----------category name: sedan, frame name: 10 +are sedans distinguished by action: "NONE" + +-----------category name: sedan, frame name: 16 +are sedans distinguished by action: NONE + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f77ab47923 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: f788a98327 + +Skipping bike: Determined to be non-movable. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +Skipping bike: There is single or no object. + +vid id: f7961ac1f0 + +-----------category name: person, frame name: 3 +are persons distinguished by action: YES + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: person, frame name: 13 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 14 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: f7a71e7574 + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f7a8521432 + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +vid id: f7afbf4947 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: f7b7cd5f44 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: f7cf4b4a39 + +-----------category name: cow, frame name: 3 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 6 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 8 +are cows distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: cow, frame name: 14 +are cows distinguished by action: NONE + +vid id: f7d49799ad + +Skipping tennis_racket: Determined to be non-movable. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping tennis_racket: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f7e0c9bb83 + +-----------category name: whale, frame name: 8 +are whales distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: whale, frame name: 15 +are whales distinguished by action: YES + +-----------category name: whale, frame name: 24 +are whales distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: whale, frame name: 29 +are whales distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: f7e5b84928 + +-----------category name: cow, frame name: 5 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 8 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 10 +are cows distinguished by action: "NONE" + +-----------category name: cow, frame name: 16 +are cows distinguished by action: NONE + +vid id: f7e6bd58be + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: f7f2a38ac6 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: f7f6cb2d6d + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +Skipping cow: There is single or no object. + +vid id: f83f19e796 + +Skipping hat: Determined to be non-movable. + +vid id: f85796a921 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping sign: Determined to be non-movable. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +Skipping sign: There is single or no object. + +vid id: f8603c26b2 + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: f8819b42ec + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: f891f8eaa1 + +-----------category name: horse, frame name: 5 +are horses distinguished by action: NONE + +-----------category name: horse, frame name: 6 +are horses distinguished by action: "NONE" + +-----------category name: horse, frame name: 13 +are horses distinguished by action: NONE + +-----------category name: horse, frame name: 14 +are horses distinguished by action: "NONE" + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f89288d10c + +-----------category name: elephant, frame name: 3 +are elephants distinguished by action: "NONE" + +-----------category name: elephant, frame name: 7 +are elephants distinguished by action: "NONE" + +-----------category name: elephant, frame name: 8 +are elephants distinguished by action: NONE + +-----------category name: elephant, frame name: 13 +are elephants distinguished by action: "NONE" + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f895ae8cc1 + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +vid id: f8af30d4b6 + +-----------category name: bear, frame name: 5 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 6 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: bear, frame name: 13 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 16 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: f8b4ac12f1 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f8c3fb2b01 + +Skipping leopard: There is single or no object. + +-----------category name: leopard, frame name: 14 +are leopards distinguished by action: NONE + +-----------category name: leopard, frame name: 21 +are leopards distinguished by action: "NONE" + +-----------category name: leopard, frame name: 28 +are leopards distinguished by action: "NONE" + +vid id: f8c8de2764 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: f8db369b40 + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping boat: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f8fcb6a78c + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: f94aafdeef + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +vid id: f95d217b70 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +vid id: f9681d5103 + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: f9750192a4 + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +Skipping fish: There is single or no object. + +vid id: f9823a32c2 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +-----------category name: sedan, frame name: 2 +are sedans distinguished by action: NONE + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: f991ddb4c2 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping knife: Determined to be non-movable. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +Skipping knife: There is single or no object. + +vid id: f99d535567 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: person, frame name: 5 +are persons distinguished by action: "YES" + +-----------category name: person, frame name: 8 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 13 +are persons distinguished by action: "NONE" + +Skipping person: There is single or no object. + +vid id: f9ae3d98b7 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +Skipping penguin: There is single or no object. + +vid id: f9b6217959 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: f9bd1fabf5 + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping truck: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +-----------category name: motorbike, frame name: 5 +are motorbikes distinguished by action: "NONE" + +-----------category name: motorbike, frame name: 8 +are motorbikes distinguished by action: NONE + +-----------category name: motorbike, frame name: 12 +are motorbikes distinguished by action: NONE + +Skipping motorbike: There is single or no object. + +vid id: f9c68eaa64 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: f9d3e04c4f + +-----------category name: bear, frame name: 2 +are bears distinguished by action: YES + +-----------category name: bear, frame name: 5 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 9 +are bears distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: bear, frame name: 13 +are bears distinguished by action: NONE + +vid id: f9daf64494 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: f9e4cc5a0a + +-----------category name: zebra, frame name: 4 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: zebra, frame name: 6 +are zebras distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: zebra, frame name: 13 +are zebras distinguished by action: NONE + +-----------category name: zebra, frame name: 15 +are zebras distinguished by action: "NONE" + +vid id: f9ea6b7f31 + +-----------category name: cow, frame name: 4 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 6 +are cows distinguished by action: NONE + +-----------category name: cow, frame name: 11 +are cows distinguished by action: "NONE" + +-----------category name: cow, frame name: 15 +are cows distinguished by action: NONE + +vid id: f9f3852526 + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: fa04c615cf + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: fa08e00a56 + +-----------category name: monkey, frame name: 9 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 16 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 21 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: monkey, frame name: 31 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: fa4370d74d + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: fa67744af3 + +-----------category name: dolphin, frame name: 2 +are dolphins distinguished by action: NONE + +-----------category name: dolphin, frame name: 15 +are dolphins distinguished by action: "NONE" + +Skipping dolphin: There is single or no object. + +-----------category name: dolphin, frame name: 32 +are dolphins distinguished by action: NONE + +vid id: fa88d48a92 + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: fa8b904cc9 + +-----------category name: boat, frame name: 3 +are boats distinguished by action: NONE + +-----------category name: boat, frame name: 5 +are boats distinguished by action: NONE + +-----------category name: boat, frame name: 9 +are boats distinguished by action: NONE + +-----------category name: boat, frame name: 12 +are boats distinguished by action: NONE + +Skipping parachute: Determined to be non-movable. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +Skipping parachute: There is single or no object. + +vid id: fa9526bdf1 + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +Skipping owl: There is single or no object. + +vid id: fa9b9d2426 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: fad633fbe1 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: faf5222dc3 + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +vid id: faff0e15f1 + +-----------category name: penguin, frame name: 6 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 15 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: penguin, frame name: 18 +are penguins distinguished by action: YES + +-----------category name: penguin, frame name: 29 +are penguins distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: fb08c64e8c + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: fb23455a7f + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +Skipping ape: There is single or no object. + +vid id: fb2e19fa6e + +-----------category name: tiger, frame name: 3 +are tigers distinguished by action: YES + +-----------category name: tiger, frame name: 17 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: tiger, frame name: 23 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: tiger, frame name: 32 +are tigers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: fb34dfbb77 + +-----------category name: giant_panda, frame name: 9 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 12 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 21 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 28 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: fb47fcea1e + +-----------category name: dog, frame name: 2 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 7 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 10 +are dogs distinguished by action: NONE + +-----------category name: dog, frame name: 17 +are dogs distinguished by action: NONE + +vid id: fb49738155 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: fb4cbc514b + +Skipping airplane: There is single or no object. + +-----------category name: airplane, frame name: 5 +are airplanes distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: airplane, frame name: 6 +are airplanes distinguished by action: NONE + +Skipping airplane: There is single or no object. + +vid id: fb4e6062f7 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: fb5ba7ad6e + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +Skipping bear: There is single or no object. + +vid id: fb63cd1236 + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: fb81157a07 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: fb92abdaeb + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +Skipping monkey: There is single or no object. + +vid id: fba22a6848 + +-----------category name: person, frame name: 2 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 7 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 9 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 11 +are persons distinguished by action: NONE + +vid id: fbaca0c9df + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping airplane: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: fbc645f602 + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +Skipping bird: There is single or no object. + +-----------category name: bird, frame name: 17 +are birds distinguished by action: NONE + +vid id: fbd77444cd + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +Skipping turtle: There is single or no object. + +vid id: fbe53dc8e8 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: fbe541dd73 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: fbe8488798 + +-----------category name: person, frame name: 3 +are persons distinguished by action: "NONE" + +-----------category name: person, frame name: 6 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: person, frame name: 8 +are persons distinguished by action: "YES" + +-----------category name: person, frame name: 16 +are persons distinguished by action: "NONE" + +vid id: fbfd25174f + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: fc28cb305e + +-----------category name: person, frame name: 5 +are persons distinguished by action: YES + +-----------category name: person, frame name: 7 +are persons distinguished by action: NONE + +-----------category name: person, frame name: 12 +are persons distinguished by action: YES + +-----------category name: person, frame name: 16 +are persons distinguished by action: NONE + +vid id: fc33b1ffd6 + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: fc6186f0bb + +Skipping frisbee: Determined to be non-movable. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping frisbee: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: fc918e3a40 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +vid id: fc96cda9d8 + +Skipping skateboard: Determined to be non-movable. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping skateboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: person, frame name: 17 +are persons distinguished by action: YES + +Retrying caption generation... (1/3) +Skipping person: There is single or no object. + +vid id: fc9832eea4 + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +Skipping eagle: There is single or no object. + +vid id: fcb10d0f81 + +-----------category name: deer, frame name: 8 +are deers distinguished by action: YES + +-----------category name: deer, frame name: 10 +are deers distinguished by action: YES + +-----------category name: deer, frame name: 25 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: deer, frame name: 32 +are deers distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: fcd20a2509 + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +Skipping deer: There is single or no object. + +vid id: fcf637e3ab + +-----------category name: giant_panda, frame name: 4 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 6 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: giant_panda, frame name: 10 +are giant_pandas distinguished by action: NONE + +-----------category name: giant_panda, frame name: 16 +are giant_pandas distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: fcfd81727f + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +Skipping dog: There is single or no object. + +vid id: fd31890379 + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +Skipping camel: There is single or no object. + +vid id: fd33551c28 + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +Skipping raccoon: There is single or no object. + +vid id: fd542da05e + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping shark: There is single or no object. + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +vid id: fd6789b3fe + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping dolphin: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: fd77828200 + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +Skipping frog: There is single or no object. + +vid id: fd7af75f4d + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +Skipping mouse: There is single or no object. + +vid id: fdb28d0fbb + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: fdb3d1fb1e + +Skipping dog: There is single or no object. + +-----------category name: dog, frame name: 7 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: dog, frame name: 9 +are dogs distinguished by action: YES + +-----------category name: dog, frame name: 11 +are dogs distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: fdb8b04124 + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: fdc6e3d581 + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +Skipping horse: There is single or no object. + +vid id: fdfce7e6fc + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +Skipping parrot: There is single or no object. + +vid id: fe0f76d41b + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +Skipping snail: There is single or no object. + +vid id: fe24b0677d + +-----------category name: person, frame name: 8 +are persons distinguished by action: YES + +-----------category name: person, frame name: 16 +are persons distinguished by action: YES + +-----------category name: person, frame name: 20 +are persons distinguished by action: YES + +-----------category name: person, frame name: 31 +are persons distinguished by action: YES + +Skipping snowboard: Determined to be non-movable. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +Skipping snowboard: There is single or no object. + +vid id: fe3c02699d + +-----------category name: turtle, frame name: 5 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 9 +are turtles distinguished by action: NONE + +-----------category name: turtle, frame name: 16 +are turtles distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: turtle, frame name: 23 +are turtles distinguished by action: NONE + +vid id: fe58b48235 + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +Skipping squirrel: There is single or no object. + +vid id: fe6a5596b8 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +Skipping cat: There is single or no object. + +vid id: fe6c244f63 + +-----------category name: boat, frame name: 2 +are boats distinguished by action: NONE + +-----------category name: boat, frame name: 4 +are boats distinguished by action: NONE + +-----------category name: boat, frame name: 7 +are boats distinguished by action: "NONE" + +-----------category name: boat, frame name: 11 +are boats distinguished by action: NONE + +vid id: fe7afec086 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: fe985d510a + +Skipping others: Determined to be non-movable. + +vid id: fe9db35d15 + +Skipping plant: Determined to be non-movable. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping plant: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: fea8ffcd36 + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: feb1080388 + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +Skipping giant_panda: There is single or no object. + +vid id: fed208bfca + +Skipping surfboard: Determined to be non-movable. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping surfboard: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: feda5ad1c2 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +vid id: feec95b386 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: ff15a5eff6 + +-----------category name: person, frame name: 2 +are persons distinguished by action: YES + +-----------category name: person, frame name: 13 +are persons distinguished by action: YES + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +-----------category name: motorbike, frame name: 2 +are motorbikes distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: motorbike, frame name: 13 +are motorbikes distinguished by action: "NONE" + +Skipping motorbike: There is single or no object. + +Skipping motorbike: There is single or no object. + +vid id: ff204daf4b + +-----------category name: bear, frame name: 2 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 7 +are bears distinguished by action: NONE + +-----------category name: bear, frame name: 12 +are bears distinguished by action: "NONE" + +-----------category name: bear, frame name: 14 +are bears distinguished by action: NONE + +vid id: ff25f55852 + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: ff2ada194f + +-----------category name: duck, frame name: 7 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: duck, frame name: 11 +are ducks distinguished by action: YES + +-----------category name: duck, frame name: 19 +are ducks distinguished by action: NONE + +-----------category name: duck, frame name: 31 +are ducks distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +vid id: ff2ce142e8 + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +vid id: ff49d36d20 + +-----------category name: penguin, frame name: 9 +are penguins distinguished by action: NONE + +-----------category name: penguin, frame name: 10 +are penguins distinguished by action: "NONE" + +-----------category name: penguin, frame name: 20 +are penguins distinguished by action: "NONE" + +-----------category name: penguin, frame name: 32 +are penguins distinguished by action: "NONE" + +vid id: ff5a1ec4f3 + +-----------category name: monkey, frame name: 3 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +-----------category name: monkey, frame name: 16 +are monkeys distinguished by action: YES + +-----------category name: monkey, frame name: 22 +are monkeys distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +-----------category name: monkey, frame name: 30 +are monkeys distinguished by action: YES + +vid id: ff66152b25 + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +Skipping snake: There is single or no object. + +vid id: ff692fdc56 + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping hedgehog: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ff773b1a1e + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping sedan: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +Skipping person: There is single or no object. + +vid id: ff97129478 + +Skipping others: Determined to be non-movable. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +Skipping others: There is single or no object. + +vid id: ffb904207d + +Skipping hand: Determined to be non-movable. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping hand: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +Skipping lizard: There is single or no object. + +vid id: ffc43fc345 + +-----------category name: sheep, frame name: 3 +are sheeps distinguished by action: NONE + +-----------category name: sheep, frame name: 9 +are sheeps distinguished by action: YES + +Retrying caption generation... (1/3) +Retrying caption generation... (2/3) +Retrying caption generation... (3/3) +Max retries reached. Caption generation failed. +-----------category name: sheep, frame name: 14 +are sheeps distinguished by action: NONE + +Skipping sheep: There is single or no object. + +vid id: fffe5f8df6 + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Skipping fox: There is single or no object. + +Finished! diff --git a/slurm_log/mbench_ref-ytvos_json.out b/slurm_log/mbench_ref-ytvos_json.out new file mode 100644 index 0000000000000000000000000000000000000000..834a90a54a7bb92ec84610a7f4434ffc65ba1a15 --- /dev/null +++ b/slurm_log/mbench_ref-ytvos_json.out @@ -0,0 +1,6 @@ +skipped 57 short videos + + video num: 3471 clip num: 3414 + + +